From 33644012899db5b37d72bb95e8d83d2b7e2b0edc Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 8 Oct 2021 21:29:42 -0400 Subject: [PATCH] idk --- CPLD/LCMXO/LCMXO256C/.run_manager.ini | 9 + CPLD/LCMXO/LCMXO256C/.setting.ini | 4 + CPLD/LCMXO/LCMXO256C/.spread_sheet.ini | 3 + CPLD/LCMXO/LCMXO256C/.spreadsheet_view.ini | 65 + .../LCMXO256C/RAM2GS_LCMXO256C.ccl} | 0 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf | 14 + CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf | 226 + CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty | 205 + .../LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html | 91 + .../pn210816194012.tcr | 17 + .../pn210816202808.tcr | 5 + .../pn210816213322.tcr | 4 + .../pn210816214112.tcr | 6 + CPLD/LCMXO/LCMXO256C/impl1/.build_status | 46 + .../.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb | Bin 0 -> 65077 bytes .../LCMXO256C/impl1/.vdbs/RAM2GS_rtl.vdb | Bin 0 -> 72408 bytes .../LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb | Bin 0 -> 67067 bytes CPLD/LCMXO/LCMXO256C/impl1/.vdbs/dbStat.txt | 1 + .../impl1/IBIS/RAM2GS_LCMXO256C_im~.ibs | 2156 ++++ .../impl1/RAM2GS_LCMXO256C_impl1.alt | 75 + .../impl1/RAM2GS_LCMXO256C_impl1.arearep | 24 + .../impl1/RAM2GS_LCMXO256C_impl1.bgn | 45 + .../impl1/RAM2GS_LCMXO256C_impl1.bit | Bin 0 -> 9227 bytes .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd | Bin 0 -> 154201 bytes .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad | 271 + .../impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par | 211 + .../RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd | 33 + .../RAM2GS_LCMXO256C_impl1.par | 28 + .../impl1/RAM2GS_LCMXO256C_impl1.drc | 1 + .../impl1/RAM2GS_LCMXO256C_impl1.jed | 977 ++ .../impl1/RAM2GS_LCMXO256C_impl1.log | 4 + .../impl1/RAM2GS_LCMXO256C_impl1.lpf | 4 + .../impl1/RAM2GS_LCMXO256C_impl1.lsedata | 5981 ++++++++++ .../impl1/RAM2GS_LCMXO256C_impl1.mrp | 402 + .../LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt | 9 + .../impl1/RAM2GS_LCMXO256C_impl1.n2e | 574 + .../impl1/RAM2GS_LCMXO256C_impl1.ncd | Bin 0 -> 154201 bytes .../impl1/RAM2GS_LCMXO256C_impl1.ngd | Bin 0 -> 153868 bytes .../impl1/RAM2GS_LCMXO256C_impl1.p2t | 9 + .../impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 | 9 + .../impl1/RAM2GS_LCMXO256C_impl1.p3t | 5 + .../impl1/RAM2GS_LCMXO256C_impl1.pad | 271 + .../impl1/RAM2GS_LCMXO256C_impl1.par | 239 + .../impl1/RAM2GS_LCMXO256C_impl1.prf | 165 + .../LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt | 10 + .../impl1/RAM2GS_LCMXO256C_impl1.t2b | 2 + .../impl1/RAM2GS_LCMXO256C_impl1.tw1 | 2507 +++++ .../impl1/RAM2GS_LCMXO256C_impl1.twr | 4355 ++++++++ .../impl1/RAM2GS_LCMXO256C_impl1_bgn.html | 111 + .../RAM2GS_LCMXO256C_impl1_iotiming.html | 202 + .../RAM2GS_LCMXO256C_impl1_lattice.synproj | 41 + .../impl1/RAM2GS_LCMXO256C_impl1_map.asd | 13 + .../impl1/RAM2GS_LCMXO256C_impl1_map.cam | 108 + .../impl1/RAM2GS_LCMXO256C_impl1_map.hrr | 10 + .../impl1/RAM2GS_LCMXO256C_impl1_map.ncd | Bin 0 -> 104196 bytes .../impl1/RAM2GS_LCMXO256C_impl1_mrp.html | 425 + .../impl1/RAM2GS_LCMXO256C_impl1_pad.html | 336 + .../impl1/RAM2GS_LCMXO256C_impl1_par.html | 307 + .../impl1/RAM2GS_LCMXO256C_impl1_summary.html | 83 + .../impl1/RAM2GS_LCMXO256C_impl1_tw1.html | 2740 +++++ .../impl1/RAM2GS_LCMXO256C_impl1_twr.html | 4588 ++++++++ CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log | 15 + CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr | 311 + .../LCMXO256C/impl1/RAM2GS_lse_lsetwr.html | 376 + CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v | 789 ++ CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf | 89 + .../LCMXO256C/impl1/Untitled.tpf.prf_cdmp | 155 + .../LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 | 88 + .../LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 | 155 + .../LCMXO256C/impl1/Untitled.tpf_hold.html | 2080 ++++ .../LCMXO256C/impl1/Untitled.tpf_setup.html | 3314 ++++++ CPLD/LCMXO/LCMXO256C/impl1/automake.log | 528 + .../LCMXO256C/impl1/hdla_gen_hierarchy.html | 9 + .../impl1/ram2gs_lcmxo256c_impl1.ior | 137 + .../impl1/ram2gs_lcmxo256c_impl1_trce.asd | 91 + CPLD/LCMXO/LCMXO256C/impl1/synthesis.log | 239 + CPLD/LCMXO/LCMXO256C/impl1/synthesis_lse.html | 304 + .../LCMXO256C/impl1/xxx_lse_cp_file_list | 252 + CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file | 252 + CPLD/LCMXO/LCMXO640C/.run_manager.ini | 9 + CPLD/LCMXO/LCMXO640C/.setting.ini | 4 + CPLD/LCMXO/LCMXO640C/.spread_sheet.ini | 3 + CPLD/LCMXO/LCMXO640C/.spreadsheet_view.ini | 65 + CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl | 1 + CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf | 14 + CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf | 226 + CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty | 205 + .../LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html | 75 + .../pn210816203903.tcr | 10 + .../pn210816214112.tcr | 6 + CPLD/LCMXO/LCMXO640C/impl1/.build_status | 46 + .../.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb | Bin 0 -> 65077 bytes .../LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb | Bin 0 -> 72408 bytes .../LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb | Bin 0 -> 67067 bytes CPLD/LCMXO/LCMXO640C/impl1/.vdbs/dbStat.txt | 1 + .../impl1/IBIS/RAM2GS_LCMXO640C_im~.ibs | 2156 ++++ .../impl1/RAM2GS_LCMXO640C_impl1.alt | 75 + .../impl1/RAM2GS_LCMXO640C_impl1.arearep | 24 + .../impl1/RAM2GS_LCMXO640C_impl1.bgn | 45 + .../impl1/RAM2GS_LCMXO640C_impl1.bit | Bin 0 -> 20214 bytes .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd | Bin 0 -> 156017 bytes .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad | 353 + .../impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par | 219 + .../RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd | 45 + .../RAM2GS_LCMXO640C_impl1.par | 28 + .../impl1/RAM2GS_LCMXO640C_impl1.drc | 1 + .../impl1/RAM2GS_LCMXO640C_impl1.jed | 1745 +++ .../impl1/RAM2GS_LCMXO640C_impl1.log | 4 + .../impl1/RAM2GS_LCMXO640C_impl1.lpf | 4 + .../impl1/RAM2GS_LCMXO640C_impl1.lsedata | 5981 ++++++++++ .../impl1/RAM2GS_LCMXO640C_impl1.mrp | 402 + .../LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt | 9 + .../impl1/RAM2GS_LCMXO640C_impl1.n2e | 574 + .../impl1/RAM2GS_LCMXO640C_impl1.ncd | Bin 0 -> 156017 bytes .../impl1/RAM2GS_LCMXO640C_impl1.ngd | Bin 0 -> 153868 bytes .../impl1/RAM2GS_LCMXO640C_impl1.p2t | 9 + .../impl1/RAM2GS_LCMXO640C_impl1.p3t | 5 + .../impl1/RAM2GS_LCMXO640C_impl1.pad | 353 + .../impl1/RAM2GS_LCMXO640C_impl1.par | 247 + .../impl1/RAM2GS_LCMXO640C_impl1.prf | 165 + .../LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt | 10 + .../impl1/RAM2GS_LCMXO640C_impl1.t2b | 2 + .../impl1/RAM2GS_LCMXO640C_impl1.tw1 | 2507 +++++ .../impl1/RAM2GS_LCMXO640C_impl1.twr | 4338 +++++++ .../impl1/RAM2GS_LCMXO640C_impl1_bgn.html | 111 + .../RAM2GS_LCMXO640C_impl1_iotiming.html | 202 + .../RAM2GS_LCMXO640C_impl1_lattice.synproj | 41 + .../impl1/RAM2GS_LCMXO640C_impl1_map.asd | 13 + .../impl1/RAM2GS_LCMXO640C_impl1_map.cam | 108 + .../impl1/RAM2GS_LCMXO640C_impl1_map.hrr | 10 + .../impl1/RAM2GS_LCMXO640C_impl1_map.ncd | Bin 0 -> 104206 bytes .../impl1/RAM2GS_LCMXO640C_impl1_mrp.html | 425 + .../impl1/RAM2GS_LCMXO640C_impl1_pad.html | 418 + .../impl1/RAM2GS_LCMXO640C_impl1_par.html | 315 + .../impl1/RAM2GS_LCMXO640C_impl1_summary.html | 83 + .../impl1/RAM2GS_LCMXO640C_impl1_tw1.html | 2740 +++++ .../impl1/RAM2GS_LCMXO640C_impl1_twr.html | 4571 ++++++++ CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log | 15 + CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr | 311 + .../LCMXO640C/impl1/RAM2GS_lse_lsetwr.html | 376 + CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v | 789 ++ CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf | 89 + .../LCMXO640C/impl1/Untitled.tpf.prf_cdmp | 155 + .../LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 | 88 + .../LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 | 155 + .../LCMXO640C/impl1/Untitled.tpf_hold.html | 2080 ++++ .../LCMXO640C/impl1/Untitled.tpf_setup.html | 3314 ++++++ CPLD/LCMXO/LCMXO640C/impl1/automake.log | 528 + .../LCMXO640C/impl1/hdla_gen_hierarchy.html | 9 + .../impl1/ram2gs_lcmxo640c_impl1.ior | 137 + .../impl1/ram2gs_lcmxo640c_impl1_trce.asd | 91 + CPLD/LCMXO/LCMXO640C/impl1/synthesis.log | 239 + CPLD/LCMXO/LCMXO640C/impl1/synthesis_lse.html | 304 + .../LCMXO640C/impl1/xxx_lse_cp_file_list | 252 + CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file | 252 + .../{RAM4GS-ExtSPI.v => LCMXO/RAM2GS-LCMXO.v} | 27 +- CPLD/LCMXO2/LCMXO2-640HC/.run_manager.ini | 9 + CPLD/LCMXO2/LCMXO2-640HC/.setting.ini | 4 + CPLD/LCMXO2/LCMXO2-640HC/EFB.edn | 550 + CPLD/LCMXO2/LCMXO2-640HC/EFB.ipx | 8 + CPLD/LCMXO2/LCMXO2-640HC/EFB.lpc | 141 + CPLD/LCMXO2/LCMXO2-640HC/EFB.naf | 31 + CPLD/LCMXO2/LCMXO2-640HC/EFB.sort | 1 + CPLD/LCMXO2/LCMXO2-640HC/EFB.srp | 26 + CPLD/LCMXO2/LCMXO2-640HC/EFB.sym | Bin 0 -> 464 bytes CPLD/LCMXO2/LCMXO2-640HC/EFB.v | 113 + CPLD/LCMXO2/LCMXO2-640HC/EFB_generate.log | 44 + CPLD/LCMXO2/LCMXO2-640HC/EFB_tmpl.v | 8 + .../LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf | 14 + .../LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf | 215 + .../LCMXO2-640HC/RAM2GS_LCMXO2_640HC1.sty | 205 + .../LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html | 84 + .../pn210817003052.tcr | 6 + .../pn210817054927.tcr | 9 + .../pn210817062320.tcr | 24 + CPLD/LCMXO2/LCMXO2-640HC/_math_real.vhd | 2574 +++++ CPLD/LCMXO2/LCMXO2-640HC/generate_core.tcl | 100 + CPLD/LCMXO2/LCMXO2-640HC/generate_ngd.tcl | 124 + CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status | 46 + .../.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb | Bin 0 -> 90359 bytes .../LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb | Bin 0 -> 147034 bytes .../LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb | Bin 0 -> 116477 bytes .../LCMXO2-640HC/impl1/.vdbs/dbStat.txt | 1 + .../impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs | 2837 +++++ .../impl1/RAM2GS_LCMXO2_640HC_impl1.alt | 71 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.arearep | 22 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.bgn | 86 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.bit | Bin 0 -> 6667 bytes .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd | Bin 0 -> 302666 bytes .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad | 273 + .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par | 233 + .../RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd | 41 + .../RAM2GS_LCMXO2_640HC_impl1.par | 28 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.drc | 1 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.jed | 1431 +++ .../impl1/RAM2GS_LCMXO2_640HC_impl1.log | 4 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.lpf | 4 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata | 9954 +++++++++++++++++ .../impl1/RAM2GS_LCMXO2_640HC_impl1.mrp | 402 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.mt | 9 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.ncd | Bin 0 -> 302666 bytes .../impl1/RAM2GS_LCMXO2_640HC_impl1.ngd | Bin 0 -> 234541 bytes .../impl1/RAM2GS_LCMXO2_640HC_impl1.p2t | 9 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.p3t | 5 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.pad | 273 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.par | 261 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.prf | 158 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.pt | 10 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.t2b | 5 + .../impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 | 2547 +++++ .../impl1/RAM2GS_LCMXO2_640HC_impl1.twr | 4466 ++++++++ .../impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html | 152 + .../RAM2GS_LCMXO2_640HC_impl1_iotiming.html | 198 + .../RAM2GS_LCMXO2_640HC_impl1_lattice.synproj | 41 + .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd | 24 + .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam | 94 + .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr | 10 + .../impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd | Bin 0 -> 212106 bytes .../impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html | 428 + .../impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html | 338 + .../impl1/RAM2GS_LCMXO2_640HC_impl1_par.html | 329 + .../RAM2GS_LCMXO2_640HC_impl1_summary.html | 83 + .../impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html | 2780 +++++ .../impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html | 4699 ++++++++ CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_drc.log | 15 + CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr | 335 + .../LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html | 402 + CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v | 1243 ++ CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log | 1344 +++ .../impl1/hdla_gen_hierarchy.html | 12 + .../impl1/ram2gs_lcmxo2_640hc_impl1.ior | 133 + .../impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd | 91 + CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log | 271 + .../LCMXO2-640HC/impl1/synthesis_lse.html | 336 + .../LCMXO2-640HC/impl1/xxx_lse_cp_file_list | 350 + .../LCMXO2-640HC/impl1/xxx_lse_sign_file | 350 + CPLD/LCMXO2/LCMXO2-640HC/msg_file.log | 29 + CPLD/LCMXO2/RAM2GS-LCMXO2.v | 731 ++ CPLD/MAX/MAXII/RAM2GS.qws | Bin 613 -> 818 bytes CPLD/MAX/MAXII/db/RAM2GS.db_info | 2 +- CPLD/MAX/MAXII/db/RAM2GS.ipinfo | Bin 177 -> 259 bytes CPLD/MAX/MAXII/db/RAM2GS.tmw_info | 10 +- CPLD/MAX/RAM2GS-MAX.v | 16 +- 243 files changed, 117719 insertions(+), 19 deletions(-) create mode 100644 CPLD/LCMXO/LCMXO256C/.run_manager.ini create mode 100644 CPLD/LCMXO/LCMXO256C/.setting.ini create mode 100644 CPLD/LCMXO/LCMXO256C/.spread_sheet.ini create mode 100644 CPLD/LCMXO/LCMXO256C/.spreadsheet_view.ini rename CPLD/{MAX/UFM.qip => LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ccl} (100%) create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr create mode 100644 CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/.build_status create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_rtl.vdb create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/.vdbs/dbStat.txt create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/IBIS/RAM2GS_LCMXO256C_im~.ibs create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.alt create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.arearep create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bgn create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.bit create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ngd create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/automake.log create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/synthesis.log create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/synthesis_lse.html create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list create mode 100644 CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file create mode 100644 CPLD/LCMXO/LCMXO640C/.run_manager.ini create mode 100644 CPLD/LCMXO/LCMXO640C/.setting.ini create mode 100644 CPLD/LCMXO/LCMXO640C/.spread_sheet.ini create mode 100644 CPLD/LCMXO/LCMXO640C/.spreadsheet_view.ini create mode 100644 CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl create mode 100644 CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf create mode 100644 CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf create mode 100644 CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty create mode 100644 CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html create mode 100644 CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr create mode 100644 CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/.build_status create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/.vdbs/dbStat.txt create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/IBIS/RAM2GS_LCMXO640C_im~.ibs create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.alt create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.arearep create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.bgn create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.bit create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.pad create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.par create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ngd create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.p2t create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.p3t create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pad create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.par create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/automake.log create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/synthesis.log create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/synthesis_lse.html create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list create mode 100644 CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file rename CPLD/{RAM4GS-ExtSPI.v => LCMXO/RAM2GS-LCMXO.v} (94%) mode change 100755 => 100644 create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/.run_manager.ini create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/.setting.ini create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.edn create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.ipx create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.lpc create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.naf create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.sort create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.srp create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.sym create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB.v create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB_generate.log create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/EFB_tmpl.v create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC1.sty create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/_math_real.vhd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/generate_core.tcl create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/generate_ngd.tcl create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.alt create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bit create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.drc create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.jed create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.log create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mt create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.p2t create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.p3t create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pad create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.par create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pt create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_drc.log create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file create mode 100644 CPLD/LCMXO2/LCMXO2-640HC/msg_file.log create mode 100644 CPLD/LCMXO2/RAM2GS-LCMXO2.v diff --git a/CPLD/LCMXO/LCMXO256C/.run_manager.ini b/CPLD/LCMXO/LCMXO256C/.run_manager.ini new file mode 100644 index 0000000..be682d1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/.run_manager.ini @@ -0,0 +1,9 @@ +[Runmanager] +Geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x1\x1c\0\0\0\xd8\0\0\0\0\0\0\0\0\xff\xff\xff\xff\xff\xff\xff\xff\0\0\0\0\0\0) +windowState=@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\0\0\0\x2\xc9\0\0\x2i\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x1\0\0\0\0\0\0\0\x1\xff\xff\xff\xff\x3\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0) +headerState=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x1\0\0\0\x1\0\0\0\0\x1\0\0\0\0\0\0\0\0\0\0\0\x16\0\xe0?\0\0\0\t\0\0\0\x10\0\0\0\x64\0\0\0\xf\0\0\0\x64\0\0\0\xe\0\0\0\x64\0\0\0\r\0\0\0\x64\0\0\0\x15\0\0\0\x64\0\0\0\x14\0\0\0\x64\0\0\0\x13\0\0\0\x64\0\0\0\x12\0\0\0\x64\0\0\0\x11\0\0\0\x64\0\0\x4\xd3\0\0\0\x16\x1\x1\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x64\xff\xff\xff\xff\0\0\0\x81\0\0\0\0\0\0\0\x3\0\0\0#\0\0\0\x1\0\0\0\x2\0\0\x4\xb0\0\0\0\f\0\0\0\0\0\0\0\0\0\0\0\t\0\0\0\0) + +[impl1%3CStrategy1%3E] +isChecked=true +isHidden=false +isExpanded=true diff --git a/CPLD/LCMXO/LCMXO256C/.setting.ini b/CPLD/LCMXO/LCMXO256C/.setting.ini new file mode 100644 index 0000000..c145fb8 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/.setting.ini @@ -0,0 +1,4 @@ +[General] +Export.auto_tasks=IBIS, Bitgen +Map.auto_tasks=MapEqu, MapTrace +PAR.auto_tasks=PARTrace, IOTiming diff --git a/CPLD/LCMXO/LCMXO256C/.spread_sheet.ini b/CPLD/LCMXO/LCMXO256C/.spread_sheet.ini new file mode 100644 index 0000000..6c511f4 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/.spread_sheet.ini @@ -0,0 +1,3 @@ +[General] +COLUMN_POS_INFO_NAME_-1_0=Prioritize +COLUMN_POS_INFO_NAME_-1_1=PIO Register diff --git a/CPLD/LCMXO/LCMXO256C/.spreadsheet_view.ini b/CPLD/LCMXO/LCMXO256C/.spreadsheet_view.ini new file mode 100644 index 0000000..0aa848d --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/.spreadsheet_view.ini @@ -0,0 +1,65 @@ +[General] +pin_sort_type=0 +pin_sort_ascending=true +sig_sort_type=0 +sig_sort_ascending=true +active_Sheet=Timing Preferences + +[Port%20Assignments] +Name="166,0" +Group%20By="84,1" +Pin="63,2" +BANK="62,3" +IO_TYPE="117,4" +PULLMODE="119,5" +DRIVE="67,6" +SLEWRATE="92,7" +OPENDRAIN="97,8" +Outload%20%28pF%29="103,9" +MaxSkew="87,10" +Clock%20Load%20Only="121,11" +sort_columns="Name,Ascending" + +[Pin%20Assignments] +Pin="90,0" +Pad%20Name="89,1" +Dual%20Function="109,2" +Polarity="77,3" +BANK="0,4" +IO_TYPE="117,5" +Signal%20Name="123,6" +Signal%20Type="115,7" +sort_columns="Pin,Ascending" + +[Clock%20Resource] +Clock%20Type="100,ELLIPSIS" +Clock%20Name="100,ELLIPSIS" +Selection="100,ELLIPSIS" + +[Global%20Preferences] +Preference%20Name="222,ELLIPSIS" +Preference%20Value="236,ELLIPSIS" + +[Cell%20Mapping] +Type="100,ELLIPSIS" +Name="100,ELLIPSIS" +Din\Dout="100,ELLIPSIS" +PIO%20Register="100,ELLIPSIS" + +[Route%20Priority] +Type="100,ELLIPSIS" +Name="100,ELLIPSIS" +Prioritize="100,ELLIPSIS" + +[Timing%20Preferences] +Preference%20Name="246,ELLIPSIS" +Preference%20Value="104,ELLIPSIS" +Preference%20Unit="1012,ELLIPSIS" + +[Group] +Group%20Type\Name="134,ELLIPSIS" +Value="1245,ELLIPSIS" + +[Misc%20Preferences] +Preference%20Name="117,ELLIPSIS" +Preference%20Value="104,ELLIPSIS" diff --git a/CPLD/MAX/UFM.qip b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ccl similarity index 100% rename from CPLD/MAX/UFM.qip rename to CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ccl diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf new file mode 100644 index 0000000..0accfcf --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf new file mode 100644 index 0000000..9fa278f --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.lpf @@ -0,0 +1,226 @@ +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +LOCATE COMP "Dout[0]" SITE "1" ; +LOCATE COMP "Dout[6]" SITE "2" ; +LOCATE COMP "Dout[7]" SITE "3" ; +LOCATE COMP "Dout[4]" SITE "4" ; +LOCATE COMP "Dout[5]" SITE "5" ; +LOCATE COMP "Dout[3]" SITE "6" ; +LOCATE COMP "Dout[1]" SITE "7" ; +LOCATE COMP "Dout[2]" SITE "8" ; +LOCATE COMP "Din[2]" SITE "14" ; +LOCATE COMP "Din[1]" SITE "15" ; +LOCATE COMP "Din[3]" SITE "16" ; +LOCATE COMP "Din[5]" SITE "17" ; +LOCATE COMP "Din[4]" SITE "18" ; +LOCATE COMP "Din[7]" SITE "19" ; +LOCATE COMP "Din[6]" SITE "20" ; +LOCATE COMP "Din[0]" SITE "21" ; +LOCATE COMP "LED" SITE "57" ; +LOCATE COMP "RA[0]" SITE "98" ; +LOCATE COMP "RA[1]" SITE "89" ; +LOCATE COMP "RA[2]" SITE "94" ; +LOCATE COMP "RA[3]" SITE "97" ; +LOCATE COMP "RA[4]" SITE "99" ; +LOCATE COMP "RA[5]" SITE "95" ; +LOCATE COMP "RA[6]" SITE "91" ; +LOCATE COMP "RA[7]" SITE "100" ; +LOCATE COMP "RA[8]" SITE "96" ; +LOCATE COMP "RA[9]" SITE "85" ; +LOCATE COMP "RA[10]" SITE "87" ; +LOCATE COMP "RA[11]" SITE "79" ; +LOCATE COMP "RBA[1]" SITE "83" ; +LOCATE COMP "RBA[0]" SITE "63" ; +LOCATE COMP "RCKE" SITE "82" ; +LOCATE COMP "RDQMH" SITE "76" ; +LOCATE COMP "RDQML" SITE "61" ; +LOCATE COMP "UFMCLK" SITE "58" ; +LOCATE COMP "UFMSDI" SITE "56" ; +LOCATE COMP "nUFMCS" SITE "53" ; +LOCATE COMP "nRCAS" SITE "78" ; +LOCATE COMP "nRCS" SITE "77" ; +LOCATE COMP "nRRAS" SITE "73" ; +LOCATE COMP "nRWE" SITE "72" ; +LOCATE COMP "RD[0]" SITE "64" ; +LOCATE COMP "RD[1]" SITE "65" ; +LOCATE COMP "RD[2]" SITE "66" ; +LOCATE COMP "RD[3]" SITE "67" ; +LOCATE COMP "RD[4]" SITE "68" ; +LOCATE COMP "RD[5]" SITE "69" ; +LOCATE COMP "RD[6]" SITE "70" ; +LOCATE COMP "RD[7]" SITE "71" ; +LOCATE COMP "PHI2" SITE "39" ; +LOCATE COMP "RCLK" SITE "86" ; +LOCATE COMP "nCCAS" SITE "27" ; +LOCATE COMP "nCRAS" SITE "43" ; +LOCATE COMP "CROW[0]" SITE "32" ; +LOCATE COMP "CROW[1]" SITE "34" ; +LOCATE COMP "UFMSDO" SITE "55" ; +LOCATE COMP "nFWE" SITE "22" ; +LOCATE COMP "MAin[0]" SITE "23" ; +LOCATE COMP "MAin[1]" SITE "38" ; +LOCATE COMP "MAin[2]" SITE "37" ; +LOCATE COMP "MAin[3]" SITE "47" ; +LOCATE COMP "MAin[4]" SITE "46" ; +LOCATE COMP "MAin[5]" SITE "45" ; +LOCATE COMP "MAin[6]" SITE "49" ; +LOCATE COMP "MAin[7]" SITE "44" ; +LOCATE COMP "MAin[8]" SITE "50" ; +LOCATE COMP "MAin[9]" SITE "51" ; +IOBUF PORT "CROW[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "CROW[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "nCRAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "nCCAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "RCLK" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "PHI2" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[8]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[9]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "UFMSDO" PULLMODE=KEEPER IO_TYPE=LVTTL33 ; +IOBUF PORT "nFWE" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Dout[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "LED" PULLMODE=NONE IO_TYPE=LVTTL33 DRIVE=16 SLEWRATE=SLOW ; +IOBUF PORT "RA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[8]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[9]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[10]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[11]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RBA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RBA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RCKE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RDQMH" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RDQML" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "UFMCLK" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "UFMSDI" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRCAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRRAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRWE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nUFMCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RD[0]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[1]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[2]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[3]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[4]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[5]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[6]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[7]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +USE PRIMARY NET "PHI2_c" ; +USE PRIMARY NET "RCLK_c" ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 16.000000 ns ; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +USE PRIMARY NET "nCCAS_c" ; +USE PRIMARY NET "nCRAS_c" ; diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty new file mode 100644 index 0000000..feec63c --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C1.sty @@ -0,0 +1,205 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html new file mode 100644 index 0000000..ddd739c --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcl.html @@ -0,0 +1,91 @@ + +Lattice TCL Log + + +
pn210816194012
+#Start recording tcl command: 8/16/2021 19:02:08
+#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C
+prj_project new -name "RAM2GS_LCMXO256C" -impl "impl1" -dev LCMXO256C-3T100C -synthesis "lse"
+prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v"
+prj_project save
+prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v"
+prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v"
+prj_run PAR -impl impl1
+prj_run PAR -impl impl1
+prj_run Map -impl impl1
+prj_run Export -impl impl1
+prj_run PAR -impl impl1
+prj_run Map -impl impl1
+prj_run PAR -impl impl1
+prj_run Export -impl impl1 -forceAll
+prj_run Export -impl impl1 -forceAll
+#Stop recording: 8/16/2021 19:40:12
+
+
+
+pn210816202808
+#Start recording tcl command: 8/16/2021 20:24:10
+#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C
+prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf"
+prj_run Export -impl impl1 -forceAll
+#Stop recording: 8/16/2021 20:28:08
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr new file mode 100644 index 0000000..c6ef2b4 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816194012.tcr @@ -0,0 +1,17 @@ +#Start recording tcl command: 8/16/2021 19:02:08 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C +prj_project new -name "RAM2GS_LCMXO256C" -impl "impl1" -dev LCMXO256C-3T100C -synthesis "lse" +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v" +prj_project save +prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM4GS-LCMXO.v" +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" +prj_run PAR -impl impl1 +prj_run PAR -impl impl1 +prj_run Map -impl impl1 +prj_run Export -impl impl1 +prj_run PAR -impl impl1 +prj_run Map -impl impl1 +prj_run PAR -impl impl1 +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +#Stop recording: 8/16/2021 19:40:12 diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr new file mode 100644 index 0000000..fa5fbe8 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816202808.tcr @@ -0,0 +1,5 @@ +#Start recording tcl command: 8/16/2021 20:24:10 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf" +prj_run Export -impl impl1 -forceAll +#Stop recording: 8/16/2021 20:28:08 diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr new file mode 100644 index 0000000..2a19da1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816213322.tcr @@ -0,0 +1,4 @@ +#Start recording tcl command: 8/16/2021 21:33:16 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf" +#Stop recording: 8/16/2021 21:33:22 diff --git a/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr new file mode 100644 index 0000000..e2e3953 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C_tcr.dir/pn210816214112.tcr @@ -0,0 +1,6 @@ +#Start recording tcl command: 8/16/2021 21:32:14 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C; Project name: RAM2GS_LCMXO256C +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceOne +#Stop recording: 8/16/2021 21:41:12 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/.build_status b/CPLD/LCMXO/LCMXO256C/impl1/.build_status new file mode 100644 index 0000000..b86a12b --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/.build_status @@ -0,0 +1,46 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb b/CPLD/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_LCMXO256C_impl1_map.vdb new file mode 100644 index 0000000000000000000000000000000000000000..2ef13db145a25d3f598a8eb441654a402be32199 GIT binary patch literal 65077 zcmd6Q33OaXc3q>Xp4DHbrPW5KVdCvd3E%Cyw_$wqrZqm&v`gzWV=TPR>Dh zPWl|6>b-iu>eZ`P@4c#D|NnbGaCUMglX)$JU-VO7eReW8Soo|jo|t&s*}2?%o_yj< zh|fd|nPFz?;N{lU?R}E~JKvPQmj(^sCT8oWm(I@D?^&qxLLf3BW}>)vb^CgBZSV5U ztJkjW?dERo+}f7)Ph>LW;M4kY^M7I{+CO+Xy1E-_#6%`Q#4DZL+PwR)I(__1Tx<0^up5Jr%#??=J7h8w?H=5ebq9!ey^{-@ zrw^-hA~W^swcVGm?5fJMwuyn`=6{rlV^*x~8R@EH5pZOD0P$n{8Zm_1N6xiO)S{Dd$}_yJu_9wIBi#OynMZ z@0UK6|IjlpJon55hxw$v?5>jwDFjOkw%%Ox8?W3{#Mw-?dBx>kx4DMHH7qXI@YhV9 zKC3$e?OHNfaB$i*;K$`yU5WXWoFH*rd}OB7P+mD~Xzu?1cxe9LjzL1&GZCzdoC5(O zF6T*DuET_!&*YaDVJnP2nStvw_Ni?C%rSNm*7LyyE=1t@(3@+Eq9*7%x?U z>Hu2RKlq4)*Dr^oES`mYITz z?OZ*uP42%TAZl}c>7GqfIHOd>6VJ|{n_s+N5X?2B{mYlPMGbR}1g4e1^b(js0@F`m zhH=a!^xkl)INNfZWivTw!gW=s^VdM}Jbjuoz{E1V(2*9~B zOQs3{e#q?Tg)UN2DvL9}bz(UjE{RDD*Y^IUy~Bnp`T(n)>O{@$Xj`=aE$IeOJAj4( z)DNIR0QCZ>6+n#uY6egzY6QGUs2!9I1E?QBg8=FUP%D5M0o0Vx^jf)ITCC3-!A!4* zU@Zh!La-8o)etO)U?~7O9+!jh5D>;gKo}1JVLSwc@emNkLqHf00bx7@gz@kYWl#=f zAVJ7Lf{=j(Ap;3Q1`>n}BnTM@5He_ZE})YVf*y!6=z)Ns2Lgf~2nc#0An1XBpa%ki z9(ZUPqjUcWuLq?N1m9t)1oP#)7W9?jbk3I!!Iw7;J&bq0B!FY(`kDH<<;~?S$H}o$ z0+qY6XEXB<$C&!1X8Yp%;?@~2LDV7mN{&HHTcV8N*LZ+E0h=>MSe|2Rnb9|tM_;~?dK9Hjh@gOvYqkn%qkGXCd8=zkoj z{f`5+|8b!9KMvIX$AQ}aI8gf^3u^ykx!_d1EM*`e<$oNd@;?qz{>MSe|2Rnb9|tM_ z;~?dKEM)wTWlc@R#kvEv|8b!9KMvIX$AQ}aI8gf^2WtOgLG6Dm7ux?gNckTJDgWaj z<$oNc{Evf_|8bD=KMqp<$3e>fSjhMv%i6T|KMsTmf&Xz}DFBuKQDrIqCk;>kW9w4> z#{$CtIF$E47DfM~`PTl&=9T}^M8^ME!1x~rYX9Rv23>DA9Kkzj|Km!v|8b!9KMvIX z$AQ}aI8gf^3u^yk+138XLCXI)NckTJDgWaj<$oNc{Evf_|8bD=KMqp<$3n*cSk{dH zaiI1;4%Gg~f!hB#Q2QSTYX9Rv?SCw&{g35B`yU4>|KlL#e;lOzkAsx|agg#q4pRQd zLCXI)NckTNS^r~U?|*8W?}%*{NKC^2^bbCHaOnEyE4YZCtyR>@^B%j!IJ*)@RN{zg z98r!VN^!($HP*^T9I+Nhtj7_xIASG^sKgP~IHDX!l;Viha-7pRVl9qXk0WYv#7Z1d zi6g3UL^+Nq#Sk-{?c3Xz-?*xGe6c~(jlu00JdDBp7(9r&H!wVZ z!x-F;!Gjpwi@~iJ+=#)=5T0JeKGM9?>*#xKxiu^5ZX!!gtk%wp{#xKxiu^5ZX$4 zgtk)q2ceY`u(DDDR#r;D%1Q}XSt$W4DwOUScpF|hTHomLucHx=7X#ST-k{Z#BA728Y2wo_L4EA_NFnU_PQ~o z_RcY+_Tn+5_VytX^Zp&@mbT{4#?aMtbU7VeN<|;T?cBF-E!DS{H}A7sC*|eOS=#h* zP$>ZOXSVM5Wy*{>F#nFlEeA}!x_k4Q3+D1@#1-Xn|3(AhI$PcHGBz=#WJ|Lcmas1E zDBpzX65|1!3iAR{2#3M{h;t?h$@dy)>c!Y^b zJi^2z9${hio)@yPP>ovKD^_twndX4R2y~g&iUSoS$ zudzL>*Vqo$Yi!qjy~g&ST4Q@qt+73**4Q3YYitjyHMR%U8ry?vjqO3T#&)0!3$aeM zVPQ7o!om=1!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2 z!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>}2!@>Y-!$Rz&*i~0HW5dD_X~Mz~ zX~Mz~X~Mz~X~Mz~X~Mz~X~Mz~X~Mz~X~Mz)dAu3D{N|-S+-}0At%@ zgm#;RI86oZw|j1Yc(lyyz-2S;sF=stqhcOokBWJWJu2og_NbW0*rQ?|V~>hC41;MG zTIpcgfsDExFs&9M&Pq?Kg@^;W5b*$39u7FpQ>d@+??n512X7j2O>O%P8AwZo@WK#G z&o7@7;0(5Jno;ZWEps*DUetE*?B==pM!i;Ew1*4lKmgO%=s6O?MC#~malap&kV zk3bx9%rxf@S>i_L{=v09BUh-xnWeW82p4ap`Glg8=SKIh?1$$@1tQMRWij{$+FK@eYWDWD?VHE*>#^?^VtobU3J+UoYG~}Tn=u_<>A;|9m0z~S-;Nn9R5i_0Udad`wjE|1X2 z<*}#c^4N8A`IgA{M1COheUWcQZ)`iciF`}sdm=v&`M$^xMZPWaU6Jqjd>&5eetVcP zhoiNE37?0Jba+@tmxo<+d00f3hb?sZw#auyzT@*`V#_iyjh&h^^9!3s7}IB#18{j$ zf|K{(wLrpfT1{@HV()ib6nLybU54Xy(`Ns~G&e(=dVPD>1{&Ebb`DSCu$&^o6DC*e z(n1w&JrZK3ntIb)Q}H*$tmvby?1{*G+SrXD*L<=JJ?lE{}QU z@|b5Xk9p?um}f4JdFJw%XD*L<=JJ?lE{}QU@|b5Xk9p?um}f4JdFJw%XD*L<=JJ?l zE{}QU@|b5Xk9p?um}f4JdFJw%XD;82c3*aK6Zw|N_e6dm@_msXihNt-yCUE5d8+t= zergRzT@ds+Kry2{(cSas-WGZyiHTeO+{@dyKXI7pFToQnoKscf!R{U=3_kl{gyA3Lnu*ZMuzG<=R1dj*hU%?U zW%UPFW7P{pqI!(bXQp8lXqiZ?3mZNJ~x?BTM zo2`4q&q1a>@mN}3FwNzfi1A$Bvw>$Ot;XX?N|(hHQ^a@KJo!h2jxC@uhmrpKl>K1vjTmXs~W@#?Ec5NF`zfgo2Dy|0klPBjlKZ80??Wh`! zOl&)N9vF|Lh*knbyp2g5H$F_wqOW9~dF*_;r&9CS_4ELCJUxKjP7h$G(*xM$^Z<4^ zJ%HU!2jn{2J5~vEU6F5#{7~fkB0muMp2)XEz9I5WpGW^rI400P0n{Y0B7uqosuCzm zpd^4?=aT53$hSp)DDr)gABcQUBQT*V;a?W-!+f`KHL@>BxOUKY7B}azfN{Nz@|pO_9IkYsqaJs}~08xl5_& z>3OWjw@$0`BH;zoCk`vgH80)Na}WVbL*!c`-xK+P$oEBlDDrKQ?}~iK=MmJMIK8NZ zrGh#EKs|g3rkw{cAw7Vp>H$n*4`6ybAlKX${S*0?$oE8kAo6{YABuci-EzdOZJnYW)Woy!B8Zo#P!ofqDQ~RG1mrmb3e{yNwJhF?0 z=JMj{dptOW33B_oosCmhZ|@!WXL4Va(B$I%dW{JWy@V-X-8Dkw<9^4)bBB~}wR zC2&>%GncO1zV3RFJ+%Guua^M^MEa#OES;F&yS8&By0v{ZI=HnP?HycO zc>cMIAFaRmk&BJ`^Nr`~!{IROk_C*U_}BI2~;Ie zmO#k^(`cvFd%;@vX|xo8wGdnh!Ab~LL$DlzB?(S0E}t~Ln|iBpXZzB=gPH-YHBP6Pf5DhXGHZsaNALKUHk= zKERHh=+Q$!zSV2o=-e6N|Chh?#Fst#&|@#W?_I4&AAIsP1-$miWAAwtzdPV94+@(#{rnzhftA8H-s04=eR&pMAQ%wpOcep86w{b_UM^ z3ek7QD1+6Q4BAcx#U}6ejA_J6*f5nNK6K3%aASE~qUWt6FZyCP8bpsg@}N;QBl)V3 ze4&hcW@A!=Mqlz$g2G8PD6HVV+?ZM(v}Mc3*2)*_M)?Z0@wM6yTV4098o^hD;ERnh z>Rvgfdwr*S#nu>`t;U)ixMmCMxZOIY!5((xU>ApJD!(En-y5R{DlrlCoCu18F*dsr zYqsT^9cq(cjPXbfeQ8}=GV9ffgEZOKr0n}+6hbW~goYPFpSRJ+Waw)+5(M3LLTCj- zD6HUo!k9FFFp!!**L3|g_GVp5zA;7@TMk|9v9dRP#o-v+U5~X}676=zIOMD0ke6`w zO}aKC{{+zQbjt9n+7tuYRHH8$ipQyC2T#`yegH}JQ|D!#`?_^V#{*#dM?Xu*59 zrt04rw0a+Wt8=H@Z5?r4RpgN?AMch*85mrc^qhd2ulu@%(Duv2Y*Z@z@Klj0>UtE6n4G zYJvKUnxSGpP@(xjw--I~@KaA+c=Vm`KX~NPT_YqIaL3YAp^OuK-kdy)p?siRxp%F6 zzKUc}d|~+UXP!IW_(=8RPd5t+C_MYzOV1Px=7YVDwJv_7&}hB*{KtFGyzp${Blv4H zpKtUE_dZi>45bz=KlT2{aHJFxdGEtdMg&?>tJiH@C~O2gJW3wc&R46IPd`v8pI<*Z zAKieDyIQ^HFsoNK>Ns7teE0G_8!uwK3M=Csn?W!(#U94y`rgZXSG$ky>)@*{7f1YF&JB$!H1=S2=#BimeoT-{{|HweEB} zN3=JkYH06E9HL6SQo|78Uegwj#tSX|B5FwOJTCe)_VhMZkF2MGwVUbUkXWO!yP1RX~3I6>$p!b}0rKL|#Q1O@{&F&jsh0FN?z3CwiCo$7xnaIlYHxZ(2q z9m>6N)CmY*DH|>JqA$eumbTF_(5peDtDHowc5k_SzOqt2qOKJ?L8yCzZ4ROy+&$Hw z9Y3xtr(I}|w%>R}3PWkvn6vAc@zo~wz|FX0lDcuv6&pO1?$;WlR{c^L59?v#nlVOy`pc~ADSsg4?tJW0sgaoFFz0fC)TmqHQFU~bPJsei$4K75R(h7}K0>O+l z#(%8_b68jpVv#S}??HY0ITmAF{RqS3> zjymqeb`S=cg-O>gOu&H=#y|*Ts({Dng)mfvH0a;xH172KM+}FHeD>7~!fdDE9&{6n zH?>#L!Ct}k)dH-NU}5AQpb=Q1Vjtu2*uw{pJ+b>GPheE=mOat7N59E3Q6dP`l ziZ=>dZvgAzya7fdP|eYDgMJHcmRf=v9U-+Y6sm!h9BunBfuj8xoC;8Lv``L0qtUk6 z2;w5%5wo_r>TNU5Zi*q$F4G=}8l|Jqp5C5^n$ZhoERnHoI5rjR1;NM+&LL=_ua2?J zPSB=u0@eEZ#)fl-Z6WYn0WY;2Tle{Ryq|$HI1gdEAM8qKtJk~HZ`?WhK6NM9kubfl zt@++#ci*X7j&D{Gy&r8XgoACe`?G7B!y}2Vp=m z!o^r=B{deQZE!VK33g+Q#~E1|RK%W(kic9Q+d%pRu$Dow+148HTC0I&0X9Z68C`O+ zYz_-x&vvC=Qa5~-?^;lYqO-+8%2J_Jxp%#Kex-cW=%KU{E)^E>AoSfaF3bqF4EJPv zs@Mrn@+k9-ZEo(h9zB#@4Uh8Z+v@jho~myy6`SLXp?Q=8)6y;@^hp-oZ~>=R#f()Q z9@!m890eAdWA6MRK6>f-rrKKKs?7{TPYlCMu{Ta>R6=RY@%XTs(>uk%INQY?4LJ&P zJhH3XE$GQ@y|fyHQSBa~PjV2{_R&CXAN8Wag~CcQL>gVoL5Rd1JwNa@JMcKw>itKt zJfTh;9v8FYmVW{xXYVRd1&(R)Fyi)`=bn%K!~J;~?8 z3Y9UsAZ(Qhr8kSz!G+^$a*nB^%Ba|m&h+(`?QWr(G&UnMbFIMGm_Q4AVb&{ zW4{R0ohYV?jd3o5%HbmDn0m6uZUBWdakM*6^Z_FFoZ~}eX7z=yH;Gk;-Fmp`tIb`squ-A6HSkoed)nJ=J2vMr3mhL}Wc3daehXU!!fci1R_B_p_@j zm3p(f^jT*MYe6KaZk=L-vATv6_bcaDD@R^>wu5M}gaflFegFr)oZ92u#+a>9IcAO4 zj1_E+x!r24-F4k=VI>%NtMwx}u2mca<8E#gqo4kSdAq~sE^1@s0Vnl@?w!=<`1G+k z{;$?I#4Q}?i&KRuJbV;5rqLRr_1G4;dbG}1z|J^L?`mjz=ddEM7O)mf$B{;4FmYlS zN?4KEnJBityMg!z;h9#=&S;J>lvcv?taodLpclf^RO$vzeYMV)v=nN|{h8t5M!RvR zd*pKz{a}B_+)>00BHqXvZ!FLYVgZ=pN_}Y&-yLHdV&Wp4Jakhbi`8+kAz}ifk=NwaGnXRgWj(GQw;ReUS9XB&LfHKC3xfYw4>giK6F}vezcq7)Zdh*iTn<^z2$s@Zr zg=3pyYA$u$HlbarV}BU;FGXymredpgqkE@`y~?A#I;YqO)@t*9r=S(J2VJ{C+z<*d zRnWd{Yzr0birs;Z7S5JFiPsJl^m7qoYgRwjVVj+<;6bCU6AL(Zn$-4D?U0m8;jSjo5viN_Dd9K7JDwT#-QYbI#I!%oy)8!Q{MG`hv}O03-#({3j` z-Y`KjoFi{iMDY4^qW*}h7{XiU7Q`w4Rv{8IPnIOA%n5~#cl(q`%N!v8C?OYt; z61K@~=i;=N)X3w?fQ+fr%I>*Nub6{2cJlxa(>me9Erk$o!{)qp!k?0KOaL`kEZg+=%qp?Id>2$j<(As%=a zde9B6(ujpo!bvANCev6!Dm`e8SN*Cn^}_;EHQNtwC5&XsgN*l8IbFaps!%${Lyhi6%W5bgWg)9|AiagGUc~$f#G_WSBMTwc zL-`mM@c55s0V|q~U~OsSFuI*pEFHysJyynwhHq5ZI{mq3Sg`#mhNU;&xl)PE6~rrQ zt_;T8>`JUzge%%IgsZoa&JH}_Agz&^qBu#GK0CT%7;wO6yz;2USv;O1g%7;wxbFa;ykg^g4uaH}!Kgqe~!Q7xuqBi!~k7GiX$$SO9%#j<+TUBCz3~Qgf6UF+V$I^DBbqH#g8dA2JRSaU{rtwtQSbEN_^xn7 zTwdFaPJi^ozcf5sSPkwvsp&Xu+!!?OG>1psS;4o;Br6+uy-|Iw{_N8)VP*fqB0J6Z zm)lpCXN%p?ERK?w(ne?#ORHpNJ;989WqP*Q86z{RF=k4uV5XK}#=f#WTWsq0klD#G zQpxb)C2>cxR3?`B5c$-x)Q%A~7ImS$t%-{`YF=p&5>$Any7@4WYn5mGN zYJwU2%KU7xH%4YEF=kfCOgX`fePw^P*dHS^wHPxsGE<5%BR?5{9sCq_It@M=)jsN5 z`bz5|J9F*mkq6%kFZaY{ZDi!v3bHtqmDJx+ak3WUWSHQ@e<%S4Z;YI5#5n0EIPu?T zfVCSVC#x||@XGMm==je=z|`rddjnH9s?p(XKVjMBhBe15U8Wg^oN?6mGZj1~2Z5>$BF>+CkaiM*`mxcYP z53J}Ixv0jt(0<=@VZSB>3pz$FDlsm!&-Yx|<gij**L%7#G^#doJwvlVC!}$VDy2 zh4%HH3;W?F7|=0tu^!_>`+3iW{bCf%=NP%b3A13PY9H^pu%D`e@f;%;cu_;Zh4$~3 z3-^sIn9dX?+Kn5%#vPn|I@)OutouVI7y$CUUD|ZIk8{Ct>er73mQyp)KQa?M2r4Zn zncy2fEfeOeU@)91Omqe}`hyWjqMXJAS~gC^eU1!meU=dam+|cZL!F!8_Q` zLm3$ynUS>=Ms(YT5&x|{n9xHR=^vSqjTA<7+nN#gnLilOkd1dkKi+FgaiSw)$%+4xB#i19Ia!HuqN8HT ziT{Wt?CKafsl_$2if^vE;;mx)Zi_jGU~+IMETZR=UStQ8>?mV#?Ad* zYh(RqT47_y$VoZIiI$1yM0_C@CN_l;1n+P=!z15psD_Np>N}b*UNko}#kXl;V8_Tu zCB}!onJM^iU&V!a9U~ujN0?m9%JUdKHQgpVOqz?$9jwp zefLuE;l4o(!#YMj)?$3<8<&C)_w{3#)iLt15#vK|nF>DKcb8#Q$H>QOj1Rq+?fCFt ze1=604^7h4;R{UnZr~eCM_pUtEy}@c(d%7&Yg*e=|EXzM(~t`lJ2zXrV~PVBN8AP} zr?P>sTx&MmN3LN?LoSpoaGM3PIO@}}5JeyhwUFS~wG9vUTwx7TAk#9 z4Qn36N4;T0Q@Fs28uLUpDEENnbJPCrr9)(mj)2Ht7|UzG~8|CcS3T>n458q%D)SP1-SO*QAsW z`~M9lrQ8VLGU*#8{iI0`kY=XzTal3CFGqQ^p3g@5F_Tgr_W@>onF7C0e8wL81%kia z7vSGVQTz_1WRvgQWHW!%q?!B%&QR-5&0|zaPO@_*&E(fb@g$2sj^a&|vNn=urfcQm?`mOi`sO@q~C%xv$&?e<@bD@9|1m7=X3Kw z^EVmcA1nJ@q+f5+eO{CY>E7CmzHNHd8 zG^?aela5XDI_fgZ*%LP1KuXT3dmJVThUK;=ObLG@Qr5#XlN~&c|NJQpVBNfyX=X~l zB7ueYO_F!&8{42BGG!k!Iew1-DSwlcIcFC+zJ~>CQ{N-AQUh=PIc34@%_P;EoIw? zrVPlA>f0_-{<376dcuoUXnziApq!$qU=&#An+|rSs}t#en!zk|G(4Nk-O~ zX6AVF^t$>29w7d*5&pf1vd=LoZ7#RI0PE8kiG__%}^@+oa!QQqEGAzlAiDdnj^aujIp8`TX4vuym#wJ)~ZVk34_MI{Z3H zC>rv~K9f%l7y0Bs+(1h0=^>?ThDbTQ{}L(bK7}+h)r_|Bx_jjKQ!TTc>Y>V~OMzLM zrhxtc1gw|>V1;am7yJtYv*X0G<4oD%OukfK+`1nb{!}}>j6M7w7U%eUEQ|1)qV7|< z*b6Ehxn;^mIkJSAvQecFlX%P(dH$xUT)dct)OcRRiwQGjrS@W5nbxW@Ua|HfO8Lu1 z_(#c7QNjIn&@XKdNqb~{;LK+@mHjfNK4@`r5-UQ%N#z!ObN3N z!c566hm|l>!qjWRObJu3nNqKbSNe@!Ne!pzXL}s~A4UrG5DrI;Asr6cvyO*moN9gv zDXX_0gJzn|&@98*Vms7HlC6k%s`*yFZyN`hQ%SQP3wJ4+NGR?rq@$MvY{N>3%@e~JBa`Fk2($;fO zehg`5j_*Fix3 z=$@&WlnPV!o_MAl5>~|ia4xglx?!fA+cajRBU4l^8a*;gIS{t#Hv`V#`=e=0+YTzG zkIQc?;~M^youbDhXEs$+BEKPGIVD_M%;Dyo*ki-|EpA&g6z-_E2D)4xF+~*)eMY7%OISZ9&bsW~rD`Y&VgTd8V`vvAOm^pgEaH4rNXq zHf4`E3>;so6}h15aCn%q9S#S%w7R3jo?j6{Qfl%oAY(O3^YDGzG&ND}k$~;&BIU5M zT@JTRSx!9LW4o*vs*G(`t8GfemW*1)cGcXQX<#t89SsD3bMzsA_^#O<`3?B$bfvA(O{bJg#^$0)}FtEn&*Z#L>-^@CLV(S(}YeM$5ta zXbLhVd@V7BaE98-@nIi1Zsd*^aD1%ZFeS{Esh0x-GknZURw^$d;V&EEA1fi7Of%EZ z=#v%5@~5UI3s?(Nn$Djf;R3(eAVQVJc_8^yUFW!wF-nwz;Nxwc9>>z&93h+FD8~3{~$|`_wjO|>*gcT zfceWt_(yxnI+$jr^hczT<4^UMcuo^~piI6hSWx4A3uw+`JKh~dRuhv?b(CnGiAk;0V}2jqfFQUD=x+rpQQ;s(qvyrd%Y^&1pN2ZuOkeuVCEMdv*1|=h25>nN7kY;8ciu491^88J* z+gWT!Qa+@h{N5nt-1WVe_pWvy$9q5l@u#$sqG8unZO8GV6RNf%R5YN9kYOoxTTvaW z;=7Y)^mm$p<4+km4hyBnfP#wf^++@Gcn&!&uu$fSu@$?*E0}Uzn9?gSrSdVQOqhOy zN!b$NPa@6C@!8TK{8cMc*2#|1lTrx0mhd+s<*?Y4@mD6l5}#*%peaK&9FtY&ma-9$ z97@_|RhY-?UA>;Lm@b*pVwzbjTdS4W*i#dlgTuZkV!s4+>sB>NETnQMqWs26CFJKd z(;!m>zFjqlm-ns#;!m~1g%<-MMFX|bUo=wXX8c&fE>m)xw?D($@_1=n*gHmp?41oS zz2Q)ijGd%pm9=q>!Q$dxdoY(+%weE;%H#%qE~#}J=MXQp>DK|~;Hf#3YkF#>coz*d zQ&Q3EA#TAzgP=)TR!&gWRA6MS{sv&9X8bS)RKkPlLx6)8j$h8C&ec7|74yK%>nhGDdx&nYdwNb}X*2R>Ht|eN7-?Tkb z+ro4b6gX4L$r@_vG+||UX5Figf#FZ}g~oxGa%3~dd63|``PMo~@XJQ{$5QH=qRQii zIBvIFsmX^?Oo7<6Z{Pz~n#c2Sj(m!Jf5sFuW$)T1%^QUoX#WWQr&^HAk^X@HHxvX8C4HmqD`6M#_FL zWeZF-LuDKUx1*)@D<~zdp?G;FUltZ`G6KdL2+EpPvx2Z43t9xWLnlSNS*_werW;vq^{{%9 zv03bvsbxiCWf!50>QV7bNgZD9$a*P-|`(2o91PoV7tdnVGx)O}B zvZ}v}0?L}5CYQI6QfN$x*AXaI5q|#Ao>5&XE1Oc=DO+keY^>95wI(H!0_0R+YGFMU z)}*d8lA}Dy3dfOCSqW}Z%oh?-*c?Pvn6HRBltX3JY)Z`&wdlj~0>J#K=<^Rjzz$Fs zc?aPJQYr&^BTRWPrPU;!x=2{*_Vk%$zSziCsQlax2*@o5f{al+GxK~eoD14SbQc*) zj*T8V471Z4wev^yV;49}IQ#6}CO)%RJ$!CcJXSqa0EMjzerU?yUW-7%YRIP!0aoLd zglG<^DxR&V7ijqPe>Or9MZq37$xwbJzO}#+;xKZWVM!GqAqtcY=;9ilNwMNo1IU5n z)oK7|-HUO7;ZJ#~eUPwyMRL5Oyp%tLPO1q1SV}1?s$+b&Lbx7QTe*xsDGaI{wVv9~ z*2yGOHMQsX;BT-DR<48*8K=L!*2c2xntVCFd1bYlX=WbZP)!TKl?b|^;1qv@l=%ui zFds;W;nruPM0IT1yl4wBe@Z?ad&);?;;{{Uj5%Bvs77ei*a(ehW~vd%J6W9QG=7u- z!yA^%I}nkv;{#nlS-(GOIKnGdWY8QbRXo)WE+<30 zoTgKCJdgLC`O9f~h^AiZ+VS#AUmO8V>C&9Nf|M)daK@57Dm2CRbEKH+Bvg{)#`gD3 zxl~CqKs>MiA(rPlo#Eq1`BS&lX&EUjPI2reHQTO~ZL^d*!xT}xC}qzX0P$K~+7hL_ zmZiLwX(rbbrM#AAf!FHNeyo(Pk)=wRb3;+enpw)4nPRtIl(J^p30|v9yP}lWvXs{{ zg)~GducdS0wYs#~2&KVNUdt5H5T(4966Ce2RBeaHccK2bxn9j6WgNsV6suqXhwA&- zKpyX~_4}vfoz92F-=>Q3fdXI5tLcDP{Ozh3FF*CgyxJCjhbqQfzI-vSribU%->Hgm z0?rrnYFqqWsu<5I`eI&9bH=N`o5eHTD43OMqvsbtIe+zR>;~%0hFDJXq{?U7 zVNGg&|4&eG7-*na6Dv)Hd_?nDYOi$~g=C37iN-lr^vaPpX`{9hOrTEdS4{oSGVzQ>HBcFRGk+ z=gVp8ZTWvy<h%b(M#m{Q&GUz z8H%4JMSdk7##{uNVa&6tcr6}f(+M)}RL(Gu7ZrxSk|7RNjw$Ze$1DF3(5(D9Ra}a1 zDG<%#59_PrM*;SLrZrLf8I$Y2WeS!`%*l2`U5j@Hg~c2<&J|T$Y+QNs(re9T^PAgv zvE}1H^OueA?_;22@Xa)%=^ANt+lG#5=B`%rg_r8jT>R+8=JSL43$6MCYv&g!CHy8H z0L27fjQFkTr1njEpsHI^GvR$Ihi6?zJ!o+1@XHq@%N?^ zub&sh7c8-|SIL_F8@^EUyDhOYUrA#B5-t#bp(R$d!vUge9?s` zAO7;_iKn8s@CKht`(`{IwY1-dOeRU|UVj7z9<#LHpHAyuQ3Tq@E$t7a(>CpEgh2Zz zE$t7IHmPf?<|R8I{Zp3ohtf&y`*=Y5r!A>+3&~pTOLIW_XDq4m5J^(|W*dp}&XOv>ktDV6hym%Jx1>LeOo{~Ti(EkZ7c8mrHpyD;+g3pO7cHrBJV{df zN)(X(B}@7v=?vKSmVopxTT6GqP{={Fi zls`_&M4!|PEl_~p#9z0hKXC}DJB={$H!SH-rjm-AJrhq@(w|Bt6?b$dzSxrfG)WV4 zOWuB)c(0}XnN(UC5==a4X@54AR<3m?zQof09BC6{;Ez;Kd?_jU1%sa_WzrU{p66Gx zP=w#ams#RpNGJC10|4>gw8X!dPV67q2l4wXG1nt0Z1|_@LHvG8{7dP?{=s(;KV^x3 zIi1))n-1bHx5U4aPV65a2k{3iF;^2QJo_iSLHxHY@vo*6`-iYW{I@OfucZ_F=cGaW z6_)td(~13~%pm?dmYB=u6rTOl#vuN?miRYFoY3UA+IJqmU_$nZzh`NGGo5xQX#c*Y z{jGFb_h2n}_y?Bux6^6e!>^$IhnDtt(rMiTs-XQ!OZ&U&wC*8N(0-Mr{k?Qr_n;?e z|B6LX&r00NKS#6Pu^ mym^+S^rt~5{+XrZ18+%9kS9?l{<)>)X%zK~?)|{o$^Qctd^zNhN@ zR-LMPYddwS>$l&tmNvRW*c9~Fyyq258|_<%_dKO2c3s-re!^4NJ`MlS91hz<=XDp) zTyfzwjol7f8Hz_g^Mb42f5oL&o)kwsd&lz}?688d^DU z#@wDW>vtcRnmK>NxpS{OZ_&WnlBwwpQ-=)fH+K@z?wH zr?Oc4^b?Oc>AX{qo;h*#Q#YAo({U#~<(N(GbJS^%J$=p5Wmz1jvN+Dl;@hCglLamSv#=J;ceYaV;j zX~(TSv3c^pomz~9B4Cn+%=EWMk{h@vhI*C^5ACsX$wB)p#`-n$7a>qV?#I6oiuIt9 zP`obPoM$&}b`7ET)MKBy=JYiuu6gQv`xdV}Xw~rIJr=KAa#+zkRtgW|G2Nj%42Sj` zHebKSAJBfo_UwLZcE2sVpUduNviqs*e!9FbQ_q9e+JoBk(Cyj%*6e;;c0ZTh&t&&g z+5L37Z!62ItGic^>67$J1|$n4gOVZ1u%t)@+10&BPAsvDWLL>-7!Zy2uvp;#zK zcb9vE1O$m19C0LhxXA!W7C|qBWb{yAqC$Oad{FxhscM7*0~+IrOBE;-nAaH3P^xC3 z!1%_D=thN|Dq|?98pe3Rr79Z=DvmK;*r{TNf@)=q8z@!(P*CZNvHYk^dZwn&n^`x# z;ex$8R;*e-JySGKl*$9O;iBV#*sLRI%Q})&?G?BF^8VwneHNGfN6&+dxe?l?S6fVl z8cDh<+mdw)+bG+`Hs5_Ut^HK3ZlQrk4 zjeoH+gn|Msj@0E}(5EEjOC|oia7Xd!%X-cF8QAl4aPN& zn2sp9xf;jw3HPs((6+6{k!r$4ZflJruY_AG32obJ93@1!wUW@bxyDhhggeE!*&2s6 z2p736CAUg`#%P@+9Yh<+ z@j8hoWQ=yAPU3lpC>T*2{rXm{I&0nBrVXoR&O5(o zQWYP>IMEw=HQQsfrh+JL-KVz3eQI6Yr}o5sYC+upXLii(9UGL2vnA(9-Y7X&Qg+7e z9Sp&%J$~d+R*+wt4QkdG48c z?x}h1>3QxgYJau#&2w*_=iWZgy>*^@+dTK&Jon5z_tZT1bj{s$#Il3e&8$1qi?{2D z6?Nxi-MPH(oTxj;>(0@-bFA(hsXGUAXR2;(jk7jPdD7ZDKB&77Nj@yOUUGw^JuBO# zBOj5^jgpT_ZjyXVaymFsmX>45wQ|u_F%=ELT|Sw2mrv&1<&$}L`DET*K9;!4 z#}aq>SQ1_3WZs==GVjhbnRjQJ%)2v9=G~bl^X^QOd3UDCygOI;+}@qb>dwKj_=@4| zNZmPBcaGMb<8|jm-MPH(oUA)n)Sb)f&cV@os%p;W(bz5T!$Lv*D?Xsh{gJDBv`|nl z+u(jF0sksd_^O`^1@*Qa?uQca7mmMo{EJXws@^aZ)bnn1zb65I;P?~ApF94>@pq1Y zbi7ZfIIBK26ttTm;`)vR{I26Yj^B6uk>igYf9m)%$6q=A+VS5Vf9v=M$9oJ_<`%o}ifXF(4H51QyoWd_)KZeT0Q2l?t1W z522vuf&Cgo6Id z!cyW2n~yo6pl7qN&gLUfDCqMnELKz4*=#%r1-+q#bv7TJLP0-iVX?fz=3`YT=s_*4 zv-!vs3i?(HOYKqEd>jh}y{?6IHXqeOL4RyvseuZck8z=(r?#-p<|AGx=))~6wOC>E z@h=qg?iSYA+GrSxyHZdZLa2?6pXnT@HTV9c>+I+=~Iq4;VFNpn`nY`hHxqm+eoG8=tE!SH3zq(e~1Y%C51n=*CgyP14~`x+v{e&xIBErP8ka8$K;lV2y7AD+76l-wi;(os zwM79)Dml?cGFudY#1k?`n=J}J;t6RPX=IB6ka+S;8=vw;0Z1ApBm+fgl#2qLn&?O2_}lW1)iZH(4Q z`cp{8xX>7{llRJxXd^jMC-EetjkJyBb&}x$(uT~&WSwMGBHBov2q?KIbL#tr263ZXysfr$S2S4dJrq&hsAI{d3IOJ zg_uW~=1^87S~XT0Y<1-CbR$OZai*nJTDW8)V%E*}VIfFBIG#_q zzZd4+GaRWVT;!_md6oQl4&k0`;i~Q#4t>H!uIirQ@H<5K4;C(U&&7#y+VY3_%O+JT z=o5-xF`m`n zZ05GmrMu(xuRE{IJL`?7cxFj-bw8EePpA9#s{GoYTZHgD$@3*IkThn>7x_l}3+3@5 z$%`c~k#vaMkczj_{!)3pO!9KcDySWHRBMoJvXa5p?IZy+n?zaNCY5{0llD8*Gk~4#qqg|V{j)}Rqdb-x`*}ju@Q*fX5TyQ^q zz3VjErc>wAXy2$}xLoo!$rX~esfxQ&?yDr5BvX>K=oT97GjeX0Y>~`Ls%Ca=Ovr;? z*Qym+C`gaJOuSP!D$TM0LqRf)dPk(n;tU0;H)?yN%EAo=nKEiyq{^ZW1^KhLn(|p* z@Sz~vMwQPhDN4hr@>wNig~Vjdo>iGB6w?Z1D?2bBkOqN4;s-4Wm61Y0soD%L##Nd! z6qLC!mVnCOp}-=>SgPs1)+%cK71+xddrqykcZ{#vK6mbeo+&n)MCjMm+;rohXuo00^;S$Ooc0@Lv-{22{eS+h7qiM4WzJ=l$|0*%4q2sg$SRdX zR;e7aO68DMDu=96Ib@Z}A*)mlS*3EwDwShJ?vPa~MpmgDvP$KURVs(9QaNOm$|0*% z4p}8Ru*y+hI9R2+Pg^vw)_=!dp`|0&t8LyO`H193$wwtONj@gIS#pcyR>{XDw@E%B zxn1%}$)_ZrmfRuvjO0$qXCwej)j#A(X*~BJk#`d?q<$1XO&-MB>i$iNu}h6Nx+1 zClYt2PbBV4pGe%9K9RU{#6OX^bFA(hb!U9(0r#b4A^`tnM6~sHdv#9IHD=>(24IbE58CUUyE`oh$0j zWp(G^@_MT3&at|4wC)^tXIw04uA|Vi*+3}h+HCb>pEje)xq-ehC2H?Tl`{o>=t({do9#%kt$~& z`mB?vT_aV_MM6POZJ~CFR5>#V1s%9iiz8LeQ$j(%Zq%Ykm9rLo%1PA1NNKdRf>r=V z0Sh%8sd7dW3I+$Gh9XtYZ}bHxQG<~xXFK|Qlc)ueD(60-V1%&<2O?F@ghIi|tVi&QyF(kGil^+u|kGwFLxqI#gJ8I-=&B&ItuX+EXzG>PeoOqyNkBTZsD zBa`M@`a+YKj>uG7M;R+E-R+S{8`$)1CNYi3R2!}sS1n9iWUA#sMq6Ww$W+UNjKRi) z$W+UNHZrq3c)wI)t2#qB`YRZvi>B_XR+T6QZ=Fv)v6N3q{FDHRV9kKh*4FmN)%HRqpDVw zC}u83Rjn#fOlXX%T2-Q$=NMJBszfpUF{)}+iDFh{RMn~y#bn8-s#PV5Ih0XVt4b78 zE2FAbl_+LhMpdmUQB1^)DqGc2R3~{dJu{|kRf%EJW=z?t62nx^n6gzRh6$cAWvfaI z(>`O$R+ShggT|DtDltqEjVW7IVwgA@Q?{zaFr74}Y*mS2l4*>!s(Fjy_^~*>v`v@n zTjgpBNq#I&N3OmY&hrU3V&Uo=m`OF^B3CVj6DN5k+?a){7Q^8vA;LwjS`3GyTnRUB z;nHGwXoJHVgo|ADtr89!5^g`j<=-kHF+BkznSHB-q{a~PAr>;*zzj)EBV;7AZ zUMFc zJPG+w3prXRX+V%Gj2y3%v=O3>neIa(+2gpARS*GW8y_6Uo1qE6C) zARlGq@;XTyA=*ez)=4}GdANmKQ7365kcSz$tWMGlh&Gag%WEZsCuEFvq)y@q8M880 zC-H=gCL67jc=Cz$(L(K+NMvzep&|3oL}(Yq^;hK0fY z30gB+y{(+7XcfC0dOxWgdLyYEdIzZ-dh4hhde5jFdb6k;dY4EJ-X78?4OaT9`_0+? z_UwLZcE2sVPagy0-iRum^uZ7R^odXZ*hl`VZ<^ZSRd*_nIjdaePUSOqDyO+qdCi^5 zZRPA#e$$7)LZ23AYp%^T*Y=ugYt6N-=K9;dHTQTKY?yXC_joB}9hw z;f43e>-&-)NPZ~!k>tmcpGbZx`I+SBl3z%EDfyM;*OK2z{$280$?qgZD*xeye~|ND z$sZ+ulKfe6pX4u+ze?_xgoc7h+9VB0yQD+XDe01QOBR+Z=BKHz=GA2l5Zv_udmP&Q zp9);lSIphz`=Z?C|5V^E|EGfJDp$5=_jlkx8SPRs<8XOJvd*rv<^p zERIYX@w6V8m_?CE#0#X5HEdtM$M{nti*D$i`bO2`17ktUc7#2&z@VM4FAe zj>yJh?b}YISy_9i^N@5imLRip*Adw`9SZs%BhAvl>HoSdBB=*EcTF;TmVwuWwwUBR0WnCtknwrhekFN3I!vVu~sj- zW4$QDGNu`8HADZQQ47mxXROtY`J2ob2aUDbF@KX8Bc!obKjv>TW9&56YRLReW;Uum zTit-wk=iD+-z(t4XF3HE0mx%O5(AQCkc@$3AEb~#iV~#2LCgYTJrE;<)CG_#15&d< zDj;a}l-Gn))ScI=RakA57BhQLl1|@c}5!F+;&@#$vNdt9?Rg zDjEtVJ4RV;*B8W!VlHHqkB{ZlHWW;ijPg;noC1e}nUqmJ7MD}yP%zOl%17{WN*xO3 zVMh75uQ#?$W~ERtO*6`Rl5C0|3TAOenQc{ts`ul86(g&rrq4O&%vBrCT)(y0>p|=y zHfbBUNW{OlB&GxC?z?n&y(Dz50c!cPmtWFkB;1@&y3us4~yKVPl?>8 zkB8i+&xPEl4}>H_+wM}Gux)phu{^^`H?$c>*+@~|&xKCSKx&IG$Jm)=S zqwG+Zjyz9;)$=7Uki1axBFT#-FOj@d@-oTGC9jaYQt~Rvt0k|IyjHSK@;b>Gk~1ai zC9jvfL2{O4gXC<ByVpyijtH#(_eX_!X+CQ{i3G1{w9zm8P4L5yZ>)UP6yZ4je%8}-XbWgEn3 z^hW(6QrQME`U0bV9;s}D7+r)>KZ{hhL5!ZmsGmkE+aN}VV$@F}m2D8CpE2skk;*oR z(ft_pqex{N#ORfb`eCH94PtawM*SdC*#f4dh$dM>|Q=`5WsdCO03OZS%z6n*$ zz(PUSYs@zyljdWgphGt1>yb&bGo7K8n6E`9&DBCd=WSuW8ksb6(-~Tc`ATHcJWgk5 zCFaYKNwYegp_P~~MJCPh)cGdn?#QGWpU%)q%oih*=6|8E0feS=7fd!A3+s#PV5Va=$jRV9ki&Zw$Y zC5l1NsH#;Zim}nCs#PV5A=9X;RV9j%)TpXeC5i#osH#;ZigDMds#PV5;n=9ERV9j1 z+Ni2kC5pk@sH#;ZiZR`&s#PUwI#E@tO4LlEs#cY#&55d7Rid^es%%w9sb=Y~m`zOC zsuJ_|#FVWnF>{G2TUBDVCZ=pviP@HzvQ;H!dt%B~m6&%VrfgM-d1qqER+X4{C8lgu ziFtQotW}jS!TJYKh|_d2f)}y1RyJDKhIv+EvVCLEc0WS-gVI0bX`MWUGA29rl2G^& z$`hU@xLS8lp^V86y(AQVgz|z&Q)I2-+S}{D1|esk!=qn z9G-;p7FoVL>6=mtXHx`{oHz3F4NRY@QaGdXV=M@VC*i!`C|~3B|qZ-+!Fv8(UIPWmaw^IEZKniD+ z{*$EKAVWAj3Fm!q`D&~GEJ)#uYGfP22!|)(yjw5dkM++ADV$M_Y&#g?@Fbj%6y-~H zD6UW8jA~??!3c*Z;e7BZ-_&&wSPExUBijl_I6MjWV+jL)dc)TtWAd@C$f!oP5sYwn z63&Ona?L;om!)tLYGj+g2!|)(d{R`d=!D{$6watdw)Kl}coNR%S>>`&DBhdG8P&)(ei05&!uixq z|4ftmm-nP_Mm4f+UxdSxa6TKZTMlaT!CXs({-w)NFa{ExB$HTtLfv@TH{u3oggq+QE1l5+W^XnUEQFPBvRhaUe0 zEp3Hxm#-c8!7sjc5dV9rJ4;$9c!d35#%pvVrkZx!gZaOVS4So#TL&c&rYWWj6EmSh z5)xx!=IuJikBxw@CBAI-u-ao{!(Qa7UFU2i#gF}Z>nvQ_bzZzePTQ_${)(~s9MLtl z%8qb;(7W|GzIoDKud3Z{X|DlhO1lfVPx}eDPdf;>PkRQqPrC%TPx}J6Pdfp)Pv8F8 zyY_$2PPY0N*g~ho{a?I;jQ8PFR5N6J6=?c4$9?*O$9?+F$9?)5$bI@|$bI^<$bI_$ z$o+q?v#Y1~9M!@%O3sy>Cplkof#gk+3ndpx-Ym&>ZuRtDERRbhZ;`xJa;fAp$wtZL zlDA2&kaTFbqkkzcLQn6N^14d0Nirpwmdr>tOSVX6C2yA$o4ibVdbi5CO|o6`4#_(u z?~=S*a<$|=lJ`ogqrc-8qtu%V(vRo)i01fc}lUZjueMn*|3Q%ewuxiK=SooWdp zF&~LcYPDK|NX!k9No`n55Q(`yGO0yt2_i8cj!bIbT7gK+ha!_&yA~i4^TEiZwjT=W zgyrYD$fTYi6x0-BJ^+*V3!$LW*bWgZh5GIoDwAp*S=4vO zP$`YG(x~r_p|Toh!F~BGscWk_5Put|1-vnS&b2)pm#LJDnT`pgo3`)7^^(hcoPbGR%5ITRIb(Z zV__A|5uAQZP{5!McYr6 z_Mb_rGmwh5pUeFV@{~Sd7Hz+h^Vg_JF>bVNb>>km$)O-_JBH}@x>0V*3}`o3qJ9^t z^2jG`<$~fsp)%CSnU)Fd<4Tl;s)srUc&Mj14C`v}+EL^>aw1*4Uw!jfu>ufnEKF4b^=aQ;>XBz)s z_zKX~y+qYxcgY@-Jtccd_Ll4;St`k<{$1T8@)(tjNya71B>PJClRQLHYo=Y@6Y`pr zESId1JXG>9$^MduOUmZk)qS9xD9w9kc@<_>}B!@_$d-bDgLNRK2`Bh zP)yb#9IYF(NqpDV&m<=1sK})1ub);-%wr;x8bc^3Xp3!iWKz=z1;uYnGcu{6gaV@& zb7W*vvk3*JGUm~dsk$)?Xv`{@`pg}=q$hvh>GjnWveaB0mU6f{|5EOYs6 zmDCjDTG2>O;Whm%KjIsQvOsc6-|7>lzJ3&q2 zLF~63(vRN$|MS-`pP`~URdSl-bjdR%&yqY_@*GLIaNE`WTsfa7dA{TYk{3!|Bzdvq zC6b|XlKnsny3YB6uDg6Qn7e#4n7cNwvFVMwW^1l3(dCDS{dfKP<%s(JYD)?wXzS0f z(~X#FOA6&`%(}=_TT&=(V_qAXYD)?>h^yI=skWqG8Dm}@nQBW4_A=&Gkx9$;>O>Or z%E(k}<7Qjd#$OScbe5vNo)e}irp!-T8^`#@l=(>vRl=AuKZ&8D81ow4sC25M)2Tkj zl=(?vs8q(3`AG~_&6qMjiJ<}-WBHl4ZjT@9_Gbv^uiFz7>-LeW*6mlzkLMHaObeIR z?L!+JsU}?H>Ziq!SHivC!qrcUp@aw*x%z1_lq=!hVBzYg#b6D>MXow6hVKicop9?3 zmw)|-B!L8sWOiB%B%XxaU?H;&0FWdR@+>2>(_$b=2hm0{+XDcJCuEE^J1qthPrinn zZPAve#S|}<+dhXFQR}t4{1+`B^a;;=4q2*q_htD?#ERT8nLC!}j)~kco;&`Q^P-nk ziyR&LK{j6$U@c@acP!5x6S-qNca%pW6Tw){luHpXJ6&1X;>!oTQlu>w+^1a{@l|83 ztfPh^|Fly%~%LgrYZLe{gYp&UvYfJ7bcQD6jYIRo2dL7CXKVx#Y zZe-W825T)aQD2NyS)a9ln5erVRn~5;IwtB1kt*xDmMRnV`AC&DU+bHR`dp;ScA!Pk zM13|=*&*Oq(-MDYq{>x6eRNOMXCjp?v0-})bw{Mi_9I^?me|VDD3{o%9Y&RlVvN7 zeChB;1?pwV5C$YLNcGNPm|Cq>LiJbBq%8$zxvKxtzNo~Q zxvO3-6m$m`#_9+w;cG22?QKeol|gMKymNX=zs_@F(e)tS5{Ru4+NPNv=uzsz(p}jx z856Sq{p(w_&rtf2k)n8z4|%}fa9w%m(lWxf|BMJ1?X!D|P#+D3_dMnPCP$Wr=Ih$H z+6=6H=90I(_pkTge}8v>cXva1=hxTHUq7@LehU_kk4L{1=2vuD@#u(vx^sEmIZ=0x z*PWwv=UCl2Qg;s4og)Vyy7$WAn6$C6n6yFj>yJremE`0#8%<+)k3G;}aYSB&NnvZv zwXNowtGQ-suBn=9I&)DTGjr!H;;Dm+_S{odNyWI_{Fd-ooD+5Dc-=W#caGJaI>kWI zmr)Jo&W;0zhKJAR(cJ?>Lu6P!E2Gcg;^@uG+vW2{Zf#IDGV)q#3Nh*uZKnjW^6+%OUH`bF_}A-=Z=ZoF`hd{ zbH`Zj7|9)jxnuI+MJvg|f}tahXsFnA;+1?3C4o35a>sb?7|k7Hxnm@E3|5Zz>n^>< zN_1d&|NSYA_P1SmsTEIm|Kub^+ha5sQ9lIr;o(0jT^SVo~xcq}LHH(fs(rlyD$dAGpSk!DP`*tzv zl8x6@6#ZP2i&0mXRQH1BW1zSwH(l)~uetCFZ~i^KK}FgoX-L{79g8&l2i-c3HcOZJfL zDcMW1w`3p5Qb}WI;YzQuMcaftmP^{oO4`@ILhgr2_Ln?da)9JO$x6vVl1E4mmON7O zC`sGKYrOt+bsr-4VUoioM@Uvl9xXXi(nJ>1xu)-x_i1R7Yi11){$#G6!BvY_m92Al zw}F91c$D!2Fw0i$Q?Wy1u)nu(U9jF{2hBf*S}@(bqNadlobC3_i*GXx0~dqu4wPEiipuou1cNgqH(yG_rcK#Pvm5oiO~b?!?s--;ptws%aqfV zhb|hjE}*6nW9nJ9BG))HkHeoe(ehdRpk|Xxde*{iTemgI%+&Uo=2gvWuHAT{KQvSI zhmOQ#jl@ywP>aVSiyA5OuRnj?LSh+Q+I&0@@{m7$)X!J1lpT<^>l zC0t3q;!=CsVR=lhGQD-KIrG#sGwA7P{xb>sUzVWw1*dmPDs!fCo~phdgVjAF-=|)8 z;We3G&*;SHs@6vo4PvMeUNc#yVuYU7eD7M|K>e6dr3#IxrbYEt=f7SPGo$YHaZDduN_w;u* zpN-CoP3I@3GdNOj$1_A7n|S+$>KLYQpKYq$Guve$wL$ZXw(J^RKDuhJ8>tNO!6Vuwgb+&0oRienVk2eQx__S)E$D7ujly-7qnMf=m6gUvp8`o^uF~-0Bu5itBN`}GVLwB0Mk028 zTc?y0(wH%Q%PFRPmQYZccmM2`IqSkxg&N+a`5_+1r4c^NP#*d>@2GS$N?ekXHFuhn z)x8DHTTtMVIu#q+M@E(A=_QBmVOqQ7TCt3WD4oqS zpB6Ewr>;!eQ`7KDI$qP*H;=$&L!&)RU{S?3LJJuklKb}!C#V}l=<@SLq0U3H)htvw5xKOsggDaL`x z`C1EiYyKK7E~VCrG^I~*N$Xy;xFoHfW#eAs=*ZN?+>b`54PHJrt(d1_aYT(+MQjL~ z(ey$?kQ&yq%pfXHH;6}X$;<=yOs41`QibbTo~)C3c8%!3GyP8(G4%~LyOc(|q$y0# zGU`(rL{-DP(HOL>cwN>U$CLB0{9!2tx#vVmLFU;tsTAnvEY0gOMXa}Wn`yML?k>$C z9?vC>P1YZkmR+(>t`Udb-Z@@T{_VKc$Ho|Q`epm^HfSR&+Zv@tTc?=Z%%%sF!Sr(CcoJ8WWEcw z%D3w1Gp6mjOU!kuEUT5LNj=)~m#GY_kmrW8&RBQ;k~7bZQ<;wD2-V?es}9+OJH7Mv z?G_c#O0%K(a=1CY94^?qIYt~@(u;w0gV$U;Wv|vPwb!bC#J*??b+NPB`#?m zGd$TUy8g-LN;J8oiO7QKS*8Bila5+_@@2=JchamSv1`kE7uI_>vF$_V5_&=%JSX2HXn%^mqwt* z>UDNzhYB*hw0Q_>T#{O>vD2x>F507c7%E&+rCzXohX*RABT(a#VzRF3s>?3E?26fw zWl#*Dp~ci5V`{PD4sG_>Y)M**ud2$%x}IHj8{TV=L(~fA6N?uexC3FYM5Q(Bu?>#1 znCHtm_4tOzph^SP$${m|MIN|gDi&yM;*w@uH?Kcx!7NN%Hm@_bIKzU{%DoTwA~74} zMKU;APv}`yi42d-PiRICOymj8hy_|@$r73~T0hAWnlXcuBe5gM65HsE)$gdxeha64 zVY4#z`Bi!sZ}D1}+a%+Mw#~DC#to17$XM2ZoE&qqtOXf4xJn;asjg@K1IzXFGN(B) zDWc3}M)c06nCwH2)=wTs8$8#H6LY&@&gbRT8rClRHjhD#OBxk+opCkw!B@pu)ah$Z zzJ&VORa1{+#DeJ^V%=x|<_W~grHplpuZ-;&>rhSIW1Zb$Ax*J9o>;k*v2K2#STpq) zYn(bRTXmqVqO|ul|BeV>WD#Ct#$2$y)pK8r8kh9k-YMQ>uDWdFWmi%z%0Z?QpEWS( zUAaD<#OJ9aegzS6X@s-vc`-%fuCd3&jvD>by!v^~;$hu2rT8%GsH?AU2Op8g6JJ0E zm-!SdTf%N%mFvYWcwo6V^*r6LB;8z6x_h-dA}au;DO1S`d!Hr#*{S{TTq8|WT2-A_ z@hmQl@JK78g*Fe}p)#t_Gu5mds_MNmeuT`_VvPM;V(M);G6U|Q7~>mW;e}C7c};td z<~kC|C5?k-f$E#b&~DB3sBlRt{j>9*I+82JC-&#f0(^+wZ+Ry1JO;k_Hy!*AxTNZKV-#50yldR^{ zp0o^|n5XY%Pa3bDlzI1W%bt|^4~+Txzcr7H=o{G~3D&XHlCYvm!jG~fj8;j=y!-V! zSY|f!@8PqeJwEg78{FaX)`!*}KUqEg7un;-s>f&E{aTPNAD{X6#7T`hhs<-qTt5EW zI3{b`P?D!$!K|yr>iOF$5ev3B6RT*(435+rLq-jZ{k5pIj{QpdMCzQwU!dYi;I9NQcVNB+NhTKvx(*~z+D?sq!A%kgSQ zwwS`RpA=;CD0r>oCH`EFmmpmak^h`cYK@U6^>UrUgfySamsPpamI18;}*wR$G1Dq zIc{~_=D6MQ9ggpGe3v691H4!E<`@8wpF5(S@S8{=_2KH|o90I3fk^#PL6_5iU5h&@2;0b&mjdw|#j#2z5_0I>&% zJwWUMVh<2|fY<}X9w7Dru?L7fK;clgFHuj<1pM*B zmpi`9@uiM0ab)O4j~4`x@FzQ-xJ|$3Gv5`-uuF6#Lggg2C*}Uok8pjVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^3v*%3Q`Q*P`GVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^ zGl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1- zXAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}U zok8pjVrLLLgV-6w&LDOMu``IBLF^3DZ-caJ5PR<_#NHtG2C+AYy+P~^Vs8+8gV-Cy z-XQh{u{VglLF^4;ZxDNf*c-&&Aod2aH;BDK>=u`7sOLF@`*R}i~`*cHUCAa(_@D~Me|>+bd7lP(p9XoK26>+bd7lP(p9XoK26>+bd7lPZUjbQP0a;%GSziHJUjbQP z0a;%GSziHJUjbQP0a;%GSziHJUjbQP0eOE1d4C6ae+PMg2YG)7d4C6ae+PMg2YG)7 zd4C6ae+PMg2YG)7d4C6ae+PMg2YG)7d4C6ae+PMg2YG)7d4C6ae+PMg2YG)7d4C6a ze+PMg2YG)7d4C6ae+Ox|j}X#sLE0@yy9H^tAng{U-Ga1Rkai2wZb8~DNV^4Tw;=5n zq}_tFTab1O(r!W8El9fsX}2Kl7Np&Rv|EsN3({^u+AT=C1!=b+?G~ing0x$Zb_>#O zLE0@yy9H^tAng{U-Ga1Rkai2wZb8~DNV^4Tw;=5nq}_tFTab1O(r!W8El9fsX}2Kl z7Np&Rv|Et%%hh#vR^ z;Y~v7^;aCf>iBiXZ#jO)@gBz?I{w`8myW-3{GH?Ph1AzSI{wM=&yM#w{#8i(O~`jD z+Gn4?8tX2zT@zHitjog-+4g3`+$500{Jck@|_5raGZ2p z?zqD7p^gu8+~4uxjvM{E6Gwyd{fT41L5}?!H{=o5vjz4nzvExr1f9m)%$Dcd?!jT`mzgFqzr|m(0#2)15>Op>(9^@zJ zL4Ir=q@K`!g7lvt{U=EO3103<|B0La6Qmx4)MJo(3{sCnV|puXj6eIAy+5mfPtgMz z;GX07JRuGKWkMP}NP`Dy@E{Eyq``x9q#zw5Nch(X2@et;Bs@rXknkYkLBg#U5)LFB zNH~yiAo?KB0}00mWWs@j0|^Hb4&-?t;XqC++AMsxXOQs!0eW-3_9@&hZQ(@uUd`6NOKTXCS@X@k@@>2L?>^ z)4+L++zgx`jTK}-1Q|FJGC&?DHv{DHjvNk(`$Wf+98Y$9yd&Xm^>85JK*E890|^Hb z4rD+E$?umP|6LgDG@bjE3vC+stDyavDk+Az1LR=HIz-Hqq!4IEkAnOX#rE2}tUqB{A+cbZ-?$I!uS8+E)0^ z-d%Pn%ZHf`(J<4ANwnSm{lihG{SS)fyW&XdZ#+7533IW9DgP&T(UKU(iuBta=4T$p zblcNE9(VTcx+_U&C+Bxdh17oC@kbtHRu~%o@4B5myX{tnxJL{L`i0|fJp|ilbUKh7 zsx-)bC>991mMC_nB+n4ivw-v%Ym|u>I?_Ynre{E(`meaE)0jdrB(H7?|b*57&h80EE9v;_e9v4VlkMp=d;sS{aeUGa;5h@f5iEB@f z>vWF`B(7sTE|9oD;zHl!s?L)N#UjPkzL&@KOpgmBF8n=Ab?Q_o7As80-X7*z9tI=~ z{lTk+@E*20qbd};5OyCA`)m&jrm(M3Sa=UxooE$`UCH-S5BnSs3lf$Z_ELZTYaQPp zMBm~{=V2{TEb25kwC4~>u}H4JNHNq7#|mw`i{xOPVsWLA9hVi__7KUbJH;-QLUw>w zXyYs(j^rtJtrW5&wL;roB3GfXTcwa4vK89)7WrrtmQ)Jav0I^SACX6*uzRJD9mEyd zmWphmut%jZdGPD~RG0ptrAw`gprtTZJFqIP<)*cNx5BBosP-tVtQ00{3e;s34yqK! zYYJ3Q6dqA2jMfyWbtoKMDU8(=sA4EQvQikSDNv74cvPh@SW}>~pm0c~5D#zbm!}Ge z!=UV^SJ+Uf3Xz@S7K#B#CYesg6X}W=G6>q4v7W9Q>f*D6w1wvh-zWdgLdBRJS;sGo zsAS*af!<#!We3@Xq9LV@ce)aGdYL@H*Elj){y-HtJN8aL07Bq*d0=cvV35Edfv*#K z^0Gtnw84C~+2MYn;E=G6YeW*5 z`b1!mz#xG+VbcR=M*)VSS6s)nA_+`gBQQu{kieY5X@TwhyM5)OYPC#Y)1MdN?#edT zcMj~kFB7m{D%D%XQmJ04{)!3M2OYm4#Q$rK-*)_|yWsJTCpezy$oeik>$|TMvc8M^3657d{?U>5aCpiC zywA}l!JXBKC!PHdeJK58<6b59CMAYqxnD?O^3Y%h>pfOJ;ecX|pbc|<$~DMFu*3Nt z!`*?!YBY%BUe_RlOo;U_%g05PW0MN6kUWOGqoRLcJ|U_cpH%+rDin)SqJL*TCTct` zY24=;6qsf^cCO+iI-!^l6rIY~CfxiOMmk_I6wBed64zV(tFz6&hYmP^Lg^r@r%ICy z{Z&Y6u>jNA=g1Ax4Tkn~{#0l0fd?vR!NGU-%L=@Wgm(Vv+G6fqxf1$e^0i|6i*AS& zJF64bp^iGK0nPrQgAO7MZFI4;I(~(03z5;)qV+Yf=+Fcf zqRR6#LvaAq$118kO;f*}0d;dlm1k?}2REQ@si^X#%}^W!b!$bH=Wd4L5l|nmsPYuf zP#g?(TSb*;a_UD!pgvJir1>aZkTXUX?6nuZhZ_9b+QSbv5 zKbP}Nqu>`*{7lX>i-I4l_^F&{5(Ph0@zXia914E8D=u)^vj_${vXVfqt4$aoiDi#eRk-K|CacV=!D`Q1U7np0Io0l zw_NK@hMQ~TZ5PJ42K^BWNc30F@K`Udhm7Ynb`CcmOGqwdNS3My$u~RlbqOJRYG)aA z4mKZ0I4*?iKtF{`Pv%=7!^!i7#KSkn(4YTHuO)tA^BAJyQbx5-stosM3yJzoLZZ4; zNK}^zLvQte!xqs{lZ7R_lo2tHAfgRIBD%oc%qNJ5_3hA8{>!k%GuUK7%Pz#zNjP5G zh-bay8-@5^sx7r57Z_;WqJH@iF4g|`u3cm6+%oeP?* zli8(=?$sXbOviJCB=15YgV*PTWOt)5w5NkJyZZ98IF-6TsEGenDlADnMfgX#Uqua6 z5IKpOe%7VJP(7&}JdVo2W6mK=&*uDKKUK27lc!zC zX)ihYkn{+Dn8JZaIIePhv~XHEJ<{DxA>ke;q$F+^QW8wSLWe!ijMUeBl059fvj&O# z3hD5RlhZJ#6K9zXI{rcAeu@3XGeTXpog? z@SVcPC?G4vAS=3m@ToEDR6OLenAje+1`#hWa>NS~FG#!~@q)yAl*bDa@4Z#LTl09= zdAz*i5idx*An}643li_q9xq6|f2`u&mdDH1CDP05AMt|33lc9#ydd$e@pwVv{Zke1 zTpll5p@^3^L*fOA7bISgctPTQtj7xy@1Lu9XYzR2T1C9PG!idJydd#{#0wJd<2+uF zc<-y?)j{Qz-Po!{yu42mFG#!~@q)w)5-*)U^#LT_zf|!~=kc=ji+Fj}BwmnsLE;68 z7bM}(GP z5=?EwKB(GUvU(c()Cl%r4|a|R0|{1C!Io!FV_O@+uJ>SX^k5*t+Nxj^Sul3N5$pyJ zcCH5l3D&5BjaR`s*)&HmisBlnG5CGc@q3Ox7PeQxN2}nCb3HgkLGX@BZ7fscUmbZ0 zSx2q2QX9$C=tmpc&y16~23-0Z> zR7eK+cDPag2f9Ds0&yQHyi!R2{VE|Z;j*zZw)h?0x>bkx6?qdaNVFi)l3}8~TyCPp zpJ;It?NvgeeYMb|RY8=|(&ZB^NVFi)Qb0ueHo1uwf1<@rw3~!P`x>D~tAZ<|rLQMi zkZ3`or9g@H3b~0Ef1<@rv{OQ&eXY=gev4sAjH!c|UZ8ts z7cUX=f#)JezN_#}kZFDB-fCwCUVgHN>?Gui#4yuLA!psq`~-jIHlR(5`YeU<0CkVV za!lZbitH~co6tM6J)Ks5F116MeW@aNnMd>rVd$OSL5GrqBOCUx-fgZkb?Nz8JgmHt zpmi3{+zvX#14leIG3%`kEBExYlb-div%xWivGnyV*Kxi&{FgJd-jqF@1! zJ(#_P-YuKw$HVI`9QDFhWpt*4{{TQ-FbZ8H=P6Q|(_D!q1Xj+d2q0C6vZPkCvd5wl zQL!Qz2J}$vcvsWz?Kjw<(R*L!&tQLwabP(ftm7;zUtA!%V9w?q) zDe4EZS}3vzg5m|0qJA!`g(6ELD88vu)Q@MiP`u3*FRT>xQ(7$)KjDfORf_sytrm*6 zyW*RZV*AXtDRw9D*Y*neGB4*<;c8)M-@3VCKchaB**d2TK#PXJkH@f0YmEuH8#gDq;$126~mWnsI z;?0%fXiLS9x#BIA;#fookw5>B>VrOS=FXVl=+iUy^b`8o`4a4e(TW;)f+ z19&gc&ZxxZ8sfQCNPj~cWzbw{GmWVoo=v>yvb+%Eo!#Ntqz{ff+#n2tIz2w0qdhSe zO3!^#U`c>N8FUJfVLj4%Sjz!U+TA_W26uv5?;3%5V zKWo;C1((}4X_0KSNp8>&TwvRJF%$RKJx)uselMw2F1f= zTChCy_og-7LW?KD@8A`N0UVB=0Iut2N zR?~Yox9oH%espNGGV2z~fN#W4&bl0`E;=;mFlet>)mi@Dj4kODB#N~7ptBlxEYRyj zka@JMY4vWg5hLqdEhaLL4xN|s*SZkMJWBLzL1!7ZECe!-65U?t)y!8JoZs`3d35L! zH8iv=1Tv2f-KEB-*0qZ4k$Dv9Pt_akq!7qFTCvH^wk!lPj}9Y@^4Gc$$UI7M_!(_o z2xK0mIQ;14phY2&d30>}(P4S5Y66)@hp|u%$*6+PFN97;Bjzj&CJ%Mo-|^v&2MGC|bD%KvZk-=T z2lD}z*N9_3#|g(tAR zO}OBQC&fqnYJu~0txteMmxOB$)zUU89x|n$P}?Ch77>Xi$CRRa;g%2MA?Q%Tp?7o3 zhozFE^h3LThOT@e=jDQBOV()3Chc1?_6}!RYK`pl_9`qd5`<;NG_q3}d#AH3d`4?; zn{RE)Bi1DSe5DM1%e>j7jZHp^Pyi_L2~*SD?X9{63ILr|rn9|eK~Mnb@aa>EQS6NeLW)zhMcT^%KNE!iOUsa|y5Y`??yN9GKnke;VKkA`TPk#+`FbXx9 zJ`IfB){53f!Z;|!ZfmxskuVNAvD@0((nuHw9qYCRju<^yhyQ9VgM%;6cruDuQ9l*b!ip5+bERhAM6lwHYFH7q=T&N2I^H2Rtccq4 zD>W@0@1TYiQF}pB>zmxsicF`m9vOIoP@}Mw2S2{@*w|!ynI=C;94p-yJ6_`W7RO5+ z*@S~0n@YgT9oe&j`-#FZJZ6J$wqxm4-aEWhZTiVlq2d3dsgCSKwVmdV3eEr7j?Z;` z9zh33Y&KNxQCgSHpZ`e;bO{6u!*MRKljQu1R7lRhn(8vE2s^4;a!?^Tr#U{;@mU1b z4**k#S|(?$6i5yM!|;loJp*Gs#p8Us<1-vjCFtNXE3KB#c$yUGYzP?iV+}h^3<@a5 z#7yoaF*c;}a8xaW!xME=EgE`FR^p@&Rmvpjmx^}!cpi&lG|l(}#YtSKnz@IUKTt|Z zA*$36y)f@VHg-`72Sz?+6!In4UQWe&vrb=@r{n>3d4de(|npt+sn?0HtZz6 z7rWl;9M5n(({a7y>xH3zYAf+Hr}@d7^ZH?adWFDT6N|L=#d}2P}&=1xef3QS!E^(D3W0{I#Vq7 z_ie}&Nh69@A`7=#8uQJLXJoqM16@ir49z}3e#i%!*fT8L_JFYx8@kv$=qEW^*w*q+ zp3$RD=sj}hc_+^(QfbtW>^$$}8AYpA1A{FpsXds=Np+ykhoQ|6kZrPyCaoeYoO{4* zlU;OaIYB=qyt8bRUG!*KdMDg@w#hDvG(GiSJI^-RMKQL`W%lW?-qbDIWS1U+0W=J4 ze}HV0T{IbD!@`*d%r@CYmti<8*tXMblU?)}rZu_QdA7+eij3pxH+PJN`zf_xJg6*mK@4j^}JOoo(}V>^X2e=ZB6zcKnIsPaS_I z4E@_?sHoXiaJ>S2Q^a<$R4PL06=wdEEH&>GM{3?}IH>R??X+?nDZXhK<659BnKVv26P7|TSdvxhZ-sSAZmU3 z4Um0S?QOq9<`u}+CO#mNVn7MJ5#@p9t>UELPio|fg~oZwY$KT;7DrYrdA(bA&>?Mb zq|F}JJF|lh&w?XKvE;^ECFzNxZ;~3NakEhSURtT~L}*fVo2gB-QX{roJ+`Pe)fJATFStBzk2lG>DNY8h_oK1f>wt=;!e zP4mJvV}})_O2xe3Q?7M~P)pTp8uQ^iX^|IL;=0qcW_Q%G9K(|1FF1bD@ovX23Hc%V zd{}s5Tn@0WJf9PfH3`R0kMEckY)Hw{o8huF4BV*y+4bqR=>1GGL4qlnw|umI5KSBN!m#> zT8v||;!e^|n$h~DX>BpB`Y6K_Ni$lEfhvccq@6UQ#R$n-ZL74CW^`=yRIO=U?xYzl z#(K4%our*KqxCz}n%zm-Ni$l^6I2d6Njqsqi&+HAtF6*bn$fWthH6&pw3BA$J68}> z|MX7MPMXpBqiJp4N!m#>T7NRFshy;qG^6!rw0dV-rkymSbD!`bjq}$p8l+R}3f+5a z^zPb6m(HcSbd2cIKB`M&OqaHCU5aJ8g!a=Nne&33}4Q(Y6IE*-0MX@9gXjU#nwYwA+0 z)+IF37E48XGQN+;xBbGWt-Z$nCsvXEgM51ahc4a!q)XR7>(cozx^(AG}0Lznhbb!nWYOWWzX6wlN} zCjqTI)c*TXW%C?)bUja(&gbjW@d91iU#LstMY^=TSeN1@x`eik*Blyc5Q+nBtBzQ$lr7~TT!$6aNgPrH z+<{(2@4cRb?~R>87N7j||1+7lL|zwpL*z{@*ZegD8>zcPc88){t~+}h*YTiakuR&Y z%pA6){kF8{k>TOXc{xdqmPySHioFYBdmy?GA_pLR2-FdD^z8?S1qUDP9BfES`&YOO~qOj@-o(XK^Pb5dH+}3s~R4`~jg~ zz_wO}f4FW?I>uWotaYziqVi*y_s2C_9mo#v4>O2v; zp~l2EF187=O=??B>f-;|ro=Wawi&U_icNX>sy{f^#8kCXX(pgt3j)bgtsc-wdBql6 XsnlX~y&%w2b%Q&OuH~2Onscl_C}XFT literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb b/CPLD/LCMXO/LCMXO256C/impl1/.vdbs/RAM2GS_tech.vdb new file mode 100644 index 0000000000000000000000000000000000000000..edbbbd76c474eef169c21d577374b2a0c4308021 GIT binary patch literal 67067 zcmdU&2b^6;b^q@x+soV4N|r3QEwa7E21~YaUHb_mP_ZRCBqYJu*w`{PG}B#VTLw!; z*apc}TePfJ6k{2XO-l%!6nX-ocS1<`Cv*t@|GsBt-ktmQ?enTY!hSyQ&Yg44oS8Z2 z%$YND=iWW;xyKZmlVoS2zw&oqc}$@=(|yFVa=F8fX)T_A@rBO@o|LV!a_3xe^S$r6Yx~_>9CW8;cHVyXecN|>$a$B)dE=^EcV4z}!Z~KX7k9X8&*EdcJC+~be`ME+j%%im znAmi9$JoTy%{NV7y``t)M*YUdH; zzVWK*8@FC{!$VCsZnZ41_}*|2KenziLM>n~q>;RWRl zmt2&9pq##8dIG0$(xm@`gG3#8nZryp0Ezdmoz}?%n@4Z)$tR>`fEiFsByOyq4 za`Mp&XJeCOw=C%)5QQW^zS1aiNq#Kc<+d0oZ`UMgy==`Dt2VB>VAaLGj2Qc=!K)*3zsfjvE;if0t zJj!nJNuxTk%I;eoWFnKB&g5oXuF+BM&gAyQxmI!AU{p!2Lusx_m&E|`69L`{yiFHt zWqvxqO95_e&Cdily)t^!Pky(DC;4{IgE|h=FUjx8;NSypk>MsYIC#lrc-&M4*WzK| z9M4@D958U!r$VWBtM2ueL(n-28zNWSxN5_yX1v2=H|-gV&7#U6v85~EknvABuUFu? zq_E=jW4P0;`-`j$+y3aJk;CdiDC8cez>h`kZ&U-Yh0#2b`aBIj8#eNcE4CL`?Nh z(oLlL)#Om=`TCKXze`oW20ZGyz~djUG*x|WEjd&K9us+jezE#-)9{AJdi`QIjmM-M z!9s8F;Ypqv0b*2E zW1&AFbL#{%t1?7AtI8UXw<^s96Z5dDq8hfJ6S*8?&57Jwhqo%9^H$|@*rO?1J$n}2 zLt>Zf7g@(ez_E%;4PKe7F9r_%a||9FL5^5EhZQ(@cCv;CWiHb%wgQv_J>?uOwE}R! zz`3>sE680UP!7Do>!~Cw{fbH^#^<4uhGf6}c*7hj@x!-{O7h;1I|ap_`^9t?;W0-? z3VI1}6m+G*D++pPfWN}v6$QNvIKq6T!Ltg22X(y4a9J-6A8@W*Rzbkv>BsA)8Kcw*zm|$oywVN3|?0?87+9#Oiqrk zn}=$eG|%tnsKB;eWZ}nwUoK`<<8d{Yi_WFxeeB|u=wla`Ym3Iu41z#e@76CGKYIfF zeuG!W&)bwOpu~IiBfU>Q6th>qC|Y^Das`azo%)f!OFtCh=(5U%1_OX+wQ_-B6s~=# za@z%iC*^2Es$3Ue0Hd61e{hG+Rni6w#&B`eg2ey?X%(hXOYR+lSzuy_dM1;#4sSAR zwLvlulR1QeN!Db1E@LurVDe$QhoU~NUt|b>2OLFz(%=&g0@-GO!Rx6cEB##g`6@ZjC93v{7K&OmCAp*Q zrp6{z{+8SR5KQk4Q^EN7%pccyycekxLdC}O6~u$_d;JYN^crT~N2Gaf~?k@UlO zUb}YfJXDfTiu*eSqFLA$d1IWKFYs-{xhj2gC#T#hZ@prYT? zG3M8XoGz(~9wG<4C^++!!v|H#*9ebl3%VKcbm{!+R0)o<@Q8N;n8tun&hZWLYA!FZ z&0+?xr{=6Iy?|$C=CvF+gloC1BWhKT)Kx!=dEw8wmg}Ii2yuZ4s1l)e0!R3Z3| z2{6LnDbFvA6byMTjoD9Y8Bx~F!}3q?6xa8E9J1%~T# zJVOwZ>lWBtm%-~PF{`gIn@niCyw0NqomFU%0XEvF8LEcAa5$v#Tq7l>&9AzA5 z@QM-#0{x)DD@t4z=#MveR(bHCjv>RP%0ofWqXfq9$F?`11KN;19f332AETSdfX-0XTyR-8%!ub1zG6scDr-xaQI9`YDF(OF=qiSF z7IY~39NjSMLP!45Mwc}-cwuPJRtGV_@Dj8q-k)z#7M^$shf56&R}YMGu7APM+!R4u zsn6OHFLkg`fHc@TUZ~oj1xSs?HQJy(TX35}3{lU1vj*j@Z1?=E?2oi`_7+&@sZM^* zrSlUJ1a|e8x{2)SNy^$r{?l~>{x1w)v8yL5Yj*WC-C$3DrWE^ohS62*>M6?Ftn=r( zK}`;ywI_IhqpsMVuo+<3g==5xxNvIJiCw@uUOHnIz$oY1o!S#TX#-YaxY(XBM1UZz z!qlE}PZiuu#t`*PCTksGzM6^SZ}FGOM~6(r+#b#!!l*9mm|QN7>Ne6LRQCeiM5@06 zIAVRF!7D0%E^u6eg9jD6Aqo%5yvWL=>O~dMQ_kVSyp(%B{J( z05C-B$^_B6cnughS5G=}5IHb#u5G~+=UyYQsl?!QRg#f@P|5h%d}6Xc(g75&u1sJZ zb`%{hK7jHDx{jFNsGDds+!Wy33|>*!mH>Z~!7J+83LMeiV(_fG;EhS#X1G*cs1p9* zZZ%x0E^yQV&hZTDa%u)feXd;U=uk5-a8_UH=yEp;ZhAIET|H-1TG8{$`2<-9rDv}_ zzjN$!KK5=^m!zZ2rhbUMeaMyBIga%_M%PbJ@jbeU^gOO?9vQz?H{fRsU(xqOz)$H0 zJDE0oMemc!S^xzeneSFQQsI-@0eT5E)D=&7;D9l4x%Or4fI4X-ysIZXV3c$1PVIm> z4H#`Po{=5w65QrAL)5o}SmoXhcCTJNA3HdNVUX8+%{7=0y=#U+-hSo`gWiiE(E5jU z6IsPRWi1T)kZzFt-x|JR6%T;N8s4uP!k@n}e8nmr4EPV|h7iZ$Q)^&!Qx@JIw6a;R zKsl_*;ZkeBQh>pew#C*!hyx63aQ#WG0UT|Br}ZVZ2Io!yVk%a!Pzk4V?-Sfi#SryO zC2JMlRMxFq^MCru!9USG6!_1&i45Q~0sbX}R}}x*0RN)FD+>P{a17!L2G1%Q7z%S` zQbnT_VBlOmsiMIF1LxWn+<)%#0^4q>4# z@lF-SsD&P-IKEkh0b>xkazSBkI}8}*TtC9d%zaN_Q>MY|DKo3Lpv?J=ue`R5_jA)Y z-O2X~%RJ2G^vVYHMt`}V(*;ERTirw|`bB{M#^4nd{Sr8$aPXiS_vjNCIL9lfCHHH} zFeVIMS4d6fkhETu@8yaDgqtH+VhKXQdp*$(nU*=CQke2zM*|$ncS! z%f-7D$I&6|_C(!8!ahF0PcV2z*n_}P&WORY!Ul$&yE3V;v2$SHTs=Y9xncN$H+VgP z%I`$7^8(f5*ZDb~{}bm2Po=X6?@Zl9!hTeMpJnihuulVy^`33;Ane@fz_CsTPX&$B zfH!5%u`)r>&Jn>M+^FG#Rl5ikUhs6d;A|WY7&ynXGP#~1u#ED=7{wC|Us3+LfZyQpPc(eR2+mj5jOj@R&l&+d8P$(BT-FHS$4GRz)Cd@bz=oB& zwx&jaVbMN#KE-$jBXEXA+rT{;T)g(7APuBKhpeo9TtEU4q;X9jB{(F&5h1NPg}&ac zy4PRs0>NztG(GU;;{VFIU$5!mD(H zfxOP}!4Ps+2K=i%{wl*)jNui^ngPAW;90)_PYmd_hRgZ|_5TrFG+C#fl zaC3i#sAm#c?N>}#cT?Yjf~9R{zceI~%)Y4D(S zH=n=*HNDGlS=GY_+`Wd&svcfw!r@ZYqa|SA9M7Qo+}i{;B^kWFl45;$CGFPVJjSig z4(@NP$eX*FSTTy--bbSm_TT6x6849HW6K7w*O_d)eP?vsJ31vPHc8Eg)(7>V$6M7Q zf1~^(K_s8disYlf2?77X;1&D(SfKxu!GrzfJ|5toHh9+d;0>>TG+fs9-~;aO4VT&; z>VOx)q{9WcyQ~j#V>Gt&WA4EBl!i6%SlG=BMEN3(;1DKuOPD%B+MV`CK@yUs;nKU z`i^cGQ{Odw#ZbNq9{4vr{(FY67|hp{wWBfL^!RTp#nF7<=&}X`4~*nnhRYfd%2}UN19D!Lj^asw0Mm5da!7g!(t44`Id0tz zkS@BCmGzH90;D~z&VkC}=<9-8gk*?%M!S1=Y@gm}SFRqP&(p_)inqN<)ihdfd^Mj! zdz@dDd-KjbeVqH<>t7)j?6SxQPSRc9Ukh$eH2z07;0uPY*yV2owkOcwvCrQt#kTTB zSFz9E3T*cKkf+NTKDA3GM|fZ-t_@kcgfEt$ubhZeYM0m^JTU}^OC1|Lfx*+YJGD#7 z(FSbY+8Wy>#tskzvVyT)V$lFWT1!*A%>7O+G3zo!J?qLElD95}-&6CL#|x71em*Hk zPvK{+%jYtyx%e&71?s46vK_9Q$S!&Ue2Kv;_OLL(7aP2y|3$#jk%MRT4h)>*mFgY+ z0R!ji4|>lX20!oyuP5lNOue8d^*1j;@As3dRM37n)*QxeaK_KE`sok@=y=^kf*uI) zL4yZDJNF1JgKfxgLD&ulJ;v>DLEyRL1hz@r;PnKTl~M42D`Tf9_O*kvKiH)0?R6gh z&x^n2uB69hb|vdf+9x41oZmSn=ZOv0Z`q%+=G0Er4fs*R2OZ^B1pK3PgKp0-e9%+w zWM$2Hp62mq`t)q03p#W9qz)Y5>4r-O362c@;NTNGM+OKm29PV4b&kMrj;>!>=SW+z zt+U`6J4Y&{p%|A#Mw=6OPJnPVX{654b%UZv~jBdL0WqX>7;#%;O15hQO{^s zX6(}&?S$S3n8#@UUwfvvp6;Q)r|Kpe`x}5`H%~Hn#jYM7;7>MquqP*AXyNq~!)0v< zdThbrQX9fffPr&us5sgu3T%$n;PphGm9iK8dH=^>-hC$B!_1$fn@IE*0!Q@EHh4w! z&kFRH7(9qRcM)(#go9_r4iDTPd zov0}XjQXrE@kEVR2RNeCn5L_k+!n!YMm0n|Q^{I|HbL$7SQ1L4F@w3lmj%vgU zl`%Smh^BQDjid1Zf2+YOs-6h&DT7y3JP8~XIe4mCL=6m_cznondLEd^>{5l1_! zM1fQoTW}k2hNx!;S(S$Yw`NUrzDN9Puei+Hwq&xg>h_Qh663l&^Mx%kh*G;6sPXI^a4qnmRr-5Va2CvsibtmeN@1$PunNOU4 zP=|wC)jGP8*&ohqf7E`(!wrl0aPVj8ET;99tZ+UT;9oX)ML3@a&gAhWgICP>3jzMm z2G5!=wAAr0hD$vTi!kUJ77iCYj@$kO2F|r1HD62=7&zDNV7|F83T%54VKV{rh1T$c~z_Tw8Gaov>~^S6g^(zd5$8j_3zQSZwchxIMDFK4_^g!2riRd?lE zI48RrlH3BjQDt?$j&ncdl9Uf9V4R-o@5D z1>{Yv=B}JGs`!hrtwnEChv_CVsy1cKc)E3i@hmcY#kktR<7!K~Azfhjig9&-#|3tI ze2?K%qr$yX7S{_+b>sjHqon@o$N?C}=-M2N%0(lzgZ3`8a>4aF9BqK7;nK*#Z3O@X zX&p`Dc!vat8C#8o^oy{af}0&1qMjXR4amD3J%^u<%lU&%$KF=F%kepHRW27#$IIv} zx*pO^WGlx4N9HFOykaBA1^9@;E4FbwaQF-xJZls1hS!OPOC1gN0zE2n{8C4Q69NX# zwIQ_$aKOMhp1~$^g92MDW$=2+%jzl27c+lKvDE2w7NL*oCQ{xRz!`*PgIAPyW`Lh- z@QU)z0uG;Z44zdUyy3Oda9QO+k3(?$QsogZ0R!jSkSY%xFmR4%MR{inY_XKV>nTsK zn?y0MSKiFb?g%LMs;I^h+=F_`?7j3n0^#}lbLYXsQ)U-3$Nv0$#DaRBpqog|7XT-C zc$&d0YF@9b`SB;}hH_6fd_~XY?Z6K_)yf66J3mev7|({Q_TvCY0@K)!6OK?5y|I=?e*E!*n>87to;76+r{c$_ z=3`BVa9__`j9*syocH5dYw}(_^X7aw)#GO>)Yd_Fn2d>Vn{ZI~^t2cEU*a&rEBQG$XS^J?LY{cPG z`(Zu+hKU%@*nTh(fFP|gsr}?O3T{)qA?lgPx^=NNcoUf#kAdP}+uH<7Ae7vP%=UQzW`z)|J31`n!sLlPJR%auvh%Pn;0<0+ zpjp{@fv#Qqzx$nIFWy5i=C&XR#@u$@M2grN;BPT_MG-eEYu`D(MK>t$R;7%CHyd40 zOzw@)p|CgU1_eMz{x+jamBd(rhVcT=I2LET0Amcg_N8MG<-rr2Yh!i{QV%>`yRu^t z7{koURgXb{Ag#h^3~m$L#-JhUnM~F?_G{S~Z(^h$0zIGwr2G8mRqDw+H!<|$*7!D& ztDCwD@2{lqtmMb}Qn_KrDs*ENy0P$XOMWcS6^>rm?Ta*&PCor8m(eNZ-YAnF-)A(u z6dvvX6jfy5(F-g8#7KqWY)fgh_vG#+haJ7JA-{adDfyP(Y(D-PYH{L$?c0MdoSK@N z$4<*ZZMC}L8E>`j!+01jAJ9!S%pL&F@Nn?TFnd4nIq}W;edg2Z40w??#BX~t21~#m1L#AU*qfGwqwr<+p#ZF^XEC6>ht(rqE_FM zI{&Cn|4K1ee$D*3)@8Qvh%=L%9q}n2gYB1}j-{8+(N*lw=YoL&2OBY1u|Xvu8Ommdv)RFHb|9PW%Vztt+1_lnl+E^@dd5*Jx?R)y z`(4vY1}nLyHGW|7{To)@ZWP^1mqO9_fjxj01cKd}+)O4noykpPa$}j?c$7mP6VqFI zsI}B{#1Wd{C8J@39YM8Z2ea9MY_>0(?ayX=v)NLdZCKvb-My8nn>)I?=&&Fw9Hg|+ zL5e%>zhi@uv@cr*NkQL+C*RWE-cD;9KQQ+GZMW_B+_!zNNwlN;5syF`#XIlbZsKWfAJQ3Xz2Cj# zP6z1dKJi4O*m1ura^iAV1U;=V%`HB%0_k>;{^LF5h$ByOo$6Y2`suJ~SR5cNoet8t zZ~I+$Jm6Z>bMCpO8?{C-gwfGcF01=?G1P6h?@LiDL2)tEy%kh*XZg{fxTrTx#SN>r z-Q|aWOKU+QZP2e#zb5^f^=r|uRlhd<+V$(uuXz8xd$;?Mnag(y(xqQv>!uz*8k?HC z1?bUlp?-_>J50aD`YqA#aQ%uKFTe2iFo>F$3UZ`=N9lL8e#hw7t6!gf{rVNU7Oe0V zo6C;~aH4+sijlUpKSKGF^jogq3jI#j?-cz`)$dXIou=RE`kkTQnfm2#-|OwCsrfAB zNA){LzjO5~>$g(BN9%VU`Q`dxRAqvGNYj_3)Hl4WchfRqliwMjb$s`9dE(;Wbz2(B z&k(NsOkE1eubA@OhsZlOUcSCOQ|2oARYGe|W5b23Hm=@q`8vRhip8#^p}0W5Vvqi9 zQg>ThThdmV*tB)i=uKCRUv=}u*bSo(X_chNME~mb`>-zh|NiPgiPbS}bxf4UR$(oR zjxDcNFYHptR@i>swySTt?z-*Q-*n6M*UzfQ!Xnl4&uKjYl(dei&(qfDOOZs>&E^TA zF5b6oZ#AN&Z?JE)e_TUk7G^-0zFL@Oy}Jdo?X!vuduH9UFhic%EKKv1n2n7&pC>h4 zq=uI-)uoVpE>%-gY13%e?k(naM07bsS5^}>j;|`;zW09QD;oaqoK5W+eK$|+QQPIG zUs5HZroQ36(G?52tKp^6NZ;tSn)_`Nsf7eGm=)H^ybdhNAWjSdYBjxJwn0_Z4j zM!Z}K#f9VLu?M&Bc*{MvmGz&)S6;O7vi0R_^7r1eaI7}GYy836GPKPrSL(Zks=sS& zF3fJVEbK@GYQG~9vgwY5>Q1*Klu4SWr1daS$Tqgn_&3t{YVE~iW|s1bsiAi6o}4bPU$bxhh1;Kf;oc3`Vr7v2GvjAlt z!XQ%TW5zMtAZ*J^0=y|zU;rhh-oDYBH;<1_Oy4l7vA#(ys&)leBEPf!`s=U1 zdbZoKU0CsdP0Bxjl7+5M&g|wkzTWGuyYBYuuQBJQl*-6&*R7znDz&|IReDKUX#gdi zQzpih<;lt2<$ZU&PICTJutO&*HwQGfm7k1Baj9|yM@v>n=jxjfPb{gMYD&r;Oi5Df zQb`4n^9M6!w}Q=@+d@@hN>>fHOYyat+cQ)#KP_b*KyGRa)91{p%cX{F72P&_2CJ4k zn{B)HfL;6tQvLyyG*7H5-?i_K@;ANg^qGPnZ|I!YrW!=qZ5U3=Bd>e-ea3*-KSWkJ%?Yl2azT}v>L(`=rQ(m=JcBg8#B@bHHEZi=$$aHeKxC-cV}`SGQpHizre5dz8bGpTs( zgeKx`>fJOts#w^9hR6huo|rCQwB}+T^6gnwo|y6T|D@)BTQ+weQ$C#-f=iV$Y?^MU zG40#2Ue)`tv?&3Uv^nD%8R+lbgt8k;--QWrF=zo0p3_=CU-7%d7r-)B5c= zHKJHJ5sf?Pb+_8zqX6CF zChLm>%+Vox`$C*IrcEK~--wQX4Uiu?uhyg;|Rmsor#-S%BqwyVkDRxN_CT4J$WZrXYL6C70!T zUzo}$6iPaGXJkYbSSN9G?vNrY~6I# z8?GLm93Q{x>e0<(({7fFqSMYjmEP7~xN4;NRRptcjU}tSQL4HxNShNtZlv{(F6-4u z!}_})apsv-UgW?GdBND&t}#D169tdEz3r?hhj0?!Lsdqy@*x&xR%BgNqf_>VvO1-` zwJ;e5Q0P?OvXS0Rv#q0*U}%m=)LzyX*S?f%03|FyiI?2LArIE9&HF*? zQOzZueON(NcBfSaP_l3`(tU`yQB&!d^5fMCyHu&`z-RatH?#Ej*YLSaCDDpiI+ABk z!lc4($sC^7KG31={W72GiI2YsL~Nf1aJ7coK?(0L7lUtD^Vp5v4tGsWjjek9EKeP* zu*&L~Rbtz$Wrg-}8+GN&Y3~9k@%3x3U`_q{)6iKhU`DGII_qOzs^{<0dgNmal$1uY z>-Im9B~q775M?$?(rwaH&n~}`*@a7$T{kL-tF?HvkDp0252m6Cprm=)uPTU7=3Yqn z?VFjgD3+VtC0m(2{dTfmvn{*YYp5~)=Z;$Q*0ePNlq`;y1>EZL zP*t0QbJgU+)tlp*94N$310ywEQoQICd$&@znrbi4?HiZs(@WEu0w`%&HqbXZ-oNmO zW2$!o7rEZ{_Gk7a?wmFH4k}PwFdge`d|Eo2?eGsM%x>uKUFK$w~tS0%@$mDs1@1xlJ{<~D?AX}Dl=B5K9V#LOWx zO*U$;*ETICQG1_{F~xR*o?+A$qW$9lb!K7OzW_>X_oC0ZD=_R=U^v^{6k*5a#x6#! z>Cyf*gsRD<)dW!3uBx?{bWkp#)E9f4!5ZF`L0D&5s(*H*CjU$`kl$pHEx1jVQg15w zfzjSgvqOm^kV_iB`tU)aQOy-F|HMaCeKV@cgA(sOhkGmDvtJd?o+-2#3Hyh0nX+&F zKc=aQ^$9Ulz@zs2bXgIhF&mEA1QJ3g)xmFmdOv?BqO zShc;QB}vkrWU>+(d_?(X`6s(n3GqOBW>Klz=bk7}&P>^UYV%>`N1-S#Rds|Zixp6v zDa!?guaEoWKuKvJ9Uk};`qZ?fd@A*Esj4s9+VERoHJAK)NNr*0l~Iizl#~Y38X1t( z*w}T_NmVr+*s{NEh@DdR0Fi~r!|!j{QziG%JbiRNd&aRj!B&}bL{EBDF@xb;9(CD+ zlD6UfZB^Ug{+3wamYY)(ZUZ}D^2?H_rozo(`B4WOiBNYC>puf1yX3LVv0h%sx6@_I!6dR?lwC`-{6Zb`Fih@%eu z%rsDYKj?sFCO?M^JzO+!P=V8enK)n_W3OcPFg(!Bv*ri)=_J73eYe6gIYoNZ@g!yu zt%0+>0+Zb(Jm5uBn_}1fb4Jk#p31|trRA&CT)R}c$b<4pC22EQA5r`_YOjnB)a9`& z6xa`lJm(`Nn5W@>JUh+IO?og4=(S0VJ8s=^*VKl!7i@nR)?G8A))ShIt1dY2@_i3auLl<#fcXUNE}NX0!)$L;!^F8@Gdxb_6*=a|aw z(yZVlJiVy(K%=d9f1BwgHZV|gE7NAvS_kLCskWL2-Q31W-=NkJy}ey_*6^^C%NI)k zcBxW`+*Z0t>Ks=%%QUO+dsgqU)yw~gm-m(dqzC5{{)w1|k)DWMvUcT~y+}RlmJfVm zs&#zVblIIouI1}~Nt@g=-NT&(v>qHSl?H4~py~b<(3a)?70`o|{VSm9aQ_Nu zJAJt?L;BE(4NMTl|Eix8un7a_(tFG7rPUW6Fmya+M6`4Bes%dONn@6{-=>E!v#WPkAy zfJO^QmrY_82PElt=A`*PYf zg=GdxnxxuVqHL0CYr>`4nsBMMCS0nm372YX!Ar|HSUOzmU}>qeOsK({s9sP5HBtSb z`f8&3K=s!|4S?#ciNXxDfj*#samg9(g|g1(@{)I_L+H&?tEucHWTt(@uuL|e{Sp{@rtO7bA zRso#|tAI{`RX``c46vD=^G?dDRC)&E=<}Ltm`+r$OJOaD!p^B*Fbk+N+8e5X_D19r zs(|)ViZr^8ubr^Q)dr^i`fr^#7hN6o9&_VQ-vrK7F_x9W{+gdJY7 zcEqiE>ADtlcTMO_P3Uw@=tNDZ8)VgOaN{%!_130$rtPgv*W6%&-CK`Kwe9RzZ%z+Z z!Fbj8aVgAf&7BE*MhXpQJN{VPZaRtmC%ApJ)IEhtujwUM zQTSU8)(|3jP|~_fJ7sS0Kg@Q6-{|j8AFuaoM|yU94lGn#RO*j*y4}u3e~ky-2la5I zq;qUxJDuJ7+0owtpDOW&t!;)s&VF+A@ZPq&am3l37#`kNy`AVz`0}XEpKhsnmU(hg zpKDXtxjQwN01D3~hljQ1o_%oUknEKEL;HJH&F<1c?OI0fj`N}!8@Ef3E)!+gW%hod zJ>^JW63|l)UIeM}y5;zc{^PI&_Lfv&0puQ!^=XGPv+S6oYwn;(?ZaL=l4>XBcqkek z;doHeIq`?^ayo!(4~^#cns1<<|7*S_xsos%2S(>Ow`4D%`e*8MJ>23yno0bB9ZAN6 zl7-`YGA}U9v0WRUqWR}qb5=AyK}8qF6*-VQ)zYsQudf*$9O$ognC4)f8M_rM%=N}t zE#}MgOfEH5J(-K;Hs>q5)068a>Cj`-4h2xs(r*vl6#Cbi7ov?i@A?6mn{sf!tEm%8+e;f<8r*GQ& z8majr<{_E1ZE~IPZ_w6yi~E3&fB3Ld;bHxKy8{b5y=eM5Rf(U=hER6aLFXJaAB6WS z=Jcg2r&mtnO9xY58bIMhyLOEKI12X$rp@I)PJIo%e9y-giSBzo4gCOYkH7)K|DZok z($N=@>>SbEcW>MGpyij@b;0iR=+anud~&>&9UaKFoIkeofcxh*{Z^TOO-^Af*{s?R zatk=Tfme&QKk4nhqfzs{VlB+h!;V-p`#3LvT=cCcy~8yhqaRdsY;XFG&+(?OcAYK4 z%D(Aas{f{MD3mk|^krYdDL+fwtx40w=B=YWM;~q{S4-u`31k<&L7AL!ye@BVOpV1b&%5V2{ zr0}60QutF2IORy;ALvN$^Lh9{51#VWOG+L%c~bZUzM&ra13dJLl=^pidQ#d!3Y_-C z7drToQa^a$q`*mm2YOPr;;BFW zBU^H@ew>Q_e@X`gyjP5p#?|Gpub6K>fAxjySC=namFw-ibML+PKe*$b^1f~52Y2lA zRQj0OuT{g}h`|?>zDVhBl%6d{UlgwwDkZaLIjd; z|KH$=H!9@=@z+}+_W?3EFFL{%D)5g5Af_f}ReXuh5snT`Af6ViEp3+N||ESW7#O`*LTQ0hH zDvwYml&%*1PQkyb^jxLy6a7~NFDm^9r5{tePw7{czE`QfI>+bHXn)TV*QWegQCp}p zp^MvH7Z)v}izesi3q{vnU~K4`z53DK?P!YNUML)P@lB;j4qE;*%jc{NRa=>!C9X{2 z%fh`$xU)PBW#FME=zC|b35Oj<6B^HmNM0@yJ{W$Rdi*b*1*Y|B!SlZ7NkLymGY&0_ z7sH{64+B#fm0YQmkB`IQbkDiqOX7r)=_fqLq-kjMY8@$ZUnbP+lk}8PMtXwMm#M%G zrC981lpZfepHu~pQu+y{FuFtOxYEB>s^^v&hOIDS_#Nj9l0Q-T!<7Gf6@c+;l|R)p z+$fFxLU8`YDGcd575rXw?V?MX1~V13z>sl%g)d0{5z0TH{FhX4i_)(urJ~mf&c}S8 zqx@pu0RA_sYxzKiv55jlLcyz)4n{qAr1Gcx7EgKxFBKi4;+q>(m^Afe7&g!{JQNJC zR!aUPrO)*(U*ubqH1%f+HbIDsBVFzpT%&YC1;4DCzNZ!xlt%>LQJ#OkxWih|n_*-v zz-Q8eYn8slHyH-MR{<(mA-KMxkSW*%!EZ!B!A&A~uWCZL6u_yHzEml64=H`IXPh+j z_VxB}<$1sU@_cW4ukODP8d&J-+sZa0$nS~gXLR2vqBjET>38ef&7%X_UORe}_m|D; zJB~X}43hlKDuJZG?^~DtGtZ=Owzx1fFHm};(k)7_gDan{X=$l1=d3;1>@GeKtKZ^h} z=um_1a|FG!2v9GL^i`B*5umOGp^?pF<<;fN=StO26#Oc&_`Lc%LLJTHH7H)~DQ-ug z&AUWV`A{lTgSg)-44<#>5+x4(?aIUZMauu1@+TYbscgvtC0;KKlz*+1R-9s#lUd3l zl-oSziroVLAKDbo}+Jzh1vP3alRQ`5@=XN7-!w0Tf4{WV=8_Z;$I6oqDgRdCuTh?uc#~4{xB2wVN`EZ6w5ljC<9vFoPnY?0z^DB_?el4` zPml5G(LO!Or$;KKJxBO-sZS60=@OqV_UU0hUF6e+KJD@80-v_}v`H!b!y>5XzkT{W zpMKY;-&TqoxA^q^9!?mZG`eH@W_Il-oFH~d{tTJhkA%eBPzu&mIUjOT(!kV$(*Ieg z=co}YL`NJ{xV}gToFDxtuB5^H85L1@N^>}eyAc~vVh>v zAZSfL%K9zA_18&%nI=9WX1FJqV&vp;D*7hBO)KPanWQ*dQrt8t4xW^$f%Nr0Wg2Q# z{$@{)!%T{+%VD{*oW+Oh$BRTlGymL3#;11KC&n9FSD=?9{}WvqVvsxo68>{Q_!e?| z*U2Xv75~wNI-x$?mqf+I-8{TDE3BxcCskt6uOG(3?)7LORg|CQm13$l2m z2>zLdCkn{o5hC~t4bPmP#Un%T&oVqSd=`%g!Cz!}=JPBb34*`a@XX#>JOTv&Y{L_e zW%0-l{3YPEW09T;lK??HR|q0zf-(rwvt%N;S_p<6gjZM%I@+W&%N8G(&N@qI7yh0g zZ5+dsJ=8O+xRR{jDj>+z7Jc}~$k8}8$YCLNy@T(nux*C6y$FFhtiE8|E zk6-KXW7YT-9>31v$E)$IU#NdQ_-1@<8zfiouQ$#1Jw3s81c~JbAXm1q7jjDYo{M({WLfS0TU0x-yU2F@B z|6xNY_9~Uwl`Y}EmfqN1ek>)pSXi_{lmuh^lXAj8hTRhMy@E@fuUv z7_j#EO+#zXf-?Iyb}{ad=0y5B6=jp@IZD}lN?Oxb8m(Ygc`XIGSVvy3f^-=M33atp z-mWzIQh6QpT#Oz%Tf<6p@1}y5$_tjB{(R`UROnf%60`mg`eswO-X}Ej&N6%Db}{mk zl|$cDQ?TY#-e$j>(kCSg@aeY*wN@=)ql*oybChQyq6X4ko&`}I6WULeK3m0a7yK5{ ze^B`ur5{kbSNOY>UaoS8>?=wyQ~EX0pDTJcBs!H675_|d7LII){YI%tpuw7G>+6$} zPO7JQU-8AUv2Nv`tm5BSF?vRD_X(wU2>xBcKcoDN=-;IL8l`tCeX+{@o!~4Bwkps5 z$ITx8Zlxa<{3fOH5tC!@-)CE09i{S91+fd_Z2YX4e^yN1p+>Qv%#!t175b&%|D-$) zg-DCtng3LZ!-3hkI2@J#xu=`)<=HNRj`h=fe0d_afAaOH{O+iHk$T5fAN3I7(TRUl z$~uyEh<+xfr=CDhWC$JgP%q^~KONJjvmQOdw(=tryapY#2tKgff2>=b-jblB7~EGO`lV_Nx|Qs zl+m|L{C=qv{zS~Q6Mk><^|E2|8u;*^aF#Fi>M1@`#b*Sj`qwJ$5}RLnHU!`zABo7} z3Ry=)woqix@@zyt6p?p&vWq;L#u4UI+h(y5`EW#joWS&%T0le2>Y&f}P2__qc|$2` z1dEAxsTPJIyK4+HYRL<(VgqS0+lprm@+(P((RZP59JPA#zLdOxg75R(-k@SMilvOW z_D5ut293TUhm4hv$a*6(z$}bt+jgaxC*&e4RgtmYW0Zh~JLFo??<(I@zJ15t!xU13 znVOy^4ADUY6fJr~-#rUCLL|9%_XOvP4Xf-ajwYtxi`TP-V(r9KN=nGU*5H*s&lC)t zshX52os_Ac^btNKl%U-6RZidHof=c)_@^X|KHSJl744#7Ux9dCA2_tu>8Z;J%Fb?G z7u;X&TS04B!LcBr)qBK=Jn<*#h|d$Sk|(kyB?KlVR%XRZP)(lbo0Kq}^c0UL@TV77 zh_4=!4r?P+H~i&4t>XV7@DtS$d~eb)sL$YT<>(RUV+Cf^F{Av@&;!eKEbMZR-lftE z?$0V^uqOGL4FSg%D+Bu`;TXV4&+zPB)NiO|`YW6vTm6KH*g$2DC1tYKwynO5SZU7v z;)(O)p(oBdX`S99jZB2cMeQBsrwD2nI2Ar5zF!d`z5j^PFDhl%$T@4l`C*?^OCm<*!r9eAKMgy6gu;Ddm~x-l>%N>a9wdCYhFhqCE50N0l;P{i{-@Rkrzi*|jD@t}P{TI!XxyLDt(sdh-v5v4bb;*`)g^{9tpfj1nr(d(x87~kTpH# zMNAJ9)CWH8BbDKQGbZ`xt0n9SzDnuWsi|Rj#2=wlCcjJIFAIF78ulU3?UQ3@GpngP zg+RbB5|wF6|H7bJ=iAf-?2Qkn*qCkKQ-jk>JO{!`qOGKL_cWX;J2x6=L|SaieN?nq z8YvdZ!!-grEE7xRY05A>+In?<&)lznF-X0H2}o>)@as5Vm_kF!BY9km25WU!ij?ga z4dwt1_H@4Tl=hN~+w|4IytLnEeD zhpM%Z-=&tae}=~1ZH$KW@@Q7dMs%Bq-XR{=l-9{T)rRp@ZxAqiGnKXPu3;GLWMB~V zeY-Vj#;7XNeuFyO&EKHdGpxp_Th7DGmQr7ajw=x-!su^_D>3mj9LK z!kkQ_l7?lV-|9<0N)Xmhj0+Y)N%ue>YOAbgd%8|?vwPt+zJgzRjvrV0aoCiGtw?rR zs+Q3a2+XXE_n?lRN4$HxAK`cxzbMijB7KEYM92uBC}wM|?&!B?jqwu!iHfI*h~@`M zpQH3NiHM1FtD5>%rAfocGXI4|W%553*=~Wyh5j<=p58ep;rttfe~VgurEijWg)`vr z8dg;}1s28(ill2wp8&&wg)ah~>Z79fskSevHpGBAB&DHH>(zXkXvO1bideo|1Vprq zj^6@n7@)@2do%-r1>U#Bj|HGcXKxz26dte6F$wJvh9U74k^6aa%G#wc@_kgVnr;>D zgQCT0Ou?#DiAJ(bdcT;mj-W|DhOlj5NE$rKzL6BT;bYhpp@Tej(20*&QWpr##E%oF z{YjSuwPyW!D>tmso>_Q+BY0t>YP&%czgC8=8qyLB+h>S_y=Z^sJF!L85lT_5iK~5L z3=KrvKI-c4R4Z}+uRPDBeV0*1TR)=03lPykWi>YR$6Yu@RS??{F<2KG^yoVsu`gGt z9cmSE!GDQt(%Tm&2$%zzJR>uFqPVfMGv>*Xo{B-FPt<)ZSj1*AZ8U(>AjN5r;!Ki4 ze>&JN7H~$$FDSJLw76^6o((p|`iP3>cKgSl50&D4}6^DAj(EvqfwXJRmZL z7K;fy?{B@K%0WlARlqZ%N^FT`?)}Q+B;=0p<6)lf6c++L-25icE$$_s$T+B&y$e>E zG(a5mC9TYA;wR zoo@i!FxaQU;Ph8OC&XT)EGI5ke+b5t!pKlX{O=L+0RdTWvBQ=WmZivdN67CQvNVz@ zNeoWO?-{Z;MN%>#|J#uLDUv!Td`Wi0l0sjKq+~!g8FC;+QW7JfPWHEw!eEM|WI!%3 z#ms-hz6iLbLzT^>x988gv49GobMX`kj^WEI~*$9`w zi58ol3;MSOexw(EEl0o-Z;h;oY4s!#F*gzTR}E(b!f-~UN!N7kS-1P^LY^yzHl{^M z%Je|WL_mtmC&jsw;>JmF>!kfY#YL0H8I$66NpY~GxKdJ_CMiyo)Rt57lT{r+FLZ#8 zXi!z75k6?h6m-OUF`t)|*vRfz%9f3mpOH(kWziP}Mz1ditq-|b{JL2W$fKC7xJ!+@ zM+8$MWK}}S!h=TJa-l(=BCR;SJU(`>TJtd}$5ugIyK76`*2nmB7+KJykG$1tLH=WE z9ZP#^wq;DiNWW?fj-LkJEHt*_)_?lf6a8C%E!O(WcdP%u()~b14g;|q2+=<+^m;KT zcx08H`tkfrg}z_RU#^s}Tys;k4jAa@09T=awHl3;gGN=__2i$TJFl5LOZ;;GPmJ-L zijaC$ZwPh2F7Xk&5^vcWusBoYZXYXtxZB4&zUjYK&y5DYkSNrsD)=~G@CmM9`fu2C z=Y)cgD8AXVYlBk$S`gD0g#M{gu%tAblxj#<`*e*@*ZOpwPuD9|Y*W=7O3{xef@SBr zBA;_Zb0Cp}i1#TmGd7Bkmt+Yw6cttRr4{gflH;5HPx)Lq@P$N!Dvon65hQF6-2u8d zRmGQH!S~6IZ~E`)b1MU1NRozrj8r%L6%Lmk|6cg@YB!NDJES|5Dk7`mkF?f!iCgzODSlQBm(B4l4cnt(XA5h42n z(nL@QpASlMLjmzLlbt>!6h0!9zgh7}!K8#00ri&-rIR-Sb#g%cl|$*wO+cLzP|tKIowf<6 zQv>QkhtfHlfO=FwJhyrR*r9Z)CZNs;sAoHr&eH_cnE`bP zsA9V1n0rjXTxytf|2?-lV4mYJl}+y4+JL#tDoQ_9l;qA2sLMSn941S0>jP?|p`xQE zxyJ|86^4qAspOs*P|pR`7#+Pxa!(Gp=NT?ub?2TMaL+efyw=V=J>XsduE;){QvKy} zV7{Qc7lPpc7vBN`C3qnqU*wP@F|r{b|JoskVq{}LzStp$V`Ni6zQiF1V`OtczSJQH zVq{A|zRV%}Vq|MTzT6@EV`N)EUg?m%F|s`%U*V9Y7}*h!uM8#m{*jkZXF$Fxl#GyF z0r_f>Is)*1eTc{x><+lsINY8H*AsBBb-3LTZehT^&f#Vv+@gTH%HgIX++hKCwZlzB zxWxf?jl+#axFrF1t;3B+xWfZ(6SxL@JIr@)AfT@EC_Rq!sAB`_dQe5qID5?T0ds?4 z*roEA#|F%1FpchjkjMRbz};w74Oh?EEdqCn_C7=R6N!a5jz#V}1^%L@bQX!4@rmOc zq1m_iu}^>J)0~kH zD!2@lHhe;DB8ug~$EeaTtJ1w9->m#wlxLUr!^$gqiyG0u3gw>!-lpOoRJvO!%lu<~ zv;v#Utk_8ZaJq#-ko6ORStftV&_fa0w#7d$aGTKVbZk|6ozjn}e&S=rrt#ha+u~aV zMxJblAQ1w2ExOYxS&2`H=sRlhXFxU#BCb2RRq#xU?$G@kg#N0bm(kA)pQE~;BIe&W^iXyC-zxOCebacN=QKJnnA%02 z4ca!5mBinys!*!v&lWHLDAx4-Kb3w)yjT=*0vQD<+K;PbOZ$@oKPdEXQGCF1hdNk`&M1u=18~tG9^?eM@Q6cXVm|t}YGV z)1~-*T?#+YCI3TRazD}~DOTR2$tC#(G@!>CPGH)3v|i#=#u1paMhNy{eBElU5dZfrSKbF^1sz3 z_d8vZLeGjb?A)hh(hu0MQ+Kl99D%yax^%77rSxcBI?vOk<1xCluhOOMvAVQAPM4O|x-_rRrD?4$jq7x2 zIA53I1-ca0>yqD~OYZTys1o~s;q9H}rzvNbf(`&C`9019x2VXVcYmU9(7&QcbFCb6 zD39?aOcl09w~zDque_^_1`H?;MLqCy?9{E|RLFqQb4P~}^Ww~m&m5y}=uybgqxxX! z^1!-9{~{*~DeAu>R`|B*e?|zce@L=?sTC2E;>5&+Bhchr+%+-nGGHe6_>4N+I%6Ht ze+a+LN&?!mn|{O~?OOZC>cSWG&8xKG(|de|{_P1F`nPK`BAc3u$i{a0j5Te{%Ny3y zGd=^i$&i6;a!*V)8D*wI#>(hngLR~owBIJdcD`Acj<@L2zFn8L9lEsMu1oVBx-{LX zOXFR-G~BIA@g7|YJ9WuRZ^NHOg`;=v-=0}X*cDP zgI$%9;tN%I>3O=eJXe?86}lvafj&1MmaxbncQ2U~hNJtT%Kd_Os8IJib?LfSm(sg* z>AX*uj=j3H->*yCyLD-Ok1j3m)unl#E=>>U()ge*4e!&X_qmCnfM!oeAp4k!bf;Lk$EwzSY@+C_R76l9iy)dEwrlxGbk)>@t64Gm%pZjj^z2}>6pZnfR zBJP#9&p!J*e|w*E_BrRhpziXO?d_|ZS2bL@YVGRg?2Xs2Yi`fBHZ0Dzem&c|vVC>- z#)gYmx3{&ou3EXa?%!9nwo$XNp-PvmUb&Wa8a_7vf|*}l-Tv{0^JmSOJL|kzb1&eh z?vhn)t6OetxN+s$RSO%IUUbFW%dX5^zW9o3mdu?ue{p8@+OL0Y&a4}oudlnPwfQTn z+gCNWuWMz&wO^gra^87$pIh15zObQIeCGLAeg0FQn?vA|mF+Bb1qXIf!@8D+IrAIl z&RKZ={DpJpHOxJ4?wq=%*<9PghPeyo)h*6`jnbS2bL+%}g$?uO&%fZjx}~dDUjOBl z&1UOLEwDq|zJ{)^!<8@$Qm{-KRQ* zV<|d2&^7cE&rPClm6WaN5+_B7Y8u2R*W|R^6bv}+TQ#&Ign}oaWx(UtR+P1*QrV%m zexs(Y3Mv%>ChFH!Wi_1~CgA<8x_-!t1{$BwRO?Jnwd?nmh10bz7tnN9d-j~aMpdei zL1FRKK{$Oqs^0SP@MBKpSYR`?jPJ}o^QM}DU70lFvq6qZ6CrYL$-1rZu$au^=Rjw^xitnbtSCs?C`Kujtu zWB$lnX3#&u0Y@A#Fmdt*SEa%fk~P`WVPQM52Ud4nJRJqT^K6I3gl;@xO8txeX$ndw zpj1>jtcZi4&EN~ay=Mx_!9+n(OpQ%6;u-b8#1xd`n7?rl*f@dXzK3w;{|IV?IZDRA znPZ-HUNZ=vzon{A(GAR0pj2%=X)buM+ zbFe8{V~Zm=Y-|9cQTH8Hz@5t;E4U1h5|n!gqL8KvIqfMo|zWq8RNiGKl4l4gp&xtQ`t{ zlNd}q^a;^w2a!eh>lgdl;zG=!ya{v#Rluchl+3SMj_4IJJg(p9eV0u`BZFrZ;ujLS zBglk@uAYu66gw^LqW6ZNE_MS_)gnH}aQFrfZfhXyZx$d^Md_R3p;qY=usYnpCZ0x4HAoK9ye;tZmf zK0X!YETA)+*bFDomJojb>}hD4@t9cL3%vBrDX3MF3D74&lybOnzbLAtD0C_evq-d@ z=rtWxWJ-E~8`O$Xo9>&U<<2xHWWl))zzq|f)s&*uiHtBtg~6DzD#5Xh)6oQxLpP~6 zkVLnkItsx4(I994|Kpuzg@e7~w?nkZnfh$k@0Nr<@Y?)$)4k)^8>i)G-e+frUk8out;cZ`;T36(vj7ftTv~X~ZBnpC_5h;e z@bhTw65RsE`5Mt)!;?faC@k~XusZhR8s-Frq$dar4l7wgPk(JHswBhy^7OZej~y01 zjkp9%x)9X9zv?$Frz^#8X{`uL?QBFT_S=}X z%)kBW3g!}NjvTpG&&a^dyZ@mASx-~yXYF6W=4BGXjp4i8m<`we zpUBW3VDXv_+R%-N2f`OWOWhgAR`)uoogi}S?LOYEFIk4(l)#$BPy=iqDzJK@^ieuR75U*(TKu?n?5F~ zBdI%L_I=L`{8{N#)&07piYJ(4Py5iA4LUkjb)qf^(5pe16kF%CSjI@r}uiAC{~mqjNt>j@ehEQgs6 zETL%Y5zYCKU|fLKp?%Q{pTRn3x@A=Poq(hs2?ZpdLDrY;2N>X69}z(bBXO-Gc@hK8 z-eZ~YS1uxD*fN8>h3Q9IL4_G4YA;W^AF-*FJV^{cEhuRwLSMdHxDqB*%40{p*cNdF zIvPGrlv-GxrA%)U^l2+C+uOLb=LkTuo`F>`iKZtF3%f-fwm`)l)SF<36`Xo1xx zQM^8cW}~1&p5x;~axM(y#U||9(=AFWDrEByGNX*e5MLcfP8GElmd|tlZqJ}Fi0ce% zCWGpf>Mnyfz|q>08Bqm$(1dD)b^mRzR3o`D`z3{JW9qhk#^=M!Q;_Csk^1$YxiEZB zo;nHUUs6F`qPPbGU)wydxt5wwudASy`8BvTh+qu6Qh@no1x@WuA}nbPz)4heDJHg7 zQI|5h7O5tnELqQcQ6)}{I_@P)=DRMP_Q8V{Q07-E4Bv5F)nez?n&fdHV4KC0aLgc5 zAYJ%9;X<5WP#@jdi%?M%%56$h`bx9qA?5GV1+H8!0bV&KF0G-4E&s)g@5lff^3?K` zG;mS{Rh|>_Ny7DDWy>TiP(3A;1G)BtoI7kdg9%o)h?cXNP7J$yv&&c`z9Rj2nQ&QL z9{W}7Ya~b6c^UZ8EI~Sno7$C?yKIB zoUi)eCxRG$NNW2?D$tWg{2h#G*3_v|o!nSKUB8@Eeo4_+RhdgySH(0Gsxwcy zdtI7~dzS@>f1jN1my6Mw^OL0i!B0xD>*$)ZG1}$zA^c3Za`>62?cfZ=6Z;ONjfkJ) zhcp{mvOf5ee^p{TqND01x63+Rk#g_^M^TIh;z5nxAr61LTUT1p{y4v;ciy8*dV%}f zljUuAxOdeNSB?F8y=6VtdElk*XKp@PI{1!UGiUj+85NYL6(7B7pi~T0HaXbV)-n8h zb!gI8iM1og40h*oW4#B1zYKB4{`XO-tE6R=^C~-!v$u72rp$X8c87On1SJ#n$*8kL z)XvVw7O&MK-1oeoLbR80TTYswNf!8oNwCiygv#L)f%OfgfyRVrWP(V)CV&>UB~}4? zO%z~;iK7l)MsZ;+ih3nlv}*;oXl`bFun|9J^}X&^z?wXNM+bupOs7hklF=YpZcO;4 zNE#(s?BK>6-_0+Ng2#5)=MizA-INHVg!Qm{<%j4FzM7AZogED!{Nqmq$gu9&FYX^) zzieNuT8_`GAMMKR9Y*+D9!e>B333=e{A-QJ_H4-avoX%{Oep_P{o6DO)v{_WpP>0?Rw` zxB<>Vy}6c`DV~zgbNQs?v1c=qK~Ek{%ZDQOYCGw-f+=YkKLD3Yva#D9GUceVnK!6c z0zoql-QBtW!zkZTu)~J4&yX3uzF1HpkN($f49tV_T1*rVEqnS3L6k5W+4BT%SsxOoXT0J;#;M!7+M^Yh0ht z7_CQ|fP0qP0*+qQzIPU&MRP`AGYBWm6pZcW#~6Z8ppQ4+>Sl8`gM2PWL;7e z*wpe~ddloIz-WU5gt400=fidVc6GUr%ah5%gq+*Z*34UZfG|ag$)ZeN>we<9Xm?1-2?=y=~>KXihTd>b!8XnP@pg z2hDnS3KA`q3msoN!(hLid7mHVUe71?=GvXXi{fsZ7|qfPc!SCw&j7Q}s?=pt<~k|L zx%^SzlPf&%pVc#z_S%iS=lHx3n*l!RMr@3A3s}jKr)@!r#{)E3!(GE`-&&Jm92LeZ zVLMqszoDOZp8x)76{S%p5Dm#qV&*Py!XKf}+kaC*nZ!FdBujy@#VW0UGxk+b#^>=~ z#Ft@7kZc#!8s1hPtDq9E0%f=O#Q?*a6CjP;yHZfDT~gU74u=<+*k$uRe(KHzF$4LS zUG?P6IT#w@`msG4OWoQ?&)@Q{Y&>A@Z?VI?5Wrq$aF5$%(h~daE7;48$>A`Ul(HqL zZI#uodH%+c#(s6M4|HcDL%^lgG1FJkogE4pJ@%;~KCt+37)IR%(ke;N!mSF$9l_~` zcNGzGV6e3r^kj*vBuM;164kR~RylGDS%sqU7>U-qIG&WecRp2-AddlMXCFm3Ovywl z!3xa!Wfk>wG6-w<)HMDpJa%k-37b!;pd8hB4)z78!q__0)63=&s57f5({XuQQ~zd< zIm%{$yQ=XDHp4HTI=JqR6bch2+R)*fusol2(s*UY3Run)_Rz|iN3Wt=_|km&UO|OC zZ*=c^o+L}cZQha1W5@&=H~l~mB@EWb8zrvj6+7N6KWRJoQai&%Q7xbeifeB2yO#_m zWrN7S+ShphhXf#TAE9yGTxB)}H_vSPT@bVeCVFK`1h%;oZ3jz5-#e>xa6{V&&!a`B zrB#7Nz4k8ofrKI{iQQD?nh?on%K(bb8dM*2m08!xiZ zJb&@ZCfmlyf2{IKrM-wX3rgvET-tK{*hObDS0&j)Bs&wY0uled`?%y?^Gw>#Kb#yZ zpy#^hqi8+#6CW0o<>Y>~Dc8B76gFbEIoP#ru0iz9uM0}zsDhr+712;fUouaRCap_( zEAXn(Ozu~~5c)J`CmI)aXCuQXdNJ!&W z9Q@)G^hpU2cV_IH%I-$NAUSuLpvo1A@`G6<8~g0JQ5k-gy36YY$OuEE`-V*1GiYaq z<=`Psmwn(=2RN4Gc5#&!L3>S4eIs?7+&QSyB3$2j-Z<=O{=uM8!p$|k0%&1;D=V_% zc+2CNWOv61o4(__qIP6ZP!x(mW4DPf;BysNTZv|Z2aM|6Wj5KF4|1rd=6xrTIihz5 zL@4qm#o*#%=aCPjnDB8E(DLye+~uPhERCygnnXt%{n(hu+fdvv9>5ylZGDqy%!f`1 z$vQ4kPKdpN?)dvjw9M>g9vATZa-e8BuK7w0H8HZ>;ON5R_r-jZdpVs2okSxEVKj-i5j^13Yu{Nz^RVjW$23p0&aTlYBU7C_ zR6|V!b!dMcS$Iou!6WkEJmniT6bwou1NqMVPLdkoMxl!+97Qpu~UZkvL3M=j54Kn>?n2odbYx4$=uGAM7Lo2|6TqZo;| zrfw1~Ba%y1gl(|TQeD7DCf9SGcg>OEfXdUaK)({deAY!#SKq{%XXp^^OFre6fb=cmT)mhc(bE?3fb$W1EEk4N{`}4O?=gggYhz46iHv z)l=55kanExS`h+YW)e@IA>2_$N;B?pZs-Xma?=~ar;?Toe^(TG^E#IZ({R>lwke|g z3VB*v4Xp^urLGhFbWsguXRsRU2PEbarLPvH9n^|U7nJL3QpX0YHyHAwC%UU{m_(*Z zd53nqGF2yXG(}-+QItxKBjtumTg0>P5?&)2g|j!+JW_p4NH(pT0*)6~R5EnWiMxyZ zhmSc;o1Z@6#17o`ltcNSArA&We}Rth1s#84#;fvwBd;!+Y={+@nR8*DVP?jwqTl>8 n;VF%%4hkQ?kgS64yQc!ZCHdrwzp4D+ia_hXQCD~IKa2GL@P^o( literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.ncd new file mode 100644 index 0000000000000000000000000000000000000000..ea7b083f50e6de0377ea862e7ae988bd2b157371 GIT binary patch literal 154201 zcmeFa37nl(kv4uq$evDs1Va**hK6)Pg7m$;CkE5$?m(N)LMLG{VjCf11R6mYbPx>U z?kFAxCgNPe0gAAghjH086j-sDBir~zk9|OTrMzwz#H{EEOfBfwC z_dEC2ty5>KQ&p$V@}4_y-eZqVsC?$~(!|olfv$CxlQ)&NEj;+c6G{^&b`X0&9@|N**4G;>)t#Cn ztrRx6A`Q+rq9Qru8&Q!4=NnOx2Im`5kp|}*QIQ7c8&Q!4=b2uS25Sd-(+YXsEZBSo z%~G*^4>wE2X5^UDEESuc$4bTWlG7{|%d@vxdO{iL)h!f;7U_vRcUz<<^4x8ap2%~z zMH-yvZi|$b=WdHMIM19GX>gu7Ez;n;G_**AtLiHa*7|yb3+2338k}!Lt28*@h*oKE zz7eg3J=_}guvZ~cDn~{-<;X~-92qofQl@?DyVmsv8?vrz$I#-=t5o8uX~9X#_lCvIJ=j4H88d7 zFTBmAkGQARugN{Ni&F+k;&T8s)|X~B9T-hTs!sNnW|>Fc4}ejl!2Z?X;(X4Ggerd#6}!d zL9WSBwcttOsLg80YsLycYsLyYYsN}XC$UkbRlCwkN71TWHKSFxYDNpYau)rd{!P7u z-5WOzuIt)3xTdeam)_UGFMa7t2hV@W_Q99zICp691v}2)E~CSo4KLn)@wQ8L44qrw zec{kKJ1*IA;SkBEcW+p?u6Lljud83^rfuw7FQ!lj$&IJ7kiUP?dvp(hS)6tr&jJTHKz`K)T7}+w$=u zULN0?kC&eG_?CRUbfw2P=i{X>J-(8Um(KL~z<`YP+J0woM=re%L!Lg*A03Bg(vBB1 zNC%>bAkQK7ts{awi`31I2=Y8qk2@mBGfAEAh#=1;^}{2Ayqu^@9uZXWLHImrsJpvs zQ!2zFK#`2*C8$}17%2xr%1nBjMS2e$T621@Wa$yoYZLo>dvbUcR*ZVZ(yqhaj4VFi z2%RC!96)l_mOLAD#3}&LC!F@p*C6xrE0DW@X|k+7>Z0FHAcAdHSk& zVX4RGWlbj?9-kLAoql+HUea_7^!U7>>6FCd^9P%gh^4$LZ@c&!mB7g^lBC_EQ{hpw=#b7nHzhT3u6xGTc4FGSYe7`*>1%q#Ez~( zE&Qv_M3`5N7RFVhg=y7jVOTX z%@iG33h*^VpxDajU{aln4kZQn8Y0kE!xrcmQjkv#CbT8h%sMZzg&8(6g&8)n0y8%C zoh-A$javpdYn*agclY4X_De3l-RD@{=(-CGM%tWX|n1wJK;UI*A5e`9^gD@B2Pz3JX%tJT~VLrkFgoOx) zBOHNnB*IY$^$15J9D~q+a4f=c2#XPxARLdd6k!>{2?$R|AS0R($_Nz%ZWgs5v?8=2 zv?FvNbRsNAScz~F!ZQ(8A#@?EM(9T9LFh$TgK#p!DF}TC&q7#>(2uYVVLie|gi{d) z5H=xfM%aRI8p7!aXCR!3@N9&w2+u({3*os4g9y(<*oN?YgtHOOLD-J)0)%rBUWmXB zdJ)2T2V_dOA%g%@Ye{JBfK2p3WO^WUV*R^;VOhz zB3zB|Duh=fyawU52(LqUJ;ECh-iYuw2ya4oGr~0pZ$Y>g;cpS%itsjswcEIKn3oK8bJ}!lw{Ejqn+S&m#OI!tDs3L%0LspAhau_&ma02wy<>BEml-d_B76_weuVEM`~czq zAp8*FM+iSg_;-Y#Ap8{J0fe6+Jc#fR!p{-@1K~dr{tMw@gkK>165; zhOi6aafDwX{13vf5q^X4TZI2b_#MLU5&nSi1j25FJqW|9-V-L^`v8QA2nQleLYRy& z1z{?}G=%90GZ1DX)FI45n2m4{!odiKAk0CSi*P8y(-7t%9ELC-VFAKIgu@YzKsXZN zD1>^1qY;ilXh1j?;W&gv2#pAf5tbkvkFXSB8Nvw&Pe(Wrp$VakP(f%$XhCR2XhUd6 z=s@U1SdOp);TZ@k5l%vQCc-L&E`-$x-3UDhy$EX%PDVHdp%39%2x}4g5!NBBN7#U{ z5#dyX0fbEmn-R7koQ7~Z!Wjr>B0L*mE5dUS&O&%D!XU!)5Vj#aAK`3-a}c&8ya3@` zgcp`zU)O9JY;A8J9NdA{sLJv?!lQ)|es-YWcFsA2ErVsSD2nvVz@kDt*cRbuC7Goa zn2}YioMsk9q`Bp)mS*QwLoY^Ea6Ec8!lzYBYv5@h8BrR?Ls}wynpdszYq1g%STnD* z{D?DYW>G|%TaINlvrFq7WtTE7mtD%WC{@jJQoiM~ODl2kj&f6L>tOTX`IlY-NyKN$ zryZ3Lx9yx44W>1j-PN<{(&t~Wx^9N>z?icQhANx~}_zb9#riJ^%degU#)!{7xIHGvXPsfrZWODSHngUTab*l8adx7bt%z*QQF^=C-tM)3EAwF*KkxmHbSK zr1PayC@&biGI_yZhKE=TXBl?IPW+I^dqg#&TJ@%d-e^yIW7>{NrGO_>t!>PGrxbyY z>AIW-?W|I$N^5%o&neulqUDqu%nd7WgUy|(t{<9dgeR?}B!@t(J4^;C)|IsDC>l-Z z(6mz^0WHn#1+Dd-6vM^l?&Jrb8Kz;)ovCilR)#)bhTOcNJ9MZ*Vap;53Y~@}+OAEg zWSZ@y9p%nuX^j-mE{E4qI`HJK&G1r6>v!Tqo~c~og?6P~F&iD{Y%8aEyRD(bR?-E<`XT8QLjme9Q{AUB;8Lh@?a@#YG5~;>9}!jgMJrFA}|z zAAH<0iOnhMof(<@=X5WMNI5=hM~hm{g7(ig36-(Cl6JYPRLWz=r%an_#+Xgn$qzn< zW+E-_K}SIkdxo_tkP0+e=sH*(r`}<;sr7PFt#f=jZu+37Int=5te6I8pn$EDPao(R z+>sh0#ic?_Whiv3W)D@GI+|)2rYW!=U4uK)UJl5Vfm6}-)k2@^k)i=>DzyvBmE#l7 zjfj7dHjQI~I%?!lIjzHjEK-@M9ami|2B5U}y(S`TMY*M2tRqkf~tJ&G`;+%iGdqkg!Tit4LV& zJcToo%oR%+HZw$FAn!<}gX%vLtJJ3M6vKcISG=_Pku6JWJr(w%5a6eCC0wJ(#kZ#x?Xz%D;e2B`)=wa?2~Z$TVfd zskAqowW)M+fW#cFy3glVIWP2ZtEOf_G{mp&Nb5qUxjBsBIu}eeH)nS+wW`W}8V=fU zrqr2My2$fMyX~MI9WB;t)dCW6DXmfzks6XnfuxpDpq!c?o!K>!l4MPyKt`u@uO5Ii zofbb8=Z#=y+tSw3EOa&(bWyc`S;WI2VQG5;GL?~8rcGkTQiGN2tU@bA&mB77nl@@0 z(bTuJ2Gx}>G8ffUMd~+Y^|qn&@&jx@rdnQ|U(xzRH5KY#C7hvvoIYz+Qca*ArvoBo z0~6qCbxZkM==jb!W5WPfUtnoRYE(x-bty(|SmmhIjiS#YC z=$lEOa**`RwdgCPPdk+Km0I*=(x(h1eYqBW6X{cClfEgXpYHrm_&i0A&(9!E( zIPW>9mC&4XY`xf7@CQ{o)MojC0qCC+i%iPMf(;vB1;IQ6}hILGHH7_cek z36tfxY%JZ=sGL-WwD`$#JT~o%j5IA=NONUlpwUe8x$;nLKG#5+&lRM(T(KS{x#m@{ zYinnkrxB*(<|G`r?I~ZnCb#w6`dSMjq?i& zmwCcTvYwgb7?xZMC^4T-Ddik$mFB>b@px)dI#K<_l-N3s#t>V~$`^0vR}C ze41}qM=zq~3D-E^shm|+RrWw)6T0a#+dz(ksh&;I_ajmTa^h6ilsKntPMqqS66Z9| ziBp|Z;+)nwajJJpoYOofPIXU-bK2*`ss1T(P6M4dWvLS9w9tuDJyhbHCOUDdi%Oi+ z#_4>MM=WJ7XMMvb*Mk{xE@vUm9VbN!Z)rrjo_xn9diQ`QP;uFo>kl(9mZ=c~LjQ>C2YO`2GXr^zca zMUWegSjAmziEI#Q$4etd1j4HW)&$H%G*?lR*0EL!Pg&cv zmbKMila&jBO!yEYw6Xf*zzu882)R#MbA~5YHaSfwc&c$MWV_DGo7UC8r82l~OaEq# zn+RqqG+Fr>ekez?A71?f$yc(25-?d#ozAj1E&FHFzvaz|YyG#J6h2f%H899UwYf*?{}(8#lU!5}92ZqN7F{H& za*Df#sOp=##iFV&tEeWVqWU%$O%c_%`fm}{!&Ou(s#q?nt!`C67EIGqZ&jaKb2`;! zbjrAl%5i;_(by>JJ0dD+d4t(5uYS1r#_8JS)d!W{R6=*SM2du->%T=pdsIT3^Ag(J z{g-M?XSkqF9~V@4m!!a$mPMLe8k2FqETUCxOgrUmoFZfT4i`pHcuR0Zps=P;5OH?zf+EnG-R`gniB3XTx%cjWcJN-9hb;4AY)z&Im z^@0Bjf7-w=Qw&U<_R+LTvKwh)g)`)>fBMAgV3*p1#-&zX$}5uEY)CIx3#Q(KQS2w^ z8?r^dK!*#d2<^N5w+QWA723AE(6;==8rZoms&mFgRo)pb5Y?u=`2tNk-pf)-eu1E( zx2Y5v*tfWBO75@s-y*AFX4PILtADYJ0P|c{pEfS5@=kNLtXA|Mf?~_ro{6fO+<1o7 zkvS1fE{U>Sb*cXrNqv$37D+u)t!hV=r2fUuuok$a&L5XldE>rXQgOjDPs95pDxP6g z!rim7dYWG-=*(7K%PxwN*=zi_i0Z5Sw}|RdDyp5SsJ3=Do7al&lDC~E!X=rEs|OCm z)dSOT?Z6C76YFr@z-(MKa4%ZZWKE z=v#kUexCu$km{oCB zlXX;FaghBM>1^}gBAv}aI&;H3Y^M$L^N~WWr&`WQqp+PH7{PXS9lsdT*?s(#J&|}W z?q1rn56q@Z<_P<t4FJ2mWFf|W)BK0ac9^T1IX`5c)2Io8<(;2Pwr-t-- zIr-h>zh!LS8RR*)n%~ylYKu+uJZVNTUlVzrGK#VN?IXx@*V5p6Vjh$U^^>-yVGguU zP3Y52Y)>c@+Y`sdHX6)hvF)fimbbddi3wfkzeNOxR0MGkO=40j-N{(asm}poPH9$0 zp8R}x?;^OG({G!U)0+0I5Nwqnq7_c<=^OpG2=g-kE#=e&Vb1vnZLxoVBbTtI6J?Ew9>U1N zeAC{AS*P*cOO|57g!QH?yO#DG56kNEz54hb#6jYbPdLarss*R9u&^yA$<2k5+&V7F zH6*#Bw-=mPTW_6;GGa3?H{g1su{1*+xECWyPuJo|R$EiU+m*eD;XzRe8HT!b*S~JYK%8 zTtAv6fp(Mewjkrs_f7$2VYOpi#zn@bR-5GP9BZ{n*6X*69OHJq!#J4unbAq?EW5lN zzrdwkq(6!m>3^EX%kJ2Wpba@cxz%4H;DUp$f{or!e%s!)0ec0q3U=jw=O(W-0e?oJ z5kF~Mz#}&~aYw>c=>^lf&YB+doi2dBwQ$J`-#aa*Gw&K|=Zgwpf9emqulJKQ)3NcP+gV3%s^mv3N0EU^vQ4ZPoXW*sjgHzmVlI zShiSWV%=LP)+djPbuB9#{&1&Y*`iqlQSEakthBcV=LMRIynsgOaDkP1;<5f)Z2$HCTLio*2y||>t~p+<<4PUXhVBZ5dSrpFx??HlvdB^$ z7dFIe&L)exf(M6MmYS6)TWd~j{r_)!+Gm@Kl=xZM046WFbV0(;iDz(&S67RquE%4%;y{|>xZl=ZTOYEeGg zMOQ?5j{g=>J}iiGZne3&XxDk4iSlB+WWlwI#POyi|B?G8vHXs~67!&m@^cGC`FZ1_ zTtk$bYl?E42w$-%cZi@?i}Hmoxz_*)2-S0q0EzziQop8 zVUg)0{I|%oUV1l(f16B}_Habb_fz!f{F8YZWQ3(Xo`cI|80&dvm$NzFUcBJibG|V) z(%Vg-&nXn>7mN#Z4F|cBtzT5{ZgP z4BU$ZlvTVPJ;!)gE+fcDX}-MeZb*V3FwO`friw_5NE1fSEzUbFYWuttL0j zb_!p&xkt0-@{tiFobS@(h2{8ldhQy+o94U_iT{*sz}P_VtD7FqHz_`^&?;XrF2#{m zPFLOJg}b6vw-ymV^)nK0VnS}RH{oCxS`p$F`G?UHRdn%}xQS1JeHQnV?SRqf7nAjJ z^W1_PmMrK3V~>z8k-ASo5ytj4Hwn$a)`xAq5qCJ^h29IRrAnj!vM(GL9&n>96_3mP zx7fIi{#(RjMf$(8CMVVVasDE*zGlP|mE4gV&89<-+*MyCCp^n=WmhI4vbjvb5&8Mk zgyf=eAt_DPtNvBv_5Q9PBzh1bF+I(h=}G11Q!X!*p9zQfZ;_wVgJH?-QsK>Yf1igt zRNTZJy}*p%^>HnUukCO-DHEUc;+cKm{#9QTTJw0qAHRhKw!Fl~%Zr210(Yr^;`LoJ zK3>Xr$zy}Le@iH5=e^YJizT|e*W(M>B2^;oFlgCtv6gH7w@CYY{kIIr?+MbM+tR|E z$EDwnjq%7BS5v2sc>mYkd-n(-{IHVA(7JRn&xVOUh)ejV&U~IK(Z9?@|F2y1U*@9! z(s9uj(XT$XP}U_WI)p`{A6?v#e?7U98Tx!oTZqZ+>z0mkp%Rz2_UuiV$*ABM9oEj<6U}1x-aqHBHbVI-y+=~4APz3JcG%1>9)7z zMn9AKm%U3jd>h$UaBoLWyJKO1ivWLK68;L4@GD)yuW||BIWFNfZGN_DTV(U2Ya;WO zU9a#hT36_(Ve>04^`aGj<-bKMKH$GaD{c&0k=uuBjhDv!u$sOFGP2FTZ|_9nfot0b{D?27a{Xn)kDf!8e+$zrkhwO)l$yGcN0q&lI227r`tx`fVbl<`l(= zqParelj@KRt6%Uw!)0ImrHlNxq?qo%rPzreryX#0wXZoJiW<8NIz-tM~bwsGC4sT;X5BUKy`(PG^ww}g{Zs#Ea< zqdg28dEMCVvMv}u0}VEjPcy!ou)nSaP4`wYtOsJwWrqHB7QxL&Qqd2de@$6 zPdc}Fp$obU5{LS4QG`$WZ&8F#1VzYgJL8OO_1uD+Zlk-e;Xn7T2y!ojt~n*Av1FqG z3$#z|XfehraGj~Z-?<9B$5r6($5kLwf!NmP|H?PjfM}B<)qvNzgo_5`FHW81@uC5@ z2Mx$=J>&IY--obWw$ZOOjo>En6`ssXl4FTsfobe#5My)p;(aFN?{z7^!KM8AaVf7M z<(-+ARB(d4mXJ?~?mrbFpXWj?`CaM1MaZuXLY~`7#_1v#a{mV_z5zd)t>h6l+qks` z=SDZ&T->-DHaJAyN zK}T2(${!|bcPZxxPwKCThbSdmO`&E;I={xbh9a{qV! zTjc(xAosaFXWZlI!vz;dIb@7p?r$BzX~K(6xNjEQXxZrtnJ6O_-^~jrO`qHg)Yap` zsAYY`x2Z7f-ew~H$spqLW{5hpi2l^Lh>M7G=`gp3*_54_%Ug9lR$&{;v2=HeY!h2B1> z$9w*D@1|C#q;hL=GA#|WRYo0a=-P`EQ)S{`(574A)Yo_y(u!(?P7$hkM|6ux==dDe~X#^d;cw_{<@$W zxqVt3@A2&^x*(hZkKPUW`QCK{3p09kI1l;6M?rz-(&aw!!3#}#wX^bM*KnBaleq5o zPE(qHa;3S;mFDx~N)vhLu_sc_mUF5PDU`Egq16|HmhHml{KWKcT=GSC{>gufW*qFl zMKcZxnvvVMZH_NDdh!#~ku)Q_E+cZ#qdRV)SO2e^T==4C#ur>OzT}$m&*PdAX+|=4 z^(!_ChLe^|Z>n{p;^%(SE!}6h?28Ar$bXA&e8zu^ZhSiEMs73Hn!U}dXMTW zBa9k&fhOE28jTt1PlXdd9AWplH}zyki2Bh?YwtGc|FTQ}S6ur4WnB6r>5o_Wvz7en z-P|^bvlE|jqFUR$r7jn285FkqZxQ^d{#yipS`hr)Hg6?;V^~*hMt>pc7e*5N>Qx(D zes2F8^k*XR_n5?g)g}JxF7aO*m-t%Kht^1ZtER>FkA&4;Qv7~Qeg&vAOds;cJg;zh zmx16o|1J8k(tnFSd@1NdZtE9!O&3icxOqLAH)lrD2fRQgx1swgX682i`HTSHFn#z} z*N1yuAHF%R54H3`MZU&#x>e*jQ)L@k#1k-t7NVX_M*)Gx~6}hiT<~O=%;J?-yRoz5&h~l z{fh2P6$^0U{lru0j%bA`@%tytaN!ll0ILTA9>|; zgg=|pLv6g~H*)jC{|oG!w<4pH`}w~C-IphM{>{YvJ8t2>=NA6E<6<89_^~lA`(@Py zGh98BP{YFOpMz3CQb~^vC5xm#-Qz{lzu>>cjNcU`Kes)L)gRx^Qn{Z9sUPij)<}*G zwr1hhM<NteH#WhpSiJj zW&dfLH}^L;FY6iTJFRzR%d$=Vy{8X!ZSGyUsei-i^*vpiyLhC5d2OiQJkV!9HZw~g zH?M5i)ORvt`qrPeTnXy?*7x-Gld^9;3db@$PQprU^kU&jQYW;s^k8Ws@Pr;JO$lWw z&1B8We;|APG1YR{pVQa$ozdHa^19Ac1n2a$Dl;06L*B=2>|5X0LzbQh>hT6j5pA$oWnKAVLjyXe{3m7WH zRmRXt!q99&^5?|RM>2-~_n0%ZrGTL_-e(Lgrwsjbl+Z^rhCVjt3~eo7s5nd+Lz_~D z{yC2FyE2A8KIRNkKH%N z*%M>Vvia34YnP+&5z7*vuRq&e-=A2vJ7d|NF=yF=0+vNivW%FCSJxj+E(EOLW|Wr^R`pKbo_M^ZKcrww&AYXbgdcZ^rF4liI?S{|^9BlV_ z!;7DcPgZVw^6P=4cFHE;kLLovaQa6BhXXNJ(4V)hHYhb^$_;@Qe@N3bS^J{ql zzalq(Xkef#R>*<<(g|zK7`AxK8MdN;VUe2;1C}ssb9Q8Vf6~Y$8N-erbA~;mfMKzl z-*f7^QzDZ;uwTx+aYR3;-ZOs|STE?7XT?HJAJpGNQ^y$@S>bb6t_CUJOtF_UT3H zv}PP=8*>hn3OEq??(4dC45j-T1D$S|#*zv6?}4B=9b?Xf2~|v}G(`@%Fd^BiSRL)S zjwKU1GbSt_b0+Zmg4}(xO_7%^Oi1=0R!2KrW66XS855o{=1kzfl;)WbdCtOwWKUsr zH2WV*Cg9egpbjUEITH$Q9&U=fVPQhDPp~>VvN4uScxJ|gRb$SCNd=M+dAP!aWbJ=- zw5~ChOu&6@K@wJvITH%5UulZGR$)T2p1(ReSTUAN=+2nXGv-XF{=cb8Q{;#W6OuLh z)zLoVSTdnEW5Sv-XF~Nqs#KaH-%^;69I;s)t-FsU6Hd;UaLSl7VOoJrh}=bCLb8_L z-|2Ibv1CGD#)M~$ITNNAFd_07y9YL$o*w919qsXrB@@LF6xz2Z_7bAFb4kB@Z@bJlH(uJeXa;gUDSZ4-#*&KROsb zmOQ|97QtIQZOnOaPyr7jZ;?DmoW=gOr)DYP^o$2*j5!YuF5p4rERqL_uh{<-tt6b8 z@!;8G&Vxe=co6xD9^P!u4jioI(JLAAPW6pu23OEq?hy&?9*5;>32DWD$c)^%+pre2Tk%uTd zQyY?E&snjUA`_uan%}+QkF_596LPx*iQvjTK-*kpCMhPN#%3dbBBi?Jel$x z9=y_e#J7frANp8?Lo3;wgbpu(2wi(bcc9`PyeqP6i)XZcH~taY?yT11>IO zmeys@gHag=Dczp)BHY2H((Kix(#%I9+##gP;pS+5k8O+S<_6pkBHW>xw&k`6_cSec z_pc({Jf&-08sQFOex*{9cD~{kOo`|gXxdp@BHTiyYkYTvJ6zk=vLeDAp=leBj&Mh6 z+QqMra7Ss{y6q9JUfZ$j)ChMp(+&?OZ97)WNa}o?rcL;_h<%Rx-c@VAY?Lj{0f&8LT>$(n%ieQGS3`&#LJjyvykbi=G9XwS)Otxdy8~ zruj)5Lt2AXN2}?qy`&>dI>TAH5*ONGu+RmZ(JjA!czDl8wG4yFmpu>W%I$W#WdUY> zd(KPgW<$>EAVYi%Ry&|LD|cD9(;2L`A*EY>AMEr86lXB&_t>@^9omu61z6~QkkT!K zOtsvmID=WP;j;SmLH9l%=mO04?*3JEeyvMAKf=trlfSs`mhOGuQ-EQ?yM~-9n0d#!^dFeXE>|hY}C7Dh0+6E%DT1JV74RQUiN*~ zjsQzLUQu1H<;OY@7XICn(y{I)R|c~kdAT~`)6QpuY1ejNp618;EnKcRgIT|Y7p8RV zE32Qu(%w)%^`3B<{!MWDPSUgnn~dNeCg|nO-qC$5yB@J< z#c3HR>uu=#V0;WN-#a|~#LY@)Fcqe*E04qfpI~6*V`*2tb$Iv}aDw<4yyTU@!C~ZM z@cbb-tZ!AE!B$UVtvo8ImCHer<*^gA+%q-|bgb(=d2sz;xh#zAb4H@^N5Z?Sdvs04 zB6C){yD}D;FK|mW>Pybc{41-LIM&eDh$g|x&mjYw`tD>$SPoTMGXKd*E!lV|zlgj~2Dm6%`6m@PdSVP!S!r-dw>=G7sJ3fb(P zVqMp_I;-K7P|Ee7L``6|OAF3aoWV=$OQoZJqd0?wNzFMrxY-$9fTbmUN@r=bR*qYM zcxj!`VSRA$>_L%%er+GBReBi|?C{~?N57fOv#g2F?9SR_P25n8+U9DGnv3zf)_G&7 zn_XZ{tncm4gdd7Cdo{eHBYXn7N)5|`n;mr|8)r2JbuZw4;*feNQ(G>Iqna+b*|H(d z(@gy0@bG`1t#k%Y1#=gCO>qVv_Bm+DrHV6H7#=h%#x2;8(k_=wvvu3W2h2@Y-K6W3+oy^6E8O<6cRd_T+;AA={uQhn@QMST*y@(S?L*t1fByDC zasAi1F)-Uywn^ig9M`hW zvI)45X3>k>7#Q5Jq*Q7?TImd?$tz!@ID^?{=F2Bbi;t-~!yR z0`iDF1s7oEJ3ZuQFw0}cf~BVi?|1(ptgSpW$L+(@*mS7sCbti0 z9S7DuXZA>z%=S2?dhBC9EF7;?yKZrdR8gwk_c)cI9`L+6HR0n+yu^7d!>Wt#|3bAP zw}K0>%oFFNxP~)fP48En!KB->DWz+A=kV|YeTp-<{41pWgW?Qc{%z>qu;L7+tFb2x zCK(-YyTV8tm%IBOO`G5zsK!0^u@u*KBwV1+D$d}}??>x+0cKquU!ruz4|d)|#+T4` z?NFt&`fHDRTGoMdRu|H-P2$&PUIct*w25@qHkP{^Z4z8)o7IbHwSGgDX8g4Xo((FG zbbTp9kjKYf;={WM-#V8ele8OscsCjPk`IT5`k~9v^w2gb0!3YoqE-c5fEzAC-64K_ z3>J9_dSz*dd+f$)y4_zY!2M8hmXGk>@(cM0pF@7aKjb6)3;78S`3O$(>l@l}$-wq) z=e&%WZ&V&00ubIl{q;SMo|;)jn*g-CP9LOM?YZ6c&`|fe9wI*)R!g7ny__>muMXsnG9Rk{Iz$a8J1Q@9m5jgwtd1;javI%CwTT|`ET{^40X9)P#G-zSrKrk^B%y$ zE5XV7P%~o(v~1>B)*N?z*T;gH%A~rJ-L~2A(Cs5-5NX+MMDtHL3?}BM%-rF&H~6BA z!R0SO)n2W129rf~P!m3mgSd4o&f>{JqZ6@2yojaH;S|Niq~Gj~o6ms`9{f@6ydKO6 zC$edE#&&8hyQ){ZSjaBui^yfr8_f_IV@#X>Q>~!EtKN;N6Ko0}gO|J#xM1@Qo_sD` z^WgRv%q8i%R!wX9RVINh$eY2HNnkX3jgP@}GauQdID@V3#9H3ka$?9VjCA~~E7@fR zTT0*q2d`KZj9W{5q&;{U+N2%<`>-QRECOH;~F%tg=@WH<|^Fa?QRbxZg(mZ zoL~8Rhp3nF5#JabO)F?v8XK)G(h4ymyDqhgD^5D@S3&6T&ByXt^%iKOe%q?0!JKCv zd!f=9Y`h@W+Sd7tXs!}q;|1xGa&I8UaSbqbPu!t6gBu?7^%H{|zT)dA1~;sO^Kn~9 z8(P0AMkY|v>wzMEl`duwi@*7WNAcCoV5$%;{c3EW=2-XSo+( zHJs3X$s`U#$mNy^%D|&# z;QK`wj!|*J1$gpSxM4v;3_k30=%#y>&fuk|xpgyGtPIME^W(~_X}iI+Jf`giQyLfi zK+_tImcmxP4W@)H_=?h58cMLGwRlRf(vi_3!DlL?Cn9k@7y=J9-bFO7Ml3YcPkij2 z5=xfDuz{0ZJPclRj!)_gZa5R1K3mfoEVU0UNpL?2WsoC?m(~S3NrQO73EeOdbX>;Yzi?fN|!Gc14Xv9oY&JQ)J#Ec;33;u&a-&Mt(XDaB99|hv&46Z!#WHX zt)*#OwQ!VDf-o(F1AXV>WK#zNCl|nz17_3p5KeMv3VD;R?#Iyqq?uZ`BrQZ-81dVP z&e|9s^g2=LlEYQdRq?JOUkB3|W|y21Tdq|}&Y`VPQ<0okdxoY>&YZ0z9keMv>voc+ zP0pM(D!XM*yc^|x8ch%VW3aLo;TR30l>=ndWDM7NeKK0G62Jj6x&Dtf8MNrzb&g`54UamCy~t z5pKhP(gV}T$6(91RN_0+*y$D>ey&y&rmu7f_<)`6@BUqpQLKyRpTQ=^yHCL+&RXe1 z5e_L+o$8|HUwEjr0DEqAFKn3_oCSCI%nzdiw%QX}HEuVRXJ*%P_e7Ls+?ID$Zc`dfjb`OUk`9P0K?(zYW&fV9r{9 z8+J4eo;)8K`&&(GF!{J3XhBAY4zRk=p(JelW@<~z??p&&IKpC0g0(hYilq-cHNJ_A zY|^yGBht+Zyt1~dco`k#%H&INR)0#9wZrNl7ES6haN%WLstbdzw3I=5x(~b3nhgHV zMLhJoQX<8_BSq*ZgQuP1Cmal(jCL$~r`FM6sn_qk-i?5zj)K#pDbkJ4(@IVO>6I$} zKl@aouyr(jFpa&w2xF@Fde^8E$SSbqTyKr}7lTe^?#2C%GEd@8M~Ns|T~yT`l&OOn zsk+w(OMq`aGB^%uEnD!-huz$Azt)N0d}J`YQ|TJ$bVpK~6c+)m`LT z2leTH7fki-MMGsjdTsiLjY3P(3`(WGvrDCE4=B!H`Mxy50bYw9nf_kI8EpCDh{ox? zGIu{)D>)f-?OMsjOMDXCpaQH|Z~>Nn5OY>48GP&%IE%r|8+YyE?`B^@Ki}GW=m{#l8y?TJ;=eRjG!8pRDcb;~6>l@w5nqWkSBMo(` zFPNBML~fCxzT-a7s!*Y5r6rb?BOoiUi)1ChA|L;$Z8f+7cGzUa;D-0OtQgG2*+s!) zHJJQrePb@a73d$diw_S*5f;AQQGokSz=iT?f9=?EpidcuzUm;^0WGjT!eNA4)qsYXb01>JdqWAmr6tB<~I0BrP6k{b<^~G z^UPoQ`UgIIQE9H(mPt3wB&`$@X)w z4pXVLlJH!u$AJJBX+7%BtE$J$Uqu^0(^(E&;@?HM8Cv$NrS55fjE|hOJ`dk8-*u=u zA67}4@GbpT9J92ng)B=W=jAR^oWYg{v6lDTA0v-Or89WW<$fO1V0xD6475k ziZxoc!kAYNl}blbqA=Sscrh2P9av!u1s*DM_@49Z>NeHgtduYQ4aHEknK9^IAzNOjx>HXHU|EjXS&&hPukt zp`M&EZs7YIG_GDy89WV~GVk1A>5Jf#S{n9~9YZ#lbgiLp6Wj$_MvQB@AuX5Z8yXK) zoWWA=RRy>mY1+fyfjWO$aR$?j*cM-mv#r3S42)2GjE3%QUUQBFixj{19B=i_`(-sQ_wPtC!#+v(xxM=zVDR6%sQM)Zx8Ykh?L8m|gCeDh2R7V*R=p6}+| zbadSsaX3h~6)QVnaPexR#2jZ7Bu5cc@^$z|ktYSSXfVH5-XG`!JfquJ8x6L6^^|)P z6I`IRoB|NT3HP@i{JIZ1MBzBegMV;KGKW4C))hYOc6+X(c6GWgVwsr<_nhbn;SI>@ z??Tu9ilTPk>v_%7R%k^K{iEpodVo0yJc!QbWATe#y509G&iJN1syO3^cB$ibp-pn& zE40n(ubt}o?Lr;2R~^Ulh|_Y1a6M`pBZu5cIGVF{FeEzbXJQq-7GbEb_?U%+tks_A zMBr2{So1@^FGj)F2V8*5kKl02!-_Ll8vd1(jyRqc zD%0v^A@+ifu;W5E4`?W>A0Ow($S$dW?Qi28=#|*>Yl~K#rbaFYBbQYH7vM6E5bh4W zXs}ced59gra9%3C*gFTmMEf?m86b*g;A+=q7&4+BpXZ~rp-%Mv3Z0|*A;nH)vW(?9 zEK(VU9*b|d0_}kP;$txB9uL+b!JVjdmXB7{aZIB%jc`aKI7y2)euO_4SMy-dmy?iM zvcAr3l{I*VTQoy8xIYu~02BIUuK^G0LtO$ab-ymf@f3jFK4Y-(V208qxQ39HmJ#`A zMIHAz(nxzlTFD1#1Se^S${p?POz;vH%Ly1yzcW1i^TXD3Z_vEtbJ;c&(zB+!&u~F7 zl@@6*rTaO|0w05q!3NJyFH)Sr(&#S58LW8|#|nvLUg8x3S_nQKRfr=LEA&rMg-~%m zN^9}N4B!vgcf+`s-d=1iK<+gqjYmg9kJ_c82z)eEm@G+QcKXyPdqXUcQ{~^!?Sk{+7 z%g4%-J`UwtKH3jTXK7?jO49OQ5h-NN6;iy+g$z9?TgJC-SaXK>UW^aj+VWua@{$rG z5oc-7BQg3LMYKGq{mySb7H@I+;YPgCzt(yj2zqd5+4b;So822d2q?O1SNL!vW)pl{ z=_sq~-HyVXnvdp(@2iooqGYbV2hyS$E0U7Xx{^5kCRVC_cW4s6`B**;m!X&LSDe8O zmtjC#YdD2zKUfwYOUuJw_17rQU>^Up*9!)G)0^6K8b z%ZJV9VPE2gDrKCc*-8uqUnvwPJ${$6 zEo~+e9q_WHfr0~GR01CGq7v|%4~<#NB2|zK2P1;Z`m#8bjY|n+P`yISo`{ssK;K*s z`FNi%YFgP{(E{cXDjtoO7Yw0HjcD|2w$Q~YvS?Nj-+U~dFALjj-{AQ>feSXx;91ZR zqcd2Vp39FC(0I8NA?xOZDanc(Dl_IvZ!dJ5?OkxK>)ApT^TufDf_!vw{u}N}_Tl}EXSv<{`(GkaZ;*@Tv+*WC4f>&tk zXhpzxifdz|_olUO*vBL5;McpGh=fwwrS3@0(8_3j$QQpGgJm&T_CzjJI)kP1&}cqJ z$2U%nzEW`pFL@Mv{@No>6gzcoy7|d_2HA%4|TE4?s9I+m#i22hWccEBslbq;6fisJCU~-olpjG3&C^Z_{~Rf;JM%e?3G2G`3Vj8WL;WS>WMI5iR=pgW_>efXA;X&*y z`1N1+W#t9hf3t4%fh1f1L#r@0uE2H4iy8c%Y;d-?<9z7!Km%n!5nxp;r*h_q_H8-Gs>8Px0 z!KPm$laHXGzt>8zTONCfEW`4{_ZzVC z^DhAxU@kd5Bz5ulxi_J0=P8}RjZa{G?X8M4nC;kwp`DN6me%`NY%n|hv0&$|JZ+Gs zwR|Y@mY=23CXo*7j_v$@Z8fjH;_HrqS3jTV?lLAC%;oWe-2*aIbQ2K9UOvM6ui*O~ z5w8L)%X7hIS^Q$O_eU3KT7$WZ@hF6zkHKuu<50FL+!DoE-sH>ALm8GvYp-;ILmI(J zS}y&G5WP+ra{vgD0oNBFx;|R^BWH;FNcGa6(po$VN%W!k9QaXc4w=ig5oR*uee2lIFsk4g%45r5I{-M%Y zn`ER9ZL_*+|0$i7M_g7e>cH}dvvMtO?JlO}045^zH!h*8Xv5Rlz6r;pZqp`f(MpLF za1d;2Z}6&j;3P1{K0XF>);i}2#Tm@CszpChoWat}M-^wVG+A(|4m6YOO|Ao|EvvQo z?QW-o>$V`eD$&&9LLY$*^;&PHwfx6!v`huga%;Xy`5eDy656d5L4`hqZn&Nmb+~*F z#0WaZ$6&T~!8XNNn#CAZ>ml-d3>MM2umJa3#aY_Uha$&2z{1ZTE1ks?*LarVlDgcX zII9=?!0Kmp5b+Fk5gh6uxKI}>Px>#EYvqY}hH|A0lqY3KxkHt5Sw@yOYwyB%@~#MB z>UHj7%+vB(U*=tTm}V2-M@939M-$`wBTB7OYGV{4c8%VbRhHb&QRR_$1+*@6h4Cb+!x)am<<^nhK1jQN5 z^7ot>a3LS2oz(-&CzuI?tq(|P`LQmxHez*|i!yC(#Nb2cM0^e~ z?=H5r41?u((gdxG@mxjKaLQkmRl_NNNoRaEUQ$7fr^Yu)8~A5DQhBxfv`wV5wpqR8 z*p$={bJAY9S(J(1;v?P+I+rLkdL0WWsav}*W^)RFM=9}rK2n74Gx+eoaR(Vp&1uxZrIK3fQVqLfJSKfY9H@Y1=_v?stag}GU)ZLmyd1UFQcn!eR5 z!;(>%$IkW2G;+*A{ThAD;pl`%De=v-AN>IrU>3FuN%&#Yl=XD3#u+ zjbfi1p^a)hq1rvYz=v&X=M{b=hY8_OG)9i6p`ydXCLMRX5kO_x(~S|;iJDj4O`iRH zZ4TMkh#_*>PJ9P1-(apA9fvac7`*B&@J?T;ID?nqcm0s!4CYe4`BnxC>#k5bD?>cU zvr-(F-0en0gN5#@luqi@pg4m?cJHpn@w6)+E4KmNY3C>mW*Ktv0O%|~&SZ{0UXseJ zhA`WB^wSh)aQPAB2fgECFzd450>v53o-v&9V>U&}_<}mnGuN)ywAOaPg?3mwMW;i1 ziDNsp_dPA!#I)MBq2^{rz036uqChu!L3GhJP*T(O$mmSe9Hk`~)ihpl+;yD)IB;xn z82vO$WF6wD{kULB4X_`e1jqzL;6LBYAamx)#q@EGr)|iclrC2!0Hg zfainhd<^CRo5s)_gL_uN@&B}tl?>)9o~HK(uRRxK{iD(uY~>ScWl(_TZBaUdg*{<% zVX&}KaM2q=*u|_g%S`?6oKeeQtzU&kMdlHTBI9p##UGj`!|!oEY);WyXkujYIb?#& z_!vCravbRi95Hw<#L5oR8!V=|T9W*w(Ei`QB?j`3P8;`q9*NXd0Bgx0!u`VwN7 z_ULgpBz=xH^C8cV`&*+>oU{;ppZ%{<3j@seO@EE1I_?IgBktI5EACj>Og@4;G~nO_7><__vc<9e3FIiaYGJj^osbbTiu= zH)B9?GlKnP8Pm}<+5)Asy7JP&pN0GkZus%=@Yf(Zd<<^*;_&c~-xP2GZny*D63Q?b z|B79zKTqil77@8naR!Tspx%58Hl7ieq=ka=k+gtGf9$_2&S2v$u}Rv{Hc1Ou=#P%l z0=7ON*4oL%R=b|VVCHKJv<5R@{`(0ZgIUIW$TA;;S%zIOnWPP7Qqlq@{b4Wlw1kx8EZ3&qN!rjhNeh^1 zr+-GvFqmm6b9|DtkZV4Y7BJ~&yhw2dGc9G9W}{u|^d7gBD6fB~*@(b+WCzW|3~AAu zskNcGI9#LoDt(Y2L4kS&sPWRHrcyfAsS)5pgk#n#PGQWeFd!TaD?oFpFs62}E4q?s zFw7ZNi57iQ=@hoIh{GvFcmX(6)G9;3d%aonXbmwTF1!Cv)N_H_TJ49>D{9?p@yr?U z|6ss|hFYB9u4k4WXEi`WOQrW!m)`Dv$T`rX2dWN2298P$hBc*NW+>x&l!az3!)7My z!N*`q{0XmCoWW23I(()h6=yIVgRUDDXE4jK6UYWz`D~A#(rOciUhhpp$I%OmPc8x_ z?%_Sv3}s=B_gK7EUV+tY(St5SKrtkGrUQ&KO6zW|N+7~6NGfA_hDlXb; z6pE87eNffu*h3XZ)gjF9hMOHnm}4P2Td@T&ScjeN@p<3FikMn%GdW4de_sqlv|nZL*A%^ z*m&5ei=3ZC@u0?a)w-ahh{qDs?r&%nxPr6iI!hC9A>QJw3XHv}y70@~wm_CS@2iVm z@elGQWnYGJa1t*oJHR{+Y}W`GJbx#KH_W2=7|f}qz2k4N7Dp<|TZO{gw}Gc zbJkYp54uVG_~>npMFADv!xn@<6C;yXq2w@78+`azz19ZHOreXF@HB^iGolNy(A}nV zmPTt8;Seu4q2nT}^#0A>0jRgEB{<-i(N+l|L zjc?wZr1I4mB}5%KbZcF7xSsh@t&W(?UMov+v>SETSk8*0EH#3TvczxZM;CzkOos*K z3)g%M78cz=OhgBF-rTY20iL`8vffBK#wmQ*zr)S=vEmGt73jMaXJs^g%F8u))mwpk zztS1Jjx3lke~5VR^|gwt*)fg zI>S~p%L4f=u1$+|OpdR4F=>ug7{iFpp|b8gq=36%+GKF!mFSY75e7?DZ_?%&EY%iV z_rQkJ?Yha2c#ZxF-trHsc2TikBZnW}=gKoxGge~AG5*K!t3OqooR@f?B~hHkPr(7g zFK<(v!BZFD5KmZvGFXnVD_O~4zLL8;m==S10__hN0{9qg)H9E`Jt-$rQyIOnYTZ$_ei6 zN@r#8sz0+=2D4nfTF}}7JNz8H-~TqIb$B8E5bKxU(EJR3+P9GwZXO?lX`^g6#$e+k z>8vhuVV7q7oEX@;0Mh`>dQSoF(~67hybyfgljR3r&G^EVJi@#y*p7D?JPU@({=CUx z){lM4$NE7eC-jH$mt(W>S#aR5-~ykGmrQHdHCmfgUbPItp-qAdZIg1*CY4yyslK%n z{Fv$+%^BV+q`v+4sfGF${V|FuS9F_^DOa==Wy%%(5iA6g6^qYPnI=X5&SILh#hs8N zSyiiEkR}WVd_)3n4w{5-!?kV+nuPC6lVJ9Ka~jUQg4-g20bck7B=F_LK~nF49|v6+`3>d^c&14PTN|0$>c?}u_8&?H z&%Fs!8%6_zDG_$`Dz1zD^M$p8-p!nU()t-p?`FS z7Sr6(O5o!@Mnc5tZ?r@=)|jM5Z<_~Wsgy_o*0;bR<703IhG@mDiZi(RIP9N`2x7Vv z;6`MB!pD@(VD2Z{tw{z8JHD%Q1`BHhha=U}l22$$CV>tPgB*e0;QJv!(N}xujlL~* z5E%l+y30|Gii%I9qw7ia_z_N3r&ZA+P!tC32j3lV0j7WW&>K_S+}m)XI`o{ujo*iM zLR$G4Ec0PZ|6?4N)*ppk=VP#(ihyIoC(iF-_+fmQA7RoxeuUzzUdju_S$WC~$MF<9 zaq($(=A-N&9sJv}sQf3D_h2#?nK%i5>?b}KHbzHNGh=jgebD4kvLr?(-$N#^3Ag|^ zY=;YXsNxKk+TWeh31_5Y$cHNrh?mwG9dNwuMp`Fy>B|~2O5Em}!3LmOd#>;qFvdd3 zaZ=SPoKosad$t6@NXH59L!@m5UA{qF0d;u=D6_~v8rQZ2#BKizWIyS+k!&$^Ugn3bia zZO#{%YMRbjuW(1>L`Bs_i#fr_Yhq;b224NCXXYS1nW-%o#aTSZLHi3)gN>e)hFdz< z$9scGR~H5igIQC>rTSSB|KT6Cp%mvsP@n73b5PAd zoBJT##9;mnZaf9<+ND~C!NQ-w=L83y@riNDm+bBru~E0HP;xM%1YQr$Uf^EHVPS^z zsGm49L5k+%7=GyGEk4udXuX9p8K`zG1tn zCUFMyb!5Be-e7S-A0<_kb_w>DA5mOGfGHF6wkghF;cF<@^5ZMiivpd&EMxJRnl`~* zR9(igq3>7*VV1$aYv5yb6?Zw*+45HAI^AOAEx1sIEyH$(hy)1nP-`~?QqcPq|ds)YX`u)>-(>4wT;>F@B$l-cVbyVu7W ztIW|ZMcD{QO7tO)PCxcjE!g0t&q8Hjw)hw}jwxTDHYoTxmk-0L0AReEMMDrorwF>o;v4+|+mSgbDIj zW8BDUvFrE1pHk^guV8Da;G5i|vkF#wbW*=Jp)S8h{hqCLkvhOblQ!X-kHyPH*WfQ7 zgN;792b=_-_evSphJCoUO0DxXz0iqLsbMr@y)(_=WALv@f0)7COC^J)0_zlKuvA`f zxEQ^qgOPW6bPi)*LnWtVXdga{3}+wgu`IV z18N6n+-`yTqE>Sv(i@+mZZqfOTDS8UU;E!RJp8~{lI7;mN&PQC%s(21)=3zJ4qovh z?_h%)uS7lXS2}}fxo5ssaR$?p&AK+^7xF3p4q9KQID>oe@0CBgS#bu_?|<+b#TmTz zT-5W+iZj^iPOOzj%cC70mVKVo>T1@lMj2)Pb=t4|DIW? zKn>5aG>Wr$_7~%7S_jNp^(uldc^@{@9?%P_wg)Hs#D7^>a*O^rgo}uHG%>#4;zO>% zb8o;T=_N{MuxKDUhmXyevX77dmv+^2K~OLYW?)fpK$@79uOypSjJ zd#KyZ98gU1&`VrjLhr^m0lR^K@OZL*g;Wz?5ZWce}t(DjOEDhz^(pnm=KIy<0cz&hQS6l|kU-0F@=n__- zn00&!YrQgI*SmdKpTdkRF;YB^6cAND29pU7UY+9jk7#z|s=)-5IV@49*p^_%Nu%%N)k`eOx~gexNLAEC93~xpB))I_hHE3S}odOk-NLR zihBUFiu}(0wxE;nJz697-+8)*@^7~$YbYl$B^0OZ6J!W54O+a{W~gX8018HpYy6?V zCxZ6!;Y!QD-Kr}s-~dEU3ZEulzq*`jvaM9svBK?xSiuH zR-s>&jKqQ8+@Nj7TtX>A`H}%Lx&j$33b+9Cf&zQ>!C)R@v)l0v<_2){RhrgdD+``Q zs~Cf?yD^y0F%lv&-v=bD!Eu(d@D?|JhPubyL)djbni$^)V*vS&fD3RdGPdm&gDdD$ zF3<2WxbkXT;0UioZ~-nq02u+y$6(H@7T%*cE05<1P38<1x?7aaU~N%^Lq39&{Me`c z+Na;}KBcC;3e}A+l|--6yL#fwNuP$nnI6g$pEe3DOEW-5pF>7#0xrN)EASw|BR&St zKL8%reTp-o48!9WlMC75EY`Z z&pOKO%Vf=ycC`hT?#T7{eqO)@_)y65ijOPK;6qUt+lw)ny2!sk(Y}QeVGgzxY z97eD*zwdRSofHP4=n8G1WUPp;(5??7*qdCA;}L8SDgGCu70CD)EF&0gi$S2+F%)>-W1Yu1VcVrE5%v7j9@~C##I>iEpHr#CK;Zize)f~vWfmGp*}S3 zt=>y<<6vPqNqg{oE!bdb+#gb0Bm5Wh$qnYF$D@JHV5$6DHLc~NwNjkLOXGwNjcaDy zebtS--`A=_;~tvh{%?I~-241Z-neo1qj9)mD{EYUS*eHSXk{#(v(g8;6=!fmD;js6 z;tZC`zfW*pc)sjO}Mnig4RoSbZ|pMIdS(JA>=3imqd!_`Q_%BVhE?Nusn zSJhWB0j6^G8DeuomXaT4GX3bj_ljoBF6P74Ok49I_@*nwXFPg$c3)#E=L5rP+OE>2 zowtNneoVu7ifTURBk{?{(I<8tf2fL~p}?%zDD?sgB&MksP)k);Q(w)kbl26b>FQip z)m;}RRc`{cdp=7=>Am=c8(}b}=w5l5wwi5Uda7}#eXG*eG~a*GrhN}){G|BeEI#0< zoobyNSF}VjN1Aimqw9=au~EFpzgtOew=@qGC$g zs2HRPi;1)tyU%~?g@352*MF;WI95PMcI^7;#$u)0b7uBp`bGqC;uk)0>X9I|@i-=y z-rqH*7``Olm?DWrBVNl)9-QfCs%ftMOf}85gw@vlQdP>-mvXu07X5rqC)}ddeKNJ5 zIUlO^p+y%J)e5HQoYyiqeiZ8%&xMUc#n*Q7jbq2i4}FZet^CB7#b+G;;Y*^KkNNHT z{7l;JVbLrXtJLyYhN$Kui}McOQ_67OlgT2F{K=dX$AdlorDQ@vS(7vcAWL zZG=1>BL1uv{yq`n4&LI5pgJNA6y-b`ZF>;&mQ}{V~-0cA*u_ zS>>KPL^D=4q|JQVhYf;8<7YDkslH!>zlm2RcIKNI;hT#fm*5v;f(3~;4|X~UbI%p&;{b85!=#Nu3V}2~P@Fu22 zy%p?wB+a_Z7)TL~x|8NQu%5B6T=cb`vWHB|J-eWVzT3CpOX#;T_J3rQ@S%-s)as8u z*^V=Ht$G zchnow794&39;K~mgJ%_5DKD1GWsr4#L4!^V?X;hRPus1Fa9cUm()>Gsy+_Lo=@L7&fW8V;D}14r%;>baewF z4OSL9BvSnp2i}Sahd!DKm#Z4~Tv83wN0gM+k+|*wJrx@^iMWZ)!!oPtMLmj!$A8O=lq40)1K3? zq6!Va<{SE`X#8b}!5Cv@4955s4c@4SH2sps?W3L@);!q47N@VVnxc`9w>?Qs(KzoQ zpL8l|ua^8v-U|Nm)WUe;IjjXgEMFBYFMw<4eWu4u;WGkgA3G^dR* zz4!}bdRgC{U|D!E$5DT;w8p%c-F+ zgE8yC{-<3o<`RB-)hd|BYx@ffW2W^JI@eYIC*WUqstn^pzLTS(v2A2;Sz5)nT9!WO z>T-?aY2{fe!#I3Xk7#a>{!d_(g)J}`^Ft!PUv6wWBwt6nmC@b0Mcc=yNIuvEFztYL z$YA-p2H|f%CYo{Y@#&Y1ZP(Y#Zw^M);FGWBUN|xy`w+>bE$J$>+ZBenB_Y?jNNOgg1LFb7Q^9j!CmUlSVt1 z+fF{-$sM#}&$ii^NftY>Es|!N4BB<_O|~nR(SvpkSxWo5E=g0JV%;(hLuoXg(0H{U zARIpT@`o<2FKzT#QJoyn-f$o<()=AdSn1ftJT%;oT8%@gO;Xx86bxGXeG zieHwJbgKcnU(7WX3SwOk%}vr=m8ryVKTyZD#@gU(AFK`jGO)>pkVe^v<}_ z5PubZQCkdQlBYXOp_O>YdC54&=%-cs{(x6%M*PCz9kX+cq0u)^$>}o^Mtk3slO~fs zlXH$^%fMSD#GK6Y?iUW%ygJ{lgc7H?Eb?ibCoRTr{CY0VG+gcd`f<_{4{xhZfGe1n zb}d4qGZ^zyF3)w1*?=sI!TAiFi?rv6X3WF9eQB3*7&?rCp@a4r(VVvDH!zN<19YH* z!B;&V4nJ%$~7k6t}-!n zc~VGx&PN(0jcG_z+U=vO7_}>_3L3|r?mo`9{#-t=alPE03s86l=DU05<>bl_`MwuD z=njcu+`1R*zFS2zraDtaUGs&yw|Ax`ZTCvioQ754v`!Q1mb6YIQJI$ehieITs}ksL zInSSj!B=;YEs)a~uRbOjPFE6PL^F<{2U(xVenyFm+Ze(9dKO62*!q+uHFZ? z!dr7cu{|o26DhF0X_sZpt5do^DPfF5fUTk#hcH27lfYv(8ox?$U|wNIKh9UQu-c8L z9ZRQLiL>j+NbxCH!y_ujc->EO``tJ!B}zn=>{!6cnrXLh;yHkCBit;34gh=)vc?YL z_wVl*4i?c&kijQYrV*J*&)dsmdFJE(b6Ku(j}TjHam99|ocrK=DjIB+H8nDkNskw) zgY9q@gUQG!-l{^S9`gWvYV`HQ%%cK`U_{C;9fL<499;YTWgkqhSq~u@2Cv_+?_Ddjc1&{>C~;8PQj-^uiJQP zlQE;!cf`-6lRX$+1%vW*^(BWVuylVXj7%OxCXoyyG|u;`=Q|J*%?m zNLOh2Q@<6vOf#)|?+hI)Hl(UcB$1Hwv3g)EZN@bcKdj~J<$h7txDWN){bkC4E>SS2 zcU)F7n6Dpsc->&!hi6tVd`W!9u@&-7(VUj~`2b+&O;Our@YxsANTZUrq>)Ob&3p#7 zn&jVPvw6LCO^#NaQ=kc2)k&i4F$ip6e%-nPe!+_~woD6sO-X{S9Y2gJ_i;hSoHZ;# z9t_6L1FbtAPx?n0``eV#4O|_ke`FbPzMYQ|%9t8W2irSzCz@_Ib4nb$Mc)?9m^1gm zLNksLB4~VqxsC~*OL18C`;n-+&fVgCEoU5@pCO17zxN^q*n(DY6!*14qZq(ZbkJ~{ zNz_J+_s?hX$8&}O=IYe?VL6|n6S6i+@!~`ZI2spk%uYUpaf-p19bPo_v^CMwrnBhd zG`Xp7))`XKt)FMn#av=mhNk8_ZPUM}VvOmVd}od^eUs1AF{W6ppDV3#4D&(b{3|Sa zPl~u!wKFoD7Htu$i@b}iuy#gJdF%fY#avoMEO_0gbCqWtB0wYt;}9okn49XD%okIf zKGoRNlPL~JF-ljT;I#>$2?y-#^&oQ2uMP{xTd|ImQ! zwc{t|S5+>)x_DZ>g5h;neL9!Zk%V0eAL5$^pEt>J@cQKZKL^R_Nwpy^0)~6 zVshk8O0UloHI#ATEAjl0b6FWhjOj$jpYPrSY zjq-)+AsE{Z4K-LDyXGdSo-||L#-I$*bt_FPd>wQz^q~mteK*OXZB0d>s2<-AZfBX95FvlrjpooTQp>>Fe?f z7UMOCq51AtTH|QGGQly9W(gXvJdJMhvh1j={z+8UJ?Z62i%eAa8WyK3PNeuLQe2>- zjpXFsdz}F@fwaxpDOrn>(W|CpV2LNwAI{z9wgV(5C6m{n9Pu#w z!%iZ}9KWs`udQqs&3M(fL3^WU#(g_r+v`L#cD^yCT?G%)XwFHoQNa{@*FJU;R^;wh zyF$syc^SlhM8z0$?ez4`q8W!XTp^lqIL)BFaqAg8P6^S?15zN)3MbLSt0UgM;<-LY z{&qc*6Di=Y+S^J6^T-!(+8Wcp%>M%kWIX!I^l`@Y8{^koaAEoo(b^{-#a(#Kxhecg z315Hdfw?(tsD3KZu1$D=%MlIp^amR>J3e|)5((gVnyKIFGB!Wne7N}XjqycPvn%B} zO4GugXY#w6W8~@UZM!m!;smni8Kn4M{KA1T7|(_`Xs_Z6=Ecgs6~UMe-g|1s99Tp< zgJ(lb-Os}1BWPK4cVwRdiVk!gnp+@7_`Jx%~cf&(TBjyoAn;|}f zvGeuQj*zokaHvvw&(qS%2~9ib0`>f9!fhOc;9nheDT>&lP@t3fBntRmDZStRr@3d zW4;04gTIX}6H}Xy<@=5_^I6WCkNlok7+ZGoIUiLdDZHW`4z(t z!wuT_OI*>822(sUEnf$n$$Vd)`MMilmEC4g_1s%M!m7LRRfv8Z%>hGZFph3|iD<^G zj$U+S24gm%XxlgWqP6BLBoH?Wu14>})3z^o* z2f4x`6HC^;`CwHii^liQh{H1Gbn+e8LNzWD%~(2h@*TMeI(_j`HPkM?Czuk4{Ej-1_Z!j$>n*|SR= zXiG6g*n@UZ9J$cLaOTQ)_sclaxKDZCo{S?+wv}rdd8He;igNRs~#udZO zzLb(8aa_JAw>wYQr{qsRN@uWD`Qe#{06*-0`g`Umg+&I)=!@y0jQf9*j@@|pA}HBX zTH^_P#q-47q8al8^QqfJGv){8Pa{tTW6Oj|%BE9JD3`ooL$J|Yn2K_91TJXs+4`*) z^ab|IJ=HzQr9s4tG=GjX=R|RBJo+dqAGO3_%txtyiQ1T5f%h9T7^^r^;=}kDar#rr z4QvjinwKL(@teIzmd*FpSU3(TK7ijB}>>iwtw$Kk7bDM6CDnXg{{pitUdNc1W4OwUqso>lKl3r2 z-`zLnuOCeqGws9;O6z>0x=VhJR~3;jOd_;D#caii6zC$p zWz2Z)yD^`J#WNVselv8zT#3OrWG$Z7IOGl*cT+>ep%jtwK*ajBEsMAzo4)J~iW4b* zgcKOW8H}Si^nsw!LfIc^p+Sr4!StZfJT%L8^=!%>V>kQFe1E^Lo=wQ}f2-;#cs9yg z2Di#!%-`;^z%-_eJ>L|cF=Z4D)iW`U$mU@wqJ3=jeZH$_Q;Jwu&*c#DUS#=-QcS^7 zJrJM4II1UT*hG$}S~*C9o7af%5;h-Wf~r>UW-soi*WDM^u0obYC- zxPeDhwlVk0e5J1OFouFw>l- zCU-$kSZa<^RAj(03#;9pLMxcG4G$!Zc;x(C?HW5@EUeb73n5!6B>V@39J($ma*Tc- z9sPf)kdu1S9bWMC0Uzt`)MbP|1H|HX|3`n6LO5m2NrDoj?K?)^_g4^*&oSR#&#^w)cI9N58 zr!=SymN8fvqot|7gc~{%^=D&@+&@~R!7qd3quxp?py{aNugb>_>f?mXvXFbX~q!OJR+q*%SGK(7e-r}BhtQV zX>LLatgrpdB63G(3~5TIbbKrpJ}zmD%^%XBBR85yrZnhXv2%MxTDw>Km9@`Nj^ad$ z4`A+lOrfD}Xzi4SzYLCFhRs!f+iX1iFb-HdPkhG1m>B)=g+eQsr_lJm5o2niGSCBH zP>tq_nVP&adjOTg40`H@ee?j3--+m}@w-JOa~3>xgOe0miRXt1e&^EI{86uKDa}zS zO$+%z`>9=7ua@T8eY7$;k>YXu7B3RHUt6TXFN5RbRh=hAGd6!nb2Q?bD`!emjbRiJ zb+c>MzU$^OMC0w5TAE#$1SpA0c6>+x=^2bE4f#Wwb@*wnN@%ALo_HHaD}F|4jh!#09t{sWI#cTE&>Sej zaiPAxu=HCFusxSR>er>z^xxdRRFWcfi4RGyvNX^D*!&?4yicRKI;DYEVHx!S=a%OB ztiwv0URe8Ke*+1ynaL2jUtgrbFN5O``OjQz7@HsahPuiwBpOSJF2g8>qiOcqraP`| zgx#8ERvK2!oGW6L^?eBt&%thW644xgz-E{fJ3WH&tNs*^f$kH{n1{7YpC_8J^ToU* zuBZ#y$5VDl8ShbiG<)kAjmB~e1A9$O4p6=kv(y}-DFbALLm{SLUuXrd-j(m#8}r^k zUx#SS$HHSjP+DU;y^XhtX1sh(PHK!TQ=@VA8Jo`!?>Lbf9*K&6KgN*i5j&OzJZW*s zQysI|q9M;m%+*wV9ebtpJ{2l`BTvPa`74SoW>T+hryWV zG%ms7hr!q~Ny8Y))})5Vvkf6wHLh+d@~`U95Ov28xh*z_XvzQ?{Yh$Q%>6n)QZwFs zJhZt*X^lgJYeX{+4KPDzFn0OU22Kp^=z+!(?knx zFrZP2gP#}8IDX4MhA|}@V6`F`Q%dG5DPt~kbV`ZY2McMf%YJi$Waz)HL&~bo`(Y`R zvi~~f>J0GP2Wxq*&*iHo%uQ-i^+N^49F`eE`6#?*%8OPkgBZLXWC z&5E2sY>}+pYdW;4`bc-n9L%+7gO*<3i)xu&Xa)1UPg{^N?^*C7-gxt`w8&>_V`|m2 zQu!HEE0)h-Obtb&7YILhOlmkH0qc?XM9DwzogEq$$(h?cOzhZR^Duxgu)%vUJh5MR zKsG*ZX%4=|A#GX87*ks2%V6xX+GhqIjmYMD2|o)M1J}_w`C+dC26fE=b+DQ{qcCnf zOl->?sJSf3>AAn3V-5$lK^U@f5ufA7p3X7Hn75^INycF8JZc)hNj0A_cf(uM1;Lnm zB@?I*24jg&N<7()ns{|KBXo`xe6^sl&X?xz1j<%j+4mgYC^DqnPFSCx;o3Gb8;UDorpnM zA`{Foy*W!feXBDTpW_$Z_Tr0o-znNK9%JA43|#g;XpGyjJo$)8^HjpvWs%cq_zAYp zdo!MmBaocdI1VW(4%W2!c(nQ4iz?SR_|6f{X;ecM4e>z>zA%$$fMe54Sk+jAOjolS z*X#N;6E+osIPr_Mou@Cx{n*3*^$zhFhg_vw8;3kWD<%=MkmJ%Mwl^AU-#5b~;80?t zaBY4iV=JkyPCHgyt&8(fLoo?uS$g#&5;L0VABsufmmzxfBY4AdTkh8zkNsWl*Bf)& z&`#vlo+>SA zj3-TeVG_{*$Imp0>Mmy2>)Y}j%Hlb~B(6gpVi7!jfyyyng7Ii^84-;8r*cMX9CCw~ z!8l|LS}_xLiRQ*M6X-GW%nUQZ;1zFxR6FNzjFH*hxw^1ASEex)Gf|dMho0jR3RFb8 z+qsD5_~C0|CdDxuFTp!&JNAgrm>Sg)8mwQkROo$K|o8860( z6Dhuh6kAF;1#KW&0na zk$hrK%4w%peaU-}idUA!Gn8hHCvqQi#^MXlf%vflVG?f<&6x9opB++Y1+U(Tswf*; z#$4mAC?3K1ko!P;xzZYQE-^8uGd~^z_~1W@X59Ax-T{AJG-G;|=ZkK})`y(t>-t?d z^aqsIxc3;)%F4kw9Q%plvs|1fSw6>y;}5Z#(XDa(Q74-F-MlM6GSs+cklx$V|ESE;t^vk6t|?@G;TbU zufLnKy;rASmO);eNO2cZOsZ^SPCk5bm2tFhk=r=hI%pwJwDpN8&#{yT)?Y0N?YO^? zU9!}pIFaHHbM0r$U4+FSl#IqaZ^iFm8*`hxtH@{^t`Gf)!8qJt&_eEL|KFJ@ceVIC z3WA~Xdq-35c0EGF6^OnNzt*K-ek?dp`lT`3vX_f71Y>1QO31_5=1D0JItXX(#cs)sl>;% zBjbml^DCE#X3Vo6{f2`v--uZ89`Ol7e)KoJ#%zrB9cp^@v*a^&`J_R|7#vSY9XAyn zV^^uIBi;d_j!O^f(6RXO&~a7K@uw<_Ixb!ARHSA6P&aJl*NOn($K2@6yoF;h(;M?40OCNG7 z(lVYp^gR-!hB5v3vaO;ScYOyso+FxY(GfF3mJvE$Ty%U$w9uF3Qpcb{$F+!SzBYA4 zyR!|nE7jH!XJ4|-mc0I@bmSft?QY9^A|0? zNQz1syUVFa%lIMS0|tZCFkS#}=~=7stjF+m)FSa2_n_w=^(N7TrL8_;Hn{_gMx+HD}7jXvh@)QqXl#v4n11$SMN>KMEHqE3teXQn!yv$e9;;e>f*^Iqx{ z_a8A(M;ulM#?yj2+~S0rU@+#&Z|R|;8TZ|fW-iMn;{^xeZW2@#gE6P_8~;f(W0va{ zGqy}c#Tc|-KU2lAEu3gYfCcyLn4w~6v50|+;!uK^t&TyhZBVgfVm#-#RL6J@RIxh7 zY_ZoYDESrK^FXR&?DC5`D7Dc%E7d`7irHcHDIj(ixO>6G5r>Lb2FM5oIetN* z70gyz-4xAu)?!Si4-?He4Dd^$8M6$xjcr&x4XKX1{f2Cv^I%@{UP_&ap#cU* zDV}~~16t>2Q0K#iR`9^dmaXvzQ?VYqE=DYSxl6Oj*%GLG86t?L3M_K8d<9j{Es)`X;Q$xH{q0H)qI=Qu^5 z^b1TmR_3$5Vwd7X`=EmS(u*+&i(||@OAV}5u(nUaV%3-zNHHX6@rqAu#$wCsh{t7~G63sX^H|`Y8SjCZs_r2GK zZ@M5wq=&@JqrQ9CB36dh7LlBELe(6lV7_nj>|d&AW4`6#^Vp3;ta~aEfkVWg#U0h> zu3EKfR1mh4g-tHAYe-dxy(5Qt+?miR4k xjhDL0-m;DEOEy>)Ev%M}BzD++$R<|AUnO}cNAy(4q%@>tyyIR{wr_mn{{V8_x2pgE literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad new file mode 100644 index 0000000..0445323 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.pad @@ -0,0 +1,271 @@ +PAD Specification File +*************************** + +PART TYPE: LCMXO256C +Performance Grade: 3 +PACKAGE: TQFP100 +Package Status: Final Version 1.19 + +Mon Aug 16 21:32:33 2021 + +Pinout by Port Name: ++-----------+----------+--------------+------+----------------------------------+ +| Port Name | Pin/Bank | Buffer Type | Site | Properties | ++-----------+----------+--------------+------+----------------------------------+ +| CROW[0] | 32/1 | LVTTL33_IN | PB2C | SLEW:FAST | +| CROW[1] | 34/1 | LVTTL33_IN | PB2D | SLEW:FAST | +| Din[0] | 21/1 | LVTTL33_IN | PL8A | SLEW:FAST | +| Din[1] | 15/1 | LVTTL33_IN | PL6A | SLEW:FAST | +| Din[2] | 14/1 | LVTTL33_IN | PL5D | SLEW:FAST | +| Din[3] | 16/1 | LVTTL33_IN | PL6B | SLEW:FAST | +| Din[4] | 18/1 | LVTTL33_IN | PL7B | SLEW:FAST | +| Din[5] | 17/1 | LVTTL33_IN | PL7A | SLEW:FAST | +| Din[6] | 20/1 | LVTTL33_IN | PL7D | SLEW:FAST | +| Din[7] | 19/1 | LVTTL33_IN | PL7C | SLEW:FAST | +| Dout[0] | 1/1 | LVTTL33_OUT | PL2A | DRIVE:4mA SLEW:SLOW | +| Dout[1] | 7/1 | LVTTL33_OUT | PL4A | DRIVE:4mA SLEW:SLOW | +| Dout[2] | 8/1 | LVTTL33_OUT | PL4B | DRIVE:4mA SLEW:SLOW | +| Dout[3] | 6/1 | LVTTL33_OUT | PL3D | DRIVE:4mA SLEW:SLOW | +| Dout[4] | 4/1 | LVTTL33_OUT | PL3B | DRIVE:4mA SLEW:SLOW | +| Dout[5] | 5/1 | LVTTL33_OUT | PL3C | DRIVE:4mA SLEW:SLOW | +| Dout[6] | 2/1 | LVTTL33_OUT | PL2B | DRIVE:4mA SLEW:SLOW | +| Dout[7] | 3/1 | LVTTL33_OUT | PL3A | DRIVE:4mA SLEW:SLOW | +| LED | 57/0 | LVTTL33_OUT | PR7B | DRIVE:16mA SLEW:SLOW | +| MAin[0] | 23/1 | LVTTL33_IN | PL9A | SLEW:FAST | +| MAin[1] | 38/1 | LVTTL33_IN | PB3C | SLEW:FAST | +| MAin[2] | 37/1 | LVTTL33_IN | PB3B | SLEW:FAST | +| MAin[3] | 47/1 | LVTTL33_IN | PB5A | SLEW:FAST | +| MAin[4] | 46/1 | LVTTL33_IN | PB4D | SLEW:FAST | +| MAin[5] | 45/1 | LVTTL33_IN | PB4C | SLEW:FAST | +| MAin[6] | 49/1 | LVTTL33_IN | PB5C | SLEW:FAST | +| MAin[7] | 44/1 | LVTTL33_IN | PB4B | SLEW:FAST | +| MAin[8] | 50/1 | LVTTL33_IN | PB5D | SLEW:FAST | +| MAin[9] | 51/0 | LVTTL33_IN | PR9B | SLEW:FAST | +| PHI2 | 39/1 | LVTTL33_IN | PB3D | SLEW:FAST | +| RA[0] | 98/0 | LVTTL33_OUT | PT2C | DRIVE:4mA SLEW:SLOW | +| RA[10] | 87/0 | LVTTL33_OUT | PT3D | DRIVE:4mA SLEW:SLOW | +| RA[11] | 79/0 | LVTTL33_OUT | PT5A | DRIVE:4mA SLEW:SLOW | +| RA[1] | 89/0 | LVTTL33_OUT | PT3C | DRIVE:4mA SLEW:SLOW | +| RA[2] | 94/0 | LVTTL33_OUT | PT3A | DRIVE:4mA SLEW:SLOW | +| RA[3] | 97/0 | LVTTL33_OUT | PT2D | DRIVE:4mA SLEW:SLOW | +| RA[4] | 99/0 | LVTTL33_OUT | PT2B | DRIVE:4mA SLEW:SLOW | +| RA[5] | 95/0 | LVTTL33_OUT | PT2F | DRIVE:4mA SLEW:SLOW | +| RA[6] | 91/0 | LVTTL33_OUT | PT3B | DRIVE:4mA SLEW:SLOW | +| RA[7] | 100/0 | LVTTL33_OUT | PT2A | DRIVE:4mA SLEW:SLOW | +| RA[8] | 96/0 | LVTTL33_OUT | PT2E | DRIVE:4mA SLEW:SLOW | +| RA[9] | 85/0 | LVTTL33_OUT | PT4B | DRIVE:4mA SLEW:SLOW | +| RBA[0] | 63/0 | LVTTL33_OUT | PR5D | DRIVE:4mA SLEW:SLOW | +| RBA[1] | 83/0 | LVTTL33_OUT | PT4C | DRIVE:4mA SLEW:SLOW | +| RCKE | 82/0 | LVTTL33_OUT | PT4D | DRIVE:4mA SLEW:SLOW | +| RCLK | 86/0 | LVTTL33_IN | PT4A | SLEW:FAST | +| RDQMH | 76/0 | LVTTL33_OUT | PR2A | DRIVE:4mA SLEW:SLOW | +| RDQML | 61/0 | LVTTL33_OUT | PR6A | DRIVE:4mA SLEW:SLOW | +| RD[0] | 64/0 | LVTTL33_BIDI | PR5C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[1] | 65/0 | LVTTL33_BIDI | PR5B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[2] | 66/0 | LVTTL33_BIDI | PR5A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[3] | 67/0 | LVTTL33_BIDI | PR4B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[4] | 68/0 | LVTTL33_BIDI | PR4A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[5] | 69/0 | LVTTL33_BIDI | PR3D | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[6] | 70/0 | LVTTL33_BIDI | PR3C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[7] | 71/0 | LVTTL33_BIDI | PR3B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| UFMCLK | 58/0 | LVTTL33_OUT | PR7A | DRIVE:4mA SLEW:SLOW | +| UFMSDI | 56/0 | LVTTL33_OUT | PR7C | DRIVE:4mA SLEW:SLOW | +| UFMSDO | 55/0 | LVTTL33_IN | PR7D | SLEW:FAST PULL:KEEPER | +| nCCAS | 27/1 | LVTTL33_IN | PL9B | SLEW:FAST | +| nCRAS | 43/1 | LVTTL33_IN | PB4A | SLEW:FAST | +| nFWE | 22/1 | LVTTL33_IN | PL8B | SLEW:FAST | +| nRCAS | 78/0 | LVTTL33_OUT | PT5B | DRIVE:4mA SLEW:SLOW | +| nRCS | 77/0 | LVTTL33_OUT | PT5C | DRIVE:4mA SLEW:SLOW | +| nRRAS | 73/0 | LVTTL33_OUT | PR2B | DRIVE:4mA SLEW:SLOW | +| nRWE | 72/0 | LVTTL33_OUT | PR3A | DRIVE:4mA SLEW:SLOW | +| nUFMCS | 53/0 | LVTTL33_OUT | PR8B | DRIVE:4mA SLEW:SLOW | ++-----------+----------+--------------+------+----------------------------------+ + +Vccio by Bank: ++------+-------+ +| Bank | Vccio | ++------+-------+ +| 0 | 3.3V | +| 1 | 3.3V | ++------+-------+ + +Vref by Bank: ++------+-----+-----------------+---------+ +| Vref | Pin | Bank # / Vref # | Load(s) | ++------+-----+-----------------+---------+ ++------+-----+-----------------+---------+ + +Pinout by Pin Number: ++----------+---------------------+------------+--------------+------+---------------+ +| Pin/Bank | Pin Info | Preference | Buffer Type | Site | Dual Function | ++----------+---------------------+------------+--------------+------+---------------+ +| 1/1 | Dout[0] | LOCATED | LVTTL33_OUT | PL2A | | +| 2/1 | Dout[6] | LOCATED | LVTTL33_OUT | PL2B | | +| 3/1 | Dout[7] | LOCATED | LVTTL33_OUT | PL3A | | +| 4/1 | Dout[4] | LOCATED | LVTTL33_OUT | PL3B | | +| 5/1 | Dout[5] | LOCATED | LVTTL33_OUT | PL3C | | +| 6/1 | Dout[3] | LOCATED | LVTTL33_OUT | PL3D | | +| 7/1 | Dout[1] | LOCATED | LVTTL33_OUT | PL4A | | +| 8/1 | Dout[2] | LOCATED | LVTTL33_OUT | PL4B | | +| 9/1 | unused, PULL:UP | | | PL5A | | +| 11/1 | unused, PULL:UP | | | PL5B | | +| 13/1 | unused, PULL:UP | | | PL5C | | +| 14/1 | Din[2] | LOCATED | LVTTL33_IN | PL5D | GSR_PADN | +| 15/1 | Din[1] | LOCATED | LVTTL33_IN | PL6A | | +| 16/1 | Din[3] | LOCATED | LVTTL33_IN | PL6B | TSALLPAD | +| 17/1 | Din[5] | LOCATED | LVTTL33_IN | PL7A | | +| 18/1 | Din[4] | LOCATED | LVTTL33_IN | PL7B | | +| 19/1 | Din[7] | LOCATED | LVTTL33_IN | PL7C | | +| 20/1 | Din[6] | LOCATED | LVTTL33_IN | PL7D | | +| 21/1 | Din[0] | LOCATED | LVTTL33_IN | PL8A | | +| 22/1 | nFWE | LOCATED | LVTTL33_IN | PL8B | | +| 23/1 | MAin[0] | LOCATED | LVTTL33_IN | PL9A | | +| 27/1 | nCCAS | LOCATED | LVTTL33_IN | PL9B | | +| 29/1 | unused, PULL:UP | | | PB2A | | +| 30/1 | unused, PULL:UP | | | PB2B | | +| 32/1 | CROW[0] | LOCATED | LVTTL33_IN | PB2C | | +| 34/1 | CROW[1] | LOCATED | LVTTL33_IN | PB2D | | +| 36/1 | unused, PULL:UP | | | PB3A | PCLKT1_1 | +| 37/1 | MAin[2] | LOCATED | LVTTL33_IN | PB3B | | +| 38/1 | MAin[1] | LOCATED | LVTTL33_IN | PB3C | PCLKT1_0 | +| 39/1 | PHI2 | LOCATED | LVTTL33_IN | PB3D | | +| 43/1 | nCRAS | LOCATED | LVTTL33_IN | PB4A | | +| 44/1 | MAin[7] | LOCATED | LVTTL33_IN | PB4B | | +| 45/1 | MAin[5] | LOCATED | LVTTL33_IN | PB4C | | +| 46/1 | MAin[4] | LOCATED | LVTTL33_IN | PB4D | | +| 47/1 | MAin[3] | LOCATED | LVTTL33_IN | PB5A | | +| 49/1 | MAin[6] | LOCATED | LVTTL33_IN | PB5C | | +| 50/1 | MAin[8] | LOCATED | LVTTL33_IN | PB5D | | +| 51/0 | MAin[9] | LOCATED | LVTTL33_IN | PR9B | | +| 52/0 | unused, PULL:UP | | | PR9A | | +| 53/0 | nUFMCS | LOCATED | LVTTL33_OUT | PR8B | | +| 54/0 | unused, PULL:UP | | | PR8A | | +| 55/0 | UFMSDO | LOCATED | LVTTL33_IN | PR7D | | +| 56/0 | UFMSDI | LOCATED | LVTTL33_OUT | PR7C | | +| 57/0 | LED | LOCATED | LVTTL33_OUT | PR7B | | +| 58/0 | UFMCLK | LOCATED | LVTTL33_OUT | PR7A | | +| 59/0 | unused, PULL:UP | | | PR6B | | +| 61/0 | RDQML | LOCATED | LVTTL33_OUT | PR6A | | +| 63/0 | RBA[0] | LOCATED | LVTTL33_OUT | PR5D | | +| 64/0 | RD[0] | LOCATED | LVTTL33_BIDI | PR5C | | +| 65/0 | RD[1] | LOCATED | LVTTL33_BIDI | PR5B | | +| 66/0 | RD[2] | LOCATED | LVTTL33_BIDI | PR5A | | +| 67/0 | RD[3] | LOCATED | LVTTL33_BIDI | PR4B | | +| 68/0 | RD[4] | LOCATED | LVTTL33_BIDI | PR4A | | +| 69/0 | RD[5] | LOCATED | LVTTL33_BIDI | PR3D | | +| 70/0 | RD[6] | LOCATED | LVTTL33_BIDI | PR3C | | +| 71/0 | RD[7] | LOCATED | LVTTL33_BIDI | PR3B | | +| 72/0 | nRWE | LOCATED | LVTTL33_OUT | PR3A | | +| 73/0 | nRRAS | LOCATED | LVTTL33_OUT | PR2B | | +| 76/0 | RDQMH | LOCATED | LVTTL33_OUT | PR2A | | +| 77/0 | nRCS | LOCATED | LVTTL33_OUT | PT5C | | +| 78/0 | nRCAS | LOCATED | LVTTL33_OUT | PT5B | | +| 79/0 | RA[11] | LOCATED | LVTTL33_OUT | PT5A | | +| 80/0 | unused, PULL:UP | | | PT4F | | +| 81/0 | unused, PULL:UP | | | PT4E | | +| 82/0 | RCKE | LOCATED | LVTTL33_OUT | PT4D | | +| 83/0 | RBA[1] | LOCATED | LVTTL33_OUT | PT4C | | +| 85/0 | RA[9] | LOCATED | LVTTL33_OUT | PT4B | PCLKT0_1 | +| 86/0 | RCLK | LOCATED | LVTTL33_IN | PT4A | PCLKT0_0 | +| 87/0 | RA[10] | LOCATED | LVTTL33_OUT | PT3D | | +| 89/0 | RA[1] | LOCATED | LVTTL33_OUT | PT3C | | +| 91/0 | RA[6] | LOCATED | LVTTL33_OUT | PT3B | | +| 94/0 | RA[2] | LOCATED | LVTTL33_OUT | PT3A | | +| 95/0 | RA[5] | LOCATED | LVTTL33_OUT | PT2F | | +| 96/0 | RA[8] | LOCATED | LVTTL33_OUT | PT2E | | +| 97/0 | RA[3] | LOCATED | LVTTL33_OUT | PT2D | | +| 98/0 | RA[0] | LOCATED | LVTTL33_OUT | PT2C | | +| 99/0 | RA[4] | LOCATED | LVTTL33_OUT | PT2B | | +| 100/0 | RA[7] | LOCATED | LVTTL33_OUT | PT2A | | +| PB5B/0 | unused, PULL:UP | | | PB5B | | +| PT5D/0 | unused, PULL:UP | | | PT5D | | +| TCK/1 | | | | TCK | TCK | +| TDI/1 | | | | TDI | TDI | +| TDO/1 | | | | TDO | TDO | +| TMS/1 | | | | TMS | TMS | ++----------+---------------------+------------+--------------+------+---------------+ + + +List of All Pins' Locate Preferences Based on Final Placement After PAR +to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): + +LOCATE COMP "CROW[0]" SITE "32"; +LOCATE COMP "CROW[1]" SITE "34"; +LOCATE COMP "Din[0]" SITE "21"; +LOCATE COMP "Din[1]" SITE "15"; +LOCATE COMP "Din[2]" SITE "14"; +LOCATE COMP "Din[3]" SITE "16"; +LOCATE COMP "Din[4]" SITE "18"; +LOCATE COMP "Din[5]" SITE "17"; +LOCATE COMP "Din[6]" SITE "20"; +LOCATE COMP "Din[7]" SITE "19"; +LOCATE COMP "Dout[0]" SITE "1"; +LOCATE COMP "Dout[1]" SITE "7"; +LOCATE COMP "Dout[2]" SITE "8"; +LOCATE COMP "Dout[3]" SITE "6"; +LOCATE COMP "Dout[4]" SITE "4"; +LOCATE COMP "Dout[5]" SITE "5"; +LOCATE COMP "Dout[6]" SITE "2"; +LOCATE COMP "Dout[7]" SITE "3"; +LOCATE COMP "LED" SITE "57"; +LOCATE COMP "MAin[0]" SITE "23"; +LOCATE COMP "MAin[1]" SITE "38"; +LOCATE COMP "MAin[2]" SITE "37"; +LOCATE COMP "MAin[3]" SITE "47"; +LOCATE COMP "MAin[4]" SITE "46"; +LOCATE COMP "MAin[5]" SITE "45"; +LOCATE COMP "MAin[6]" SITE "49"; +LOCATE COMP "MAin[7]" SITE "44"; +LOCATE COMP "MAin[8]" SITE "50"; +LOCATE COMP "MAin[9]" SITE "51"; +LOCATE COMP "PHI2" SITE "39"; +LOCATE COMP "RA[0]" SITE "98"; +LOCATE COMP "RA[10]" SITE "87"; +LOCATE COMP "RA[11]" SITE "79"; +LOCATE COMP "RA[1]" SITE "89"; +LOCATE COMP "RA[2]" SITE "94"; +LOCATE COMP "RA[3]" SITE "97"; +LOCATE COMP "RA[4]" SITE "99"; +LOCATE COMP "RA[5]" SITE "95"; +LOCATE COMP "RA[6]" SITE "91"; +LOCATE COMP "RA[7]" SITE "100"; +LOCATE COMP "RA[8]" SITE "96"; +LOCATE COMP "RA[9]" SITE "85"; +LOCATE COMP "RBA[0]" SITE "63"; +LOCATE COMP "RBA[1]" SITE "83"; +LOCATE COMP "RCKE" SITE "82"; +LOCATE COMP "RCLK" SITE "86"; +LOCATE COMP "RDQMH" SITE "76"; +LOCATE COMP "RDQML" SITE "61"; +LOCATE COMP "RD[0]" SITE "64"; +LOCATE COMP "RD[1]" SITE "65"; +LOCATE COMP "RD[2]" SITE "66"; +LOCATE COMP "RD[3]" SITE "67"; +LOCATE COMP "RD[4]" SITE "68"; +LOCATE COMP "RD[5]" SITE "69"; +LOCATE COMP "RD[6]" SITE "70"; +LOCATE COMP "RD[7]" SITE "71"; +LOCATE COMP "UFMCLK" SITE "58"; +LOCATE COMP "UFMSDI" SITE "56"; +LOCATE COMP "UFMSDO" SITE "55"; +LOCATE COMP "nCCAS" SITE "27"; +LOCATE COMP "nCRAS" SITE "43"; +LOCATE COMP "nFWE" SITE "22"; +LOCATE COMP "nRCAS" SITE "78"; +LOCATE COMP "nRCS" SITE "77"; +LOCATE COMP "nRRAS" SITE "73"; +LOCATE COMP "nRWE" SITE "72"; +LOCATE COMP "nUFMCS" SITE "53"; + + + + + +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Mon Aug 16 21:32:33 2021 + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par new file mode 100644 index 0000000..5d8ae58 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1.par @@ -0,0 +1,211 @@ + +Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" +Mon Aug 16 21:32:27 2021 + +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf +Preference file: RAM2GS_LCMXO256C_impl1.prf. +Placement level-cost: 5-1. +Routing Iterations: 6 + +Loading design for application par from file RAM2GS_LCMXO256C_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO256C +Package: TQFP100 +Performance: 3 +Loading device for application par from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.19. +Performance Hardware Data Status: Version 1.124. +License checked out. + + +Ignore Preference Error(s): True +Device utilization summary: + + PIO (prelim) 67/79 84% used + 67/78 85% bonded + SLICE 65/128 50% used + + + +Number of Signals: 252 +Number of Connections: 618 + +Pin Constraint Summary: + 67 out of 67 pins locked (100% locked). + +The following 4 signals are selected to use the primary clock routing resources: + RCLK_c (driver: RCLK, clk load #: 39) + PHI2_c (driver: PHI2, clk load #: 13) + nCCAS_c (driver: nCCAS, clk load #: 4) + nCRAS_c (driver: nCRAS, clk load #: 7) + +No signal is selected as secondary clock. + +No signal is selected as Global Set/Reset. +Starting Placer Phase 0. +........ +Finished Placer Phase 0. REAL time: 0 secs + +Starting Placer Phase 1. +............... +Placer score = 586066. +Finished Placer Phase 1. REAL time: 6 secs + +Starting Placer Phase 2. +. +Placer score = 584668 +Finished Placer Phase 2. REAL time: 6 secs + + +------------------ Clock Report ------------------ + +Global Clock Resources: + CLK_PIN : 1 out of 4 (25%) + General PIO: 3 out of 80 (3%) + +Global Clocks: + PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT4A)", clk load = 39 + PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 13 + PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PL9B)", clk load = 4 + PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB4A)", clk load = 7 + + PRIMARY : 4 out of 4 (100%) + SECONDARY: 0 out of 4 (0%) + +--------------- End of Clock Report --------------- + + +I/O Usage Summary (final): + 67 out of 79 (84.8%) PIO sites used. + 67 out of 78 (85.9%) bonded PIO sites used. + Number of PIO comps: 67; differential: 0. + Number of Vref pins used: 0. + +I/O Bank Usage Summary: ++----------+----------------+------------+------------+------------+ +| I/O Bank | Usage | Bank Vccio | Bank Vref1 | Bank Vref2 | ++----------+----------------+------------+------------+------------+ +| 0 | 36 / 41 ( 87%) | 3.3V | - | - | +| 1 | 31 / 37 ( 83%) | 3.3V | - | - | ++----------+----------------+------------+------------+------------+ + +Total placer CPU time: 6 secs + +Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. + +0 connections routed; 618 unrouted. +Starting router resource preassignment +WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. +WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. +WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. + +Completed router resource preassignment. Real time: 6 secs + +Start NBR router at 21:32:33 08/16/21 + +***************************************************************** +Info: NBR allows conflicts(one node used by more than one signal) + in the earlier iterations. In each iteration, it tries to + solve the conflicts while keeping the critical connections + routed as short as possible. The routing process is said to + be completed when no conflicts exist and all connections + are routed. +Note: NBR uses a different method to calculate timing slacks. The + worst slack and total negative slack may not be the same as + that in TRCE report. You should always run TRCE to verify + your design. +***************************************************************** + +Start NBR special constraint process at 21:32:33 08/16/21 + +Start NBR section for initial routing at 21:32:33 08/16/21 +Level 1, iteration 1 +0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs +Level 2, iteration 1 +0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs +Level 3, iteration 1 +0(0.00%) conflict; 509(82.36%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.038ns/0.000ns; real time: 6 secs +Level 4, iteration 1 +23(0.19%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs + +Info: Initial congestion level at 75% usage is 0 +Info: Initial congestion area at 75% usage is 0 (0.00%) + +Start NBR section for normal routing at 21:32:33 08/16/21 +Level 1, iteration 1 +0(0.00%) conflict; 24(3.88%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs +Level 4, iteration 1 +8(0.07%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs +Level 4, iteration 2 +4(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs +Level 4, iteration 3 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs + +Start NBR section for setup/hold timing optimization with effort level 3 at 21:32:33 08/16/21 + +Start NBR section for re-routing at 21:32:33 08/16/21 +Level 4, iteration 1 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs + +Start NBR section for post-routing at 21:32:33 08/16/21 + +End NBR router with 0 unrouted connection + +NBR Summary +----------- + Number of unrouted connections : 0 (0.00%) + Number of connections with timing violations : 0 (0.00%) + Estimated worst slack : 2.023ns + Timing score : 0 +----------- +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. + + + +Total CPU time 6 secs +Total REAL time: 7 secs +Completely routed. +End of route. 618 routed (100.00%); 0 unrouted. + +Hold time timing score: 0, hold timing errors: 0 + +Timing score: 0 + +Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. + + +All signals are completely routed. + + +PAR_SUMMARY::Run status = Completed +PAR_SUMMARY::Number of unrouted conns = 0 +PAR_SUMMARY::Worst slack> = 2.023 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Worst slack> = 0.339 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Number of errors = 0 + +Total CPU time to completion: 6 secs +Total REAL time to completion: 7 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd new file mode 100644 index 0000000..6e5f093 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/5_1_par.asd @@ -0,0 +1,33 @@ +[ActiveSupport PAR] +; Global primary clocks +GLOBAL_PRIMARY_USED = 4; +; Global primary clock #0 +GLOBAL_PRIMARY_0_SIGNALNAME = RCLK_c; +GLOBAL_PRIMARY_0_DRIVERTYPE = CLK_PIN; +GLOBAL_PRIMARY_0_LOADNUM = 39; +; Global primary clock #1 +GLOBAL_PRIMARY_1_SIGNALNAME = PHI2_c; +GLOBAL_PRIMARY_1_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_1_LOADNUM = 13; +; Global primary clock #2 +GLOBAL_PRIMARY_2_SIGNALNAME = nCCAS_c; +GLOBAL_PRIMARY_2_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_2_LOADNUM = 4; +; Global primary clock #3 +GLOBAL_PRIMARY_3_SIGNALNAME = nCRAS_c; +GLOBAL_PRIMARY_3_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_3_LOADNUM = 7; +; # of global secondary clocks +GLOBAL_SECONDARY_USED = 0; +; I/O Bank 0 Usage +BANK_0_USED = 36; +BANK_0_AVAIL = 41; +BANK_0_VCCIO = 3.3V; +BANK_0_VREF1 = NA; +BANK_0_VREF2 = NA; +; I/O Bank 1 Usage +BANK_1_USED = 31; +BANK_1_AVAIL = 37; +BANK_1_VCCIO = 3.3V; +BANK_1_VREF1 = NA; +BANK_1_VREF2 = NA; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par new file mode 100644 index 0000000..feb14ae --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.dir/RAM2GS_LCMXO256C_impl1.par @@ -0,0 +1,28 @@ +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Mon Aug 16 21:32:27 2021 + +C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t +RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir +RAM2GS_LCMXO256C_impl1.prf -gui -msgset +C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml + + +Preference file: RAM2GS_LCMXO256C_impl1.prf. + +Level/ Number Worst Timing Worst Timing Run NCD +Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status +---------- -------- ----- ------ ----------- ----------- ---- ------ +5_1 * 0 2.023 0 0.339 0 07 Completed + +* : Design saved. + +Total (real) run time for 1-seed: 7 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc new file mode 100644 index 0000000..ec074a2 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.drc @@ -0,0 +1 @@ +DRC detected 0 errors and 0 warnings. diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed new file mode 100644 index 0000000..b3a7f61 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.jed @@ -0,0 +1,977 @@ + +* +NOTE JEDEC CREATED BY: Lattice Semiconductor Diamond Deployment Tool 3.12* +NOTE Version: Diamond (64-bit) 3.12.0.240.2* +NOTE Readback: Off* +NOTE Security: Off* +NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * +NOTE All Rights Reserved * +NOTE DATE CREATED: Mon Aug 16 21:36:26 2021 * +NOTE DESIGN NAME: RAM2GS * +NOTE DEVICE NAME: LCMXO256C-3TQFP100 * +NOTE PIN ASSIGNMENTS * +NOTE PINS RD[7] : 71 : inout * +NOTE PINS RD[6] : 70 : inout * +NOTE PINS RD[5] : 69 : inout * +NOTE PINS RD[4] : 68 : inout * +NOTE PINS RD[3] : 67 : inout * +NOTE PINS RD[2] : 66 : inout * +NOTE PINS RD[1] : 65 : inout * +NOTE PINS RD[0] : 64 : inout * +NOTE PINS Dout[7] : 3 : out * +NOTE PINS Dout[6] : 2 : out * +NOTE PINS Dout[5] : 5 : out * +NOTE PINS Dout[4] : 4 : out * +NOTE PINS Dout[3] : 6 : out * +NOTE PINS Dout[2] : 8 : out * +NOTE PINS Dout[1] : 7 : out * +NOTE PINS Dout[0] : 1 : out * +NOTE PINS LED : 57 : out * +NOTE PINS RBA[1] : 83 : out * +NOTE PINS RBA[0] : 63 : out * +NOTE PINS RA[11] : 79 : out * +NOTE PINS RA[10] : 87 : out * +NOTE PINS RA[9] : 85 : out * +NOTE PINS RA[8] : 96 : out * +NOTE PINS RA[7] : 100 : out * +NOTE PINS RA[6] : 91 : out * +NOTE PINS RA[5] : 95 : out * +NOTE PINS RA[4] : 99 : out * +NOTE PINS RA[3] : 97 : out * +NOTE PINS RA[2] : 94 : out * +NOTE PINS RA[1] : 89 : out * +NOTE PINS RA[0] : 98 : out * +NOTE PINS nRCS : 77 : out * +NOTE PINS RCKE : 82 : out * +NOTE PINS nRWE : 72 : out * +NOTE PINS nRRAS : 73 : out * +NOTE PINS nRCAS : 78 : out * +NOTE PINS RDQMH : 76 : out * +NOTE PINS RDQML : 61 : out * +NOTE PINS nUFMCS : 53 : out * +NOTE PINS UFMCLK : 58 : out * +NOTE PINS UFMSDI : 56 : out * +NOTE PINS PHI2 : 39 : in * +NOTE PINS MAin[9] : 51 : in * +NOTE PINS MAin[8] : 50 : in * +NOTE PINS MAin[7] : 44 : in * +NOTE PINS MAin[6] : 49 : in * +NOTE PINS MAin[5] : 45 : in * +NOTE PINS MAin[4] : 46 : in * +NOTE PINS MAin[3] : 47 : in * +NOTE PINS MAin[2] : 37 : in * +NOTE PINS MAin[1] : 38 : in * +NOTE PINS MAin[0] : 23 : in * +NOTE PINS CROW[1] : 34 : in * +NOTE PINS CROW[0] : 32 : in * +NOTE PINS Din[7] : 19 : in * +NOTE PINS Din[6] : 20 : in * +NOTE PINS Din[5] : 17 : in * +NOTE PINS Din[4] : 18 : in * +NOTE PINS Din[3] : 16 : in * +NOTE PINS Din[2] : 14 : in * +NOTE PINS Din[1] : 15 : in * +NOTE PINS Din[0] : 21 : in * +NOTE PINS nCCAS : 27 : in * +NOTE PINS nCRAS : 43 : in * +NOTE PINS nFWE : 22 : in * +NOTE PINS RCLK : 86 : in * +NOTE PINS UFMSDO : 55 : in * +NOTE CONFIGURATION MODE: NONE * +NOTE COMPRESSION: off * + + +QF56640* +G0* +F0* +L00000 +11111111001011111111011110111100101111111101111011110010111111110111101111001011 +11111101111011111111111111111111101111001010010011110111011100101001001111011110 +11001010010011110111101111111111 +11111111001011111111011110111100101111111101111011110010111111110111101111001011 +11111101111011111111111111111111101111001010010011110111011100101001001111011101 +11001010010011110111011111111111 +11111111111111111111111111111111111111111111111111110010111111110111101111111111 +11111111111111111111111111111111101111111111111111111111111100101001001111011101 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111110010111111110111101111111111 +11111111111111110010111111110111101111111111111111111111111100101001001111011101 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111110111111111111111111111111111111111 +11111111111110111111111111111011111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111011111111111111111111111111111111 +11111111111110111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111100111111011111111111111111111111111111111011111111111101111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111101111111111111111111111111111111111111111111111111111101111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111101111111111111111111111111111111111111111111111111111111 +11110111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111011111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111101111111111111111110111111111001111101111111111111111111 +11011111111111111011111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111011111111101111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111011111111101111111111111111111110111 +11111111111111111101111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111110111111111111111111111111111111111111111111111111111111111110111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111110001111111111111111111111111101111111111111111111111111111111111 +11111111111111111110111111111111 +11111111111111111111111111111111111111111111111111110111111111100101111111111111 +11111111111111111111111111111111111111111111111111111111111111111110111111111011 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111110111111111111101111111111111 +11111111111111111111111111111111111111111110111111111110111111111111111111101111 +11111111111111111011111111111111 +11111111111111111111100111111111111111111111111111111111111111001111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111101111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111110111111111111011111111101111111111111111111101111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11110101101111111110111110111011110110111111111111100111111111101111111111010101 +11111011111111111111111101111001 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111001110111110111111111011111011101111111111111101101111101101111111110111011 +01010011111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110110111110111111111110111111101111111111111111011111111111111111110110111 +01010111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111110111111110010111111111111111111101111111110111111111110111111 +11111111111111111111111111111010 +11111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111101011010110110111100010110111111111111111011111111111111100111111011111111 +11010010111111111111111010111111 +11111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111111111111111000100111000111011110111111111011111111111110101011111100110111 +11110010110111111101110111011011 +11111111111111111111111111111111111111111111111111111111111111101111111111111111 +11111111110111011100111101111111011101111111111101011101111111001111011111110111 +01110011110111111111111101011001 +11111111111111111111111111111111111111111111111111111111111110111111111111111111 +11111011111101111100111111111111011111111111111111111111111111001101111111111011 +11110011001111111101111101111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11110101111011111000111111100111111111111111111110001111111110101111111111110001 +11101111001111111111111111111001 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111101111101101000001001110111111111111111111111011111111110101111111111111111 +11100010110111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111011111111011111010101111111111111111111111110111111111111001111111111111111 +01110011111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111000111101101111111111111111111111111111111110111111111111111101 +11111111111111111101111111111011 +11111101111111111111111111111111111111111111111111111111111111111111111111111111 +11011111111111111100111111101111111111111111101111011111111111101110011101111111 +11110011111111111111111111111111 +11111011111111111111111111111111111111111111111111111111111111111111111111111110 +11011111111111101100111111110011111111111110010111011111111111101111011111111111 +11110011111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111101 +00011111111111010111111111111111011111111110111111111111111101001011011111111111 +11011111111111111101111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11011111111111111100111111111111111111111111110010111111111111111111011011111111 +11111111111111111111111111111101 +01111111111111111111111111111111111111111111111111111111111111111011011111111111 +11111111111110011101011011111111011101111111111111111101100111001111111110111111 +11111111111110011110111111111001 +01011111111111111111111111111111111111111111111111111111111111111111111111011111 +11111111111011010101101101111111011000011111111111011100110101001111011111111111 +11111110000111011111011111111001 +11111111111111111111111111111111111111111111111111111111111111111100111111110011 +11111111111111111101111101111111111111111111111111111111111111011111111111111111 +11111111111110111111110011111111 +01110011111111111111111111111111111111111111111111111111111111111111111111100011 +11111111111111111001110111111010111111101111111011101011111110011110111111011111 +11111101110111111111111111111010 +10111111111111111111111111111111111111111111111111111111111111111111111111111011 +10011011101111111100111011010111011100111111110010110111111111001111111101111111 +11111111111011001111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111101010 +11011101110111111100000101100111111001101011111101011101111111001111111100111111 +11111111111111001111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111011 +11011111111111111100011101111111111111111111110011001101111111011111111011110111 +11111111111101110101111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111011 +01111111111111111100111101111110111111101111111111111111111110011111101111111111 +11111111111010111111111101111111 +01111111111111111111111111111111111111111111111111111111111111111111111111101111 +11111111111111111011111111100101011001100011111111111111111110011111111111111111 +11111111111111111111111111111001 +01011111111111111111111111111111111111111111111111111111111111111111111111101011 +11111111111111111100111111100011011100100011111111111111111111001111111111111111 +11111111111111101011111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111100111111111011011111111111111111111111111111101111111111111111 +11111111111111101111110011111110 +01111111111111111111111111111111111111111111111111111111111111111111111111101111 +11111111111111111111111111111010111111100011111111111111111100111111111111111111 +11111111111111100101111111111011 +10111111111111111111111111111111111111111111111111111111111111101111111111111111 +11110101111111111111011111110111011111110011111011111111100111011111111111111111 +01111111111011111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111001111111111111111101111110111111110011111111111111111111001111111111111111 +11111100010111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111011111 +11111111111111110111111001101111111111011111111001011111111101101111111111111111 +11111110110111011111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111110011111111111111111111111111111111111110 +11111111100101011111111111111111 +11111111111111111111111111111111111111111111111111111111111111100111111111111111 +11111111111111111111111111110111111111111101011111111101111111111110111111111111 +01111111111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111111111111110111111001111111111111111110110111111111111111111111011111111011 +01011011111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111101100111111011111111111011111111111110 +11110011111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101111111111111110 +11011111111111111011011101101111111111111111110111111111111111111111011111111111 +11100111111111111111111111111011 +11111111111111111111011111111111111111111111111111111111111111111111111111111111 +11111011111111111111010111111111111111111111111111011111111111111111010101111111 +11111110011111111111110111111111 +11111111111111111111011111111111111111111111111111111111111111111111111111111111 +11111101111111111111101111111111111111111111111111011111111111111001011001111111 +11101010111111111111110111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111110111101111111111111111111111110111111111111111111011111111111 +11110011110111111110101111111001 +11111111111111111010111111111111111111111111111111111111111111111111111111111111 +11111111111111111011111111111111111111111111111011111111111111111110111111111111 +11110111111111111111111111111101 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +11111111111111111001111111111111111111111111111110011111111111111111111111111011 +11100011101111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111100011101111111111111111111111111011111111111111111111111111101 +10100011110111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111110111111111111 +11111111111111111100111001111111111111111111111110111111111111111111111111111111 +01110110111111111111111101111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111000111111111111111111111111111111111111111111111111111111111111 +11100111110111111111111101111010 +11111111111111111111111111111111111111111111111111111111111111010111111111111111 +11111111111001111100111111111111101100111111111111110100111111111111111011111111 +11111011111111111110101111111111 +11111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111111111101111100111111111111110001111111111111111101111111111111111101111111 +01111011111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111101111111111111111 +11111111111111010100111111111111111101111111111111111011111111111111111111111011 +11011111111111111111110111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111100111111111111101111111111111111111111011111111111111111111111 +11111111111111111111011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111001101111111111111111111111111111111111111111111111111111 +11111101011110111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111101101110111100111111111111111111111111111111111111111111010 +11111110111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111101111111110111111111111111111111111111111111111111111101 +11111111110111111110111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111010101111111101111111111111111111111111111111111111111111011 +11111111111111111111110111111111 +11111111111111111111111111111111111111111111111111111111111111110111111111111110 +10110101111111111111101111110111111111111110111101011111111111111111101111001111 +11111111111011111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111110 +11011001111111111111101111110001111111111110111110011111111111110011011110010111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101111111111111111 +11011111111111111111111101111001101111111111110111011111111111110101011100111111 +11111111111111011111111101111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111110110101111111101111111011111011111111111111110010101111111 +11111111111101011111111111111111 +11111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111111111111111111111111101111111111111111111110011111111111111111111111100111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111111011111111111111111111111110111 +11111111111111111111111101111111 +11111111111111111111111111111111111111111111111111111111111111101111111111111111 +11111111111111111111111111111111111111111111111110111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111101111 +11111111111111111111111100111111 +11111111111111111111111111111111111111110111111111111111111111111101011111111111 +11111111111111111111111110111110111111111111111110011111111111111111101000110111 +11111101001111111111111111111111 +11111111111111111111111111111111111111111101111111111111111111111111011111111111 +11111111111111111111001101111111011111111111111111011111111111110011011101101111 +11111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111110111111111111 +11111111111111111111111101110111111111111111111110111111111111110111001001011111 +11111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111110011010111111111111111111111111111111111111100010111111111 +11111111110111111111111111011111 +11111111111111111111111111111110001111111011111111111111111111111111111111011011 +11101111111111111111111110110100111111111111111010011111111111111111101111111111 +11111111111110011000111111111001 +11011111111111111111111111111110111000011101111111111111111111111111111111011011 +11110111111111110100001101101011011111011011111111011111111111111111110111111111 +11111111111111001101111011111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111011 +11111101111111110100011100010011111111111011111101111111111111111111111101111111 +11111111111111101111010111111110 +11111111111111111111111111111111001111111111111111111111111111111111111111101011 +11111101111111111111110001111111011111101111111110111111111111111111111101111111 +11111111111110100101111111111011 +11111111111111111111111111111111001111111101101111111111111111111111111111110011 +00111001011011110101111110100110101110111101011011011110010111111111111111111111 +11111111111111110111111111111111 +11111111111111111111111111111110111111111111110111111111111111111111111111100000 +11011101110111001100101101100111011100111110110110011101010111111111111111111111 +11111111111111111111111111111011 +11111111111111111111111111111111111111111011110111111111111111111111111111110001 +11011011110111011100111111111111011101111111110011001110110111111111111111111111 +11111111111111111101111111111001 +11111111111111111111111111111111111111111111110111111111111111111111111111110011 +11011111110101011000110011011111110111101110100001111111101111111111111111111111 +11111111111111111111111111111111 +01111111111111111111111111111110001111111111111111111111111111110111111111101111 +11111111111111001011111110111111001111101111111110011111111111111111101111111111 +11111111111110011111111111111001 +01011111111111111111111111111110001111111111111111111111111111111111111111101110 +10011111111110011011111111110111111111111111111111011111111111111111110111111111 +11111111111110011111111111111001 +11111111111111111111111111111111001111111111111111111111111111111111111111111110 +11111111111111111111101110110111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110 +01111111111111111111111111111111111111111111111111111111111111101111111111111111 +01011111111111111111111100011111010111001111111110111111111111111111111111111111 +11111111111111111111111111111011 +10111111111111111111011111111111111111111111110111111111111111111111111111111111 +10111101111111011111111010111111111101111011111011011011111111111111111111111111 +11111110111111111111111111111111 +11111111111111111111011111111111001111111111110111111111111111111111111111110111 +11011100111110001111100101011111011110111111111101001111111111111111111111111111 +11111110000111111111111111111011 +11100111111111111111111111111110001111111111110111111111111111111111111111010111 +11111011110011010100101111111111011101011111111110111101111111111111111111111111 +11111110110111111111111111111001 +11111111111111111010111111111110111111111111101111111111111111111111111111111111 +11101111111111111111111101111110111011111111111011111101111111111111111111111111 +11111111110111111111111111111111 +01111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111101111111111111110110110110111111111101111010011111111111111111101111111111 +11111111111011100101111111111001 +01011111111111111111111111111110001000011111111111111111111111101111111111011111 +11011011111111110111001110110111011111111111100101011111111111111111110101111111 +11010011111101110101111111111001 +11111111111111111111111111111111001111111111111111111111111111111111111111011111 +11111111111111111111111101100111111111111101110110111111111111111111111111111111 +11111111111111111111111111111111 +01111111111111111111111111111111111111111111111111111111111111111111111111011101 +10011111111111111011101100011111111111111111110111111111111111111111101111111111 +11101111111111111011111111111011 +10111111111111111111111111111111111111111001111111111111111111110111111111011111 +10111101111111011111011111010111101111111111111001011111111111111111110101111111 +11111110011111001111111111111111 +11111111111111111111111111111110001111111101111111111111111111100111111111111111 +11011111111111011011111110110111111111111111111111011111111111111111111101111111 +11100010111111001111111111111011 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111010111111111101100111110101111111111010011111111111111111111011111111 +11110011110111110101111111111001 +11111111111111111111111111111111001111111011111111111111111110111111111111101101 +11011011111111111101111111111111111101111111111101111111111111111111111111111111 +11110011111010111111111111111101 +01111111111111111111111111111110001111111111111111111111111111110111111111101111 +11101000011111011011111101010111111111111111111011011111110111111111101001111111 +11101111111111011111111101011001 +01011111111111111111111111111110001111111111111111111111111111101111111111100111 +11111000110111011011101101100111111111111111111010111111110111111111110101111111 +11100011111111111111111111111001 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11110110111010111110111101111111111111111111111111011110100111111111111111111111 +11111011111111111111111110111110 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110100111111010010001111111111111111111111101111111110111111111111011111111 +11101011111110111111111111111011 +10111111111111111111111111111111111111111001111110011111111111111101011111111111 +10111001010111111111111110110111111001111111111101011110110111111111101111111111 +11111111111111011110011101111111 +11111111111111111111111111111111001111111101111011011111111111111111011111111110 +11011101110111111111001101111111101101111111111011011100110111111111100101111111 +11110011111110111111111111111011 +11111111111111111111111111111110001111111111111111111111111111111110111111011101 +01111111111111110110111100110111111111111111111110011111111111111111111101111111 +11011011111111111111110111111001 +11111111111111111111111111111110111111111011111111111111111111111111111111111111 +11011011101111111110100001001111111111111111111111101111001111111111101111111111 +11111011111111111111110111111111 +11111111111111111111111111111111111111111111111111111111111111110111111111111011 +11111101111111111111011111101111101111111111111010011111111011111111101111111111 +11111110111111111111111111111111 +11111111111111111111111111111110001000011111111111111111111111101111111111011111 +11011111111111110111100111110111111110111111111111011111110111111111111111111111 +11111110011111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111101 +11111011111111110100111100110111111101111111111100011111111111111111110101111111 +11111111110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111101111 +10011111111111111100111111111111110111111111111111011111111111111111111101111111 +11111110110111111111111111111111 +11111111111111111111111111111111111111111001111111111111111111100111111111110001 +00111111111111010100111100110110111111111111111011111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111110001111111101111111111111111111110111111111111110 +11011111111011011000101111110001011111111110010111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111101111111111111111 +11011111111111111100111100000011111111111110111011011111111111111111101101111111 +11111111111111111111111111111111 +11111111111111111111111111111111001111111011111111111111111111111111111111101110 +11011111111110111000110110111101111111111111100101111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111110001111111011111111111111111111110111111111101011 +01111111111011111011111010111111101101111111111011111111110111111111111111110111 +11111111111011111111111101111111 +11111111111111111111111111111110001111111101111111111111111111101111111111110000 +11011111111101101011101101011111111111111111111101111101100111111111111111111111 +11111111111101111111110111111111 +11111111111111111111111101111111001111111111111111111111111111111111111111111111 +10011111111111011111110111110111111111111111111111111110111111111111111111101111 +11111111111111011111111111111111 +11111111111111111111111101111111111111111111111111111111111111111111111111101111 +11111111111111111111011101110111110011111111111111101111111111111111111111111111 +11111111111111011111110111111111 +11111011111111111111111111111111111111111001111111111111111111110111111111111111 +11110111111111111110110010010111110111111111111011011111111111111111110101111111 +11111111111111111111111111111111 +11110111111111111111111111111111001111111101111111111111111111100111111111110111 +11111101111111111111001101110111111111111111111100111111111111111111111001111111 +11111111111111111111111111111111 +11111111111111111111111111111110001111111011111111111111111111111111111111011111 +11111111111111110111011101101111111101111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111110111111111111111111111111111110111111111111111111 +11111111111111111111111101011111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110111111111111111 +11111111111111111111111010111111101111111111111011111111111011111111101111111111 +11111111111010011111111111111111 +11111111111111111111111111111110001000011111111111111111111111101111111111111110 +11011111111111100111111101111111111111111111111101111111111111111111100111111111 +11111111111101011111111111111111 +11111100111111111111111111111111001111111111111111111111111111111111111111111101 +01111101111111111111101110010111101111111111111011011110111111111111111101111111 +11111111111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +10011101111011111001111101111111111111111111111111111111111111111111111111111111 +11111111111110011111111111111111 +11111111111111111110011111111111111111111001111111111111111111110111111111111111 +10111100111111111111111001111111111111111111111110011111111111111111111111111111 +11111111101111111111111111111111 +11111111111111111110011111111110001111111101111111111111111111100111111111111111 +11011111111111011011100101111111111111111111111111011101111111111111111111111111 +11111111110111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111010111111011101111111111111111111111111101001111111111111111111111111 +11111111111111011111111111111111 +11111111111111111111111111111111001111111011111111111111111110111111111111111111 +11111010111111011101111101111111111111111111111110111111111111111111111111111111 +11111110110111011111111111111111 +11111111111111111111111111111110001111111011111111111111111111111101011111111111 +11101111111111011011111111111111111111111111111111011111111111111111111111111111 +11111111111111111111111101111111 +11111111111111111111111111111110001111111101111111111111111111111111011111111111 +11110111111101011011111111111111101111111111111110111111111111111111111111111111 +11111111111111111111111101111111 +11111111111111111111111111111111001111111111111111111111111111111110111111111111 +11111101111111011110111111111111110101111111111111111111111111111111111111111111 +11111111111111111111111111011111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111101111110011011111111111111101111111111111111111111111111111111111111111111 +11111111111111111111111100111111 +11111111111111111111111111111111111111111111111111111111111111101111111111111111 +11111111111111111111101110010111111101111111111011011111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111001111111101111111111111111111110111111111111111 +11111111111111111111101101100111101101111111111111011111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111111111111110110111101111111100101111110111110111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111110111111101111111111111111111111111111111111111111 +11111111111111111111100001011111101011111111110001111111111111111111111111111111 +11111111111111111111111111011111 +01111111111111111111111111111111111111111111111111111100101111010101011111110111 +11111111111111001100100111111101001100111110111111111000110011111111101101101011 +00110011111111011111110111011011 +01011110111111111111111111111110001000011111111111110100100101110011111111010111 +11111111111110010100101111111001011000011110110111000101110001001111111011100111 +00010011111111111111110011111010 +11111111111111111111111111111111001111111111111111111100101001101011111101111111 +11111111111111110100111101111010111101111110000111101111010101001111110111111110 +01111011111110111110100101111111 +01111110111111111111111111111111111111111111111111111000111101111011001111101111 +11111111111111111100111111111011110111101111110011100111101111111111111110111101 +11101011111111111111111111111011 +10111111111111111111111111111111111111111101101111111100100111110110101110111101 +00110101101011000100111110111101111111111111111110011011011101001111110101011011 +01110011111111111010100110111101 +11111111111111111111111111111110001111111011110111111000101111111111010101111110 +11011001110100001000111001011111011101101110100111011101110111000000011001110111 +01100011111111111101110111111111 +11111111111111111111111111111111001111111111110111111100111101111111011101111111 +11011110110111011100111111110111011111110110111111111101110111000111001101110011 +01111011111011011100100101111111 +11111111111111111111111111111111001111111111110111111110111111101111001111101110 +11011111111111111100101101110111011101111111010110111101110111001111011111110000 +11101011111111111111011111111111 +01111111111111111111111111111110001111111111111111111000100111110111111101101111 +00110101111111111011111111111111100111100010111101011111111110001111111101111111 +11101110111111111100101111111001 +01011111111111111111111111111110001111111111111111111000101101101111101101111110 +11011101111111111011111111111111111111100010111110011111111111001111111011111111 +11100010000111111111111111111001 +11111111111111111111111111111111001111111111111111111100011001111111111111111110 +11111011111111111110111111111111111101110011110111111111111111001111111111111111 +11111010110111111111110111111111 +01111111111111111111111111111111111111111111111111111010111101111111111011101111 +11011111111111111111111111111111110111100011011111111101111111001111111111111111 +11111011110111111111011111111011 +10111111111111111111111111111111111111111001110111111100011111111111011111111111 +10111101110111111111101111110111111111110001001111011111111111001111101101111011 +11111101111111111101111111111111 +11111111111111111111111111111111001111111101110111111100111101111111011110111110 +01011101111111111111111101110111011101110010110111111100110111000011011111111111 +10110010111111111111111111111011 +11111111111111111111111111111110001111111111110111110100111001111111011101011111 +11111111110111110111111001111111111111010011110111111101111101001111011111111101 +01011011110111111101111111111001 +11111111111111111111111111111110111111111011101111111110111111111110111111110110 +11001011111111111111111110101110111101111010110110111011111111101100110011111111 +11111011011111111111111111111101 +01111111111111111111111111111111111111111010111111111111101111111111111101111111 +11101111111110011111111110100111111101110110101010011111111111001110111000100001 +11111011111010111111111111111001 +01011111111111111111111111111110001000011100111011010110111001110111101001010111 +11010101111111000111111101100111111100010010110100011111111101001001011101110111 +11010011111011011111111111111001 +11111111111111111111111111111111001111111111110111111111011101111111111111011110 +11111111111111111111111011010111111001110010010111111111111111001011111010000111 +00010011111111011111111111111111 +01111111111111111111111111111111111111111111011111111011111100111111111111111111 +10001111111111111011101101110111111101100011110111111111111110111111011111111111 +11010010110101111111111111111010 +10111111111111111111111111111111111111110111111110011111111011100010111100010011 +11010111111111111111011110110111111111110111101010010100111111001111111101111111 +01010011011111111111111111111111 +11111111111111111111111111111110001111111101111011011011100101110111011001100110 +10011101111111111011100111110111111111100011110110011101111110001111111001111111 +00110010111111111111111111111011 +11111111111111111111111111111111001111111111111111111111011111101111111111110001 +11011111111111111111111100100111111111110001111111011111010111001111111111111111 +11110011111111111111111111111001 +11111111111111111111111111111111001111111111111111111111111101111101111111110011 +01011111111111111010111110011111111111110011110101011011111010111111101111111110 +11110011111111111111111111111111 +01111111111111111111111111111110001111111111111110011000111111110111011101100011 +11111111111111011000111110100111111111101110001111011111111110001111101101111110 +01100011111110011111111111111001 +01011111111111111111111111111110001111111111111111001011011111101101011000100011 +11111111111110001000101110110111111111101110110111011111111110001111111101111111 +11110111111111011111111111111001 +11111111111111111111111111111111001111111111111111111111011101111011111101110011 +11111111111111111110111111100111111111111110110111111111111111111111110101111111 +11110111111110111111111111111110 +01111111111111111111111111111111111111111111111111111011111101111010111110110011 +11111111111111111010110111011111111111101011110010111111111111001111101001111111 +11110011111111111111111111111011 +10111111111111111111101101111111111111111000110111111111101110110011111111111011 +11101111110111111100111110100111111001111101111111111110011111001111101111110111 +01110011101111111110010111111111 +11111111111111111111110111111111001111111101110111111111100111110101111111111011 +11010111110111111100111111000111111100111110110111011111111111001111100101111111 +00110110110111111111110011111011 +11111111111111111111101001111110001111111111010111110111111101111011011111010001 +10111111101111110110111111110111111111011110010111111111110001111111111101101111 +11010111011111111111110011111001 +11111111111111111111111111111110111111111010100111111111111110001111111111110011 +11011110111111111110111111110111111111111111100011111111111111001111101111111110 +11110010110111111111101111111111 +11111111111111111111111111111111111111111011111111110111111011101111111101111110 +11111111111111111111011111111111101111110011001111111110101111111011111110111111 +11110011001111011111111111111111 +11111101111111111111111111111110001000011101111111110111001101110111111111011010 +11111111111111111111101111111111110110011000110111111111111111111011011111111111 +11011110110110111111111111111110 +11111111111111111111111111111111001111111111111111111111010101111111111111011011 +11011111111111111111110100110111111101011001110111111111110111111000011111111111 +11010010111011011111111111111111 +11111101111111111111111111111111111111111111111111111011111101111111111011111111 +01111111111111111111111111111111111111111011110111111111011111111111011111011111 +11010011110111111111111111111111 +11111111111111111111111111111111111111111101111111111111111111011101111111010101 +11101111111111111111111010100111111111011110111111011011111111111111101101111111 +11010110111111111111111111111111 +11111111111111111111111111111110001111111101111111111011100001110010011101100011 +11100111111111011111111100000010011111101011111111010101110111111110011101111111 +11101110110111111111111111111111 +11111111111111111111111111111111001111111111111111111110011111111010001111110011 +11011101111111111111101111110001011111111011110011001111111111111111101001111111 +11110011010111111111111111111111 +11111111111111111111111111111111001111101011111111111111111101111011111111110110 +11011111111111011111111101111010111111101010110010111111110111111111100111111111 +11110110100111111111111111111111 +11111111111111111111111111111110001111111011010111111000100111101111111101100011 +11111101010111111111111110010111011111100011110010011100110111111111101001100111 +11100011111111111111111011111111 +11111111111111111111111111111110001111111101110111111000101101110111101101100000 +00011001110111111111111111010110111111100010110110011001111011111111100101110111 +11100100100111111111110111111110 +11111111111111111111111111111111001111111111101111111100101001111111111011111101 +11011111101111111111111111111111111111110011100101111111110111111111111001101111 +11110001010111111111111111111111 +11111111111111111111111111111111111111111111111111111000111101111111111111111111 +11001111111111111111111111101111111111110010010111101111001111111111111111111111 +11110011110111111111111111111111 +11111111111111111111111111111111111111111101111111111110100011110111111111111011 +11111101111011111111111111100111111111111101111111011110110111111111111101010111 +11110011111111101111110011111111 +11111111111111111111111111111111001111111011111111111110101101110111111111111111 +11111101111111111111111111110111111111110011110111111110110111111111111101110111 +11111111111011111111110111111111 +11111111111111111111111111111110001111111111111111110110101101111111111111011111 +11111111111111111111111111111111111111010011100111111111110111111111111111111111 +11011011111111011111101111111111 +11111111111111111111111111111110111111111111111111111110111100101111111111111011 +11101011111101111111111111101111111111110010110110111110001111111111101011101111 +11110011111111110111111111111111 +11111111111111111111111111111111111111111111111111111100101110111111111111111111 +11101111111111101111111111111111111111111110111111011111111111111111111001111111 +10111111011111111111111111111111 +11111111111111111111111111111110001000011111111111110110101111011111111111111100 +01000111111111110100111111110111111111011011100111011111111111111111111001111111 +11111110110111111111111011111111 +11111111111111111111111111111111001111111111111111111100111101110111111111111110 +10011101111011111100111111110111111111111110110110111111111111111111111111111011 +11111110111111111111111111111111 +11110011111111111111111111111111111111111111111111111000110111110111111111111111 +11011111111111111011111110110111111111101111110011111111111111111111111111111111 +11111111100111111110111111111111 +11111111111111111111011111111111111111111001111111111100101111110111111111111111 +10101111100111111101111100100111111111111110101111110011111111111111101111111111 +11111110101011111011111111111111 +11111111111111111110011111111110001111111101111111111000101111100110111111111100 +11011110110111111001101101100111111111101110110111101001111111111111111111111111 +11111110010001111101111111111111 +11111111111111111111111111111111001111111111111111111100110101111011011111111110 +01001100110111111101111101110111111111111110010111101101111111111111111101111111 +11111110110111011111111101111111 +11111111111111111011111111111111001111111011111111111100111110111011111111111111 +11010110011111111101110011010111111111111111110111101111111111111111110101111111 +11111111110111111111111111111111 +11111111111111111111111111111110001111111111111111111011100011010111101101111111 +11111111010111111011111111110101010001101110101111011101110011111111111111111101 +11111111111111011110110111111111 +11111111111111111111111111111110001111111111111111111011101101110111100101111111 +11111111110011111011101111110111000101101110010110111110110011111111111111111001 +11111111111110111111111111111111 +11111111111111111111111111111111001111111111111111111111101101111111111101111111 +11111101111101111111110111101111101101111111110111111011000111111111111111111111 +01111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111011111101101111110011111111 +11111101101111111011111110111110111111101101110111111111111111111111111111111111 +11111111111111111111111100111111 +11111111111111111111111111111111111111111101111111111101101111110111111111111110 +00101111111010011111111110100111111111111101111111011101110111111111101101111111 +11111101111111110101110111111111 +11111111111111111111111111111111001111111011111111111101111001111111111111111110 +11011111111111011111111101000111110111111110111111011000110011111111011101111111 +11111110000111111101110111111111 +11111111111111111111111111111110001111111111111111110110011101111111111111111110 +11001101111111110111111110110111111101010111010111111111000111111111111011111111 +11111111110111111010101111111111 +11111111111111111111111111111110111111111111111111111111111101101111111111111111 +11010111111111111111111011111111111111111110110010101111110111111110100111111111 +11111110110111111111111111111111 +01111111111111111111111111111111111111100101111111111111101111111111111001111011 +11111111111111111100111111100110101101111011011111111010110111111111101101111101 +01111011111011111101111111111001 +01011111111111111111111111111110001111111101111111110101101101111111111101011011 +11111111111111110100111111100011011000010010110111101001010101000111111111111111 +01011111111111111101111111111001 +11111111111111111111111111111111001111110011111111110100110100110111101001111011 +11111101111111110100111111111001101101010011111111100110100111001111010111111111 +01011111111101111011111111111111 +01111111111111111111111111111111111111111111111111111110111011111111111111101010 +11011101111111111100111111111111010111011011101111101100111110001011011011111110 +01111011111111101111111111111011 +10111111111111111111111111111111111111111101111111110111110011110110101101110001 +11101111101001110100111111100111111111011111100011011111111111111111101111101111 +01010101111011111011111111111111 +11111111111111111111111111111110001111111101110111111111001101110111010100110010 +01111111110111111000111111110111011101100010110100111101110010001111011111110111 +01110010111101111101111111111011 +11111111111111111111111111111111001111111111111111111110011101111111001001110011 +11011100110111011100111111101111001111110001110011011101110111000111110101110011 +11110011110111111101111111111001 +11111111111111111111111111111111001111101011110111111110111100101111011111100011 +11110101111101111000111111111111111111110011010111111101110110001110011100111110 +11110111011111111111111111111101 +11111111111111111111111111111110001111111111111111111011110010111111111100100011 +11101111111101111010111111111011011111100001111111101111111110001010111101111111 +01101011111111111101111111111001 +11011111111111111111111111111110001111111111111111111000101101011111111001111111 +11110111111111111011111111111111111111100010111111111111110110001001011011111110 +01110011111111111111111111111001 +11111111111111111111111111111111001111111111111111111100101111111111111111111111 +11111111111111011111111111111111111111110011010111111111111111001111011111111011 +11110011111111111111111111111110 +11111111111111111111111111111111111111111111111111111111111101111111111111101111 +11111111111111111111111111111111111111100010110111111111110110101111111111111111 +11111011111111111111111111111011 +11111101111111111111111111111111111111111111111111111111111111010111001100110011 +11100101111111011110111010110111111111111010111101011110110011001111101111111011 +01111010111111111111111111111111 +11111011111111111111111111111111001111111101111111111111100101100111011101111110 +01111101110111011111100100110111111111110010111111011111110111001001011110110101 +00110010110111111111111111111011 +11111111111111111111111111111110001111111111111111110111101011111111010001011101 +11111011111010110111101111010111111111010011010110111111111101001111110101110010 +01010010000111111111111111111001 +11111111111111111111111111111110111111101111111111111111111101111110101111111111 +11010111101111111111111100101111111111011011111111111111001111001010011101101111 +11111011110111111111111111111111 +01111111111111111111111111111111111111111111111111111111011111111111111111111111 +11101111111111011100111110111111111111110001101111111111100111010111111111111011 +11110010011111111111111111111001 +01011111111111111111111111111111111000011111111011010111100001111111111111011011 +10011111111111010111111101011111111111010011110111111110110101011111011111111111 +11010010110111111111111111111001 +11111111111111111111111111111111111111111111111111110111101101111111111111010010 +11110111111010111100111111110111111111110011110111111111111101001110011111111101 +11100011110111111111111111111111 +01111111111111111111111111111111111111111111111111111100111101111111111111010111 +11011111111111111000101001110111111111101111111111111111111101001111111111111111 +11010010100111111111111111111011 +10111111111111111111111111111111111111111101111111110111100111100111111111011111 +10111001111111111100101110110110111111110011111011011011111101111011111101110011 +11010010001111011111111111111111 +11111111111111111111111111111111111111111011111111111100101001110111111111111011 +11011001111111111000101101101111011111100011111010011101111110011011011001111101 +11100010110110111111111111111011 +11111111111111111111111111111111111111111111111111111100111101111111111111110011 +11111111111111111100101000110111111111110011111111011111111111001111011111101111 +01110010110011011111111111111001 +11111111111111111111111111111111111111111111111111111100101101101111111111110111 +11111111111111111000110101011111111111101110110001111111111111101100101111111111 +10110111110111111111111111111101 +01111111111111111111111111111111111111111111111111111011100111111110011101101111 +11111111111111011000111111010111111111100010101111111011111110001111111111101111 +01101111111111111111111111111111 +01011111111111111111111111111111111111111111111111111011101111111101011111101011 +11011111111111001010111111100111111111100010110111111001111110001111011110100110 +11101111111111111111111111111110 +11111111111111111111111111111111111111111111111111111101011101111011111011111110 +11111111111110111100111111111111111111110011110111111111110111111010111100011111 +00110111111111111111111111111111 +01111111111111111111111111111111111111111111111111111111111101111011111111111111 +10011111111111111000111111111111111111100001010111101111110111001111011100110111 +11110111111111111111111111111111 +10111111111111111111111111111111111111111111111111111111101111100111111111111111 +11111111111111111100111111110110011001111010111001011111111111011100101101111011 +11110011111011111111111111111111 +11111111111111111111111111111111111111111101111111111111101101110111111111111111 +11111111111111111100111111110111001101111010011111011111111111000011010011111101 +11111111111101111111111111111111 +11111111111111111111111111111111111111111111111111110111111001111111111111011111 +11111111111111110100111111111110111111011011110010011111111101110111011111111111 +00011111111111111111111111111111 +11111111111111111111111111111111111111101111111111111111110111101111111111111111 +11111111111111111100111110101111111111111011111101111111111111011111011111111111 +11110011111111111111111111111111 +11111111111111111111111111111111111111111111111111110110110111111111011111111111 +01111111111111111111011010111011111111111111011011111111111101001011111111111111 +11111110111111111111111111111111 +11111111111111111111111111111111111111111111111111110100001101111110111111111100 +11011111110111111111101100111111011111011100111101111101111101101111011111111111 +11111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111100111001111111111111111111 +11011101111111111111110101110101111111111111110111111111111111001010011101111111 +11111110010011011111111111111111 +11111111111111111111111111111111111111111111111111111000111111111111111111111110 +10011100111111111111111111011011111111110011111111101111111110001111011101111111 +11111111100111111111111111111111 +11111111111111111111111111111111111111111101111111111100111111110110111111111110 +11101111111111111111111110010111111111111010111010011001111111001111101111111111 +11111101001111111110111111111111 +11111111111111111111111111111111111111111011111111111000001111101100011111111110 +11111111111111111111111101010111111111111110110101011101111110101111111111111111 +01111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111100111101111011111111111111 +01011101111111111111111111101111111111101101010110111111111111001111111101111111 +11111111110111111111111111111111 +11111111111111111111111111111111111111111111111111111100110111111011111111111111 +11110101111111111111101001111111111111110011100111101111111110001011010101111111 +01111110110111111111011111111111 +11111111111111111111111111111111111111111111111111111000100111111111101101111111 +11111111111011001111111111111111111111110011111111111111010110001111111111111011 +00111111111011101111111111111111 +11111111111111111111111111111111111111111111111111111000101111111111111100111111 +11111111111111001111111111111111111111111110111111111111100110001111111111111111 +01111111111101111111111111111111 +11111111111111111111111111111111111111111111111111111100011101111111101001111111 +11111111111101011111111111111111111111111111011111111111110111011111111111111101 +01111111111111110111111111111111 +11111111111111111111111111111111111111111111111111111000111101111111110111111111 +11111111111110111111111111111111111111110010110111111111110110001011011111111010 +11111111111111111111111111111111 +11110011111111111111111111111111111111111101111111111100101111111001111001111111 +11101111111111111111111111110111101111110001111110011110110011001111111101110011 +00111111111111111111111111111111 +11111111111111111111111111111111111111111011111111111100101111111101011101111111 +11011111111111101111111111110111111110110111111111011101110011001111011111111111 +00111111111111111111111111111111 +11111111111111111111111111111111111111111111111111110100111101111111101001111110 +11111111111111011111111111111111110101010111110110111111010101001011111111101101 +01111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111110100101111111111111111111 +10010111111111111111111110101111111111110010110111101111101101001110011011111110 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111101010111111111110110011 +11111111111111101111111111111111111111110010111011111111111111111101111111111111 +11111111111010011111110111111111 +11111111111111111111111111111111111111111111111111110111101101011111111110010011 +11111111111010111111111111111111111111010010110011111111111101111011011101111111 +11111111111010011111110111111111 +11111111111111111111111111111111111111111111111111111111100101111111101111010011 +11111111111001111111111111111111111111110010010111011111111101111111111111111111 +11111111111101011110101111111111 +11111111111111111111111111111111111111111111111111111011111101111111101111110011 +11111111111011111111111111111111111111110011100101111111111111111010001111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111001111111111111111111100111111111010001 +10101101101111111111111110110111111111110111111010010111111101001111101101011111 +11111110111011111111111111111111 +11111111111111111111111111111111111111111101111111111011111111110111111111100011 +11010110100111111111111101101111111111110011111101001111111111110011010010101011 +11111111110101111111111111111111 +11111111111111111111111111111111111111111111111111111110111111101111111111110011 +11011110110111111111111011110111111111100111111111101101111111111010011111111111 +11111110110111011111111111111111 +11111111111111111111111111111111111111111011111111111111101101111111111111110010 +11011010011111111111101101010111111111110110110110111111111111001101011110111101 +11111111100111011111111111111111 +11111111111111111111111111111111111111111111111111111000100111111111110001100011 +10101111110111111111111111111111001101111110101101011111111110110111111111111101 +11111111111011111001111101111111 +11111111111111111111111111111111111111111111111111111000101001111111101101100111 +11000111101111111111111111111111011111111110110110011111111111111011011111111001 +11111111111101111100101111111111 +11111111111111111111111111111111111111111111111111111100111101111111101101111101 +11111101111111111111111111111110111111111001110111111111111111111011011111111111 +01111111111111111111110100111111 +11111111111111111111111111111111111111111111111111111000111111111111101111110011 +11011111111111111111111111111011111111111011010111111111111111101100011111111111 +11111111111111111011011111111111 +11111111111111111111111111111111111111111001111111111110111111110111111111110011 +11101101111101111111111110110111111111110001011001001101111111111101101101111111 +11111111111011111111111111111111 +11111111111111111111111111111111111111111101111111111100101111111111111111111111 +11010111111010111111111101101111111111111110111111011011111111111011111011111111 +11111111111101111111111111111111 +11111111111111111111111111111111111111111111111111110100111111111111111111011111 +11101101111111011111111111111111111111011011110110010101111101000111111101111111 +11111111111111111111111111011111 +11111111111111111111111111111111111111111011111111111100110111101111111111110001 +10011011111111111111111011011111111111111011111101011101111111101111010101111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +11111111111111111111111111111111 +11111111111111111111111101111111111111111111111111111111111111110111111111111111 +11111111111111111111111111111111111111111111111111111111111111111101111111101111 +11111111111111011111111111111111 +11111111111111111111111110111111111111111111111111111111111111111111111111111111 +11111111111111110101110011111111111111111111111111111111111101111110111111101111 +11111111111111111111111111111111 +11111111111111111111111111111111111111110101111111111111111111011111111111111111 +11111111111111110101111111010111111111111111111101111111111111111111110101111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111011111111111111111111111111111111111111111110111111110011111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111011111111111111111111101111111111111111 +11111111111111111011111111101111111111111111111110111111111111111111111011111111 +11001111111100111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111110111111111111111111111111111111111111111111111111111110111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111110111111111111111111111011111111111111110 +10111111111111110111101011011110111111111111111101011111111111111111110111111111 +11111111111101111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111110111111110001111111110011111111001111111111111111111100111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111001111111100111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111101111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111111111111111111 +11111111111111111111111111110111111111111111111111011111111111111111111001111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111110 +11111111111111111111111111101111111111111111111110111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111 +11111111111111111111111110111111111111111111111011110010100100111100111111001010 +01001111011101110011000100111101100111001100010011110010111100101001001111001111 +11001010010011110111101111111111 +11111111001011111111011110111100101001001111011110110010110011011101111011111111 +11111111111011110011000100111101100111001100010011110110101100110001001111011010 +11001010010011110111101111111111 +11111111111111111111111111111111111111111111111111110010100100111100111111111111 +11111111111111110011000100111100100111111111111111111111111100110001001111011010 +11111111111111111111111111111111 +11111111111111111111111111111111111111111111111111110011011111110111101111111111 +11111111111111110010100100111100111111111111111111111111111100110001001111011010 +11111111111111111111111111111111 +* +CA8D9* +N User Electronic Signature Data* +U00000000000000000000000000000000* +2290 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log new file mode 100644 index 0000000..d0353b8 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.log @@ -0,0 +1,4 @@ +---- MParTrce Tool Log File ---- + +==== Par Standard Out ==== +==== End of Par Standard Out ==== diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf new file mode 100644 index 0000000..2743d95 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lpf @@ -0,0 +1,4 @@ +#BLOCK ASYNCPATHS; +#BLOCK RESETPATHS; + +#FREQUENCY 200.000000 MHz; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata new file mode 100644 index 0000000..f0b54bc --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.lsedata @@ -0,0 +1,5981 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp new file mode 100644 index 0000000..d38f4ce --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mrp @@ -0,0 +1,402 @@ + + Lattice Mapping Report File for Design Module 'RAM2GS' + + +Design Information +------------------ + +Command line: map -a MachXO -p LCMXO256C -t TQFP100 -s 3 -oc Commercial + RAM2GS_LCMXO256C_impl1.ngd -o RAM2GS_LCMXO256C_impl1_map.ncd -pr + RAM2GS_LCMXO256C_impl1.prf -mp RAM2GS_LCMXO256C_impl1.mrp -lpf C:/Users/Dog + /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1. + lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_L + CMXO256C.lpf -c 0 -gui -msgset + C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml +Target Vendor: LATTICE +Target Device: LCMXO256CTQFP100 +Target Performance: 3 +Mapper: mj5g00, version: Diamond (64-bit) 3.12.0.240.2 +Mapped on: 08/16/21 21:32:26 + +Design Summary +-------------- + + Number of PFU registers: 102 out of 256 (40%) + Number of SLICEs: 65 out of 128 (51%) + SLICEs as Logic/ROM: 65 out of 128 (51%) + SLICEs as RAM: 0 out of 64 (0%) + SLICEs as Carry: 9 out of 128 (7%) + Number of LUT4s: 129 out of 256 (50%) + Number used as logic LUTs: 111 + Number used as distributed RAM: 0 + Number used as ripple logic: 18 + Number used as shift registers: 0 + Number of external PIOs: 67 out of 78 (86%) + Number of GSRs: 0 out of 1 (0%) + JTAG used : No + Readback used : No + Oscillator used : No + Startup used : No + Number of TSALL: 0 out of 1 (0%) + Notes:- + 1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of + distributed RAMs) + 2*(Number of ripple logic) + 2. Number of logic LUT4s does not include count of distributed RAM and + ripple logic. + Number of clocks: 4 + Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK ) + Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 ) + Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) + Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS ) + Number of Clock Enables: 13 + Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs + Net RCLK_c_enable_6: 1 loads, 1 LSLICEs + Net RCLK_c_enable_4: 3 loads, 3 LSLICEs + Net RCLK_c_enable_24: 2 loads, 2 LSLICEs + Net RCLK_c_enable_3: 1 loads, 1 LSLICEs + Net RCLK_c_enable_7: 1 loads, 1 LSLICEs + Net RCLK_c_enable_23: 8 loads, 8 LSLICEs + Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs + Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs + Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs + Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs + + Page 1 + + + + +Design: RAM2GS Date: 08/16/21 21:32:26 + +Design Summary (cont) +--------------------- + Net RCLK_c_enable_25: 1 loads, 1 LSLICEs + Net Ready_N_268: 1 loads, 1 LSLICEs + Number of LSRs: 9 + Net RASr2: 1 loads, 1 LSLICEs + Net C1Submitted_N_225: 2 loads, 2 LSLICEs + Net n2299: 1 loads, 1 LSLICEs + Net nRowColSel_N_35: 1 loads, 1 LSLICEs + Net nRowColSel_N_34: 1 loads, 1 LSLICEs + Net LEDEN_N_88: 1 loads, 1 LSLICEs + Net n2291: 2 loads, 2 LSLICEs + Net Ready: 7 loads, 7 LSLICEs + Net nRWE_N_173: 1 loads, 1 LSLICEs + Number of nets driven by tri-state buffers: 0 + Top 10 highest fanout non-clock nets: + Net Ready: 19 loads + Net InitReady: 17 loads + Net RASr2: 16 loads + Net nRowColSel_N_35: 14 loads + Net nRowColSel: 13 loads + Net Din_c_6: 9 loads + Net MAin_c_1: 9 loads + Net Din_c_5: 8 loads + Net FS_11: 8 loads + Net MAin_c_0: 8 loads + + + + + Number of warnings: 0 + Number of errors: 0 + + +Design Errors/Warnings +---------------------- + + No errors or warnings present. + +IO (PIO) Attributes +------------------- + ++---------------------+-----------+-----------+------------+------------+ +| IO Name | Direction | Levelmode | IO | FIXEDDELAY | +| | | IO_TYPE | Register | | ++---------------------+-----------+-----------+------------+------------+ +| RD[7] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[6] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[5] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[4] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[3] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[2] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + + Page 2 + + + + +Design: RAM2GS Date: 08/16/21 21:32:26 + +IO (PIO) Attributes (cont) +-------------------------- +| RD[1] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[0] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[7] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[6] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[5] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[4] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[3] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[2] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[1] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[0] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| LED | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RBA[1] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RBA[0] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[11] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[10] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[9] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[8] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[7] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[6] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[5] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[4] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[3] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[2] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[1] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[0] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nRCS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RCKE | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nRWE | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + + Page 3 + + + + +Design: RAM2GS Date: 08/16/21 21:32:26 + +IO (PIO) Attributes (cont) +-------------------------- +| nRRAS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nRCAS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RDQMH | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RDQML | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nUFMCS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| UFMCLK | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| UFMSDI | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| PHI2 | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[9] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[8] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[7] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[6] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[5] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[4] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[3] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[2] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[1] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[0] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| CROW[1] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| CROW[0] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[7] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[6] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[5] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[4] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[3] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[2] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[1] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[0] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + + Page 4 + + + + +Design: RAM2GS Date: 08/16/21 21:32:26 + +IO (PIO) Attributes (cont) +-------------------------- +| nCCAS | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nCRAS | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nFWE | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RCLK | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| UFMSDO | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + +Removed logic +------------- + +Block i2 undriven or does not drive anything - clipped. +Block GSR_INST undriven or does not drive anything - clipped. +Signal PHI2_N_114 was merged into signal PHI2_c +Signal nCRAS_N_9 was merged into signal nCRAS_c +Signal nCCAS_N_3 was merged into signal nCCAS_c +Signal n2302 was merged into signal nRowColSel_N_35 +Signal nRWE_N_172 was merged into signal nRWE_N_173 +Signal n2307 was merged into signal Ready +Signal RASr2_N_63 was merged into signal RASr2 +Signal n1377 was merged into signal nRowColSel_N_34 +Signal n2306 was merged into signal nFWE_c +Signal UFMSDO_N_74 was merged into signal UFMSDO_c +Signal GND_net undriven or does not drive anything - clipped. +Signal VCC_net undriven or does not drive anything - clipped. +Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped. +Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped. +Block i1962 was optimized away. +Block i1961 was optimized away. +Block i1963 was optimized away. +Block i1070_1_lut_rep_25 was optimized away. +Block nRWE_I_49_1_lut was optimized away. +Block i604_1_lut_rep_30 was optimized away. +Block RASr2_I_0_1_lut was optimized away. +Block i1069_1_lut was optimized away. +Block i1_1_lut_rep_29 was optimized away. +Block UFMSDO_I_0_1_lut was optimized away. +Block i1 was optimized away. + +Run Time and Memory Usage +------------------------- + + Total CPU Time: 0 secs + Total REAL Time: 0 secs + Peak Memory Usage: 29 MB + + Page 5 + + + + +Design: RAM2GS Date: 08/16/21 21:32:26 + +Run Time and Memory Usage (cont) +-------------------------------- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Page 6 + + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. + Copyright (c) 1995 AT&T Corp. All rights reserved. + Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. + Copyright (c) 2001 Agere Systems All rights reserved. + Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights + reserved. diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt new file mode 100644 index 0000000..2d70ad1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.mt @@ -0,0 +1,9 @@ +-v +1 + + +-gt + + +-mapchkpnt 0 +-sethld diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e new file mode 100644 index 0000000..c5da0e1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.n2e @@ -0,0 +1,574 @@ + +comp 0: SLICE_0 (FSLICE) + +comp 1: SLICE_1 (FSLICE) + +comp 2: SLICE_2 (FSLICE) + +comp 3: SLICE_3 (FSLICE) + +comp 4: SLICE_4 (FSLICE) + +comp 5: SLICE_5 (FSLICE) + +comp 6: SLICE_6 (FSLICE) + +comp 7: SLICE_7 (FSLICE) + +comp 8: SLICE_8 (FSLICE) + +comp 9: SLICE_9 (FSLICE) +n1361 = ((ADSubmitted*(~MAin_c_1+n2290))+ADSubmitted_N_234) +ADSubmitted.D = n1361 +ADSubmitted.CLK = ~PHI2_c +ADSubmitted.SP = VCC +ADSubmitted.LSR = C1Submitted_N_225 +n2080 = (~MAin_c_0*(~ADSubmitted*n2122)) + +comp 10: SLICE_14 (FSLICE) +n2386 = GND +C1Submitted.D = n2386 +C1Submitted.CLK = ~PHI2_c +C1Submitted.SP = PHI2_N_114_enable_1 +C1Submitted.LSR = C1Submitted_N_225 +n2098 = (MAin_c_0*(~C1Submitted*(MAin_c_1*n2108))) + +comp 11: SLICE_18 (FSLICE) +CmdEnable_N_236 = (ADSubmitted_N_234+C1Submitted_N_225) +CmdEnable.D = CmdEnable_N_236 +CmdEnable.CLK = ~PHI2_c +CmdEnable.SP = PHI2_N_114_enable_8 +CmdEnable.LSR = GND +XOR8MEG_N_112 = (~n2290*(CmdEnable*(MAin_c_0*~MAin_c_1))) + +comp 12: SLICE_19 (FSLICE) +n2387\000/BUF1 = VCC +CmdSubmitted.D = n2387\000/BUF1 +CmdSubmitted.CLK = ~PHI2_c +CmdSubmitted.SP = PHI2_N_114_enable_6 +CmdSubmitted.LSR = GND +n2308 = (~PHI2r2*(CmdSubmitted*PHI2r3)) + +comp 13: SLICE_23 (FSLICE) +Cmdn8MEGEN_N_248 = (~n2296*(~Din_c_5*~Din_c_0+Din_c_5*n8MEGEN)+n2296*n8MEGEN) +Cmdn8MEGEN.D = Cmdn8MEGEN_N_248 +Cmdn8MEGEN.CLK = ~PHI2_c +Cmdn8MEGEN.SP = PHI2_N_114_enable_6 +Cmdn8MEGEN.LSR = GND +n2296 = (~Din_c_4+(Din_c_6+Din_c_7)) + +comp 14: SLICE_25 (FSLICE) +n2387 = VCC +InitReady.D = n2387 +InitReady.CLK = RCLK_c +InitReady.SP = RCLK_c_enable_6 +InitReady.LSR = GND +RCLK_c_enable_24 = (~InitReady+(~PHI2r2*(CmdSubmitted*PHI2r3))) + +comp 15: SLICE_31 (FSLICE) +RA11_N_180 = (~n8MEGEN*(XOR8MEG@Din_c_6)+n8MEGEN*XOR8MEG) +RA_c.D = RA11_N_180 +RA_c.CLK = PHI2_c +RA_c.SP = VCC +RA_c.LSR = ~Ready +n2385 = (Din_c_6+Din_c_7) + +comp 16: SLICE_33 (FSLICE) +RCKEEN_N_115 = (~Ready*InitReady+Ready*RCKEEN_N_116) +RCKEEN.D = RCKEEN_N_115 +RCKEEN.CLK = RCLK_c +RCKEEN.SP = RCLK_c_enable_4 +RCKEEN.LSR = GND +RCLK_c_enable_7 = (~n2119*(InitReady*n2308)+n2119*(~InitReady*~FS_5+InitReady*n2308)) + +comp 17: SLICE_34 (FSLICE) +RCKE_N_128 = (~RASr3*(~RASr2*(RCKEEN*RASr)+RASr2*RCKEEN)+RASr3*(~RASr2+RCKEEN)) +RCKE_c.D = RCKE_N_128 +RCKE_c.CLK = RCLK_c +RCKE_c.SP = VCC +RCKE_c.LSR = GND +nRWE_N_178 = (~RCKE_c+RASr2) +CASr2.D = CASr +CASr2.CLK = RCLK_c +CASr2.SP = VCC +CASr2.LSR = GND + +comp 18: SLICE_35 (FSLICE) +n2387\001/BUF1 = VCC +Ready.D = n2387\001/BUF1 +Ready.CLK = RCLK_c +Ready.SP = Ready_N_268 +Ready.LSR = GND +RCLK_c_enable_23 = (InitReady*(RASr2*(nRowColSel_N_35*~Ready))) + +comp 19: SLICE_42 (FSLICE) +UFMCLK_N_212 = (~n2076*(~InitReady*FS_4+InitReady*CmdUFMCLK)+n2076*(InitReady*CmdUFMCLK)) +UFMCLK_c.D = UFMCLK_N_212 +UFMCLK_c.CLK = RCLK_c +UFMCLK_c.SP = RCLK_c_enable_24 +UFMCLK_c.LSR = n2291 +RCLK_c_enable_6 = (n2076*FS_10) + +comp 20: SLICE_43 (FSLICE) +UFMSDI_N_219 = (~InitReady*n1895+InitReady*CmdUFMSDI) +UFMSDI_c.D = UFMSDI_N_219 +UFMSDI_c.CLK = RCLK_c +UFMSDI_c.SP = RCLK_c_enable_24 +UFMSDI_c.LSR = n2291 +n1895 = (~FS_10*(n2103*(~n2293*FS_6))) + +comp 21: SLICE_55 (FSLICE) +n2128 = (((n2297+n2301)+nRCAS_N_161)+Ready) +n980.D = n2128 +n980.CLK = RCLK_c +n980.SP = VCC +n980.LSR = ~nRWE_N_173 +n2301 = (~InitReady+~RASr2) + +comp 22: SLICE_56 (FSLICE) +n8MEGEN_N_94 = (~n4*(~FS_10*Cmdn8MEGEN+FS_10*~UFMSDO_c)+n4*Cmdn8MEGEN) +n8MEGEN.D = n8MEGEN_N_94 +n8MEGEN.CLK = RCLK_c +n8MEGEN.SP = RCLK_c_enable_7 +n8MEGEN.LSR = GND +n4 = ((~FS_11+n2300)+InitReady) + +comp 23: SLICE_58 (FSLICE) +nRCAS_N_157 = (~nRowColSel_N_35*(~n2117+~Ready)+nRowColSel_N_35*n2287) +nRCAS_c.D = nRCAS_N_157 +nRCAS_c.CLK = RCLK_c +nRCAS_c.SP = RCLK_c_enable_4 +nRCAS_c.LSR = GND +n2287 = (~n2248*(~Ready*~RASr2+Ready*(~RASr2+~CBR))+n2248*(~Ready+(~RASr2+~CBR))) + +comp 24: SLICE_60 (FSLICE) +nRCS_N_132 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~n2117*~nRowColSel_N_35))*Ready)+((~InitReady+nRCS_N_135+~RASr2+~nRowColSel_N_35)*~Ready) +nRCS_c.D = nRCS_N_132 +nRCS_c.CLK = RCLK_c +nRCS_c.SP = RCLK_c_enable_4 +nRCS_c.LSR = GND + +comp 25: SLICE_61 (FSLICE) +n33 = (~Ready*(n2244+n2297)+Ready*(n2244+n18)) +nRRAS_c.D = n33 +nRRAS_c.CLK = RCLK_c +nRRAS_c.SP = VCC +nRRAS_c.LSR = GND +n50 = ((nRowColSel_N_33+nRRAS_c)+nRowColSel_N_32) +RASr.D = ~nCRAS_c +RASr.CLK = RCLK_c +RASr.SP = VCC +RASr.LSR = GND + +comp 26: SLICE_62 (FSLICE) +n1369 = (~n2308*nUFMCS_c+n2308*~CmdUFMCS) +nRWE_N_173.D = n705 +nRWE_N_173.CLK = RCLK_c +nRWE_N_173.SP = RCLK_c_enable_23 +nRWE_N_173.LSR = GND +nRCS_N_135.D = Ready_N_272 +nRCS_N_135.CLK = RCLK_c +nRCS_N_135.SP = RCLK_c_enable_23 +nRCS_N_135.LSR = GND + +comp 27: SLICE_63 (FSLICE) +nRWE_N_167 = (~n2292*(~Ready*~n2164+Ready*nRWE_N_174)+n2292*(~Ready+nRWE_N_174)) +nRWE_c.D = nRWE_N_167 +nRWE_c.CLK = RCLK_c +nRWE_c.SP = RCLK_c_enable_3 +nRWE_c.LSR = GND +nRWE_N_174 = (~nRowColSel_N_35*(~n1+n1627)+nRowColSel_N_35*nRWE_N_178) + +comp 28: SLICE_64 (FSLICE) +n1368 = (~nRowColSel_N_32*(nRowColSel+n1627)+nRowColSel_N_32*(~nRowColSel_N_28+n1627)) +nRowColSel.D = n1368 +nRowColSel.CLK = RCLK_c +nRowColSel.SP = VCC +nRowColSel.LSR = n2299 +RA_c_4 = (~nRowColSel*RowA_4+nRowColSel*MAin_c_4) + +comp 29: SLICE_65 (FSLICE) +n1628 = (nRowColSel_N_32+nRowColSel_N_33) +nRowColSel_N_32.D = n1628 +nRowColSel_N_32.CLK = RCLK_c +nRowColSel_N_32.SP = VCC +nRowColSel_N_32.LSR = ~RASr2 +RCLK_c_enable_4 = (((nRowColSel_N_32+n2299)+nRowColSel_N_34)+nRowColSel_N_33) + +comp 30: SLICE_66 (FSLICE) +n1135 = (RASr2*~nRowColSel_N_32) +nRowColSel_N_33.D = n1135 +nRowColSel_N_33.CLK = RCLK_c +nRowColSel_N_33.SP = VCC +nRowColSel_N_33.LSR = ~nRowColSel_N_34 +n2117 = (~nRowColSel_N_33*(n1*~nRowColSel_N_34)+nRowColSel_N_33*(~n2304*~nRowColSel_N_34)) + +comp 31: SLICE_67 (FSLICE) +LED_N_90 = (~LEDEN+nCRAS_c) +nRowColSel_N_34.D = n1135 +nRowColSel_N_34.CLK = RCLK_c +nRowColSel_N_34.SP = VCC +nRowColSel_N_34.LSR = ~nRowColSel_N_35 +n2154 = (MAin_c_4*Bank_7) + +comp 32: SLICE_68 (FSLICE) +n2168 = (FS_3*(FS_2*(FS_0*FS_1))) +nRowColSel_N_35.D = ~RASr2 +nRowColSel_N_35.CLK = RCLK_c +nRowColSel_N_35.SP = VCC +nRowColSel_N_35.LSR = GND +n962 = (nCCAS_c+nFWE_c) +CASr3.D = CASr2 +CASr3.CLK = RCLK_c +CASr3.SP = VCC +CASr3.LSR = GND + +comp 33: SLICE_69 (FSLICE) +n1348 = (~InitReady*n2076+InitReady*n1369) +nUFMCS_c.D = n1348 +nUFMCS_c.CLK = RCLK_c +nUFMCS_c.SP = VCC +nUFMCS_c.LSR = LEDEN_N_88 +n2076 = (FS_17*(FS_11*(n12_adj_2*FS_15))) + +comp 34: i1912/SLICE_70 (FSLICE) +n2244 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~nRowColSel_N_35*n50))*Ready)+(((~nRowColSel_N_35*n50)+~InitReady+~RASr2)*~Ready) + +comp 35: RCKEEN_I_0_419/SLICE_71 (FSLICE) +RCKEEN_N_116 = (((~FWEr*~CBR)+~RASr2)*nRowColSel_N_35)+(((FWEr*n11_adj_3*~CBR)+(nRowColSel_N_34*~CBR))*~nRowColSel_N_35) + +comp 36: SLICE_72 (FSLICE) +PHI2_N_114_enable_7 = (Din_c_5*(~n2296*(n2298*XOR8MEG_N_112))) +n702.D = n703 +n702.CLK = RCLK_c +n702.SP = RCLK_c_enable_23 +n702.LSR = GND +n2298 = (((Din_c_6+Din_c_7)+Din_c_5)+Din_c_4) +n701.D = n702 +n701.CLK = RCLK_c +n701.SP = RCLK_c_enable_23 +n701.LSR = GND + +comp 37: SLICE_73 (FSLICE) +n11 = (~n2168+((~FS_11+n2300)+FS_6)) +n706.D = n707 +n706.CLK = RCLK_c +n706.SP = RCLK_c_enable_23 +n706.LSR = GND +n2300 = ((FS_16+n10)+FS_17) +n705.D = n706 +n705.CLK = RCLK_c +n705.SP = RCLK_c_enable_23 +n705.LSR = GND + +comp 38: SLICE_74 (FSLICE) +C1Submitted_N_225 = (~n2131*(~Din_c_2*(n2295*n2122))) +n710.D = n711 +n710.CLK = RCLK_c +n710.SP = RCLK_c_enable_23 +n710.LSR = GND +n2295 = (n2114*~nFWE_c) +n709.D = n710 +n709.CLK = RCLK_c +n709.SP = RCLK_c_enable_23 +n709.LSR = GND + +comp 39: SLICE_75 (FSLICE) +n2119 = (~n12*(~n11*(FS_10*n2294))) +n708.D = n709 +n708.CLK = RCLK_c +n708.SP = RCLK_c_enable_23 +n708.LSR = GND +RCLK_c_enable_25 = (n2119*(FS_5*~InitReady)) +n707.D = n708 +n707.CLK = RCLK_c +n707.SP = RCLK_c_enable_23 +n707.LSR = GND + +comp 40: SLICE_76 (FSLICE) +n2131 = ((~MAin_c_1+n1285)+MAin_c_0) +WRD_0.D = Din_c_0 +WRD_0.CLK = ~nCCAS_c +WRD_0.SP = VCC +WRD_0.LSR = GND +n1285 = (~MAin_c_5+(~n2170+(~Bank_3+n26))) +WRD_1.D = Din_c_1 +WRD_1.CLK = ~nCCAS_c +WRD_1.SP = VCC +WRD_1.LSR = GND + +comp 41: SLICE_77 (FSLICE) +PHI2_N_114_enable_8 = (~MAin_c_1*(~n2286*(~n2290*MAin_c_0))+MAin_c_1*(~n2286*~n2290)) +RowA_2.D = MAin_c_2 +RowA_2.CLK = ~nCRAS_c +RowA_2.SP = VCC +RowA_2.LSR = ~Ready +n2286 = (n2114*(~Din_c_2*n2080+Din_c_2*n2098)) +RowA_3.D = MAin_c_3 +RowA_3.CLK = ~nCRAS_c +RowA_3.SP = VCC +RowA_3.LSR = ~Ready + +comp 42: SLICE_78 (FSLICE) +n10 = (((FS_14+FS_13)+FS_12)+FS_15) +CASr.D = ~nCCAS_c +CASr.CLK = RCLK_c +CASr.SP = VCC +CASr.LSR = GND +n2294 = (((FS_16+n10)+FS_17)+FS_11) +PHI2r2.D = PHI2r +PHI2r2.CLK = RCLK_c +PHI2r2.SP = VCC +PHI2r2.LSR = GND + +comp 43: SLICE_79 (FSLICE) +n1627 = (nRowColSel_N_34+nRowColSel_N_33) +WRD_2.D = Din_c_2 +WRD_2.CLK = ~nCCAS_c +WRD_2.SP = VCC +WRD_2.LSR = GND +RCLK_c_enable_3 = (((~Ready+nRowColSel_N_32)+n1627)+nRowColSel_N_35) +WRD_3.D = Din_c_3 +WRD_3.CLK = ~nCCAS_c +WRD_3.SP = VCC +WRD_3.LSR = GND + +comp 44: SLICE_80 (FSLICE) +ADSubmitted_N_234 = (~n2289*(n4_adj_1*(MAin_c_0*n2108))) +WRD_6.D = Din_c_6 +WRD_6.CLK = ~nCCAS_c +WRD_6.SP = VCC +WRD_6.LSR = GND +n2289 = (~MAin_c_1+n1285) +WRD_7.D = Din_c_7 +WRD_7.CLK = ~nCCAS_c +WRD_7.SP = VCC +WRD_7.LSR = GND + +comp 45: SLICE_81 (FSLICE) +n4_adj_1 = (n2114*(Din_c_2*~nFWE_c)) +RowA_8.D = MAin_c_8 +RowA_8.CLK = ~nCRAS_c +RowA_8.SP = VCC +RowA_8.LSR = ~Ready +n2114 = (Din_c_7*(~Din_c_4*(~Din_c_1*Din_c_0))) +RowA_9.D = MAin_c_9 +RowA_9.CLK = ~nCRAS_c +RowA_9.SP = VCC +RowA_9.LSR = ~Ready + +comp 46: SLICE_82 (FSLICE) +n2166 = (Bank_6*(MAin_c_2*(Bank_5*Bank_0))) +RowA_0.D = MAin_c_0 +RowA_0.CLK = ~nCRAS_c +RowA_0.SP = VCC +RowA_0.LSR = ~Ready +n26 = (~MAin_c_6+(~n2154+(~n2166+Bank_2))) +RowA_1.D = MAin_c_1 +RowA_1.CLK = ~nCRAS_c +RowA_1.SP = VCC +RowA_1.LSR = ~Ready + +comp 47: SLICE_83 (FSLICE) +n2245 = (InitReady*(Ready_N_272*(~RASr2*nRowColSel_N_32))) +CmdUFMCLK.D = Din_c_1 +CmdUFMCLK.CLK = ~PHI2_c +CmdUFMCLK.SP = PHI2_N_114_enable_7 +CmdUFMCLK.LSR = GND +Ready_N_268 = (n2245+Ready) +CmdUFMCS.D = Din_c_2 +CmdUFMCS.CLK = ~PHI2_c +CmdUFMCS.SP = PHI2_N_114_enable_7 +CmdUFMCS.LSR = GND + +comp 48: SLICE_84 (FSLICE) +nRowColSel_N_28 = ((~FWEr+CASr3)+CBR) +nRCAS_N_161.D = nRCS_N_135 +nRCAS_N_161.CLK = RCLK_c +nRCAS_N_161.SP = RCLK_c_enable_23 +nRCAS_N_161.LSR = GND +n1 = (~CASr3*(CASr2*(FWEr*~CBR))) +n703.D = nRWE_N_173 +n703.CLK = RCLK_c +n703.SP = RCLK_c_enable_23 +n703.LSR = GND + +comp 49: SLICE_85 (FSLICE) +n12 = (((~FS_4+FS_9)+FS_8)+FS_7) +PHI2r3.D = PHI2r2 +PHI2r3.CLK = RCLK_c +PHI2r3.SP = VCC +PHI2r3.LSR = GND +n2103 = (~FS_9*(FS_7*~FS_8)+FS_9*(FS_5*(~FS_7*~FS_8))) +PHI2r.D = PHI2_c +PHI2r.CLK = RCLK_c +PHI2r.SP = VCC +PHI2r.LSR = GND + +comp 50: SLICE_86 (FSLICE) +n2291 = (~InitReady*(~n2300*~FS_11)) +RowA_6.D = MAin_c_6 +RowA_6.CLK = ~nCRAS_c +RowA_6.SP = VCC +RowA_6.LSR = ~Ready +LEDEN_N_88 = (~InitReady*(~FS_10*(~n2300*~FS_11))) +RowA_7.D = MAin_c_7 +RowA_7.CLK = ~nCRAS_c +RowA_7.SP = VCC +RowA_7.LSR = ~Ready + +comp 51: SLICE_87 (FSLICE) +n2122 = (~Din_c_5*(Din_c_6*~Din_c_3)) +Ready_N_272.D = n699 +Ready_N_272.CLK = RCLK_c +Ready_N_272.SP = RCLK_c_enable_23 +Ready_N_272.LSR = GND +n2108 = (Din_c_3*(Din_c_5*~Din_c_6)) +n711.D = nRCAS_N_161 +n711.CLK = RCLK_c +n711.SP = RCLK_c_enable_23 +n711.LSR = GND + +comp 52: SLICE_88 (FSLICE) +RDQMH_c = (~nRowColSel+MAin_c_9) +CmdUFMSDI.D = Din_c_0 +CmdUFMSDI.CLK = ~PHI2_c +CmdUFMSDI.SP = PHI2_N_114_enable_7 +CmdUFMSDI.LSR = GND +RA_c_9 = (~nRowColSel*RowA_9+nRowColSel*MAin_c_9) + +comp 53: SLICE_89 (FSLICE) +n2290 = (nFWE_c+n1285) +LEDEN.D = ~UFMSDO_c +LEDEN.CLK = RCLK_c +LEDEN.SP = RCLK_c_enable_25 +LEDEN.LSR = GND +PHI2_N_114_enable_1 = (MAin_c_1*(~n1285*~nFWE_c)) + +comp 54: SLICE_90 (FSLICE) +PHI2_N_114_enable_6 = (Din_c_4*(XOR8MEG_N_112*(~Din_c_7*~Din_c_6))) +n700.D = n701 +n700.CLK = RCLK_c +n700.SP = RCLK_c_enable_23 +n700.LSR = GND +PHI2_N_114_enable_2 = (XOR8MEG_N_112*(~Din_c_5*(~Din_c_4*~n2385))) +n699.D = n700 +n699.CLK = RCLK_c +n699.SP = RCLK_c_enable_23 +n699.LSR = GND + +comp 55: SLICE_91 (FSLICE) +n2248 = (~InitReady+(nRCAS_N_161+nRCS_N_135)) +CBR.D = ~nCCAS_c +CBR.CLK = ~nCRAS_c +CBR.SP = VCC +CBR.LSR = GND +n2292 = (~RASr2+(~InitReady+(~nRowColSel_N_35+nRCS_N_135))) +FWEr.D = ~nFWE_c +FWEr.CLK = ~nCRAS_c +FWEr.SP = VCC +FWEr.LSR = GND + +comp 56: SLICE_92 (FSLICE) +RDQML_c = (~nRowColSel+~MAin_c_9) +RowA_4.D = MAin_c_4 +RowA_4.CLK = ~nCRAS_c +RowA_4.SP = VCC +RowA_4.LSR = ~Ready +RA_c_0 = (~nRowColSel*RowA_0+nRowColSel*MAin_c_0) +RowA_5.D = MAin_c_5 +RowA_5.CLK = ~nCRAS_c +RowA_5.SP = VCC +RowA_5.LSR = ~Ready + +comp 57: SLICE_93 (FSLICE) +n12_adj_2 = (FS_12*(FS_13*(FS_16*FS_14))) +RASr2.D = RASr +RASr2.CLK = RCLK_c +RASr2.SP = VCC +RASr2.LSR = GND +n2293 = (~FS_11+((FS_16+n10)+FS_17)) +RASr3.D = RASr2 +RASr3.CLK = RCLK_c +RASr3.SP = VCC +RASr3.LSR = GND + +comp 58: SLICE_94 (FSLICE) +RA_c_1 = (~nRowColSel*RowA_1+nRowColSel*MAin_c_1) +Bank_0.D = Din_c_0 +Bank_0.CLK = PHI2_c +Bank_0.SP = VCC +Bank_0.LSR = GND +RA_c_3 = (~nRowColSel*RowA_3+nRowColSel*MAin_c_3) +Bank_1.D = Din_c_1 +Bank_1.CLK = PHI2_c +Bank_1.SP = VCC +Bank_1.LSR = GND + +comp 59: SLICE_95 (FSLICE) +RA_c_8 = (~nRowColSel*RowA_8+nRowColSel*MAin_c_8) +Bank_6.D = Din_c_6 +Bank_6.CLK = PHI2_c +Bank_6.SP = VCC +Bank_6.LSR = GND +RA_c_2 = (~nRowColSel*RowA_2+nRowColSel*MAin_c_2) +Bank_7.D = Din_c_7 +Bank_7.CLK = PHI2_c +Bank_7.SP = VCC +Bank_7.LSR = GND + +comp 60: SLICE_96 (FSLICE) +n2299 = (~Ready+nRowColSel_N_35) +XOR8MEG.D = Din_c_0 +XOR8MEG.CLK = ~PHI2_c +XOR8MEG.SP = PHI2_N_114_enable_2 +XOR8MEG.LSR = GND +n2297 = (~nRowColSel_N_35+nRCS_N_135) + +comp 61: SLICE_97 (FSLICE) +RA_c_7 = (~nRowColSel*RowA_7+nRowColSel*MAin_c_7) +Bank_4.D = Din_c_4 +Bank_4.CLK = PHI2_c +Bank_4.SP = VCC +Bank_4.LSR = GND +n2170 = (Bank_1*(Bank_4*(MAin_c_3*MAin_c_7))) +Bank_5.D = Din_c_5 +Bank_5.CLK = PHI2_c +Bank_5.SP = VCC +Bank_5.LSR = GND + +comp 62: SLICE_98 (FSLICE) +RA_c_6 = (~nRowColSel*RowA_6+nRowColSel*MAin_c_6) +Bank_2.D = Din_c_2 +Bank_2.CLK = PHI2_c +Bank_2.SP = VCC +Bank_2.LSR = GND +RA_c_5 = (~nRowColSel*RowA_5+nRowColSel*MAin_c_5) +Bank_3.D = Din_c_3 +Bank_3.CLK = PHI2_c +Bank_3.SP = VCC +Bank_3.LSR = GND + +comp 63: SLICE_99 (FSLICE) +n2164 = (nRCAS_N_161+nRWE_N_173) +RBA_c_0.D = CROW_c_0 +RBA_c_0.CLK = ~nCRAS_c +RBA_c_0.SP = VCC +RBA_c_0.LSR = ~Ready +n18 = (nRowColSel_N_34*~nRowColSel_N_35) +RBA_c_1.D = CROW_c_1 +RBA_c_1.CLK = ~nCRAS_c +RBA_c_1.SP = VCC +RBA_c_1.LSR = ~Ready + +comp 64: SLICE_100 (FSLICE) +n11_adj_3 = (~CASr2+nRowColSel_N_33) +WRD_4.D = Din_c_4 +WRD_4.CLK = ~nCCAS_c +WRD_4.SP = VCC +WRD_4.LSR = GND +n2304 = (FWEr+CBR) +WRD_5.D = Din_c_5 +WRD_5.CLK = ~nCCAS_c +WRD_5.SP = VCC +WRD_5.LSR = GND diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ncd new file mode 100644 index 0000000000000000000000000000000000000000..ea7b083f50e6de0377ea862e7ae988bd2b157371 GIT binary patch literal 154201 zcmeFa37nl(kv4uq$evDs1Va**hK6)Pg7m$;CkE5$?m(N)LMLG{VjCf11R6mYbPx>U z?kFAxCgNPe0gAAghjH086j-sDBir~zk9|OTrMzwz#H{EEOfBfwC z_dEC2ty5>KQ&p$V@}4_y-eZqVsC?$~(!|olfv$CxlQ)&NEj;+c6G{^&b`X0&9@|N**4G;>)t#Cn ztrRx6A`Q+rq9Qru8&Q!4=NnOx2Im`5kp|}*QIQ7c8&Q!4=b2uS25Sd-(+YXsEZBSo z%~G*^4>wE2X5^UDEESuc$4bTWlG7{|%d@vxdO{iL)h!f;7U_vRcUz<<^4x8ap2%~z zMH-yvZi|$b=WdHMIM19GX>gu7Ez;n;G_**AtLiHa*7|yb3+2338k}!Lt28*@h*oKE zz7eg3J=_}guvZ~cDn~{-<;X~-92qofQl@?DyVmsv8?vrz$I#-=t5o8uX~9X#_lCvIJ=j4H88d7 zFTBmAkGQARugN{Ni&F+k;&T8s)|X~B9T-hTs!sNnW|>Fc4}ejl!2Z?X;(X4Ggerd#6}!d zL9WSBwcttOsLg80YsLycYsLyYYsN}XC$UkbRlCwkN71TWHKSFxYDNpYau)rd{!P7u z-5WOzuIt)3xTdeam)_UGFMa7t2hV@W_Q99zICp691v}2)E~CSo4KLn)@wQ8L44qrw zec{kKJ1*IA;SkBEcW+p?u6Lljud83^rfuw7FQ!lj$&IJ7kiUP?dvp(hS)6tr&jJTHKz`K)T7}+w$=u zULN0?kC&eG_?CRUbfw2P=i{X>J-(8Um(KL~z<`YP+J0woM=re%L!Lg*A03Bg(vBB1 zNC%>bAkQK7ts{awi`31I2=Y8qk2@mBGfAEAh#=1;^}{2Ayqu^@9uZXWLHImrsJpvs zQ!2zFK#`2*C8$}17%2xr%1nBjMS2e$T621@Wa$yoYZLo>dvbUcR*ZVZ(yqhaj4VFi z2%RC!96)l_mOLAD#3}&LC!F@p*C6xrE0DW@X|k+7>Z0FHAcAdHSk& zVX4RGWlbj?9-kLAoql+HUea_7^!U7>>6FCd^9P%gh^4$LZ@c&!mB7g^lBC_EQ{hpw=#b7nHzhT3u6xGTc4FGSYe7`*>1%q#Ez~( zE&Qv_M3`5N7RFVhg=y7jVOTX z%@iG33h*^VpxDajU{aln4kZQn8Y0kE!xrcmQjkv#CbT8h%sMZzg&8(6g&8)n0y8%C zoh-A$javpdYn*agclY4X_De3l-RD@{=(-CGM%tWX|n1wJK;UI*A5e`9^gD@B2Pz3JX%tJT~VLrkFgoOx) zBOHNnB*IY$^$15J9D~q+a4f=c2#XPxARLdd6k!>{2?$R|AS0R($_Nz%ZWgs5v?8=2 zv?FvNbRsNAScz~F!ZQ(8A#@?EM(9T9LFh$TgK#p!DF}TC&q7#>(2uYVVLie|gi{d) z5H=xfM%aRI8p7!aXCR!3@N9&w2+u({3*os4g9y(<*oN?YgtHOOLD-J)0)%rBUWmXB zdJ)2T2V_dOA%g%@Ye{JBfK2p3WO^WUV*R^;VOhz zB3zB|Duh=fyawU52(LqUJ;ECh-iYuw2ya4oGr~0pZ$Y>g;cpS%itsjswcEIKn3oK8bJ}!lw{Ejqn+S&m#OI!tDs3L%0LspAhau_&ma02wy<>BEml-d_B76_weuVEM`~czq zAp8*FM+iSg_;-Y#Ap8{J0fe6+Jc#fR!p{-@1K~dr{tMw@gkK>165; zhOi6aafDwX{13vf5q^X4TZI2b_#MLU5&nSi1j25FJqW|9-V-L^`v8QA2nQleLYRy& z1z{?}G=%90GZ1DX)FI45n2m4{!odiKAk0CSi*P8y(-7t%9ELC-VFAKIgu@YzKsXZN zD1>^1qY;ilXh1j?;W&gv2#pAf5tbkvkFXSB8Nvw&Pe(Wrp$VakP(f%$XhCR2XhUd6 z=s@U1SdOp);TZ@k5l%vQCc-L&E`-$x-3UDhy$EX%PDVHdp%39%2x}4g5!NBBN7#U{ z5#dyX0fbEmn-R7koQ7~Z!Wjr>B0L*mE5dUS&O&%D!XU!)5Vj#aAK`3-a}c&8ya3@` zgcp`zU)O9JY;A8J9NdA{sLJv?!lQ)|es-YWcFsA2ErVsSD2nvVz@kDt*cRbuC7Goa zn2}YioMsk9q`Bp)mS*QwLoY^Ea6Ec8!lzYBYv5@h8BrR?Ls}wynpdszYq1g%STnD* z{D?DYW>G|%TaINlvrFq7WtTE7mtD%WC{@jJQoiM~ODl2kj&f6L>tOTX`IlY-NyKN$ zryZ3Lx9yx44W>1j-PN<{(&t~Wx^9N>z?icQhANx~}_zb9#riJ^%degU#)!{7xIHGvXPsfrZWODSHngUTab*l8adx7bt%z*QQF^=C-tM)3EAwF*KkxmHbSK zr1PayC@&biGI_yZhKE=TXBl?IPW+I^dqg#&TJ@%d-e^yIW7>{NrGO_>t!>PGrxbyY z>AIW-?W|I$N^5%o&neulqUDqu%nd7WgUy|(t{<9dgeR?}B!@t(J4^;C)|IsDC>l-Z z(6mz^0WHn#1+Dd-6vM^l?&Jrb8Kz;)ovCilR)#)bhTOcNJ9MZ*Vap;53Y~@}+OAEg zWSZ@y9p%nuX^j-mE{E4qI`HJK&G1r6>v!Tqo~c~og?6P~F&iD{Y%8aEyRD(bR?-E<`XT8QLjme9Q{AUB;8Lh@?a@#YG5~;>9}!jgMJrFA}|z zAAH<0iOnhMof(<@=X5WMNI5=hM~hm{g7(ig36-(Cl6JYPRLWz=r%an_#+Xgn$qzn< zW+E-_K}SIkdxo_tkP0+e=sH*(r`}<;sr7PFt#f=jZu+37Int=5te6I8pn$EDPao(R z+>sh0#ic?_Whiv3W)D@GI+|)2rYW!=U4uK)UJl5Vfm6}-)k2@^k)i=>DzyvBmE#l7 zjfj7dHjQI~I%?!lIjzHjEK-@M9ami|2B5U}y(S`TMY*M2tRqkf~tJ&G`;+%iGdqkg!Tit4LV& zJcToo%oR%+HZw$FAn!<}gX%vLtJJ3M6vKcISG=_Pku6JWJr(w%5a6eCC0wJ(#kZ#x?Xz%D;e2B`)=wa?2~Z$TVfd zskAqowW)M+fW#cFy3glVIWP2ZtEOf_G{mp&Nb5qUxjBsBIu}eeH)nS+wW`W}8V=fU zrqr2My2$fMyX~MI9WB;t)dCW6DXmfzks6XnfuxpDpq!c?o!K>!l4MPyKt`u@uO5Ii zofbb8=Z#=y+tSw3EOa&(bWyc`S;WI2VQG5;GL?~8rcGkTQiGN2tU@bA&mB77nl@@0 z(bTuJ2Gx}>G8ffUMd~+Y^|qn&@&jx@rdnQ|U(xzRH5KY#C7hvvoIYz+Qca*ArvoBo z0~6qCbxZkM==jb!W5WPfUtnoRYE(x-bty(|SmmhIjiS#YC z=$lEOa**`RwdgCPPdk+Km0I*=(x(h1eYqBW6X{cClfEgXpYHrm_&i0A&(9!E( zIPW>9mC&4XY`xf7@CQ{o)MojC0qCC+i%iPMf(;vB1;IQ6}hILGHH7_cek z36tfxY%JZ=sGL-WwD`$#JT~o%j5IA=NONUlpwUe8x$;nLKG#5+&lRM(T(KS{x#m@{ zYinnkrxB*(<|G`r?I~ZnCb#w6`dSMjq?i& zmwCcTvYwgb7?xZMC^4T-Ddik$mFB>b@px)dI#K<_l-N3s#t>V~$`^0vR}C ze41}qM=zq~3D-E^shm|+RrWw)6T0a#+dz(ksh&;I_ajmTa^h6ilsKntPMqqS66Z9| ziBp|Z;+)nwajJJpoYOofPIXU-bK2*`ss1T(P6M4dWvLS9w9tuDJyhbHCOUDdi%Oi+ z#_4>MM=WJ7XMMvb*Mk{xE@vUm9VbN!Z)rrjo_xn9diQ`QP;uFo>kl(9mZ=c~LjQ>C2YO`2GXr^zca zMUWegSjAmziEI#Q$4etd1j4HW)&$H%G*?lR*0EL!Pg&cv zmbKMila&jBO!yEYw6Xf*zzu882)R#MbA~5YHaSfwc&c$MWV_DGo7UC8r82l~OaEq# zn+RqqG+Fr>ekez?A71?f$yc(25-?d#ozAj1E&FHFzvaz|YyG#J6h2f%H899UwYf*?{}(8#lU!5}92ZqN7F{H& za*Df#sOp=##iFV&tEeWVqWU%$O%c_%`fm}{!&Ou(s#q?nt!`C67EIGqZ&jaKb2`;! zbjrAl%5i;_(by>JJ0dD+d4t(5uYS1r#_8JS)d!W{R6=*SM2du->%T=pdsIT3^Ag(J z{g-M?XSkqF9~V@4m!!a$mPMLe8k2FqETUCxOgrUmoFZfT4i`pHcuR0Zps=P;5OH?zf+EnG-R`gniB3XTx%cjWcJN-9hb;4AY)z&Im z^@0Bjf7-w=Qw&U<_R+LTvKwh)g)`)>fBMAgV3*p1#-&zX$}5uEY)CIx3#Q(KQS2w^ z8?r^dK!*#d2<^N5w+QWA723AE(6;==8rZoms&mFgRo)pb5Y?u=`2tNk-pf)-eu1E( zx2Y5v*tfWBO75@s-y*AFX4PILtADYJ0P|c{pEfS5@=kNLtXA|Mf?~_ro{6fO+<1o7 zkvS1fE{U>Sb*cXrNqv$37D+u)t!hV=r2fUuuok$a&L5XldE>rXQgOjDPs95pDxP6g z!rim7dYWG-=*(7K%PxwN*=zi_i0Z5Sw}|RdDyp5SsJ3=Do7al&lDC~E!X=rEs|OCm z)dSOT?Z6C76YFr@z-(MKa4%ZZWKE z=v#kUexCu$km{oCB zlXX;FaghBM>1^}gBAv}aI&;H3Y^M$L^N~WWr&`WQqp+PH7{PXS9lsdT*?s(#J&|}W z?q1rn56q@Z<_P<t4FJ2mWFf|W)BK0ac9^T1IX`5c)2Io8<(;2Pwr-t-- zIr-h>zh!LS8RR*)n%~ylYKu+uJZVNTUlVzrGK#VN?IXx@*V5p6Vjh$U^^>-yVGguU zP3Y52Y)>c@+Y`sdHX6)hvF)fimbbddi3wfkzeNOxR0MGkO=40j-N{(asm}poPH9$0 zp8R}x?;^OG({G!U)0+0I5Nwqnq7_c<=^OpG2=g-kE#=e&Vb1vnZLxoVBbTtI6J?Ew9>U1N zeAC{AS*P*cOO|57g!QH?yO#DG56kNEz54hb#6jYbPdLarss*R9u&^yA$<2k5+&V7F zH6*#Bw-=mPTW_6;GGa3?H{g1su{1*+xECWyPuJo|R$EiU+m*eD;XzRe8HT!b*S~JYK%8 zTtAv6fp(Mewjkrs_f7$2VYOpi#zn@bR-5GP9BZ{n*6X*69OHJq!#J4unbAq?EW5lN zzrdwkq(6!m>3^EX%kJ2Wpba@cxz%4H;DUp$f{or!e%s!)0ec0q3U=jw=O(W-0e?oJ z5kF~Mz#}&~aYw>c=>^lf&YB+doi2dBwQ$J`-#aa*Gw&K|=Zgwpf9emqulJKQ)3NcP+gV3%s^mv3N0EU^vQ4ZPoXW*sjgHzmVlI zShiSWV%=LP)+djPbuB9#{&1&Y*`iqlQSEakthBcV=LMRIynsgOaDkP1;<5f)Z2$HCTLio*2y||>t~p+<<4PUXhVBZ5dSrpFx??HlvdB^$ z7dFIe&L)exf(M6MmYS6)TWd~j{r_)!+Gm@Kl=xZM046WFbV0(;iDz(&S67RquE%4%;y{|>xZl=ZTOYEeGg zMOQ?5j{g=>J}iiGZne3&XxDk4iSlB+WWlwI#POyi|B?G8vHXs~67!&m@^cGC`FZ1_ zTtk$bYl?E42w$-%cZi@?i}Hmoxz_*)2-S0q0EzziQop8 zVUg)0{I|%oUV1l(f16B}_Habb_fz!f{F8YZWQ3(Xo`cI|80&dvm$NzFUcBJibG|V) z(%Vg-&nXn>7mN#Z4F|cBtzT5{ZgP z4BU$ZlvTVPJ;!)gE+fcDX}-MeZb*V3FwO`friw_5NE1fSEzUbFYWuttL0j zb_!p&xkt0-@{tiFobS@(h2{8ldhQy+o94U_iT{*sz}P_VtD7FqHz_`^&?;XrF2#{m zPFLOJg}b6vw-ymV^)nK0VnS}RH{oCxS`p$F`G?UHRdn%}xQS1JeHQnV?SRqf7nAjJ z^W1_PmMrK3V~>z8k-ASo5ytj4Hwn$a)`xAq5qCJ^h29IRrAnj!vM(GL9&n>96_3mP zx7fIi{#(RjMf$(8CMVVVasDE*zGlP|mE4gV&89<-+*MyCCp^n=WmhI4vbjvb5&8Mk zgyf=eAt_DPtNvBv_5Q9PBzh1bF+I(h=}G11Q!X!*p9zQfZ;_wVgJH?-QsK>Yf1igt zRNTZJy}*p%^>HnUukCO-DHEUc;+cKm{#9QTTJw0qAHRhKw!Fl~%Zr210(Yr^;`LoJ zK3>Xr$zy}Le@iH5=e^YJizT|e*W(M>B2^;oFlgCtv6gH7w@CYY{kIIr?+MbM+tR|E z$EDwnjq%7BS5v2sc>mYkd-n(-{IHVA(7JRn&xVOUh)ejV&U~IK(Z9?@|F2y1U*@9! z(s9uj(XT$XP}U_WI)p`{A6?v#e?7U98Tx!oTZqZ+>z0mkp%Rz2_UuiV$*ABM9oEj<6U}1x-aqHBHbVI-y+=~4APz3JcG%1>9)7z zMn9AKm%U3jd>h$UaBoLWyJKO1ivWLK68;L4@GD)yuW||BIWFNfZGN_DTV(U2Ya;WO zU9a#hT36_(Ve>04^`aGj<-bKMKH$GaD{c&0k=uuBjhDv!u$sOFGP2FTZ|_9nfot0b{D?27a{Xn)kDf!8e+$zrkhwO)l$yGcN0q&lI227r`tx`fVbl<`l(= zqParelj@KRt6%Uw!)0ImrHlNxq?qo%rPzreryX#0wXZoJiW<8NIz-tM~bwsGC4sT;X5BUKy`(PG^ww}g{Zs#Ea< zqdg28dEMCVvMv}u0}VEjPcy!ou)nSaP4`wYtOsJwWrqHB7QxL&Qqd2de@$6 zPdc}Fp$obU5{LS4QG`$WZ&8F#1VzYgJL8OO_1uD+Zlk-e;Xn7T2y!ojt~n*Av1FqG z3$#z|XfehraGj~Z-?<9B$5r6($5kLwf!NmP|H?PjfM}B<)qvNzgo_5`FHW81@uC5@ z2Mx$=J>&IY--obWw$ZOOjo>En6`ssXl4FTsfobe#5My)p;(aFN?{z7^!KM8AaVf7M z<(-+ARB(d4mXJ?~?mrbFpXWj?`CaM1MaZuXLY~`7#_1v#a{mV_z5zd)t>h6l+qks` z=SDZ&T->-DHaJAyN zK}T2(${!|bcPZxxPwKCThbSdmO`&E;I={xbh9a{qV! zTjc(xAosaFXWZlI!vz;dIb@7p?r$BzX~K(6xNjEQXxZrtnJ6O_-^~jrO`qHg)Yap` zsAYY`x2Z7f-ew~H$spqLW{5hpi2l^Lh>M7G=`gp3*_54_%Ug9lR$&{;v2=HeY!h2B1> z$9w*D@1|C#q;hL=GA#|WRYo0a=-P`EQ)S{`(574A)Yo_y(u!(?P7$hkM|6ux==dDe~X#^d;cw_{<@$W zxqVt3@A2&^x*(hZkKPUW`QCK{3p09kI1l;6M?rz-(&aw!!3#}#wX^bM*KnBaleq5o zPE(qHa;3S;mFDx~N)vhLu_sc_mUF5PDU`Egq16|HmhHml{KWKcT=GSC{>gufW*qFl zMKcZxnvvVMZH_NDdh!#~ku)Q_E+cZ#qdRV)SO2e^T==4C#ur>OzT}$m&*PdAX+|=4 z^(!_ChLe^|Z>n{p;^%(SE!}6h?28Ar$bXA&e8zu^ZhSiEMs73Hn!U}dXMTW zBa9k&fhOE28jTt1PlXdd9AWplH}zyki2Bh?YwtGc|FTQ}S6ur4WnB6r>5o_Wvz7en z-P|^bvlE|jqFUR$r7jn285FkqZxQ^d{#yipS`hr)Hg6?;V^~*hMt>pc7e*5N>Qx(D zes2F8^k*XR_n5?g)g}JxF7aO*m-t%Kht^1ZtER>FkA&4;Qv7~Qeg&vAOds;cJg;zh zmx16o|1J8k(tnFSd@1NdZtE9!O&3icxOqLAH)lrD2fRQgx1swgX682i`HTSHFn#z} z*N1yuAHF%R54H3`MZU&#x>e*jQ)L@k#1k-t7NVX_M*)Gx~6}hiT<~O=%;J?-yRoz5&h~l z{fh2P6$^0U{lru0j%bA`@%tytaN!ll0ILTA9>|; zgg=|pLv6g~H*)jC{|oG!w<4pH`}w~C-IphM{>{YvJ8t2>=NA6E<6<89_^~lA`(@Py zGh98BP{YFOpMz3CQb~^vC5xm#-Qz{lzu>>cjNcU`Kes)L)gRx^Qn{Z9sUPij)<}*G zwr1hhM<NteH#WhpSiJj zW&dfLH}^L;FY6iTJFRzR%d$=Vy{8X!ZSGyUsei-i^*vpiyLhC5d2OiQJkV!9HZw~g zH?M5i)ORvt`qrPeTnXy?*7x-Gld^9;3db@$PQprU^kU&jQYW;s^k8Ws@Pr;JO$lWw z&1B8We;|APG1YR{pVQa$ozdHa^19Ac1n2a$Dl;06L*B=2>|5X0LzbQh>hT6j5pA$oWnKAVLjyXe{3m7WH zRmRXt!q99&^5?|RM>2-~_n0%ZrGTL_-e(Lgrwsjbl+Z^rhCVjt3~eo7s5nd+Lz_~D z{yC2FyE2A8KIRNkKH%N z*%M>Vvia34YnP+&5z7*vuRq&e-=A2vJ7d|NF=yF=0+vNivW%FCSJxj+E(EOLW|Wr^R`pKbo_M^ZKcrww&AYXbgdcZ^rF4liI?S{|^9BlV_ z!;7DcPgZVw^6P=4cFHE;kLLovaQa6BhXXNJ(4V)hHYhb^$_;@Qe@N3bS^J{ql zzalq(Xkef#R>*<<(g|zK7`AxK8MdN;VUe2;1C}ssb9Q8Vf6~Y$8N-erbA~;mfMKzl z-*f7^QzDZ;uwTx+aYR3;-ZOs|STE?7XT?HJAJpGNQ^y$@S>bb6t_CUJOtF_UT3H zv}PP=8*>hn3OEq??(4dC45j-T1D$S|#*zv6?}4B=9b?Xf2~|v}G(`@%Fd^BiSRL)S zjwKU1GbSt_b0+Zmg4}(xO_7%^Oi1=0R!2KrW66XS855o{=1kzfl;)WbdCtOwWKUsr zH2WV*Cg9egpbjUEITH$Q9&U=fVPQhDPp~>VvN4uScxJ|gRb$SCNd=M+dAP!aWbJ=- zw5~ChOu&6@K@wJvITH%5UulZGR$)T2p1(ReSTUAN=+2nXGv-XF{=cb8Q{;#W6OuLh z)zLoVSTdnEW5Sv-XF~Nqs#KaH-%^;69I;s)t-FsU6Hd;UaLSl7VOoJrh}=bCLb8_L z-|2Ibv1CGD#)M~$ITNNAFd_07y9YL$o*w919qsXrB@@LF6xz2Z_7bAFb4kB@Z@bJlH(uJeXa;gUDSZ4-#*&KROsb zmOQ|97QtIQZOnOaPyr7jZ;?DmoW=gOr)DYP^o$2*j5!YuF5p4rERqL_uh{<-tt6b8 z@!;8G&Vxe=co6xD9^P!u4jioI(JLAAPW6pu23OEq?hy&?9*5;>32DWD$c)^%+pre2Tk%uTd zQyY?E&snjUA`_uan%}+QkF_596LPx*iQvjTK-*kpCMhPN#%3dbBBi?Jel$x z9=y_e#J7frANp8?Lo3;wgbpu(2wi(bcc9`PyeqP6i)XZcH~taY?yT11>IO zmeys@gHag=Dczp)BHY2H((Kix(#%I9+##gP;pS+5k8O+S<_6pkBHW>xw&k`6_cSec z_pc({Jf&-08sQFOex*{9cD~{kOo`|gXxdp@BHTiyYkYTvJ6zk=vLeDAp=leBj&Mh6 z+QqMra7Ss{y6q9JUfZ$j)ChMp(+&?OZ97)WNa}o?rcL;_h<%Rx-c@VAY?Lj{0f&8LT>$(n%ieQGS3`&#LJjyvykbi=G9XwS)Otxdy8~ zruj)5Lt2AXN2}?qy`&>dI>TAH5*ONGu+RmZ(JjA!czDl8wG4yFmpu>W%I$W#WdUY> zd(KPgW<$>EAVYi%Ry&|LD|cD9(;2L`A*EY>AMEr86lXB&_t>@^9omu61z6~QkkT!K zOtsvmID=WP;j;SmLH9l%=mO04?*3JEeyvMAKf=trlfSs`mhOGuQ-EQ?yM~-9n0d#!^dFeXE>|hY}C7Dh0+6E%DT1JV74RQUiN*~ zjsQzLUQu1H<;OY@7XICn(y{I)R|c~kdAT~`)6QpuY1ejNp618;EnKcRgIT|Y7p8RV zE32Qu(%w)%^`3B<{!MWDPSUgnn~dNeCg|nO-qC$5yB@J< z#c3HR>uu=#V0;WN-#a|~#LY@)Fcqe*E04qfpI~6*V`*2tb$Iv}aDw<4yyTU@!C~ZM z@cbb-tZ!AE!B$UVtvo8ImCHer<*^gA+%q-|bgb(=d2sz;xh#zAb4H@^N5Z?Sdvs04 zB6C){yD}D;FK|mW>Pybc{41-LIM&eDh$g|x&mjYw`tD>$SPoTMGXKd*E!lV|zlgj~2Dm6%`6m@PdSVP!S!r-dw>=G7sJ3fb(P zVqMp_I;-K7P|Ee7L``6|OAF3aoWV=$OQoZJqd0?wNzFMrxY-$9fTbmUN@r=bR*qYM zcxj!`VSRA$>_L%%er+GBReBi|?C{~?N57fOv#g2F?9SR_P25n8+U9DGnv3zf)_G&7 zn_XZ{tncm4gdd7Cdo{eHBYXn7N)5|`n;mr|8)r2JbuZw4;*feNQ(G>Iqna+b*|H(d z(@gy0@bG`1t#k%Y1#=gCO>qVv_Bm+DrHV6H7#=h%#x2;8(k_=wvvu3W2h2@Y-K6W3+oy^6E8O<6cRd_T+;AA={uQhn@QMST*y@(S?L*t1fByDC zasAi1F)-Uywn^ig9M`hW zvI)45X3>k>7#Q5Jq*Q7?TImd?$tz!@ID^?{=F2Bbi;t-~!yR z0`iDF1s7oEJ3ZuQFw0}cf~BVi?|1(ptgSpW$L+(@*mS7sCbti0 z9S7DuXZA>z%=S2?dhBC9EF7;?yKZrdR8gwk_c)cI9`L+6HR0n+yu^7d!>Wt#|3bAP zw}K0>%oFFNxP~)fP48En!KB->DWz+A=kV|YeTp-<{41pWgW?Qc{%z>qu;L7+tFb2x zCK(-YyTV8tm%IBOO`G5zsK!0^u@u*KBwV1+D$d}}??>x+0cKquU!ruz4|d)|#+T4` z?NFt&`fHDRTGoMdRu|H-P2$&PUIct*w25@qHkP{^Z4z8)o7IbHwSGgDX8g4Xo((FG zbbTp9kjKYf;={WM-#V8ele8OscsCjPk`IT5`k~9v^w2gb0!3YoqE-c5fEzAC-64K_ z3>J9_dSz*dd+f$)y4_zY!2M8hmXGk>@(cM0pF@7aKjb6)3;78S`3O$(>l@l}$-wq) z=e&%WZ&V&00ubIl{q;SMo|;)jn*g-CP9LOM?YZ6c&`|fe9wI*)R!g7ny__>muMXsnG9Rk{Iz$a8J1Q@9m5jgwtd1;javI%CwTT|`ET{^40X9)P#G-zSrKrk^B%y$ zE5XV7P%~o(v~1>B)*N?z*T;gH%A~rJ-L~2A(Cs5-5NX+MMDtHL3?}BM%-rF&H~6BA z!R0SO)n2W129rf~P!m3mgSd4o&f>{JqZ6@2yojaH;S|Niq~Gj~o6ms`9{f@6ydKO6 zC$edE#&&8hyQ){ZSjaBui^yfr8_f_IV@#X>Q>~!EtKN;N6Ko0}gO|J#xM1@Qo_sD` z^WgRv%q8i%R!wX9RVINh$eY2HNnkX3jgP@}GauQdID@V3#9H3ka$?9VjCA~~E7@fR zTT0*q2d`KZj9W{5q&;{U+N2%<`>-QRECOH;~F%tg=@WH<|^Fa?QRbxZg(mZ zoL~8Rhp3nF5#JabO)F?v8XK)G(h4ymyDqhgD^5D@S3&6T&ByXt^%iKOe%q?0!JKCv zd!f=9Y`h@W+Sd7tXs!}q;|1xGa&I8UaSbqbPu!t6gBu?7^%H{|zT)dA1~;sO^Kn~9 z8(P0AMkY|v>wzMEl`duwi@*7WNAcCoV5$%;{c3EW=2-XSo+( zHJs3X$s`U#$mNy^%D|&# z;QK`wj!|*J1$gpSxM4v;3_k30=%#y>&fuk|xpgyGtPIME^W(~_X}iI+Jf`giQyLfi zK+_tImcmxP4W@)H_=?h58cMLGwRlRf(vi_3!DlL?Cn9k@7y=J9-bFO7Ml3YcPkij2 z5=xfDuz{0ZJPclRj!)_gZa5R1K3mfoEVU0UNpL?2WsoC?m(~S3NrQO73EeOdbX>;Yzi?fN|!Gc14Xv9oY&JQ)J#Ec;33;u&a-&Mt(XDaB99|hv&46Z!#WHX zt)*#OwQ!VDf-o(F1AXV>WK#zNCl|nz17_3p5KeMv3VD;R?#Iyqq?uZ`BrQZ-81dVP z&e|9s^g2=LlEYQdRq?JOUkB3|W|y21Tdq|}&Y`VPQ<0okdxoY>&YZ0z9keMv>voc+ zP0pM(D!XM*yc^|x8ch%VW3aLo;TR30l>=ndWDM7NeKK0G62Jj6x&Dtf8MNrzb&g`54UamCy~t z5pKhP(gV}T$6(91RN_0+*y$D>ey&y&rmu7f_<)`6@BUqpQLKyRpTQ=^yHCL+&RXe1 z5e_L+o$8|HUwEjr0DEqAFKn3_oCSCI%nzdiw%QX}HEuVRXJ*%P_e7Ls+?ID$Zc`dfjb`OUk`9P0K?(zYW&fV9r{9 z8+J4eo;)8K`&&(GF!{J3XhBAY4zRk=p(JelW@<~z??p&&IKpC0g0(hYilq-cHNJ_A zY|^yGBht+Zyt1~dco`k#%H&INR)0#9wZrNl7ES6haN%WLstbdzw3I=5x(~b3nhgHV zMLhJoQX<8_BSq*ZgQuP1Cmal(jCL$~r`FM6sn_qk-i?5zj)K#pDbkJ4(@IVO>6I$} zKl@aouyr(jFpa&w2xF@Fde^8E$SSbqTyKr}7lTe^?#2C%GEd@8M~Ns|T~yT`l&OOn zsk+w(OMq`aGB^%uEnD!-huz$Azt)N0d}J`YQ|TJ$bVpK~6c+)m`LT z2leTH7fki-MMGsjdTsiLjY3P(3`(WGvrDCE4=B!H`Mxy50bYw9nf_kI8EpCDh{ox? zGIu{)D>)f-?OMsjOMDXCpaQH|Z~>Nn5OY>48GP&%IE%r|8+YyE?`B^@Ki}GW=m{#l8y?TJ;=eRjG!8pRDcb;~6>l@w5nqWkSBMo(` zFPNBML~fCxzT-a7s!*Y5r6rb?BOoiUi)1ChA|L;$Z8f+7cGzUa;D-0OtQgG2*+s!) zHJJQrePb@a73d$diw_S*5f;AQQGokSz=iT?f9=?EpidcuzUm;^0WGjT!eNA4)qsYXb01>JdqWAmr6tB<~I0BrP6k{b<^~G z^UPoQ`UgIIQE9H(mPt3wB&`$@X)w z4pXVLlJH!u$AJJBX+7%BtE$J$Uqu^0(^(E&;@?HM8Cv$NrS55fjE|hOJ`dk8-*u=u zA67}4@GbpT9J92ng)B=W=jAR^oWYg{v6lDTA0v-Or89WW<$fO1V0xD6475k ziZxoc!kAYNl}blbqA=Sscrh2P9av!u1s*DM_@49Z>NeHgtduYQ4aHEknK9^IAzNOjx>HXHU|EjXS&&hPukt zp`M&EZs7YIG_GDy89WV~GVk1A>5Jf#S{n9~9YZ#lbgiLp6Wj$_MvQB@AuX5Z8yXK) zoWWA=RRy>mY1+fyfjWO$aR$?j*cM-mv#r3S42)2GjE3%QUUQBFixj{19B=i_`(-sQ_wPtC!#+v(xxM=zVDR6%sQM)Zx8Ykh?L8m|gCeDh2R7V*R=p6}+| zbadSsaX3h~6)QVnaPexR#2jZ7Bu5cc@^$z|ktYSSXfVH5-XG`!JfquJ8x6L6^^|)P z6I`IRoB|NT3HP@i{JIZ1MBzBegMV;KGKW4C))hYOc6+X(c6GWgVwsr<_nhbn;SI>@ z??Tu9ilTPk>v_%7R%k^K{iEpodVo0yJc!QbWATe#y509G&iJN1syO3^cB$ibp-pn& zE40n(ubt}o?Lr;2R~^Ulh|_Y1a6M`pBZu5cIGVF{FeEzbXJQq-7GbEb_?U%+tks_A zMBr2{So1@^FGj)F2V8*5kKl02!-_Ll8vd1(jyRqc zD%0v^A@+ifu;W5E4`?W>A0Ow($S$dW?Qi28=#|*>Yl~K#rbaFYBbQYH7vM6E5bh4W zXs}ced59gra9%3C*gFTmMEf?m86b*g;A+=q7&4+BpXZ~rp-%Mv3Z0|*A;nH)vW(?9 zEK(VU9*b|d0_}kP;$txB9uL+b!JVjdmXB7{aZIB%jc`aKI7y2)euO_4SMy-dmy?iM zvcAr3l{I*VTQoy8xIYu~02BIUuK^G0LtO$ab-ymf@f3jFK4Y-(V208qxQ39HmJ#`A zMIHAz(nxzlTFD1#1Se^S${p?POz;vH%Ly1yzcW1i^TXD3Z_vEtbJ;c&(zB+!&u~F7 zl@@6*rTaO|0w05q!3NJyFH)Sr(&#S58LW8|#|nvLUg8x3S_nQKRfr=LEA&rMg-~%m zN^9}N4B!vgcf+`s-d=1iK<+gqjYmg9kJ_c82z)eEm@G+QcKXyPdqXUcQ{~^!?Sk{+7 z%g4%-J`UwtKH3jTXK7?jO49OQ5h-NN6;iy+g$z9?TgJC-SaXK>UW^aj+VWua@{$rG z5oc-7BQg3LMYKGq{mySb7H@I+;YPgCzt(yj2zqd5+4b;So822d2q?O1SNL!vW)pl{ z=_sq~-HyVXnvdp(@2iooqGYbV2hyS$E0U7Xx{^5kCRVC_cW4s6`B**;m!X&LSDe8O zmtjC#YdD2zKUfwYOUuJw_17rQU>^Up*9!)G)0^6K8b z%ZJV9VPE2gDrKCc*-8uqUnvwPJ${$6 zEo~+e9q_WHfr0~GR01CGq7v|%4~<#NB2|zK2P1;Z`m#8bjY|n+P`yISo`{ssK;K*s z`FNi%YFgP{(E{cXDjtoO7Yw0HjcD|2w$Q~YvS?Nj-+U~dFALjj-{AQ>feSXx;91ZR zqcd2Vp39FC(0I8NA?xOZDanc(Dl_IvZ!dJ5?OkxK>)ApT^TufDf_!vw{u}N}_Tl}EXSv<{`(GkaZ;*@Tv+*WC4f>&tk zXhpzxifdz|_olUO*vBL5;McpGh=fwwrS3@0(8_3j$QQpGgJm&T_CzjJI)kP1&}cqJ z$2U%nzEW`pFL@Mv{@No>6gzcoy7|d_2HA%4|TE4?s9I+m#i22hWccEBslbq;6fisJCU~-olpjG3&C^Z_{~Rf;JM%e?3G2G`3Vj8WL;WS>WMI5iR=pgW_>efXA;X&*y z`1N1+W#t9hf3t4%fh1f1L#r@0uE2H4iy8c%Y;d-?<9z7!Km%n!5nxp;r*h_q_H8-Gs>8Px0 z!KPm$laHXGzt>8zTONCfEW`4{_ZzVC z^DhAxU@kd5Bz5ulxi_J0=P8}RjZa{G?X8M4nC;kwp`DN6me%`NY%n|hv0&$|JZ+Gs zwR|Y@mY=23CXo*7j_v$@Z8fjH;_HrqS3jTV?lLAC%;oWe-2*aIbQ2K9UOvM6ui*O~ z5w8L)%X7hIS^Q$O_eU3KT7$WZ@hF6zkHKuu<50FL+!DoE-sH>ALm8GvYp-;ILmI(J zS}y&G5WP+ra{vgD0oNBFx;|R^BWH;FNcGa6(po$VN%W!k9QaXc4w=ig5oR*uee2lIFsk4g%45r5I{-M%Y zn`ER9ZL_*+|0$i7M_g7e>cH}dvvMtO?JlO}045^zH!h*8Xv5Rlz6r;pZqp`f(MpLF za1d;2Z}6&j;3P1{K0XF>);i}2#Tm@CszpChoWat}M-^wVG+A(|4m6YOO|Ao|EvvQo z?QW-o>$V`eD$&&9LLY$*^;&PHwfx6!v`huga%;Xy`5eDy656d5L4`hqZn&Nmb+~*F z#0WaZ$6&T~!8XNNn#CAZ>ml-d3>MM2umJa3#aY_Uha$&2z{1ZTE1ks?*LarVlDgcX zII9=?!0Kmp5b+Fk5gh6uxKI}>Px>#EYvqY}hH|A0lqY3KxkHt5Sw@yOYwyB%@~#MB z>UHj7%+vB(U*=tTm}V2-M@939M-$`wBTB7OYGV{4c8%VbRhHb&QRR_$1+*@6h4Cb+!x)am<<^nhK1jQN5 z^7ot>a3LS2oz(-&CzuI?tq(|P`LQmxHez*|i!yC(#Nb2cM0^e~ z?=H5r41?u((gdxG@mxjKaLQkmRl_NNNoRaEUQ$7fr^Yu)8~A5DQhBxfv`wV5wpqR8 z*p$={bJAY9S(J(1;v?P+I+rLkdL0WWsav}*W^)RFM=9}rK2n74Gx+eoaR(Vp&1uxZrIK3fQVqLfJSKfY9H@Y1=_v?stag}GU)ZLmyd1UFQcn!eR5 z!;(>%$IkW2G;+*A{ThAD;pl`%De=v-AN>IrU>3FuN%&#Yl=XD3#u+ zjbfi1p^a)hq1rvYz=v&X=M{b=hY8_OG)9i6p`ydXCLMRX5kO_x(~S|;iJDj4O`iRH zZ4TMkh#_*>PJ9P1-(apA9fvac7`*B&@J?T;ID?nqcm0s!4CYe4`BnxC>#k5bD?>cU zvr-(F-0en0gN5#@luqi@pg4m?cJHpn@w6)+E4KmNY3C>mW*Ktv0O%|~&SZ{0UXseJ zhA`WB^wSh)aQPAB2fgECFzd450>v53o-v&9V>U&}_<}mnGuN)ywAOaPg?3mwMW;i1 ziDNsp_dPA!#I)MBq2^{rz036uqChu!L3GhJP*T(O$mmSe9Hk`~)ihpl+;yD)IB;xn z82vO$WF6wD{kULB4X_`e1jqzL;6LBYAamx)#q@EGr)|iclrC2!0Hg zfainhd<^CRo5s)_gL_uN@&B}tl?>)9o~HK(uRRxK{iD(uY~>ScWl(_TZBaUdg*{<% zVX&}KaM2q=*u|_g%S`?6oKeeQtzU&kMdlHTBI9p##UGj`!|!oEY);WyXkujYIb?#& z_!vCravbRi95Hw<#L5oR8!V=|T9W*w(Ei`QB?j`3P8;`q9*NXd0Bgx0!u`VwN7 z_ULgpBz=xH^C8cV`&*+>oU{;ppZ%{<3j@seO@EE1I_?IgBktI5EACj>Og@4;G~nO_7><__vc<9e3FIiaYGJj^osbbTiu= zH)B9?GlKnP8Pm}<+5)Asy7JP&pN0GkZus%=@Yf(Zd<<^*;_&c~-xP2GZny*D63Q?b z|B79zKTqil77@8naR!Tspx%58Hl7ieq=ka=k+gtGf9$_2&S2v$u}Rv{Hc1Ou=#P%l z0=7ON*4oL%R=b|VVCHKJv<5R@{`(0ZgIUIW$TA;;S%zIOnWPP7Qqlq@{b4Wlw1kx8EZ3&qN!rjhNeh^1 zr+-GvFqmm6b9|DtkZV4Y7BJ~&yhw2dGc9G9W}{u|^d7gBD6fB~*@(b+WCzW|3~AAu zskNcGI9#LoDt(Y2L4kS&sPWRHrcyfAsS)5pgk#n#PGQWeFd!TaD?oFpFs62}E4q?s zFw7ZNi57iQ=@hoIh{GvFcmX(6)G9;3d%aonXbmwTF1!Cv)N_H_TJ49>D{9?p@yr?U z|6ss|hFYB9u4k4WXEi`WOQrW!m)`Dv$T`rX2dWN2298P$hBc*NW+>x&l!az3!)7My z!N*`q{0XmCoWW23I(()h6=yIVgRUDDXE4jK6UYWz`D~A#(rOciUhhpp$I%OmPc8x_ z?%_Sv3}s=B_gK7EUV+tY(St5SKrtkGrUQ&KO6zW|N+7~6NGfA_hDlXb; z6pE87eNffu*h3XZ)gjF9hMOHnm}4P2Td@T&ScjeN@p<3FikMn%GdW4de_sqlv|nZL*A%^ z*m&5ei=3ZC@u0?a)w-ahh{qDs?r&%nxPr6iI!hC9A>QJw3XHv}y70@~wm_CS@2iVm z@elGQWnYGJa1t*oJHR{+Y}W`GJbx#KH_W2=7|f}qz2k4N7Dp<|TZO{gw}Gc zbJkYp54uVG_~>npMFADv!xn@<6C;yXq2w@78+`azz19ZHOreXF@HB^iGolNy(A}nV zmPTt8;Seu4q2nT}^#0A>0jRgEB{<-i(N+l|L zjc?wZr1I4mB}5%KbZcF7xSsh@t&W(?UMov+v>SETSk8*0EH#3TvczxZM;CzkOos*K z3)g%M78cz=OhgBF-rTY20iL`8vffBK#wmQ*zr)S=vEmGt73jMaXJs^g%F8u))mwpk zztS1Jjx3lke~5VR^|gwt*)fg zI>S~p%L4f=u1$+|OpdR4F=>ug7{iFpp|b8gq=36%+GKF!mFSY75e7?DZ_?%&EY%iV z_rQkJ?Yha2c#ZxF-trHsc2TikBZnW}=gKoxGge~AG5*K!t3OqooR@f?B~hHkPr(7g zFK<(v!BZFD5KmZvGFXnVD_O~4zLL8;m==S10__hN0{9qg)H9E`Jt-$rQyIOnYTZ$_ei6 zN@r#8sz0+=2D4nfTF}}7JNz8H-~TqIb$B8E5bKxU(EJR3+P9GwZXO?lX`^g6#$e+k z>8vhuVV7q7oEX@;0Mh`>dQSoF(~67hybyfgljR3r&G^EVJi@#y*p7D?JPU@({=CUx z){lM4$NE7eC-jH$mt(W>S#aR5-~ykGmrQHdHCmfgUbPItp-qAdZIg1*CY4yyslK%n z{Fv$+%^BV+q`v+4sfGF${V|FuS9F_^DOa==Wy%%(5iA6g6^qYPnI=X5&SILh#hs8N zSyiiEkR}WVd_)3n4w{5-!?kV+nuPC6lVJ9Ka~jUQg4-g20bck7B=F_LK~nF49|v6+`3>d^c&14PTN|0$>c?}u_8&?H z&%Fs!8%6_zDG_$`Dz1zD^M$p8-p!nU()t-p?`FS z7Sr6(O5o!@Mnc5tZ?r@=)|jM5Z<_~Wsgy_o*0;bR<703IhG@mDiZi(RIP9N`2x7Vv z;6`MB!pD@(VD2Z{tw{z8JHD%Q1`BHhha=U}l22$$CV>tPgB*e0;QJv!(N}xujlL~* z5E%l+y30|Gii%I9qw7ia_z_N3r&ZA+P!tC32j3lV0j7WW&>K_S+}m)XI`o{ujo*iM zLR$G4Ec0PZ|6?4N)*ppk=VP#(ihyIoC(iF-_+fmQA7RoxeuUzzUdju_S$WC~$MF<9 zaq($(=A-N&9sJv}sQf3D_h2#?nK%i5>?b}KHbzHNGh=jgebD4kvLr?(-$N#^3Ag|^ zY=;YXsNxKk+TWeh31_5Y$cHNrh?mwG9dNwuMp`Fy>B|~2O5Em}!3LmOd#>;qFvdd3 zaZ=SPoKosad$t6@NXH59L!@m5UA{qF0d;u=D6_~v8rQZ2#BKizWIyS+k!&$^Ugn3bia zZO#{%YMRbjuW(1>L`Bs_i#fr_Yhq;b224NCXXYS1nW-%o#aTSZLHi3)gN>e)hFdz< z$9scGR~H5igIQC>rTSSB|KT6Cp%mvsP@n73b5PAd zoBJT##9;mnZaf9<+ND~C!NQ-w=L83y@riNDm+bBru~E0HP;xM%1YQr$Uf^EHVPS^z zsGm49L5k+%7=GyGEk4udXuX9p8K`zG1tn zCUFMyb!5Be-e7S-A0<_kb_w>DA5mOGfGHF6wkghF;cF<@^5ZMiivpd&EMxJRnl`~* zR9(igq3>7*VV1$aYv5yb6?Zw*+45HAI^AOAEx1sIEyH$(hy)1nP-`~?QqcPq|ds)YX`u)>-(>4wT;>F@B$l-cVbyVu7W ztIW|ZMcD{QO7tO)PCxcjE!g0t&q8Hjw)hw}jwxTDHYoTxmk-0L0AReEMMDrorwF>o;v4+|+mSgbDIj zW8BDUvFrE1pHk^guV8Da;G5i|vkF#wbW*=Jp)S8h{hqCLkvhOblQ!X-kHyPH*WfQ7 zgN;792b=_-_evSphJCoUO0DxXz0iqLsbMr@y)(_=WALv@f0)7COC^J)0_zlKuvA`f zxEQ^qgOPW6bPi)*LnWtVXdga{3}+wgu`IV z18N6n+-`yTqE>Sv(i@+mZZqfOTDS8UU;E!RJp8~{lI7;mN&PQC%s(21)=3zJ4qovh z?_h%)uS7lXS2}}fxo5ssaR$?p&AK+^7xF3p4q9KQID>oe@0CBgS#bu_?|<+b#TmTz zT-5W+iZj^iPOOzj%cC70mVKVo>T1@lMj2)Pb=t4|DIW? zKn>5aG>Wr$_7~%7S_jNp^(uldc^@{@9?%P_wg)Hs#D7^>a*O^rgo}uHG%>#4;zO>% zb8o;T=_N{MuxKDUhmXyevX77dmv+^2K~OLYW?)fpK$@79uOypSjJ zd#KyZ98gU1&`VrjLhr^m0lR^K@OZL*g;Wz?5ZWce}t(DjOEDhz^(pnm=KIy<0cz&hQS6l|kU-0F@=n__- zn00&!YrQgI*SmdKpTdkRF;YB^6cAND29pU7UY+9jk7#z|s=)-5IV@49*p^_%Nu%%N)k`eOx~gexNLAEC93~xpB))I_hHE3S}odOk-NLR zihBUFiu}(0wxE;nJz697-+8)*@^7~$YbYl$B^0OZ6J!W54O+a{W~gX8018HpYy6?V zCxZ6!;Y!QD-Kr}s-~dEU3ZEulzq*`jvaM9svBK?xSiuH zR-s>&jKqQ8+@Nj7TtX>A`H}%Lx&j$33b+9Cf&zQ>!C)R@v)l0v<_2){RhrgdD+``Q zs~Cf?yD^y0F%lv&-v=bD!Eu(d@D?|JhPubyL)djbni$^)V*vS&fD3RdGPdm&gDdD$ zF3<2WxbkXT;0UioZ~-nq02u+y$6(H@7T%*cE05<1P38<1x?7aaU~N%^Lq39&{Me`c z+Na;}KBcC;3e}A+l|--6yL#fwNuP$nnI6g$pEe3DOEW-5pF>7#0xrN)EASw|BR&St zKL8%reTp-o48!9WlMC75EY`Z z&pOKO%Vf=ycC`hT?#T7{eqO)@_)y65ijOPK;6qUt+lw)ny2!sk(Y}QeVGgzxY z97eD*zwdRSofHP4=n8G1WUPp;(5??7*qdCA;}L8SDgGCu70CD)EF&0gi$S2+F%)>-W1Yu1VcVrE5%v7j9@~C##I>iEpHr#CK;Zize)f~vWfmGp*}S3 zt=>y<<6vPqNqg{oE!bdb+#gb0Bm5Wh$qnYF$D@JHV5$6DHLc~NwNjkLOXGwNjcaDy zebtS--`A=_;~tvh{%?I~-241Z-neo1qj9)mD{EYUS*eHSXk{#(v(g8;6=!fmD;js6 z;tZC`zfW*pc)sjO}Mnig4RoSbZ|pMIdS(JA>=3imqd!_`Q_%BVhE?Nusn zSJhWB0j6^G8DeuomXaT4GX3bj_ljoBF6P74Ok49I_@*nwXFPg$c3)#E=L5rP+OE>2 zowtNneoVu7ifTURBk{?{(I<8tf2fL~p}?%zDD?sgB&MksP)k);Q(w)kbl26b>FQip z)m;}RRc`{cdp=7=>Am=c8(}b}=w5l5wwi5Uda7}#eXG*eG~a*GrhN}){G|BeEI#0< zoobyNSF}VjN1Aimqw9=au~EFpzgtOew=@qGC$g zs2HRPi;1)tyU%~?g@352*MF;WI95PMcI^7;#$u)0b7uBp`bGqC;uk)0>X9I|@i-=y z-rqH*7``Olm?DWrBVNl)9-QfCs%ftMOf}85gw@vlQdP>-mvXu07X5rqC)}ddeKNJ5 zIUlO^p+y%J)e5HQoYyiqeiZ8%&xMUc#n*Q7jbq2i4}FZet^CB7#b+G;;Y*^KkNNHT z{7l;JVbLrXtJLyYhN$Kui}McOQ_67OlgT2F{K=dX$AdlorDQ@vS(7vcAWL zZG=1>BL1uv{yq`n4&LI5pgJNA6y-b`ZF>;&mQ}{V~-0cA*u_ zS>>KPL^D=4q|JQVhYf;8<7YDkslH!>zlm2RcIKNI;hT#fm*5v;f(3~;4|X~UbI%p&;{b85!=#Nu3V}2~P@Fu22 zy%p?wB+a_Z7)TL~x|8NQu%5B6T=cb`vWHB|J-eWVzT3CpOX#;T_J3rQ@S%-s)as8u z*^V=Ht$G zchnow794&39;K~mgJ%_5DKD1GWsr4#L4!^V?X;hRPus1Fa9cUm()>Gsy+_Lo=@L7&fW8V;D}14r%;>baewF z4OSL9BvSnp2i}Sahd!DKm#Z4~Tv83wN0gM+k+|*wJrx@^iMWZ)!!oPtMLmj!$A8O=lq40)1K3? zq6!Va<{SE`X#8b}!5Cv@4955s4c@4SH2sps?W3L@);!q47N@VVnxc`9w>?Qs(KzoQ zpL8l|ua^8v-U|Nm)WUe;IjjXgEMFBYFMw<4eWu4u;WGkgA3G^dR* zz4!}bdRgC{U|D!E$5DT;w8p%c-F+ zgE8yC{-<3o<`RB-)hd|BYx@ffW2W^JI@eYIC*WUqstn^pzLTS(v2A2;Sz5)nT9!WO z>T-?aY2{fe!#I3Xk7#a>{!d_(g)J}`^Ft!PUv6wWBwt6nmC@b0Mcc=yNIuvEFztYL z$YA-p2H|f%CYo{Y@#&Y1ZP(Y#Zw^M);FGWBUN|xy`w+>bE$J$>+ZBenB_Y?jNNOgg1LFb7Q^9j!CmUlSVt1 z+fF{-$sM#}&$ii^NftY>Es|!N4BB<_O|~nR(SvpkSxWo5E=g0JV%;(hLuoXg(0H{U zARIpT@`o<2FKzT#QJoyn-f$o<()=AdSn1ftJT%;oT8%@gO;Xx86bxGXeG zieHwJbgKcnU(7WX3SwOk%}vr=m8ryVKTyZD#@gU(AFK`jGO)>pkVe^v<}_ z5PubZQCkdQlBYXOp_O>YdC54&=%-cs{(x6%M*PCz9kX+cq0u)^$>}o^Mtk3slO~fs zlXH$^%fMSD#GK6Y?iUW%ygJ{lgc7H?Eb?ibCoRTr{CY0VG+gcd`f<_{4{xhZfGe1n zb}d4qGZ^zyF3)w1*?=sI!TAiFi?rv6X3WF9eQB3*7&?rCp@a4r(VVvDH!zN<19YH* z!B;&V4nJ%$~7k6t}-!n zc~VGx&PN(0jcG_z+U=vO7_}>_3L3|r?mo`9{#-t=alPE03s86l=DU05<>bl_`MwuD z=njcu+`1R*zFS2zraDtaUGs&yw|Ax`ZTCvioQ754v`!Q1mb6YIQJI$ehieITs}ksL zInSSj!B=;YEs)a~uRbOjPFE6PL^F<{2U(xVenyFm+Ze(9dKO62*!q+uHFZ? z!dr7cu{|o26DhF0X_sZpt5do^DPfF5fUTk#hcH27lfYv(8ox?$U|wNIKh9UQu-c8L z9ZRQLiL>j+NbxCH!y_ujc->EO``tJ!B}zn=>{!6cnrXLh;yHkCBit;34gh=)vc?YL z_wVl*4i?c&kijQYrV*J*&)dsmdFJE(b6Ku(j}TjHam99|ocrK=DjIB+H8nDkNskw) zgY9q@gUQG!-l{^S9`gWvYV`HQ%%cK`U_{C;9fL<499;YTWgkqhSq~u@2Cv_+?_Ddjc1&{>C~;8PQj-^uiJQP zlQE;!cf`-6lRX$+1%vW*^(BWVuylVXj7%OxCXoyyG|u;`=Q|J*%?m zNLOh2Q@<6vOf#)|?+hI)Hl(UcB$1Hwv3g)EZN@bcKdj~J<$h7txDWN){bkC4E>SS2 zcU)F7n6Dpsc->&!hi6tVd`W!9u@&-7(VUj~`2b+&O;Our@YxsANTZUrq>)Ob&3p#7 zn&jVPvw6LCO^#NaQ=kc2)k&i4F$ip6e%-nPe!+_~woD6sO-X{S9Y2gJ_i;hSoHZ;# z9t_6L1FbtAPx?n0``eV#4O|_ke`FbPzMYQ|%9t8W2irSzCz@_Ib4nb$Mc)?9m^1gm zLNksLB4~VqxsC~*OL18C`;n-+&fVgCEoU5@pCO17zxN^q*n(DY6!*14qZq(ZbkJ~{ zNz_J+_s?hX$8&}O=IYe?VL6|n6S6i+@!~`ZI2spk%uYUpaf-p19bPo_v^CMwrnBhd zG`Xp7))`XKt)FMn#av=mhNk8_ZPUM}VvOmVd}od^eUs1AF{W6ppDV3#4D&(b{3|Sa zPl~u!wKFoD7Htu$i@b}iuy#gJdF%fY#avoMEO_0gbCqWtB0wYt;}9okn49XD%okIf zKGoRNlPL~JF-ljT;I#>$2?y-#^&oQ2uMP{xTd|ImQ! zwc{t|S5+>)x_DZ>g5h;neL9!Zk%V0eAL5$^pEt>J@cQKZKL^R_Nwpy^0)~6 zVshk8O0UloHI#ATEAjl0b6FWhjOj$jpYPrSY zjq-)+AsE{Z4K-LDyXGdSo-||L#-I$*bt_FPd>wQz^q~mteK*OXZB0d>s2<-AZfBX95FvlrjpooTQp>>Fe?f z7UMOCq51AtTH|QGGQly9W(gXvJdJMhvh1j={z+8UJ?Z62i%eAa8WyK3PNeuLQe2>- zjpXFsdz}F@fwaxpDOrn>(W|CpV2LNwAI{z9wgV(5C6m{n9Pu#w z!%iZ}9KWs`udQqs&3M(fL3^WU#(g_r+v`L#cD^yCT?G%)XwFHoQNa{@*FJU;R^;wh zyF$syc^SlhM8z0$?ez4`q8W!XTp^lqIL)BFaqAg8P6^S?15zN)3MbLSt0UgM;<-LY z{&qc*6Di=Y+S^J6^T-!(+8Wcp%>M%kWIX!I^l`@Y8{^koaAEoo(b^{-#a(#Kxhecg z315Hdfw?(tsD3KZu1$D=%MlIp^amR>J3e|)5((gVnyKIFGB!Wne7N}XjqycPvn%B} zO4GugXY#w6W8~@UZM!m!;smni8Kn4M{KA1T7|(_`Xs_Z6=Ecgs6~UMe-g|1s99Tp< zgJ(lb-Os}1BWPK4cVwRdiVk!gnp+@7_`Jx%~cf&(TBjyoAn;|}f zvGeuQj*zokaHvvw&(qS%2~9ib0`>f9!fhOc;9nheDT>&lP@t3fBntRmDZStRr@3d zW4;04gTIX}6H}Xy<@=5_^I6WCkNlok7+ZGoIUiLdDZHW`4z(t z!wuT_OI*>822(sUEnf$n$$Vd)`MMilmEC4g_1s%M!m7LRRfv8Z%>hGZFph3|iD<^G zj$U+S24gm%XxlgWqP6BLBoH?Wu14>})3z^o* z2f4x`6HC^;`CwHii^liQh{H1Gbn+e8LNzWD%~(2h@*TMeI(_j`HPkM?Czuk4{Ej-1_Z!j$>n*|SR= zXiG6g*n@UZ9J$cLaOTQ)_sclaxKDZCo{S?+wv}rdd8He;igNRs~#udZO zzLb(8aa_JAw>wYQr{qsRN@uWD`Qe#{06*-0`g`Umg+&I)=!@y0jQf9*j@@|pA}HBX zTH^_P#q-47q8al8^QqfJGv){8Pa{tTW6Oj|%BE9JD3`ooL$J|Yn2K_91TJXs+4`*) z^ab|IJ=HzQr9s4tG=GjX=R|RBJo+dqAGO3_%txtyiQ1T5f%h9T7^^r^;=}kDar#rr z4QvjinwKL(@teIzmd*FpSU3(TK7ijB}>>iwtw$Kk7bDM6CDnXg{{pitUdNc1W4OwUqso>lKl3r2 z-`zLnuOCeqGws9;O6z>0x=VhJR~3;jOd_;D#caii6zC$p zWz2Z)yD^`J#WNVselv8zT#3OrWG$Z7IOGl*cT+>ep%jtwK*ajBEsMAzo4)J~iW4b* zgcKOW8H}Si^nsw!LfIc^p+Sr4!StZfJT%L8^=!%>V>kQFe1E^Lo=wQ}f2-;#cs9yg z2Di#!%-`;^z%-_eJ>L|cF=Z4D)iW`U$mU@wqJ3=jeZH$_Q;Jwu&*c#DUS#=-QcS^7 zJrJM4II1UT*hG$}S~*C9o7af%5;h-Wf~r>UW-soi*WDM^u0obYC- zxPeDhwlVk0e5J1OFouFw>l- zCU-$kSZa<^RAj(03#;9pLMxcG4G$!Zc;x(C?HW5@EUeb73n5!6B>V@39J($ma*Tc- z9sPf)kdu1S9bWMC0Uzt`)MbP|1H|HX|3`n6LO5m2NrDoj?K?)^_g4^*&oSR#&#^w)cI9N58 zr!=SymN8fvqot|7gc~{%^=D&@+&@~R!7qd3quxp?py{aNugb>_>f?mXvXFbX~q!OJR+q*%SGK(7e-r}BhtQV zX>LLatgrpdB63G(3~5TIbbKrpJ}zmD%^%XBBR85yrZnhXv2%MxTDw>Km9@`Nj^ad$ z4`A+lOrfD}Xzi4SzYLCFhRs!f+iX1iFb-HdPkhG1m>B)=g+eQsr_lJm5o2niGSCBH zP>tq_nVP&adjOTg40`H@ee?j3--+m}@w-JOa~3>xgOe0miRXt1e&^EI{86uKDa}zS zO$+%z`>9=7ua@T8eY7$;k>YXu7B3RHUt6TXFN5RbRh=hAGd6!nb2Q?bD`!emjbRiJ zb+c>MzU$^OMC0w5TAE#$1SpA0c6>+x=^2bE4f#Wwb@*wnN@%ALo_HHaD}F|4jh!#09t{sWI#cTE&>Sej zaiPAxu=HCFusxSR>er>z^xxdRRFWcfi4RGyvNX^D*!&?4yicRKI;DYEVHx!S=a%OB ztiwv0URe8Ke*+1ynaL2jUtgrbFN5O``OjQz7@HsahPuiwBpOSJF2g8>qiOcqraP`| zgx#8ERvK2!oGW6L^?eBt&%thW644xgz-E{fJ3WH&tNs*^f$kH{n1{7YpC_8J^ToU* zuBZ#y$5VDl8ShbiG<)kAjmB~e1A9$O4p6=kv(y}-DFbALLm{SLUuXrd-j(m#8}r^k zUx#SS$HHSjP+DU;y^XhtX1sh(PHK!TQ=@VA8Jo`!?>Lbf9*K&6KgN*i5j&OzJZW*s zQysI|q9M;m%+*wV9ebtpJ{2l`BTvPa`74SoW>T+hryWV zG%ms7hr!q~Ny8Y))})5Vvkf6wHLh+d@~`U95Ov28xh*z_XvzQ?{Yh$Q%>6n)QZwFs zJhZt*X^lgJYeX{+4KPDzFn0OU22Kp^=z+!(?knx zFrZP2gP#}8IDX4MhA|}@V6`F`Q%dG5DPt~kbV`ZY2McMf%YJi$Waz)HL&~bo`(Y`R zvi~~f>J0GP2Wxq*&*iHo%uQ-i^+N^49F`eE`6#?*%8OPkgBZLXWC z&5E2sY>}+pYdW;4`bc-n9L%+7gO*<3i)xu&Xa)1UPg{^N?^*C7-gxt`w8&>_V`|m2 zQu!HEE0)h-Obtb&7YILhOlmkH0qc?XM9DwzogEq$$(h?cOzhZR^Duxgu)%vUJh5MR zKsG*ZX%4=|A#GX87*ks2%V6xX+GhqIjmYMD2|o)M1J}_w`C+dC26fE=b+DQ{qcCnf zOl->?sJSf3>AAn3V-5$lK^U@f5ufA7p3X7Hn75^INycF8JZc)hNj0A_cf(uM1;Lnm zB@?I*24jg&N<7()ns{|KBXo`xe6^sl&X?xz1j<%j+4mgYC^DqnPFSCx;o3Gb8;UDorpnM zA`{Foy*W!feXBDTpW_$Z_Tr0o-znNK9%JA43|#g;XpGyjJo$)8^HjpvWs%cq_zAYp zdo!MmBaocdI1VW(4%W2!c(nQ4iz?SR_|6f{X;ecM4e>z>zA%$$fMe54Sk+jAOjolS z*X#N;6E+osIPr_Mou@Cx{n*3*^$zhFhg_vw8;3kWD<%=MkmJ%Mwl^AU-#5b~;80?t zaBY4iV=JkyPCHgyt&8(fLoo?uS$g#&5;L0VABsufmmzxfBY4AdTkh8zkNsWl*Bf)& z&`#vlo+>SA zj3-TeVG_{*$Imp0>Mmy2>)Y}j%Hlb~B(6gpVi7!jfyyyng7Ii^84-;8r*cMX9CCw~ z!8l|LS}_xLiRQ*M6X-GW%nUQZ;1zFxR6FNzjFH*hxw^1ASEex)Gf|dMho0jR3RFb8 z+qsD5_~C0|CdDxuFTp!&JNAgrm>Sg)8mwQkROo$K|o8860( z6Dhuh6kAF;1#KW&0na zk$hrK%4w%peaU-}idUA!Gn8hHCvqQi#^MXlf%vflVG?f<&6x9opB++Y1+U(Tswf*; z#$4mAC?3K1ko!P;xzZYQE-^8uGd~^z_~1W@X59Ax-T{AJG-G;|=ZkK})`y(t>-t?d z^aqsIxc3;)%F4kw9Q%plvs|1fSw6>y;}5Z#(XDa(Q74-F-MlM6GSs+cklx$V|ESE;t^vk6t|?@G;TbU zufLnKy;rASmO);eNO2cZOsZ^SPCk5bm2tFhk=r=hI%pwJwDpN8&#{yT)?Y0N?YO^? zU9!}pIFaHHbM0r$U4+FSl#IqaZ^iFm8*`hxtH@{^t`Gf)!8qJt&_eEL|KFJ@ceVIC z3WA~Xdq-35c0EGF6^OnNzt*K-ek?dp`lT`3vX_f71Y>1QO31_5=1D0JItXX(#cs)sl>;% zBjbml^DCE#X3Vo6{f2`v--uZ89`Ol7e)KoJ#%zrB9cp^@v*a^&`J_R|7#vSY9XAyn zV^^uIBi;d_j!O^f(6RXO&~a7K@uw<_Ixb!ARHSA6P&aJl*NOn($K2@6yoF;h(;M?40OCNG7 z(lVYp^gR-!hB5v3vaO;ScYOyso+FxY(GfF3mJvE$Ty%U$w9uF3Qpcb{$F+!SzBYA4 zyR!|nE7jH!XJ4|-mc0I@bmSft?QY9^A|0? zNQz1syUVFa%lIMS0|tZCFkS#}=~=7stjF+m)FSa2_n_w=^(N7TrL8_;Hn{_gMx+HD}7jXvh@)QqXl#v4n11$SMN>KMEHqE3teXQn!yv$e9;;e>f*^Iqx{ z_a8A(M;ulM#?yj2+~S0rU@+#&Z|R|;8TZ|fW-iMn;{^xeZW2@#gE6P_8~;f(W0va{ zGqy}c#Tc|-KU2lAEu3gYfCcyLn4w~6v50|+;!uK^t&TyhZBVgfVm#-#RL6J@RIxh7 zY_ZoYDESrK^FXR&?DC5`D7Dc%E7d`7irHcHDIj(ixO>6G5r>Lb2FM5oIetN* z70gyz-4xAu)?!Si4-?He4Dd^$8M6$xjcr&x4XKX1{f2Cv^I%@{UP_&ap#cU* zDV}~~16t>2Q0K#iR`9^dmaXvzQ?VYqE=DYSxl6Oj*%GLG86t?L3M_K8d<9j{Es)`X;Q$xH{q0H)qI=Qu^5 z^b1TmR_3$5Vwd7X`=EmS(u*+&i(||@OAV}5u(nUaV%3-zNHHX6@rqAu#$wCsh{t7~G63sX^H|`Y8SjCZs_r2GK zZ@M5wq=&@JqrQ9CB36dh7LlBELe(6lV7_nj>|d&AW4`6#^Vp3;ta~aEfkVWg#U0h> zu3EKfR1mh4g-tHAYe-dxy(5Qt+?miR4k xjhDL0-m;DEOEy>)Ev%M}BzD++$R<|AUnO}cNAy(4q%@>tyyIR{wr_mn{{V8_x2pgE literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ngd b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.ngd new file mode 100644 index 0000000000000000000000000000000000000000..28c0d67b4f4bd8451ee63933dbbcf94bd9b2a800 GIT binary patch literal 153868 zcmbS!37i~7_5bYlBpg|E1XP3-8eKGiFul9k9Gso(CS-FCxqu|>cE|zY2t&AnBDNRG zJs9K)Fb&LdgCqA%6Cwx(7%mayXbwRRaX>_tL;l}yy{?{~>5cq8|NVSA>GyrFUcFcE zRaGC=HGUTJmS!GPQC(iDj-9K;QjGmdZs6jDiji27J&uo$o`%J_?-IKxSw^<@&)Cy#;bb&VuK5ycz z*}XGoK>9$UT3V^;p57x4ZQ8`@7j5&kYYceqvVD0Hu+edHm4m}I=o8zCCmtPYq6rP9 zV6AFP);Hl7ZC)xhY_yTR7geX4=1uNJeGm9NU*6H**?;)4$MlclzkpW&&3pC^>PloA^196L5;^gZCzpj~t0*+}OBffQ`$pV8kwdJLeqJ%Nrq zzWd0-XD>Y*&_Dfp2(s|T$o|_-;GLbo{{xs>wF=00jspH!ki@&F-%H}m>Mt7c&M_4K z%ocGmdJHw6=S0wAw8yPA32BW%H{!$3F*N?S^-u?*^KGk63a%6%vLmRsf7H1Cb`{oi z%lFGM-0C0IF*b_$`A)>@90ND{)|wg!Tm2OqK=X?3?(ytux08)LVBoWNctXrltW(HB zLxMusjpB_#jTXn4PguJI?FHx>7LCXcfusA*^eOVy`@Hq+EZ!(i=fpl z!;Ok`jz<3W-S221bnDdsK=X=8Oz7gxX2^x<)R}H+4Vw&Jt7L0u-29pp49Q;L)@W4R z#e}@Tu3?>HSn5s_2wRMe)VJ1)_+m)Wa})wY!m4{K5rA6y?y@zhEhm70u+`Ho!}5wv zO11iBAkoH(mgafFuOTz~*%)`#WI~q!NyVOG$}E5`ow)E&On?2rdx)G z0v6B9qNN?@U#qI~P`Gm(u~C&6hP+F=LXXCIlOyL$i;sLc=dfy&|*`4T&)zUd@FBBlJ>1 zh;~*oFC<{#h*v_XcC^5V*UMoMa{d-dS+H@$YgWiXP)59+2?;jwKZF=Pj@7(V4LQ{I z_k2jO&P76WS^WnsSt27+fBQIP&ScRLXQ9AB8pZieRi$ZE|Dq*__OqY8Xe$8C!3Hn5 zAvKn1QZzM1-am!M(Z6_%l}t_1 zmNR`#F{#=jN!l_dqL_&LMie8nZzU`@mMyW9=AmO7#d93ZLw&_l%#a#2HWo#>o=CUs zqf3rrTc2rb*)Ap9Ay*y6f*;|iZAxBK?2Ox0g=!y7e?G7^#g24PB*{T0?}a=}Ea{e} zjFx2k{l*QcPPY4h!*cCi)Om40-tw+!N_2#XMVB}!j#nM+D)47| zA=S>|>l`zrI$%!r-MLGhm77vJgCm)K8;~4ylP-HBx?TSCQLmmfSYZwT)2-VEBk3FGA zcd(+}3n35Zo9J_One(w5a@b78i#<&-hI?5;jplV&@yE7MoR`xrb1NYQN08aR=CNV2 zc~Z;AjvCXiQYDJee}U6P$T&)71uj_G&o8xw(TN_FZdq6sszZ$t49fd~AnGC^d$}L- zA-h8;+pc7ht=SLEP!`-hEICK}6b}!0euNb!uLH8lif&mvTXDlHuMr<*NG>03jgpJ8 z{*_Df{hd^E78vA&PNiG=L^RApWd@ztvY_NT!tqg!c5KG8e1&($aO7ROWzVw0M@4$~ z5TGl()TaR*^zUDGC|G|B@S(5ZP%jjYmh$n6+S!(uLkoMA!_Etw5SAXL+P5RLDc$mevf_pfu3E62J$TDZ z66cV2PaxVLvb)q*SCpo1t&7$wY#$Q^^dm2>81|hB8h&UsdLsFj6~ZwsV|7y&e;6dV z9aiL2S2@9L*WJ!2{@G1(8PGomNnH0K3BA~66i>60lI^;|v+JEYt`3h=Z&a;UaG1q7 zER7)Es*L5_uya0%vvX}zuT05v7?vx4G^XgOH~Zot3=7jtxBAF>y<((zU=&X<>x@xV zASFKWlW-L7cilJ>mUk3FKn<8`bnIZCU6>Jk?AURkO~)LvbVaBq-7+m?kK;>xN>! zINrAxj}1bK(|7S$UsD_$b9tf0j?+^LJ3#xWFk0!BB^52u8Rbt;WXtFX+piqTMfNS_ zvn<_k`&Ko(HV$vCRgcy2P@Rw6Yah+(46{}>L_0&?uQhc=_w2>}pqzbV>}cG}hqw6Y zOo)x?ij2(VG!}N1a0&>^jQC9RM~#ZgqzYk~F5N|N4W@N>)#Q5epBwnT)Xl#&-~lt{?>Tbh zgq^#0-1(&OJ0kom9e`*mYjpUi3)oSEZd;m=p9>23{Ac7})0MTRwQ5ML2 z6UatD#)Bx3&}C4=gdNeOeMYaMPPH%Uc4Yt+^b~g*nzr$@TL~+WW z42D(*!%iC6EgYk7mS4}Iru4RNxN#JE1H*c|EYR{K%OouF_0PNC1R)YcqUR11x(VI`}o5~rnf z%`Ai?h|6kDSWB!V4awK(l`a5dbRo9gyMA09IHdq(3+pxb?mrpRi~Q)O|jF%&Dk?NcULn_w$L=e@AV3h0W?RNKSj95t1+g%(l5r$s6R%q0=PqL`0T#YK*z8RHZ z+Djd^5@;r!KyZC~6T-?K%IbWffOKF)H0r@kalLv>Q0mkOp}Xw?AH{W2PH{wVXw5gq zHAnrtzLiFT1`u%w2JJm8+VcSIWXL9&?qe^-B`4uQ~YyisrpvZ{dKwtl`7pNl3^S49SAsXJa7qct;wX(;i&3{ z;S7vgr~=NR2}Zn7rk4F?_4F_2UEex~gsq(TN8m=@EaeP)1q7`?=Ra3CX*`S0idUuPHxtHFG&1 zn7rmiB(E`RMVIp~?Nu}4Qq?Opl9!;bMwMMG6b*XS z(-dkf1`&@n921Z?Z`w>~eAndptnmxl+Ybc!vaiS^k00N^9JXceBHhe4chjbVyvGd5 z**z?LuMM(kUUzr@ilxVZKHVh^X|VZTAp4jJ;Mln{=FXmoH*&?IALGl5lR4EGG@~Cc zywWYRl+U4>-v03N38UXSvnCwAL%7=mL<48{^rEO)E82@p>EM&LV%dp`^~y_=5&tQ~ zAiQ)O@kzX3=Q#M@_drmSk=lx7JA}|2>cz+J%@`ma_2ps^O<*`K2x4F-AB^@r;MJhe znG0P&Tg`zy2Z5%Y=S-Y6y?4g=X=ur-Y>uRJ4#-V}m)#Y}HO=myG<$mga@At0&Ja)! z0{oZ+Z)K*%C6EN|SDTRdCSI0z;~}1b^VG80In?|Y7pF~ly@?E9S_Ls0W?M}*_}k2e z3M(C`Q|9nX4o<^TOigk$&BsqKcr}{0n$Mdxnmy!syGAobpZ97s6Zko^Mx#Bmx0ByI zOS1A*M=_T!T5RzmowB}{VhSuU4+KB(C=2cC=^m)mS zxODCLAR^g5&(*jz^e;}tW!z6S6{$>%-dX@Z%Nqj6+lyX&rEhHz@k6i5 zL^ht)GZH~VD_bd3NvM&qf9Z-v2OW+F8nFIMJBhR3Txv$RRQQh|0v2lpoa8>SlXwR; zpW`MOeCY9wN)pF?wvi2;2nXxzsrC?Z=(K(JdzumYD)aZO378g{$13-J8}J72thQHg=7LiIB0>_EX2#`iDAm}3;f zE(97zs}b~eN?^pD$`w85_)1(^#`Ysm85(Bjy#j>AIf%U=^{NVZarL4Y2fCjZP}$Xv zQlV%L*su8^y?|Y|PhE#Jsepuirg)D?gcfqrP`wD(GRkI-&G&dpi2$62?haxA#`f&r zMFN3lU(5bY_&V-tG`Sl^;G7Z()8lm?P&1&BNDuUd;yofG6*1ZG@_=YlIK|xV#{fcT}!AeoA{J2441$vbukELL+?IM9XuqQJdiM|HF_YIka6aX+$R#K)OP0q3#b`7wDV z?nHbx&K+Jv(&9Vph-6>7CJ=G~hso>}W=M@Y5Sg|~StukA<8W8V1W8YC3nDJvdfC$? zc;S2!rd{9NzVH@|(<9@RW{8YY)w&@ymPw&oeZkmJy6+w*k`6qj8-0*1809lY2W>f_ldR)iMhiDJdyy9-E^z zk57<~c9^}gzq5;Bc*_?67yfF9Q)ArKULB}r<}2#4v8;O_sFPFnTxr)~ymZK3TaT$} z{TjbcPF)aO=hR{9y5ahIIW^f2cv?<%*Bb&&)`saD{d$c3Gy6NY4wQZ!VU}*^-vyzV znt1OsBPxu;ldd4MSK{$-7jirMe4r6hPu3{#d10QdXnse3?M?>oEyJ!!O*8t{E4?Qj zEecIf*_vRiUUG$MZ-;RESdOQkTM^XT-_;pCMt%t};|$TxF7)cGJa7bHVbX$;?RPkk zShg>hm#(xaoSQqVzjwy$IS_sTKvT!QU>)Uw)eIKU-#a+($o00w5OrHxwinWnPu{mYF9YiH$V!GCi0A4I`;=R5mLx|If3R|rr zPLeXxa#&N!;cM}CP!%(W&RtWli!;jAPMs9zva8)Xh||zZUIZ=f=bg|YsMWRlx5*DmF|GWbL}Ao#%h6V0DkBqueGqgC=&zN2+z4O zfaA)CUJRgXo*w~mEA;C$qxjQWiMT-Rb<+-(3k zTJNYV)*l8yl1rSke^BMs?8`|!d%G&CXkLb7Z*{1uHan{s?UtI5nzGe&c#~N#spisK zy?Rhhx__|iK{Y$y4=i4hnkId}9#nJeI=dcJljnm@J*Z~En}LNo^;J~%jvB_RrtGaX znpa_cH``H}G5V_IW;eq0wN+LoP}MN%PW!%EId!jnvmcewS6_X%01EBV*H~G(JyUwr z9rrDEB%`mo`eN;^udT8V)^w@Mg+Dk^IdxNUiyxyUS^8qWMwbOQ+K}6n^^Zg>c|Ebt z1#%2V(EdqtXLQezk2R*60K2En>z+PyHg7-MeLIvwkKNtH`8TJ{o`uqHdN}_&)2w$! z@0|X5m900O&c>33#8L6Bgzf3<9NSk9dTFZ><${Tg3ymmm zBS$1;*(*j=8f9tOX8A^xx8h&RHK1JF-I7V@#kkk9S+zkHOQenL3vMF{(Je9!;8>db z)j}gmhqtUWqHG+~YCy4EG2OClxdG*a|CVp&8&SIU&4gYy&enxSSq>S0bsABKemkLn zt+0J#WmsdGZuwrhK>=1x!ih!=YB}c)36u`+Xf*)G(SC zG0*u8vW&z|jiUIJ0jpRujiOZB)rhL6l)E%)P|H2XR2nqkD;}&JOUTl-u6&~`n{1D2 zBgzi6XRZ-tGjx|5Q6}UQb^}Vavl}bxB08n9vMydQJ!Lj1V0dOE6wtFNR)Z)m;nOWM z6SCAWv(P9@A7uqA z(Vbi)%62%c(1_BDWtB#h4<;`48c|*{m7GSDvHVp+mcD&tHpr?4ukSa?sz-{CLbPs} zW@U2C7rSmyUy7X(G>YUW+TeXIXi*U;j8&It5#{GoZh|-G-oko;b*+(a2ac^j3--m2nb^NHJxH4!&`Lv>V zw4H!y+y{Om%sU1*A&g`-ia&&GeTAb~<8gih=3US#Hv!X`RbB$-eZq-O0;VM=*a?_7 z5kK}5FmG#q2DHIIG*t2DZUTny#j_Kz`a zse~<9@DkE={@f%?cYYfrq#2#_fz~^(*1ccnH^Tg0r+AUu2zRheie3VyC2N8NOuH}e z6ENFk4bXZ^u*MVy+6kDJT;e2PHkFr@rk9sOxIs4~f0>zp*;H3KjW8B@8pV>EfN9BP zK>~)|*+IJzrXiO*jc7Z4A4tOVrf4Um*??C7t@j4Yzwv3SlYk+7rJq3QqdZ=Wjh*ts ze85gfGr(6TV18Lsyc)vwhTtnKqj;^8fSC^R1TtQwMQ?6MO4Ik>C1Kiqy`Rv{*jxv+ z!4fw2^-cnYJ60+QL--N5LAYJ5O}6?$Zm2H(#(fjyabHkSZOl> zL-@7?irWZ$U=G=OL-<8`@isRBv!!lNNb|#|;@wU{nptvB5~h=Py9sHw)Lls!5zfAs zgehz%bg)J4HXC8}(boNL0%j0yw-Yd%v-#0){u)HxkkmeiE|v zkr_oFpA8Z)8|t~FG+X-xJ0VSHo=-~C?3dhxG?Vy+AOW+X0y_cY{&>U-nMRnq8Wdj( z5-<&X#Yw<)C-4(6Dp3nL-pM6kI{#KR0aN$eNtiA8 zc0M6ZFWvn2_+EzIM;}(Jp=xo(1ELeG+-qmP2c~M zlx8UZo0MkEKB*>@(3_9T37AdtnU#P!&cEm%Hvyg8J9EO^Nuc)dKy~-d?4QH6z(^|6!_iVWe~;&z^v;+vv3pKC z-Yw!*%#9=Lf1d!LOz#{{O;Z9;%Sd78syWp6g|GQ2ZwUxQPgL+4rtr-$r=mmm1})WUfa&ciha)-YQEGkU^B z^`{;LZsCg-?eiNZkS2D(CwN9I4gPqGF1| zHE~YU1qiEltig26brP`pfO>z*v)OCn>h}ESL&#J8FCgA1k3?+QO1nZm=$1_WY9=PD zmXDA4upVOH`gf&HigU=n3w01X??3CM*aJVv*GZutwX{|1AntH6;=OVm#*X@4y)xRr zL!nNJ6JN^?4cgdKKdje=0AUbrkV6$M%?%hk>lY1W*-6f_b-fUHw?P2>iyX~Y?aJ!< zDGfVnsI6}nYVGR#--S8NW6ir)HN`M2C~Jx{*SmqHl=XQ{!K&SD5X&ZEw8_52 zQ&SnzyFcjjJkT2}dJ5@(nxLD-&;$5D!v#GYbgpwe=V;`m9a=1-3BfgCe&-?=i@thGTdn>b_q1ew0pd7x`8h(^uI z)4r%tk@%z44gI5jo#<%d7$A!4?0Ou~l>&teQ`o~EaO#9CMv8YCkC=6M2XWpZtgpvA ziL=K`@FL?z5$8bs2d7RCRX^a>LA)aOfyBIJMfW>(5bJo@tApBE2TQMY>v6QzpB)XU z1(Ml6+YueD%`f`C233|a2cK~@w17(jitB13Tz`IhM1mbI!+6H772%3QwIbp;6|8QH1KQ? z(P|OH^JYY=MGSY?F*;mCaEBXV(ISTDya-dPNd8iz)uM(w{D>bdYPb_nXkWCb;Z-NX z)FOsE?HC=_f2SK^(ISRQ0BmC>UU;Edyut_4gey-KRrZ`PX@M+O%ebyI;}XoaE9wwt z>3|oPVVYg;#t|lWsZNHY&zTUBy17&t61Ju}4l={eb~LhBZT<478PXDdl@qUPOs!hS z)-9P@84mX|INB%=)k?K&A*j(Dj5jURXb$@in<1@|I(xRS*%(9ATKQ2OTnxaZ2 z{Zn3@oXYvz0I4>K)<9<~k(kQNr+h7;5?-E0Q?bhT+icB^*6hfWQc6VGUV)I*qLTYI zzea{RdV3@hrS{Viq%!+{6&)x_9a}&HK<4}tC`5=J&f;f zggI;Gekx(Gu+9Omsr!f}6V>-CNQsSTIT3#II2%A`CInW5JNfnYkFD*}u}dQtUtOu~ z+3pXZ<}KV{Sq9Eht9m%gwlqq2j^)+DX_R1{VHM0wEh%3%_IIiUFWc9nG`A2IRpvsB z6MbJb2I9L-d;?alLmu zCj*YPAyygV^167Hr%*O^*rSV=i=c*h2lQ95O9H|OJros-eR=bcqjky0Ah+5vI-Fe| z^dn3S+kZ48TCELNi`{N@BQQ#shkRho-7q2in8O<80NJD)%V{wVSxug%IFfza6bhW; zHX(;o?zbGlDKpZRbGWnCN7Hoh{1)zth4;G8xb#T6UH}06MW}KlibyKwk;bq+u zr%l6k&ngl|_r#gAdV6?Z_Oc1Ot(!}o-|%tT)IDJqZjhKi?|}rXdoD-TH|>y#^S_$v zTo(Ofu+CoN5g5B$?~L5sIlH09>W2=0BEDh*>|B)N>4Zfq4pUzMTg^7;&AS<#7kH}r z7Kj&JP0)nD`kLaZZ6?=?07RHp{oPSidz5KRAGM(3a=Ve!sdf;)6fnxyN}y$*a*2s; ztm97($i4XiYuW>6t8RwnSr@0F5T}->%o@!J>(LmW)0K>VqLiV0+^NZP%6lv(&sMk^ z?9@gR_*g=%ho3PC%c@&2XX#pLM8%Fs-gAAHk4WAleNk&;-f%WmA}$Sl%!^3cBNKqK zkLEmH;*oE%mmzW7k9*2YU2sKDc>Q$=_V6U*lKogy3GV;sp%;c zHCV%O29$PxSD{!}4%Jg=<#4Vshu3eJAezSaL;(c$Ye03F8WYf_**D!rPUl#t_Y4pC zCgYhgU)VU^W;{^KkW*OYsljrS@l2Wf94L3jT&Z~T~IzmpY`)99Jg*tR?wXeLg*Qzq?J+NfA z=u61#jZlSZYDH>a;r!Yr3kEG)Li^6}K@KCiY$?e5K&8IHq$=`E$t_z3@*+1P4?VSk*i&RVQKL8n z6-*KC>H-%dmMg3!^Dec9s@y z$Nsp;M=vakrT}**&4oKqRq(YcIENL*RwxV~s460rszW`^Wus=#Cb@~)8D~fAPtvkm z1~giRTVsvHPAs^W%$`P>VH(2@MSv+gnzBt1zJ0{7t2HItYb~ex0Mn4(K5X=h9RR90 zHfmwnowrvTNww^e*Nh1!SV}K=I5)#8(4B3_Y^EXz0T{aT_KYTE#J24Vq5~NDqNFCI z>oaRScv?Khl~NF|udW)@sS`V-%9wgK)`ygA9=Sc61si0cN^I~$$H-hyrXkz9D3b{m zY=CG7ZGPm*kVax_%1m5d!VxniYNB6z2|3k@s-;nzG&%#hYc3{9lPAR5B{D}hK9*YN zWAah#5@pyj5{(6gx&l!|+4{=fs1j+X|C&R~>kLrhI;a>5KPkuMwF5dSRMVf~#6-fl zK#;8tJ2k-8SX6~1(p}=Ay~gT3Gp0dOQL+-{*(K_Y!nU%Gl9xSvA`zz9`EHD(%d+a( z(oQOQ!v05i-<*<lqCHfj-@RO@_XL%2z@Hp=`u z?^JE$S(_GPc*E9VeP;#@JTwWb-69{uDIca+R5abPcT5B+0|7~cPdr^T77JyS&SADY z>R3>X338vesJT@^sWWCR9<^ss!cY!NUk|PADaUbTM)7oCYlmL8PSi0~>}bGT3nCj) z9h((4oD5kVcJH1sycri)hUe?YXjtW zWV7fzxDeB+gQ6Q~NcAV6KxBm)WF?Y4E$|!6jWa<=jx>CFhv;rfPG{Bkd4h#oLmh{t}^wBAD&#}=@ZSm zR-fFM3R&0s^FQ2N)n`QYHZ78`Y>mgUWz1NgV~=D%g9!rzr4_FUW_4;DLX<3CbZaUoc9? zl@0Z+8YmN#jmIF0cVyWp9a{m+@G_t*P#&qnJ)^YJH?mJF-r*Lg94MQ-WzcdMc+a?sazTOjXGc-c za%h0h-%V0Wq2V z>bq7^b5QO8)OVdwCMeW*gG0&LWuvq|>bpiL8x-pMosyhY0fqX?J|$;4Mrl6}AKBZa z_N{`lWS=5Xu2GujgHl@d&49`o*_&0lX|_?yq1-JgmYWKlIh4CiNltZ)(oB@QO-W9H z&Y388yGh9@u2Gs1$fjDLpmRnZR6t&@2P!2$=mg3#O4AGSOFl+vvJc9XpR56uGfLCS zpj?*gsTiewpz}^uUylO{I`2-A*Ifk#op&i-w`-IpLFe6`;$=WV=RGE=37%1!2%Y!Z zin2i2=wn{030?a>sJ}0@&t98-Y?StZlJP#4jyQ_tr^s4*`Zs?C7Br5}$$l9|X?JL} zQE+V#)3La8%o$)oy$vHD2w2%}J}9VJ=O`*?l=g;^k0{=_0F)`ex?z;Y<&Dx_Fmk;~ zNxV@k?Pbe;1V(9W!6@xnk$1K*r3%WCpJFjeciTqE_hgNaQM$u3O6OJaVX{pv7oon3 z!FwVFYTzpwqjY%=lpphM=DHEP83SLffQ@2LIu!h>1Im@{BaG6WD0U~P=L4#|!!k;@ zrQ|7|QCc4urR!nXi>#n@T@?o;Uvx>`V1wt#wi8C_cP5as+C-t&>eI>#I*d{o!oMq^ zn9XA407qUncri-9_i^OVxm5)>dPeC+OSU#MN;jdpn^4{BCd=JYHcB^FWP?St2+X|3 zm)}J(O84cA(!J36hLS8n%e{d-<1|XwLUIjwZwAzICA3_ZQTsK}Oh3g8IP8XzI~%3T z0;6 zB#{NZsKGEP`2fm|fR>b^)}g+uP_EghEiJxL`U6_+zlz$-f+VSc<<3W5ea!@wqU6^K zkj}}EeLyM?TOc{;QgWSXli0F+HhqW~%;zrtb+G?#&xvh9K~&{P3p%ex|DAXNq8fO1(b zmBHcSi5#_*zCc@l;ej$q{TEUBuaEEC0#cvnaRi_g?=!Ug=PCK|IivJx*(iNx%1^}^ zrB5nAZ28$Cqcm6r;$YivRczl zHD{Fmna2^xk8&BM_X?m=pp?#kl!2JCYnV}bw_=q3VS`d0y;B9^$WIR$rFSwoT-@yk ztnY0LhbKSBW0c;?;qc`po>BUH9!G#jGfMK!0;rU{G&V|al#SAxro3b}N`I>WvE?V8 zjMD2>Adal?0hPhwLegV>uUR-eP&TPob2xn2)YK@wlE)FqyE>y36hNgwIh1_448%0D zrz+~D3J@EV((+=}D81w$em*5%%;0e4XP1o93lAr-_*ko?$~~1gN>2r{a>*z?SpbDQwxtGm3i1}kC_Q51D1%a39(Ih< z!xdRZWt9Ht;;4eEu-ro)sEquqk5PKi2V%+lPmE;&kQ^vg!%eX4vOE^|De4v*Qx2@= zQa{X!d5oVXr~;|mVdrguESJLkSp@>C7naGptAYdN<|!@rn3%-N^3z|Kn83fMAS=93 zJ9OTMa&uU2U<)4yY?)UjwWWu{0#zXObr(kll8W~Y2UJyl=*SrOrfm#-qar`IWejX( z0x8SSZ5adKN&zXz=0%_a9C=ytU<_>SHNEwof`aY3@{P37D@cjTsUVcH(7#Qi} z$faBO`rR1V(ZgZMuVxtoJGnSAvP+XOu(JcID!>0`4E(?bQjwo1GX{1sft2OPoQ#28 zQ$Pyxn|YuD9C`ehMU^#VeH=OYNi<`?@^D!4BU#2kyNe@(mln#F4hK|KHX=3#I&EX1 zqar^KX$*`qft2N^IE{g>6p#XTkjSxyt^h|KD>f^nM*BE&va_WzFvi1SVZRI3ujNN=+^no9B6&z!q^>h@6l8loW8t+njQoJLp zKwSA5OJhyGg2TZAa>YBUY^*uTmS2)I)~qbxFu_w=j?Novj!wz19vW+o$$<*wXAg}v z$67#qe9~dDzT+}LJbbdD${kk);>s^98f%WP;Bc@;UP-Ph8*5hCpsFl)LIH<~4_eBk zewfFRl3#W-*8C_3DoCqea5UDOXaVu@SxteGKh6O0WQhi-Dh?MPCsoKhse;3i&B={5 zKPls|Wjk_Xja$HB$~NZ4nxE!z;NjD&9?ShK2P%-Q%8fNYw}ANgR>>jn7a1U)?AisY zio=zinT<8Stl)5DdoE+m$z>ciD4XSeRls4&US7tUU*~b8WP@j8%_%uhf$ZXCtU1*J z;>!lk#+uVIKs?!O3se<{E4w)xYfi7=aAY%QWAz&-cZMzR^o`YTW`Lkv#YgR{->l+5 zxyR_=>c3ZTpxon%daG=#ehcLub;x_WfWripBK1xlM+(b9ZBp;%Kn3z!r^f1kSU`OF zNmFC>dl?{}{PZcPDh^lHTN%7F@GqfTS>hZYcD_USZM|2qT3lbtz1RdKkWl${?{jMX1G@_T*8>i?8+*zyaH z#_Ep?I840D^y$%H9!E-kInY@BNe)yXzZ__+{?r2E%P;#Gt3S&C@#L2^K~-_M^6QMo z>dz}U;9Dxyl3UwMy6t_mVaX9&q*Ke*)J zd?=~@r=7k(gk<`d^+#MIl1D{4?@tXOY2;o%?1oS>(z(taLb5r%^|#*&CHbFfr9TWM z+4Pn7HaS@&^93#WpfiNd)ZC9-mWGn1mVC&IBp>p1jGC7GY|nQ^^2oB5{G&O9WGZ)V zc6KOfN0NRd`3UA7iTg&Q&Yd||Bv(|l^pDa_d>~xmc)RfGr6X-dDy8VL*EbgwB%FX z5Ry&1R5~9Jorham^68-@Qzt#YEGv@BB9ET2Bb}8XYR^?I6ZvQu{b-rX|C^DYe;!J5 zc+;t0yOR=-R31_CSriESW=-d?yb!9%$xXkt@p9!ao}R1! zDLGK9y{2=MV^&PXU`3A>@;{~X3U7$oH=dW7eoKX%8W}QQP{_$BE?JKucad zl+NLs_`9AIN=9~G;}4;8*hy`Ze-cXaKV|2&L)D&cyFtCGgPn6CJFjzw&}npTx?+Xs zoMUR8*AG>D^On0Ea)?OIE^Emff+2J^t^CXJXNHotmi(PNgk);-!lZEIo>kG3Wiyg2 z*ZTVWd0YHJbk1`4e;U#JeQF5F;d^en_+KKqZ#9zihL9Y#&_Cq9P}0?sH`VN{)t+8* z?>=@YnbDFr+e7Fy#=hM=StO^a614Lca|p@i>C>!PA~{w0t|WsYB%5a3z5OSlq{IIy zdFxP;spYw>@D zc|0RF+2{Yn?lgI(upIwan9DO_Jr(}1FrQ}%bND~8yLo~?zIoyQ#P0HWrZAWPD>;v6 z3d`_+V)vLlBR0X~|4lgMCe6b!uwP~4)W*qLV(P>JVW-r0Tt;yV$KdzLM$rildA;LX zC~X*n6aDZdEXv&k58+2L3e9%c+~QwSYLK*aHJp1ju*$H!UnUh7W|n_+BD-fM}gySaR? z1wCPGuN25yJh4BA@UDy?(W3Xb`P5`>{xLuZJC8?<)E@VO55D| zI}{{scXy+1&o&~;Iq%k#@@b(cdRRxUo#9Z_6N5rK)%9^QqB3@9YTlXvw+n`HNy7hz0Q|6bs z)c(SrFGAzS#^6z2qf4We+1jPOQgN5|3iy7M#>8EMg`<^)%%dnud%v;m#p2RlETBRt zgUg+W(p~|v4Z9T7yY!s@WiEYnryX8~#!ZYtJll~)E9jdtUhZCz*SZp=y>oGwY>O{> z`B!rZ?}3q@6#-)|?Kywh*Tkj0bDAkG-C?C$ZHw3jUHYq8@6unLFLP=C%hY_msWG@` z(CE^hX84ZYXJ4|txJ%<*zNr=iqbXnyxU{D!V9cd$M$Y(_xMX{pDK4!K(yilNVjFhJ zuXpJM_sd+``^0^DF)+dyJTWmm?3I@n>=LE%)woL&Dtz5eV`5RFg?r@%jJfn}ci~EL zX?#^P#ii@2>DCFVVK(T}iw#kF@k{TDZ3_VBDp5zxtTC)NN~~xO9V^ZtXFNZP2BcQc;v%ve74A^7xfHPSzp=*V;o| zd+hr3t`;rv9J-DS(4Pq{ie7u?;%?YD4nAj!6ISx$WpJH+u^jziJFl)lNsF<|JQMnTXR90~v56 zwv($|b3$Wc{qdGE!V-cpH}_n2(A!walR+Bqs2Mr71R-%FvjYOZVk4VmJcETpIbw_x>Z1>y4P= zQVF4+Vza;oU3#OIn#!d&+%I$K>r0N`9~!@A4E{1PYVPC3CxR(qYKlu!3taC*V`45b z5c_xn#$1|rV$Vk6(v-4hic8lbN>dBOHt5ouE-sJc+F13rH<5U6I6&S+xc>K8Gp#&w zJXCLP3}!3g@ELWx$*!zWl5kB+$)Y6bcGCh`lq8-`_qixZ?2g8oE6YePSHPI3V=tV& zluMk_t-P$0e3Wi?i3=>yn0P+`Y`RZugWkV2#GS$09AMu@WY?KEdB_HlitY^T8(Q~( z!YzzJEGd+075IFg!%=gpDGRFP&Y-s<3##PKU|L=lRLPye437({Xj$wgs92#20rj21 zRc5-iw-R9vht+{9T+M4Nx-*zEeDA3Q^&iJ8xih%ZPPfkRh^sq;cirUO-@9Ju>OX3( zE?zhCZIsy(7tiI|>?p3z%*Yxradn0zYrw?0nN_XOZ29m#Oc3`xMRK|1~eKK=aM45>sBjXGSZk--A!t_gsEEjFT^XemaSh zPoP(wyyx+kgvf%-=f0X%qktcA)lR+8`E^_)IAJSnCLeU7I#<@W88*Y8tElyD>a)&t z>%QfX^2nQ2khO2ap(@=vJ1=YBnjKPea*9_pmE>GYs2u|G=4FKXu9BQrRjcIGhuP`Y z{owF^j(m=tZr#5uYv$6b+yS@~*c@x-nrv#BpXZvn6#klUWuU%B<>EX#AfS8}%O!er zLt|+V>87xK%NjERjm-)b8tRi)F6g6UT8^$s**Uogvo$u?iZD}S^D+^J6D|pa`&Eq% z)7i26g&Q4BkpA<3bZzz7I#&&{6*R_n;M&1->j4Fgu{F4YkS!t@3a6cD090eT9sseg z?w1YjOGa<@DfD~;SNVD{Ko%iaVch|yTA3JLcg#bk*m=3vMZ|rsQ=|p4!M*6Pkbtov zZ?hY>dsD6-=ee5sfK5Lxwy25NhK4uGhz55n<-#=jb{{$c_F~3CWUYh49^yiB!QZ#} z2ULI47@X$T20HO=e_K{o8W2Fx1GTa;^mLXP_cZ5lohAQb*HjN6DEr$2B71OxrIZCK z3bERq=z@>-X%X*dnVPA*#|loQszYo;-e*N=W{B2oVgO^|wSHrDii2}K&6FAK zdoFfd&$o<0#}8eNp6xBPWX-FL z?)xIzo5Nw&6u)j0pDoAmc{C-nDoI37Nf-TOP=JrIhvHU`hi z*Fr0^*@0DAaxVTJ=*n7M@pmBu4e!Onz6w|Fib4A6rFwLAUDImqapmeKe$v%XywKIpQjx1W`^SHdGTRt~lM9WZ zbS<9q%tkn8PsgVPL6L4HVi?A41sMX3!W(ydF7B%9c>$waz!sUy?0OySFHp=1g_*c9r!4z(NtH#f$l z{cTfG0g$|&!k7E92%b|{y7f>`E$%kd?rV(g03}GWLp_Z(*~Erh>DI$s!O(|3@{-T@KeEv=J~Crh z@&ERt9_sU3ZDa7*L=RbpJ~7OfbWXP(jQnWH=^nB?CkyHC4^)IKSxC?1MZ;CO zkRDp&J!Due-MXyS8^Gij1yn17q8MuOa6G3ms^>a=IBsc7dPsAb6%kV-!iRoxMEEcW zM}&XZMua_nIALRy+142B(t}?#*dGL+n$fdl@LO!j;}RMCmSp4u0P%NeMLqx!e;4HV z0!Yk@hQg)_GA;6hDxuCp=ZXBFVt!cwKQdBS<`RBifR0qrnDSS>7+Rq8DS!WECj48g>(5YBRo^75^N zI1Wwt)u&TyXpz$dMMxMOJ#PR>;(yd37i7Ch5 zuRVBBS1|8;Uv?=o<-*Y{Z5o5NS)1=9kVn|^ea2d!iMyf@zmz!=zJ8w4SE_j=$1q5R zNiFt%o`-F=fU!%N?Os3bUveq4qM(^_Df3w&-Fjr?-~%YiFI3X4`5fgocq#L~n~Zjq z+5JB3i=tgc{KGkZ3`k$83yr#ya^**VfF$k&AP(@o^93JHnQ_-&S zm6be``KO7KHxX@Kw&VAyxC<#x);c)sAuih|e{jNuQ1cyQaNkte1P~X;j`|8B5~WyXOO6S~ZBmZN}g-oy5@-rsH78y@5_*%w2d50m@+nk16wsp}tT(4$bhH z2|Y1aOC>MedK@v8dNN4yEyS^f2y^Hv9~&N1))-uEO7TK<)XE($AgKR{s{B=Xeq2CY z`Mf5Ge7?Yks~5sZo?t(3qliabTrv>_y7!_C=i(iV!5{gxf$Bn=J{^}2Kl5@)9q-F$ zUebkEdGg`#8Wc{qp5XGMFaD|gWpREbMim0W3YXYNc`DMFw81PV-MY#nmWE4I$gjw# zl$dhq0$X><`a5a-kldHuNq@tde-+ex&lo(!t2rq7xyn*sr>UD@q*670C;dYw-a&t4 z^UF2bqjFdB@53?-w*-veNw2JiSnW=F+vj)0qkJwH`JtnkY9z)tap~3{*~B*3Y0r&G3NaT zRfI@;8a_w(AOkETg0UfM)V3#WCElNCYNmMqK3e3*gf{H`>e}Rc9`Y8yD9F8`ak9Zt zc!wX1coP~&;^x|F4Ec}B@+qOX`NN91d9Kea{&6557Rs1>lFttd`4@A#nnSe80>&Kd zZr*lu7~YCzQYSRzsaIp-^m~PL>q+4L52t@?M-NZVb>Qo{a9W*g&}rlL?nj_;M|9+b z(?8CuuOh=ppIC_J`A@ufq+OR^OwzQN)Bh;LhrEFCJU_7zVzoR!^5dOvmWKF=rk`{wo}c3-C#Q2#@Sc-X!H>qQgBNbaPaAeJ1~Kl)TY%_#+O5h*o-#_El#`D< zWsE)^)Z3n(kAGsxN1pdOjQvk5d@Dlpl;igSMA0g2t_m1VPWWyV!OKOMl6%|2s1{)| zIJ}ihxBetls0V7kCi!WFW!0#*+KGa!E-P2VO?9%tAg2%iVH-;CYz*$0Y0T`OI`WyS zWcJU>@mH-sFT`K9{vyv$Sos$V^565Y%@Ht`*~8xb=AH6(>t|)nl*>xKU;cSPV-n;y z3+dKh@n+QlVK z+4A|VMEmEae10nt{YAjfZ&5NH(KpI0B%qGy-zsp#j4%iCoNxOcS$+>e{YMm`4Vf!; zZPyI`Pn6a2t6wxhKupEB;3VBG*l^&ixVvYiB6oNC#ymc<-NhJ0uWd-~laaEoyZQp; zm%e<*F3J7NoIEgM_91IC@_n&1#jilU>O*V1DPGT{TTiwG)J?J0Nw@wo6a?nbAwDYl zWlqaUa=&J=3XO^5ujbONzfzcT{4_V|_-Tyu89^-0XZVrhoBVOiM$ovcF}P9>U{SCB zwIeqL66ar4<$13-{wr6W7>MI1DY;g>>sFHZkS+8ACXJhC|2gnB%9Lk>iuw_Spr6vq*5WG2*8d zWHsF z0-aKp!ZKQNmk=~sMPuUl%jI#LK~bMkGw3~pyw@j2_v48L@z8L{E)r3!2##;zG&oxE60x1OF0vD%z9ai@(hk~!-P zOEYCSdoh!4Ju^dWgTvV=K{CxxMN;GUpJUNJIf$a&^_vXutlHsoVmLc3kmYs~?bCg= zpGKICEzkwzW}$-stBl?f{A>V6Ja>xUKv!bF{+1ZV80-wnnN7t z5LYM@6UU=FknH8`%x`+(LXFE@{`3ftwP=C0_7D#RrY_u(*WjJTV4quS0&xse0ry@A zuguOa$leR*`fP&V)C}6%Th6(a=TQnF{sB)8y2;H^W+nskmVh#bDAmr;4fv?NNj`s;8I`Tp~=NL+ebY# zxfCb=`*OYQ`L3g(dXzD^&<@)t8U=n6$c_`z2Dn5}?{Vn{Eb?Uk32Bkvy4-&PdL$_% zu+LWDX&_+iqGr_|%f2o>{%lh-sgrwJP_M?s`#)#Wt-p1NZP0tqPF}ex?|J1~Ohujl zq-}d{gq|*Au#gH}#m7*R)xSaY&v>jx582W;54~vqpNZ-}qUbTg9CN6%STp#)Vmcx7 z8!ZR!)yPD6n7Izx1Q?=81{7a z80ojw2m_aUm!w;9^N2rsED6D<;b(Xn6Mvt|r(4gni79_C4wC*}%nnX}FLEP)m*2b7 zp(r!P7~G{$>(+8zdOkX`M-L&8ZpCdnwm8xLo>x}OR>G%(=i>3%CXf_=FUWJ8L!r38 z7Z#wRAYkY(c1@w$2y^H!mz11W)|m2Fy;C~3qA~H8qum90V#?o(YIh;`+eW(OFbpy{ z`5yz?VTbQ+qx4v;JkuQ(;u60LV91lE>T59P!d!f!USn}P7nFo|(NoSR%CLr*ic&Nf z^&c|zN76+v%xR`{$4VvLy2c{5f$oUCWO9um#I7+F6+-SP9fi=C)_fiGj57v*r9&7! z>|5i-ZzO-4k>{F{vKIvLBt=eOUm6+|OH$rCuJHuaK^t%}kYpmvVbGY67X%tZ8%po| zNzaIT2&qKcbPl?NjW1 zA`VV!?mlL*YVwr3cu~{v?G$zgDuj_;R*UScj>!{HW_M%oRHw0X7ESIBq`kbP9A0xd z^zss0uDK+c(e2fqV$uR0!nHn6Q(|#`G?#8IngZ$;;8ocr#3OY6V7sCK>nwWl?M|JS1WCo|o8X^xmgH5WYyQA1Ea zvhIHmLG7Q+*$SoiGzNc@7=pYYzWn+!OBUM8Rl?;N?)SusV?z*^UweUov8#kBcQ0Cx z$0i8KWtL{j5cG(hZoNE1Y=c8ke>EAnMb$7-7u5pS_4HSN0zG>fgFn}Si)PKstK5!C zC+g)cM^DxEFzG^{n^Wmg_fk?(S1nce!j$I4g8Hxno2vrqpmHd^+>J1YN%=>Y$~7j5 z`jCfkMVO33Us&nZQiXCVgibj*4y_L`4mr8lI23!)vha<~4@2R-jlpx=Fk_>!cK{Es zn&~P8(yaqF_uA5qmz?<6dqsf{@gNp+Rb31Wlm*nTKIl_XggJDTV{Zv(l9|z9wD0p9 zZ$?o6)zX&F^sXonS3b{AjJ?>(s{o%DAQbD&FxXhMq#tE%dfC(|C~RYaTdr|bx-tka z5+IOny)w@o#k9{?!r1ewI@nj3piqO1N>}-q`SY|X7HnP~Tp0+cTi_1?)kc`Z7T~j; zD+!^nsK<3n8BUrSlVIPJNw;3*6H|`&W&Ur;J$cHAXHaH5k~`Dr?=>0jizddUTd(%G zFPirEYK!}#X@9TEabGm;?=@BKi$+so{@!AzTd&CosQtYyPqh)|(BIp0z$}ePa^G2n zZ#j*LzjsxjuS!h$yD-z3+}z?Efu2{61^U2z6zIcxnr=nm3C7?KeTu!%MXA7tu=TviTCBhs!&ME0yoW%qE z02|HKm^glqnQpz_B&HnSFVU;hE&EmA_34)@wOWg)jL5c$J=glVve`ngeJPDK$~I_XXaiPwuW~6#Y`G%MNkwg$Dv$f z5^*?=Dl{gJ-N62)9v8x80N1Sd&fc z>uwrNBN#5kXXTS)1imJ~W{ZVbSDBlOy2@4;?YcEePc#M>7s9U69A1dG-Gdf+B?yPS zwmV#I)X17*9RLq=Ats>e0OG@N_qdA`8va8xx?0=y)O`Kg;! zaF9wad8%*Bs$H$&ZB@EuCLH|VudNnLZsH@1Nyeb7uh#Svp0+#D*FT4=>IqNVZNA(v zzHF+zM>Bt;5TWeg3ItkiPsmn&D1Iz}U6hNvAIwqi!FeQ8THN`&^XSa@gE=K7=3TW~4EKE_~P_k4YQ({@h~Tl>~_ zyBAyg)^;m+oa@>2>9+eU?!!sTVxh-sH>eO$k9}C}21OC(z%9=1P^Ymd(3|{XcH&M< z0=<>V8d*REI+shP^u9UzoR9l#MJavIMF)I}!jrKAtlBX8xA|_|XCLE~@5vyII?)1G zIC}KI*N?Zr{SKe<(WZtL@Ld7pE#PM&%wY@Q0Wg$nOfrV8a<8v3TzkXn*~xT7gwrnYr`}M{pEtqwzakhieeOmzxP)(ru^mAaGPJ%m<0M;LAvdM0x{+9 zv}*nR*G$Csf8T#?`qK8ZQF@9o_*5x%*^;ho70!_p)eh=MWrxqG>m%p2Z+?`R&UH(X3l)ER5+;kxn zPBjL9>NLjkK`Zu}x$O_g&o^ux%Uj^>UZBMHbr?;y>I!P=@=m(9T#a@d~=_K}*0e zme@EN4*3!0Fn6)DO4}`_#w3+B|%}{t%3ey;h&G z>c{^^nQ7R`A`|+H2;S!~f)BaIz&4f`2V*_C|C0Rtqr*%_$(T~wPcDkOR{-YysPUfHk?7if6B;-b3s%-zGLs&94lQLlLRp^gXtMx0|Cfncm z8N{X=gR69Ersc;gVI#U)D|UmOg5*iJJ#6!V4la5;QjeJ2o>+(OPYC3QZp(>pz&J8T>y= z!4fYu*89X%3Qiv4CU~DR9N;7|2`AzDmDI_{8Yq*)8&IopV0jD!#G}6U@=-6mL2{Un zk6Q6tqV>7>Ezx5tk7-J5V8Nrvw#PC8>K5Q+_ox?P4hI&t%A=OX)WE_|z1r62G$xY- zv;DCuG3D>1!vAex`RdQQwnLeHjlm;z|BIsfxFZXKC903P@`6*MTCtIq1u-FtDwg=R zJ?;poeZuR@w#VEE(^37(uFLNwsQ>Ds`t_6dx=Azme-zaLGnHsSnv7(x77FJkh8pHcUAwF+BVaDf;N|_&U=y~JuN_^gUGS3~)X;Un`oHw2b z+ebGA=Zz;!5d`Kiyu0P#P+4OtyaSv!9O%OUBUEn^2Y<<%dD^W`jcl=3=thFifX^WeciDgmL>FQHC0pqSd z8AO-^SCv~&5>oMms|@R7=Dg4LdRw94KT)ttO3%R+U!mqS8yOb++?iev!p~&lcQVhQ!*YP;V480GbA^K&^hkUqM%yDsPx%7I zhUEDZ7GEMm@-rFDlsg%Y;m=exCc`vG!9Q1sZE%?0D{*l<^aaAVd$#%x^vpE|ck)A5 zqkjCf7h4J6_KX`_``-2}`tko`?LEMxDDwC5fo?H?Iv7x37hBj~GYkd&_U zh}a-v0C7x!DA;Dqqj;XWp4;lIS#QpC>Ur8`&wyjbgl!sB45MNgO#k;&)t~B`US^ly z_xaEB!0f!;RrS7~P*q)>%#bK(!CUZQcwd6-QC#Paq6%{(@0~XKXgDYS)a3DrsbMqY zP1Hm*4Qeyt+IJk}lD!qZ)-dXwove!D)p~9JB+87#(*n77R#CjzqL&MB6u(o9 zV%jAazLb;GKG}D@i@f_9xURXaKQu44B*>a6vfn8x%%y~-iQY>&##9uaa?Y7Q5Xc`T zl`czddRM?g>WET%sl(7giGgSsG)Du`?hl`|5T(W&)lq&NyK=_&Zm2u-U5IPRfwN0e z7w7fC_dP`UfHbw9aPPaJ1X(J%_gy7R_DwYOEY92z zx^pqvNky}IJOO|^shU}Rudcg1fx?Fx)!p4lbJWY2O&_GS73ik-eYxf=HNT&cYtAyW z-^tMPr&j}`cOH)TRK4>qdFAXAo;hp<3Lge%^RT4i`omJR*p3~{rVm|`!_NM|6N`({ z^23rM9j0S9T`HFTnm#N^Fp`S5YfwcB!(Vbq?*or9Jcde^6bToa zhp%Kr8j*u$+(j8{9+6g>XPEZQC_KTaj`Whw4pTIrmvqghkMi{T57zt<^1M0ELoo(` zwuTBjJ49lvaEVjwY%!M)2?NL$Xttr5M#9>T0o9^^#3PZw# z@Eum->>=drAsJ2hP^5U2*?phc|6>$B98q3L3jYL=EY7T82eawpKzH`XS?O#U5&2C!-g1E4_p7?m;J(CmK~u&1$caZu-oP`Y!B%$}3U7=89hk?z=s9^e4W& zflKQB9B%IsQC1TX>cc)mQO(hG8yBio7`CEPX#3P-OzOq0T}_|(jLEF-nKzq0cL)=D zhi2M$XSfy~FQO?aN7o#*kFLx=1ci@)a3>P3#^le7@;YcaxBjf8T?gG%M!c^_&1%kU zTP+JRMG3MqX(jZtlEPdO;ESx|Zk=us$R7%OsHCq&)|S`rQ05KvtrD7U%0$zI3Ax*5 z+q)NX<&{<1(!>yNok1uw$*7LtFPuXTX+J2@&klx^Cg4z6xPNc&5}|xH!eLO-2*0xp=Sb zPrn3(CmYqBctBDv{>qc-DnoY}(zRDfw$8neNYJl532H7raN-Q=?bWBo#XWmX-bii1 zA2lxCZt8yeHnCAErL!W-MEgSN;B@;K9*EEtQ_6vP<=Hh3&c1>grx;aCI1?T5Hx^wM zC*5E+eO;t0IoY#cJKCLCP0L(*jTU?MTO{bldE%*g7FWGBePc;5@@xUuZK7I*x#Zce zGQb?hC~)X4UC@TD(il=NEtG#tko4@JdY&B!T55Wwd3N2cciaqxkAiSF60SV^OtcK1TT!pIMM-nnr2kD50jIOAB%EYK`g<#B#RU zA-5@UE^CVnT;ZVWdJ2L1l(UkB^}$1q*%Y-NV^nu6#(L$Tv)rO}!7_Nca>sBtI>(28^D4fIy@FV((E<|KbxYyl3atiX5C~_Gm74 z=Dkz<@BThYA7@mDhVVDJvtz&x`fsBo}CCtyVRK^cZNw)QAsDh-VU(t0ALF-F!A6??9 z#p$2`RpccY#VI|q4%I5mr8uRd@Lwy8$-Xp${eB7=lYJ>3a?td9jxgD|WRimj9#2bg zYJpZHyyk(mlU|>H0}9VDs@t(ERZ9MmrMG#q@ZTYBaD|2cp3<*@_%lQA_LR)j>~OGW zHvN&6AWPjAvVIR0=28bkr+|JZNaUhQNq6*d{RA5Q7pLTQj@k5QhG3z&e>SNZFCfiB z^QJka89%bh+1u{YG!=zUFshAC(%E>%D_-run*U16_cP0G=ucli;q^yAuNR1%ZR6kp zZyF~~nzPr1+P^#rMi#&;pMj+n=8^?yw)Lmam~b{;Q4i|{j7ev=x+WSTObXAdTiUL1 z(c3Qd#Vvn$scNaS8(T-Dr`?QdX2R{6$OO>{0d^JfYGirKpWVd~uWXn3YvP&<9<=6K(BIWA5U zm_7OxmD_99Cem-7xE(4y(Wq|g$Gz4QE+z_g3jLHin`?I|YHJg=k)zWl#3gnu-b_w~ zB*?*ysRW8D%oXvx$l7=8Sx*zl9|~j@yoY*bJzbqvm3jcuOxhgc4+xJEYM4ItpWzTRF$c z;}zP1PmRj63MV`$VflZo68)iV280TgebUKXj@HlnfT-%fE(=sHAA42q-za>NQ620h za@p>N>{Tm^_G-SivS_cC(N-31GpnsE+TAL2+b?m7O+hP*s8E7z3al)mT7|ik%a|^q za>iszU}X_aFeY>PgAD4c5GI9}+8@v!Rf?uiM-`RcaeHpJAvvF{u6l>iMAKAA zsO%dLPfwx8Y8~ntgYR)Mg-*d6mQs;Ssss+fQS4KKRO>#$E?r0V z!OOUWwdGd2O&Cqlln$4?_RjBlbC0|z82#4;$=;)ProF9k9f({!*aJq7CIvIy`PZP_ zsYZ2U?j*63>@e0q7tuEprINjRNt>YBYv$>pF4STiKeQZXuThjB#}5wtQB+}U>oXo6 z`y+w;sj>C21+H31`Y_NP+4F#lk|3jwGlm3INP=3I-(~EWFJza$R*pByE*~eT?Cx2@>UQ}X z=90aGIL<$Mktf{)33Be>i6wSd;Q{grRfTGh=WEe!!c(f>-{AGZ?G{T! z$ACASQ;SqUxN-eTvGGe=o8ds5BAz=xdI|J_sGbT z$x`z=E-fGsi#Uc0I5}*u?MaX|W2q7qDa?iDw*Dj`GMF~jZF5Z{<&*lvp^Fs;dmWcH z2+bSh+xt0P!i;VM-OuaCezq4)&7trdquNl6H1qV`UKg3VmL=($_PXc?H_J)qpv@A! zKVDEI85Mbzue3lqPqSa*6kC8Esf!9F7+IigvyiG)mmiP#7OOIi>9#u#%n##6e)eaR!7|n{&=V74PtZAcz#Iq^v4Lsf``n8Go zgj73Wb^EoRl{)HsW;;_qJskBBsy+h`uXf^Wmu}qv`5oe(8Jb9=6AI}WORT<^qxaw& zS#(;1IBM!Yg8B{866A?Io}q+_A}m(lWbM4J?A3cYyius9vm5qC7GZVOBTx8ornQGL z=}c$pw|RT-2T=8yxXRm2s^74rAI5A@F(%%VWAz&&D%G-ty>Unf@x)P6kHZ*y!;%Ce z^%L)b88?V_)W)U8*%?$bHu)(+j{{j zoQGLS5NnpRl3qn);@x@f>(D{Ab#=I}+bFNyS8ex3lHXM%W^wN}@ovksdqp58d6yF6 zJtKFNRiT;+oSJ70!A6P~Sx0Pr!eIpRNA>R$?{ZAENVv%Mb?RmNTBXiBzUIK$7owW^ z7}3L6y^ME^@e}V<+1|&;oF4TdMd7x-o}TRuF5SIKd}5DJywe5A2;_Jlnm6q}zT8ps z__j^^zbdo6ug4qZECx5R+6^vYbv?dTx=y^Wo$E~fw!b!ifvV5KCD!fK_eHkHeci@6 zU45gYtM7+wA6y}hv3fc+Y4=S_P*=ZkF2dq$-`VUoS*mYzc%#gAEbrL;EW+xl?@>>E z_e^K%`+t_D$oDYVwafN~5}j_9#37_Y*Qc`YH%aO0Hwoz(W%P;Fx8X#p-B6NXq`u99 zEBi)f(tN+;o@?O2A2(y;CQ&U>wiocIGJBJd!20U5b$s6)kt%w>r(Cq`C=j zz#C=cZF#KUmyrW{;n+1%m z=F;xy_$RHm5go0=HkLSlIs#|M^KTn3lZi|XIs}xO$HbbPu1B9K2S5f=ZV1d}cfx#^$;-jSr z&L^P?2}WsBpaEht_E_9S9{T1E=gBm|2_sQU)JaUd-KW|KtD7c9;)INm?d-^X!lIL2 zM~&wh)y=&qA+S;*MK|Xlg*ughgyH0{nJ#St|YVFflYLd*UV_MoC`vAn08Z<2oh;J9s-tyGou~Fyhes{mv6TaCzokw z`Va~`%8e%-*stA2c{p=xh|VuV1;hlWYtnA^nGbd%2> z+}hGTh+`f+dx9F)Ja}skvlhXIc*F77hGUdzCm(m1^x)Q(EWsXpv|`%Z5LDNL-Tx}3 z>fO+GT>mv6M$rqgCaK2d=!Q0XEBLB4jBaSNx5?49+0hMc_CO@yEdhIO5LCA1i38Y1 zH?&Q>6(PG-F#_ccZEXWQkj+Ufx}lA34?=YjCYEiwF8df(7?dGQ_#~BYzv?%+60Q18 zE~>~o{PnRPqRaxkB9|jittAZd>FGQid0Xev2n_s^OPSTb(skK8E@#~~Vb^7g9oCld zx-NUyLaorI4yj)R=Rv*%WtCl*(J|N7d4;*)zU(cZDrbyB0$2t%z+Y6MYy^#$OgrNd zMtZydRjgV6>;7k_F?jrvqrOJzi;U_e?Rt?+8hW5y_9BB_JqEXR(2=^-u!=#*&4W!^ zf?5oY8MXOMG6n}nB{&9Yk$hW+3e@XGy8oU{y3iQ(wJ%&~4EhG~87oPp3)gBl~P!_`@do74lE`k4L z|1d0j+63B>KZL5zW9{`uE#?jM9XswlM9+2>VZw2LWRgdOX7?3E!|eWhM)j3u_doJV z?y%$M(R%SExEwWz`VO_qxjp)ar54NGE>Ei*_~lZ>Zi{*1=J-Wjm}%o$n!>$B)Dw%x zT~S4BAWYDeJtr+_Y?oJFTa>vJZ#8DmDycgJ+Sx682XrnUdMtJOoPIK7h>sWusBz_IoC*V@9U_qZ z{9zhcR_-XP5~r)}?Q@JN_l}vm+RFs;hovH-a;81RCtOHfk&EUw@M;EOxzv|uZM(0i zM95Pv62@7{AwX`-8rPwk%ka{kV&rJ_CUTG%lA%-Za#~{AJ9_j!He=977P%IuS@4hs zPTpZi*Ld+`C&WCT?BWNtw&4uBJtTvs$nEkRF1>vX)kc`87?(l9W>Vo#a}oYxL1bu&F%=VM ze!aFyAb&U}jFuD04tc^wO#Iw#dL%B%HoO0d^i+%dhh$aC_quPtf1>aeMs=T3q?s@8 zv6bKWagYX2xpjhm<7}F)YtI|iap_1Zc9%gvJOxvF9M~hW zdSLIIq1Ak9SdB{9xdJwX35F_Q(?1VCO9t$SEN_%^TUxZ=IYU_8fc>ddJJ9~rsicva zVOyfcD~;;(TsukVh9Anaq@8_T(k>pY(-WCk61}h19v(_i6vH-5_EsOgmwdpCy|d37 zr6gL8-^C-WzNCDuq#sM2d8zl%GoC|@R~gk^Q%Om~FwU%(V@bPIbV<9G=p+SwVo7c3 zqG=B+N>G#JUcXj%>7`vNyirP`vlF|P2&*e;c{(Af*qNmLZr-{9H7+!&hjPE70uKXi zTQ{F2IgT!AH;c}+5E)HUw~T3z2qdUU>SIkEC?z=#ZxoW~!MOHr7GZTIeU}KlA2OXu zn&WQVh8nNNt3TU$X_vHkp=30}+byq4+C4|-(1?sCY4tSXC@n!v(jKQCC+`Qgcgyoe zDTz*=?Vcm7uB0DwwO;xm-I=73>m2kkYW$l~-LGBuu&YaJEbOJ-ky-J$H!`609+cE1 zO}qn=c6BAFN!oef2J($&_U<8Xlz~U5yG90t)s<991m1U@2HuqBjqgK^*BI5y!(=XD z;HO2T$V;Qp$HkKNNYm06ePS<7yu&kXrzk;9(&`V-z}~|%radad8>J*#gW4laSY1iq z7HhrqeWzX;c=A|u|Ea$l)oX&Jq}|bp=cxA&Xs)sc`nXuqo-RE%l*q)AT5=`C5n%u| zNfX^Y7fMNcc)U?cdfqqfJzc`;OG@O@SGmpt@8lOwxEnQIi&x$FNl7EKM$1Vm@b)a} zlJ+XngHef$Ch4Ri;wURYP15{ZyKN;U?OEcDQql`>>t02|>Pq@L>7`6(l6I>ccs**o z&Zy34*FB8#jh2%w_R?rem$Y|^o&zfh@-kA($%vy-z63Q%M}>19l#)hUyirQR17K}B zZ0kr;MFk!f%gyfJLQ==8=Er`u?N+Gqdb}r)JMoAiLak!7oD$7%(R5CGgNMCORu{N$ zhTio-)Wjr;CLbu8qbejAO_B>AR;Z!~qlku&F&K~gbHp}M^gdbMC`HrJ<-Qrh>iY4U z*pEW=SC!60A241El@L?64s6uL6*26Qo*C?A#R zeG$B;1}u7?Ko`AVNf$k~Nai7Gnx{|8z)8LYbp3g&t61|^mw4CO%=rNuydVlym?q#z%G7nMHM9)f_ z_I|DebMKnTsB8oUD4%4cz>Sm%+vd3j@kk>-e^?M@sgh2 z-!fWec`SOYql-QutBXD`L*^lBy6B2&?{7&^7d_UAuvqk_=WN(tiasFA8>MKn+<_Uw z>WW^PtM&93#m+=;vHZ9xsIk?k;* zphn-Q9^Wp!4@6GScG=Sh)a3NRH936JyeCDEi%b_S)V7f2#^B;@qm zkf>>*&&-(i;jRRA(G$D~i$x!>(;53oPal!zjZ!pOZc>i0x}Fa6wVqzmsi%kT(t8PN zycOp({iLUlKu(|Ov*?L6IelbJPP@qIGXkQfiJq4>?ISD+>Y^t)5f+PH`|Z@*QuL8o z-Y7+r<=hNmbwz)a5dBf5v+y4H;;Db3#@jGtWs{;OVVuVOH_ct1JK8>MKn+~f*jbwz)euJ!asoqBreH3!hb z!|hmtZx`OKFHXcnqK^#p$;p(OoIVQU^mau_m&fVChv4h_64XT>8AMp@>0zf%HKgb% zCEh4R3(FlPYOXIjr$jHo4U^s9&vf>vy}|eGOFhsXSb1s}-cyj%^E}}YOs*z-+PiM; zsmSRfOiI*D`)K6!d{@$C(Jk{TradJBHLsrf`uJ_cyKYgVEFsVEpuW&Vy^Kju&o5E! zgw+-Oeo2YOBD~rCgLG$01Z&^0*|VtePNO=LCnsuAbgCsEqbL`0j&krIoGilX+x%n?sGl2sr*Ihc^mI^u~63T9&Z7l0GQbO8O0!?)U`5h7Ya( zDQdjSs2-V)GFD023nJ+BsgyJg!5d3D27}dE4w2C$(FxPNB2bfL-PiE2lr$|s0g64_SpfgE(g}MHy@t;O@U@=vg`Tv7Q7qNt?es_6I3x25l2jDJ7kY0y9Fw>Pq_9s`b*xZfBC_ zKb}4xH5QHPF1e(n<4WR+^C<96Kpz+9(#(v}a;}e(nj~?J`Eex)YLYftGJRJm>4YqA zl#J(N1T>_3W9)UWyv;GpbYAk4p53X`|&lPw<7z69Rp>#yY+x8cX0U z=L>4F=$7*;(2yp+HIuNdd(6dovvo^UBX9i3V$ml#j7ibwW51KJwFA*hT;*vYdI>1g zAN4&wWB1X|pvL?0(tt`7yh?PgWVBoWvq?{%SkXmeSzHs1_2QNb1vO3d1w}ZiM0{&R zcfEM_%Tn}-72X(&K7}ArK#IOFZ`yLXwXUZ>@M}eX5OyYdmtWVQjC}xe6dwJQ=#$ZR zE=aNHlQ834r^1)XV1-x{jiu9;1)-$N;oY(z2PfqvC@MjBh4uXd7jHX5iax2x8)MPD zw8{%87iCTRG@tgXD|&Gvr$2z7I?m~N4{iMbYJ3oH?#(AXeQMTdxhP=KrywXv^fdwH z^ej&ojRm!qi+$9i<#fx%8Ph&BD?v@PbLjD1r07#}ypifeeaLBEWn|Hpq)l6{N7WVm zo>lAV_u!|F!+Wb00~*ovLq>HIFDZH!W;SB z)3|su5P@=i@4fyCZ$<={CBp?~E+*t?9k@ZXPvHik_R}jj`x? z9%DkZxL^2|Jr_&3)!*12sNsR8Pr9qSZuy9&)Lrj3ug)B+yaho%YZ zil41-he^5O6^lmeo4%53_Lz`Ua=R?ve_s@S4A&;|@QbjMa?ggqTLQ`3w9iJ5^r0uN zF@!0+yu3yi6!Gh99vd&hrQp!rw^b>>F-pIeSvBUtg4d98Y9ym$T=k^(B<^T|H+nK+Z09s9`N< z%SF>ZFD*eWXHS`X%21iJ=exX7<}BR|ae+fv-EQcOc)$_e&}(65lICAOX(QD51lAk0 zq$L}IT}59)xd4&+&%7M{O#4C%MjsOwT@o#!oL7;cCaKrdUcIHH3yQo^O8N*kxG+yx zT}iJby!49SnWS;!rvHH&1EV^v68A$=()^OXgn}8eCTT%vEG+v(Ciaq8LYZHZpeAX{ zYd2q8N?JhMP$HTZEL@7rTo4jgSJJC)?O^e$)0w1(HBP1*44yQq7xDXI(b7z>wrL`IWDk1aXBy`;gHyD$e078hk@2_>mw+81XCt1GGG*GejRok<$H zV&6+p<5Na;KrZQ}3oU&Kc7g`e3ywtG9pyg81#XfJOz(Wls z)4s$btgfU*iNIUrb|z_`A>Cd@jZfo^XWTI=FD=OFODLBhv*KL3v}7#&(jhXjB(a3D zASXdh(w>)Za*LF7Nrg8`NnawDE-evOSJEqSSAQM#=Px4g#2+Jkqjg^kqu>F)>gKH* zhs{G}&lu&A>BvDiyu99_p5dZ^)`aoPqhFUf^t^ZO^e>0=Faa1um)M*36)^T!7XFml zs5pv(>P3MBWhJN}M-?&l682UJY^a*HrCB`ZW_d8(8?q7#2P9=3&&S2~i^$J&Wj zc%OwNKPl<5BCQFtq)T00(iNdm7#Fald|)hGCKw2JNlCOAd|8oD>I%p%QqrX^W052e z^h3g8Ny{KT9}p%b`D#EGl3w;Y@Y3o-&e#_PpEJt1NLHO@mR`CdM{B|?>GHfT=}I4x zvMlK;B-OHz7-*7cG587$9Y*9b@6w0Wr`d(PHqG842oM%4ZpqUK-<= z_ClAiSkgBc)4tjvOh|e$DG7$In@b~K*!~F=e8DIm+s;c@RcK9^C0&))CH>8UB$Z3o zAeX-JiGe1G7K5*QC1Zti~v3cqNS(Z9)j zQg<9(lhdCh`8VX#cOkVD&(+11QrF}pDDs1PgGJVcg#px;st=(rxhO~*hdj0I_i79N zAQZC|xpAXD8M&Ak?LnxV&3>1IL-Pa+rBAy`sR+YogASZ`RIh$lq2NnK`7|rpYg~c| zyc30`^R7id5vSO79-OCojq6=w;dd_T0oTa)cui3TBWqfMy7R8}8I#W22adYVBP@2_ zcO}!l-X%;(dPc=#>vImqrROaCqd!(QT#dY@H%(+;hNNQbIN1?iM@a?4MKPB(3e&UH zig?VT=)Vg;3nC9gLzSqukUkc3O%wjwm{z zQ8+FyTSmViVrL0j))}_-zFVT;YesoeI%%C7@-zl8 zof`wtv8WZzS=6iF5OGv=V6htm+ELJX%1cVVFEz0SBC1z!gpKS}2wIqJWePOEPe zj*SeVtn+OJmdH`l)OX3uIw~~nR-Z8Gte}=o;CDdhtQ%9Oq2L=v`N&L?&W%~>k(iF} zfsRA1Xx5=V=Ekf96`h=E`yOG^S$={}N7k8oao-bB@J-MOl5|=#^pp;!bCYWnCMT>j z@m8`#hMJD8Gbv-*H@SofI!`3%Jn3~{ozds?A?v(ll-+ESj-RG$aG1``4(K@4N^G4L zx|qgKOOUN|1VZ^{hcH3s@vzo9Poz4abHZ~I#-QNapwq73@he8*izp;a`xeV6xFu>O zrc+p&2c3!p6`d^YOqiha-$d*@>~uiq@cD;yL&0~9^5k67IyaS!!oSqmR!D)4ORZ>h z{sl|i6meua6XC!D?H5@mRcoDxJF?D*r;ff51s5CTDXAo#n~RuUDC-nLqi|$o2+pj+ zx3JF5MQS>ZoryWn2?-O{c`V_q$E*&lbLyG7VJP^nQJ&=_>D-d1`^GtT+5*t=sFm0{ z;%4t#@)A_mNtt$AK$vuvUu&KJbmXklmQ2Z_;Cn`Sj+dlUK)+LQn9i*}=%lHYn9jmV z5thhFkm(!_i{0uICg~)c^_bg%b+$>3ItT^dH_F4?^*e3ocfPln&TXDiINYLEG&~@zhLFdt+)>;4U$U5sjI=(jweu#s%bdt_(Y0wGTI(Imr<4`La zogcHXL|TH14r1pHv7?~#Si(Awb!45Lf3_x}wk2>@(2frJof|7m=T6Hg99EJotIWF1 z0i8-MorB@PJ1xQlore?FdD!p3S!<0Ow=W8QWRy=1lXPw`84G_5n9f}(&~d31%{t37 za7@IJt#hzt+IP`@5goVIS^w_HIup;^{vs3%jq=b+lFl7PW8rdN(Fu*hp^+ijItzbF z!!bo_I_`H4DuPZ(n6S=6VS0q6 z9sw+ol_1mE$20AFJ;DT?`@LFc-S2i_onvks{tOC!W|X&W=d61&cnY$`bpGjr4mG0D zDf}Y?OJpR-boTa5`=2ghGIpF=IuH6C&^h?U6Q4!F&yDiDe9}7irj5e)DwKUU!C|wxve-_*|0CKTAeoxx>!7FJ%Stn*-k&I29A&T98gJ^}^5G|Ge1N$dP8Zxmk2vvuwdKqp77XwG`c zf@AU$RCHX^zCR#L(D|2N>#Toy9av}J*v+P+;8#X@dMZihzMN5bTg47k751V=bUJUR zVTp(%(-~PY?FW3?QPN4oPBH9&&cvCAzleg%jPk;E-QfLMW8u#(TjxQ~DC`*-f@5dl z&v4BBS!y~SBu09s{h&vfpi^{fty4^OKxfoW2Of-qUmN9X!lZQ`$k4-`n9f5k=vdT> za+Z7|(*qd^D(k>v54nU1I+&-fh9$z=9iw%J0IN*@gtP8U)md(`er~uE1-~)Mm$%ET z2h&Djp2Kwh?a;X%YDLj83Uhr}A}v9tL#N*U?GPsD+#5Sf(7DI$fX?vTy2qj5w?-K! zToSXv2P;P5%o5Xi*rE$xsTG}026QSCWIA+->%$gdg3dio!a5z%Nx!h=XcYX;C|}mj zSr3&!N5#$~I30AJhkbQArw4FMNrDj_aq;OR1W7t~C#-XKssrnoH}Cc%3RaBrOgCwr ze;19y8EQ88NJtlpQY)HuPS3(IMF}#U3yP-wNJyBpPFQQ5dx8$=^zYT>O%(hdi*eZ` zorm+F`)QC=JP6)^3CCGH>f=$fV@ey?H@@nba)seGCFWPTM6#T&`U)9b! zkK{ln&2;|b(*>5)ie{ZTX!?IK_^9U1k?o2rA&W@ZlbkEs0qTq6)JfoPT z^Ph}Sn5_m093SDLL~2E+1MB=JBSE&#B^A^DuS=Mqb9aKy-5t^C^4yw3QSc|De2Jf= z^H>^mEVj<$xai~^(TYw7eavHNYMOgxd12t=4q<}M9f{bv!|5QD_jq&7^-=I=qdYg$ zj!wlW%qlXSCoH-G4EyTV$>l+(BEiTy;>xclEW#umw>Ea}NOeGGm(c@uN5Nl=^3~}i zo&T0V$6-1_iry7Vt!UQCS#V5Af)Sm-~lwd?h+%gx0gbC~1?$^?}t)tj!I&=2tC|EVh=LShS zPvk+zWjap=Seg^9=yV*@ej-mzbL?Ozg!hvHVS>)BK`k9D%+w!3yO(yRb>$UCdHYKv1;kun(Bbgo;Q#0j)K1%3Fb2T7nTBacR}F4q?(+3G1{v9atwj$6Xr*|1`=6w5L-6oea}? z4*gF5$Phd^Eu4@BoeDLrLRs8d_MAnSpi@X#r{Hx!=k$Abvr+Ibqr68pX`N?EM&aau z={%pJOPi<_%{nuDIHn}Q$U5SZrsoNgbZ+r#W9OERoMpS4J|v`-4|I}ro-Kk-R?!LR zvLxBEvd)Z*X+K+(U_?h;j`Vy;n6!>lOXudW1M5tCX3bAPr;AbEE0v`4TplN5m33YS z=;a>Nie{Z@2<7MU5@hQT8HTf$m8H+1Bz&8HlO$!V9aMtM*rN$2^TQ8=c= z*7={0JKaPp8l9t%MbGD`X%#z#5eV=9`Gg5NH>Yap+-!AVozwE;hoLURC}+GRofop8 z<1!swHG>DjQ!5&sDS22TD?xVFZGmaO=n*F9_--v7-|2vkdHm5M(SQl-xJf$y%NT_z z7SnmjHQM@SWXmdcCLxsn7l9ES@lL>(Tt(+5tCr49sSfCDedCFTfX-@0d0;6?=fyM@ zm~u?#WrrS-lAyCs8kR^)Frp(K7x1z}n6OT3P)i3VG3$?6y)WKx5$JR?%Gq|I{9?r@ z>``PouUL5hN=U6}&e|Q;d9flvrt@*mv|q6Zlg?6f1%n5pk=2dz{<+9PxESLJ7Z+C8i&AtOA77dG3eMr2hw8`|ru|Y$e=hK%kWQ$8 z1n5RrbE9a9s*s?p1Qk?3H?J@^m6Fc|eyPORlcC6rMbpC`ZpDG@=)#yc&p?oA?Gun0CpJ ze);t4HBbDCUYPQ_OOIxhZxu1^Hyp7odSS|&mi%RlTHZ>@UjtmKwQr5c0k`Ph22jw@45|mXM;%VxyDQQ%( ze46^J*=Rqyg%4Mc;pWm=Kcu_K$C|t=bW;dXYiJ2U@Sdm`0W|m#mx{(8X`ht@##;fx zpar#Xuc_axblRzV_XPXyuu3Mf3c6O=YnI^rhKlr}X}=5`-4gKY0~S||ww@NXo^XCC zBSCil(+(9?n2Y@>C7j}3Ul7P2Lg5R!ar~WIH1G!cCRB#QwJxHtLYR>I_mIadl?Q_^ z@hJ~bg5?gnj0_<2V3U_mu~1(RqddlsgFwpt06wuXZoP!sP5b>ky+IkjT=C12FB_2I z@*2dGVThAye-IjlN`OMTDVi;8AWV>_Dp6fX)hf&-Y z(0q;4-q~L{Q24h{X|9nDUEr{@mt^!ALFm#o z4a6eW+;Sl_f8XLk@x8|Bf(q>n#E?EYQ#U!P*QZOgOpPb@u!Kg$}0Z!O7;XMN(8&!6Tb zD5c7m41G$FOly}?nBK1NiN%=m@l5kLI&Y*t%EyIop!u^bZ4jETfFtDj7VgB%9+NA= z$M~bDe7x5+M~*_fJ>g?F>Eq9RylF{E{XAn7{^PRL&jNa15BvC2^j1#<+;^2dm?%wD zG#@uX(C5AcBOe$3Qv#Mzm`lM;ukiUSU`+b>*_>&A8Zst*>_czaBTVSMyuFWGFQqOz z@5erF%_GXAPJ4WD&gvkzo>AVrloYYHJ`Ut018VwuceIP&IV*;jv+GYaof z+nT~QdM*8zc?q&>p9_Fl3Ueu@yOd1(3x_ck)B6uRa9slV6UX$sdDH$XN4SuRCf0~z z`r8y7d6^d<$+TWgnpdQNxcK8+-x%~qfIt4iK7Rz5-5%g8*UwK4`2+$sKzdt|Db$h7 zSMbDZ4j;)Z%Zj6p=t$=4O!UjAUhbQ;_!S+=d{d#vm-CU#we?=HT z{YYk6ma+Iq=Iab&Mo7+~qnmHi3UeqV>1pcUR2YkoWWFsajP?^{zbh)tVyu!^82*s^ zeV=1&HJ4~&+SYB+A-fPr`so`JQF$aI4t;*iFlL5C>b0V2FHbYp#Q`Rs6TiG7G5Gq5 zpnXnUnnE-@?-;FD$ANJ4+#_3Hs|~SsmQVHp6=cB!CC-WO(a+yWzg$5xkT<$glA899 zzI>p7%n*cM+m?c!)(6mvTE!xMq?o2es1C;co*;?2WFUH`*bf0?q7M+u?mzmBiO#QW zOV6~wbqN!RafRPr?gmj%%w8Y(sIuc7Htq>j}4jYp5J z=RWT{N58rJJ;zvBB_@!eM!6LT#ASg5BfW)3(^Rd(T=FG7x&9lEF{zhsF8|J9Oz2%$ z&EX(LU_$TZPCLDWu7q33zk{xd{X2Nv;9lUhkx@RJ`#kIt59KX6wp2Y>`&X(hnx;^GTQsBwdD(H3;rNfsGN8Y4F9u3 z+l0!Ctad6}7qC|^N-3|-ePMk%+S=GCPvF@~MB21}L1z<>WWQLtSAUMCa59R2D$)DQ zcnJSBM^iYWqIs1@vS0EN)V=ykw4)3cId}QF$ooaaUddv{ffNWkk+QqxM^3jM%#`U>YfVd*NTjO;Qd>g z-U2E)!h%%XXzS&IBw^$zQ3)#WsiFvr53-vM-7tFG^@3&MB;GYG>IPhfWLcEr0N)yF6w#+{cs_*#ww5rj%~)cI>DpI0T5xf%Ur73NaB8vWDufG< zpI=Y&dGPo%R+P}1<_)&pXbWiW3(cwIBjyzoh2hJjRsf><7cYC6GU{X1e3~VSe1COw*R-6Ph)GOy*NXgQhg8le$zKCp`ehc;%V63fCNa&c<;~{RtY{L`+zmZR zH8kWTrg`7-yStl zyuTXt@g^kU;45YP{%$R^UH*>Ew%<1}erJrE%s z&Gr9+r*ER9@nJN3g)e?ZX|g5``fywvrOBF6V#qXED@qKRCf$)3sHJs)OQ!FUHx{l4 zI8D~hnayj%&%MRAbuccipXD@JH)FI7!7rI6X|y*`1dx^{4?4&~6eaIyX#yFHnLas; z=JkIOB=%Ej0!a#UXg?gEH?Nsytg9Q9ZCDqnUJb+M`>3h($cv zpeOyI3JJ*se_T zuAhuu0j8T8<%22-&Gw%bE$gx7Zj|FbYwqc4$Arz@9iy<=Ar`Ub0xj76X-QC4Vl4a} zbh{BGgpv8glh&Gh;xCCQ%@dt*j}gcp^m@_hn|DekS|nU(KHW-cHhYYs1<%tRrP=JU zM@dbj_qnS1W;E8{C?DcSn)xWPdF@axUeno z;-RR*+{lZoAAd?e0{KIVl-#p=?2cvY_@m^uZ3ZH}eA*)9&TSvo@=1boQ60NjKRIVU zy6*3wJOFajNx2)Pb-C;M^3i&9v}QJMRG~9j)NVrVMrjE~a_RAT&FlIKW4Q{gNa&gWJO%o>Mp4>j7TTiEhqB*`McaEdHyLq>RZa{raM)_bb5z);X zqt|`glf2F5ja~GfCH!)QT+b*xS>Y`1jUM?opIB((aZ?DYkYFT!;_W_Fq%i!2)4nbw zc#J6*AG}lZb_DWAX%=bGTbNpCp6w+gI=X6QRuF5}4-F^$x#o9ZY9ou?q~<<(dS)wY z?qkVky-Ll!9lhu3i;?EXfY`;FTP{Vi^~p;x(%gbeuABQ<3Uf&_^<2Fj#+2q6Z*H@c zK>oya&zBMVJ>U#OOOa(rFqk9h94@>=)YJq?q+Om z%n&Rz&uXVRdY;b7q0(IQJe_r>j7Oi?WlN)+<5b4AVo$gfzm2|j+O4^tXB7Sr?Q6QI zuWIxOB}(Yx=xdR+=JM4~6uUzUlBlpe9h4m%|`l3k6$?|Bm(Xq(Jp zhnKcmPx3KN9US7n1ALXVy%x-z2|8Or7LQd7(ZN=m(QP0-69X1n%2``p4Z&IQM`biI)&6~IwpXirM=ImE77XINz zYf8=i(sFe{28~su6DE=huc0hl3g2#0l3)}x3x6jJ)sYZcF)A%l<&43UDJ)}TfTbCe z7JM!+o2?>Y!h$&`sn=*d)srhGtz$x^x7V2QYofVraEYIj)Y~6J_!rP52e(b}(8+f# z*1M^NHM@}YTA1!E0A;DSe@K>*^pxH&prb!wQX9T8Cjz!`Cn|CB(24oxri=t5|B8-qK%g)ezNAN1HV?=$CiE`+5@q}6 z7?XM_4(v2xLhp<)sn-}(b|e2DA7{#83uublWe^_rQ;GcBMe#<`zZc48q!DC-_x)tb z3xx7V1f%rZBFi2-_hQ~a-!<94bDySm8&&r2+O5BzEGe`V-pfIoN85z9X;#V*zBGI6 zTd7U_f#Zf2&}Ihisi-(k+KMV7P*j5IEUHLivMRB9jp`ySnqoc;hiz^V)-{D5_P=2y0G5W2^`2|e zHM3f>W{0SL2|q zIFV(=go!>MOI@CT@Lw1FxT|B* zlOr6)R=M?o`K|Xr>pK{;U(6cS!!52rZ@t1`-1>;3j>*<{&NH^kt#5ey*e}ug5VRf| zRhl^PM`<6TYK>dph4&JXEnD9uWN?*R-@4EI4bb|I#_X4JMs;?HE6}tLS76-wu36rZ zFxk43VQiIKpTF(MyV3ekWA@7_qq=j*6=<#RY%v(O?)W+;Ti?xNY?WI-t}^!wv_1^2 z=Z)%_N|M(4E;+6>Zhg0kj>*<{FEO^tt&cvh>mg`;Cu8<2mQg)eRjReVYrwU}t&enc zOtwDCVvJi~txn&GzU>Z6b)e4J`B#9#a8M{3)$;$@1UUv-V@Sr%8S zMau3jgK_J7*I=^seH`9zm0R!rSf8iS`mSibg4eHSxdN^Ay?h4a*7qsum~4IDJY(GY zs>SJn$BR2$9AkFLGpd71T#FVE7-gbQOkoT|)I~-CF(zb?_LH>s3m79>+Th>s4dlv7 zhtL%vblJO`9ecmt0@^y^) zD`h!&+fkV4b=e&(eGF_xu0R|7_fInzvmBeL!Cd|&ziGevEcdVQ-oz4TjcccI13;Cg zaoB*P~~0}2D50tacK=}9pDPAqGNr1!p4^v!)bxm%^kuHEozuN zJ<@PkUdQOPfY~r17h@>ADpRO#OQviMD~^H{0}Q2Eu0TuE!z>2LhjI!v&eJiO4+jT~ zahi70K|Yac%I=#%>w6fp-^d!(F{)CC;gRG}hifGt8u$S}gc=qxI?&QF$tdeG#*9|2 z<5+JZ4d7k&1g+4hZWVGZ8m)sA78~UdpFvTSgzK`rH*57 zu*@6P(Rr>wW9eE9#w?F4)?hBNJkrrIY0F92B0sS$Co?2g30A3lTjPQTDC)A0G5c-H zs5UE^sg&y8Ttyu%Mcv!vkeedR^oiw^K*wbFb`-YA5AA0-wBJ-uB4`#^SC_D(@xS~C z%pq(V{+AztS%e*f|K$f*)V&?ckQhj1_jYW^VBEbO7id`2y&doCnC#xBdofl!;Fi5Q zzRqUmZVNg4!iq(sdYUqr=Id#-enk^dqjrQExlGz{T$WoW?8%V!J3gahGH<3<7;6`i z^|E{Li1j`I%Q436cO0X-LyjxZSWc;FU1s-DHLbto5~HbUE*!Ir7a0hXEDu-pC0XJO z{K}^Vn=Macy`#&1U|GV;^@`k(#&Sl9L18!iFdJsLH5h)G4bv++CT%%6r(=?3+z7Fx z0d3VfaBI$n=Yr~3WAx_U~HpPN;Q~EHaaoXF=?ZdYiucPbehHcC0O=u^XqtWyM}e{2g?J&5?#tP zmn+a%&Qe&+GVXf>hGJ&JsYTwAFk-2H2$L*lsV%~jyIF`b3NxdX{uHa7Vv%!;)M+pD zoD6aYnT|N&gj3I2~D-aq^_ZVB{kjg%` z&R{S)7>v+s9IGltvz&@^GF&SdMN_& zhq*pipfNgKZ#`mkdYX46yU7mYOiRZkqj?O;GXksFXFZWR>(9MrfYvzBLJ#38n`pGo zD01B~g}EgSi~6j2p@v11&iMgjt7xdQn==P?1EcX~W5Gi(t&GvU9M>AV?##S~MTR=d z*D+}*&tr@jX~)l-Y~Yb6`WP@bQy!CVpYHJI!n z&dTWfJudb;yTlmVZPkXL!S^2g8>k)zs=iU(BF(jE?mQa}$WQFfbBY=kx%1q-hDGi? zFUMHYc-@>j#v5OnxDgmlFdOll@9HeokY>Dd9IiDs-nmu{=JGH3P5agFxXufC?IOD;Y?t7Fo|URuYbi!W4IlBHFTM5zAFtf*AKjuN}a0lG%8B z&ZthcxB@LxE>Rd5Mg8%mSq6!v?2j+Y=$PzgE>AO-v|F=RCkA)vaoT^t=t#5ijuaRb zxdP2@mxK()cDppF!Cd|&ziB_RoBkn8+U;_W@g&Q-o#By>9{4w~bip!jRF4a|0*&Pr zB?e=bSGYA8ewhuIS9DBz_dJHQDP>-ng1w7tC0Ba3HPv;+99KVW@I>m2d*{>iZnD{U zr)5+pd8&S#`HarH<{bvXH}dn9c@2wt#H(@|7WsZ*R>!38ug);Wd{?FOej+s}=c&UZr+Uu&jS_+wQa84`^yCSeA_Hl|@wpvoss7 zQ5*y#>|r+it-?DpOS55Npkp#5FY|RwCeGg-#u6+y8SdAyrQtWYV0pCJc(-d*x6zEL zR^hKzV9fGbi(86W{#{8VOtQSDr0*wLUYBEx1F@51v9i0`tPPvNavE4xaQ4FC3ec@` zx?HC)YF)B5SevH|8kB7I%=D7kDZ)QV_&!Dge ze!!FI8q6i^x=_dJ$J-4a@0Vm*x1-+w#neY&d92xpXZBYQw73F|9Nz!CbQCjgF4Vc>4#o z$Pbm3@#Zrm4np*~H6Eq7a*vPOrzoO~D&ghuTid)i*B|W*HRmVo#Uv+98 zt+3uFn-{p1h^PU~HpXyc*0UPhKDBnDpdLHJJ2dp~U+oSoUu7>##iNp-E%F z@&vF9jq24NSD>+MD>4|fY;$Tbmsl3?zx;IU$y@WhUxMW((WO}JFEN_cf9U_fa;Dk% zuN+RYWVr&3HJD2*Z(~U1uGw&_#UPcHw!A%HEXlI2$IrTW#~Z-%M6>a} z6g-~h3N)5?DllewhtE3-%q5n0WOPh={PwJlNtSn37)!F85Z3W{mksv40xWZ2nK!C- zkt@(x-t90Lv%ITRgSo`=F8nV)w4Y>or>A3*!~#Oa#l5%*OjIqq=E^ zE6`ag>WXF4eID%c4;|#^VaK z6EFAV83ZGF;-%?Js*FrUn!HJ(8}$EZXmp ziiWiga0z>~q+@-3!v0fatShGM;=FRxV|fkBm?7==-<*zhaR_@n8)LOAH52Ofln1}i z{YO~w6tnRG$EY5q8j5}0^k1&@|DXA4(<2^NNWNb6lLhOzCk+GDQ$e+4R6U1lf%0gg z)%2*#Acde9Qkwo9XqcSrH$B9VkR*ngrUx7blZkR{P$!+6<{$eY7@cM|KIj_NoW~XD z#tRvY6XjvQ26M@E4~05LiK2g~tW1=8=f?vblPsTf8B4Ov)nmDJw}E$n6m00DA}bRfZB#c?m7+Yy;L{e@N-fD4 zd?u%3GTxreGM2QFW!3ZKV~5l5aXMP}jq2GhSD@MGxeSA`jh+o^FqdrfprvEdM$a%L zvO;?D`80z`mUY)J_xfNe4tToEH5(t!;EGpOfyVNAk86!tKIhk9F8`9>v>&n5KZHq^ zFSv{o%hny-lz}gGb@>jiyez48568MSyD7+>0dj#+om1g*xJo~|th?!X4UAT%oBrp- zJ4Pp(n_jeZOcIHY<^_?_UhVZ!qY?ed%iLE#b$$~VooO~ck~OORvs|ebQvWM5NRp$F z3Uy3|)JqCWI_pfoPDt%Ert9@+eI8m5(f@Ou_*QB6VxH01Sud1oFqePH?~a}Ia=?2h zS)T3GVR`sE-7W;n`DWvzIV_=NxB`vkqBMgs%UApw%%#A8MHOb2X4A`AeLv~RMHR-9 zEb9jTJ}>Na8d#oXHvT7NR8LeDXe?g^2lbY%@=v_Rp%;U6Lnk&#)zLsY&X8D>`gSlkOQc=fbC-Q1Z$0WXsNyd81{8p}7b48|;9S33&KC6=%AZtAE*0r-RVlPurNFitF2eO_WNnKA|S zoCB&wj9huH1#R<~@J7HOH767Jt+a+k^OCnJ8YUNon%*ukmNZ`7Ks@5@)4l_vbIr#8 zI!1NNfGg09_omNaY`nL;8qDQi@|*Un-vhtn@ZL$5^uWuNE?v$2b>7Qhc^+7naDPvR zE6`Xjwit|AzEiBhTypVCIUTFd@?GAo-EzyqpbpEP5ACxvSe|b-KJFUTQNF4n?jYX{ z8H`!JTf^ujmsl>&>-*Jb`Ch>LC2eWdv*l(B)?E&k7l38OsP2yD_@lb<57G?9EZ_HQ zFqc@qpV2Xy)bC|=Os2~R6~>Y*7kYJUIqv73uLR2r&BiA@*isSFJpN&cYmHfc=+buG)sV(ANL4&^8^iiHc!Q2&? zrDM{TOB@}OwhTkYk}Pki$Fkpfd;bKM7lEY@k5{+?jpZj924h=(9MoVgvHUozW0GZ< z(=o~N(==lVmi@l2$8v+2$Ndc~FE$&W%ox?(N?d`)@-vsgnB}LH8Vq}wO`m!?CRu*s z>zHKuxx-k34Z%7PL6lGx&dndT`o5ppU#10nk&#)ex<iWsU0WEe`GNDYWcQ5ck7Ll?y7hz$WDf^^Zbu4~(@&;DE;-HGeEmaJ=A>sWSO z%et|<)>%s|SfXMHw%@sTa^~JV9TI=@$IF?|JLi1AbMMS#GRey$m#p81HYJivWWhvm z=I@suq|`irzx=33thvk3u{{2ZNzb6m-?8{(5h#tZsDbJ-faFpp{eQ-CN1p1NyTtpd zzx+IY@~DHpN2v_D%s^>$u$hX>Pju=^E?K{ia}~_w$N0yF%KWk1fxqz=Uh9wLr-Xj? zJRUlheO^6iAiDfLi~mDMmuApGK97HrAxJK1oj(d@s>EmE$M~lnWj7zoJMECM9NfR{ zQfzxMy39doR4^IJSQeAim0YrZpScyxi(;TuhJ^>U;clcBG!D2?lwa9w@F`Yx*W&oGE^_3dLX2X(bq0@m1FdhS;26P z_4|rYm1n+hY)Y(ojOvc*c`&-XoW=iTL1|=$8YpA*O_HD-qi-#h`D0WviPfy0u=lr7 z{R$R;B8G2=xYR&V|29TYs+aOA^VN6dh*i!IEcwg|nW4Uiow^0puS9hlx1H+oF}Sk- zE<;q#(06(TGx-dCTU42UtbOIF%s=oS+~tq9%KWkX!Bv?U%S6N^R`dQgEO*ci=<;e7e=3Dz8EhuX(*1;=iqsWd`k%i_ z)ENr%&k~8Kt}?L?CVf#wb(Kf9z|JdClMXdQ4g+9Z_UfJ>us{fJ2|6@RDpP+#JDU<~cDcvB-M&Sa4!X=kse7>LipxDM>MC9C8LMC>ciAqfvhe+_ zJ!bn$rAt_f-?jV8uXI-Bx3@xBy7QCCA{zN5W$Vl_MJ>P_p7j{b~}Y<#!b zqy~zkE_s5|QRkw<%8qc4C@*~0d`I0pVwL;WZm#n>4qtvEI=YF)pHJc$*QEx^zST8H zkSmFMqlr$*3TE%`E;x3g6`QsDa|BM~t9!)Ge*BvZJ`6GT)J=6RX+L ziU$wEyC`+Hpd$~@5+*fJ9QDi-l#Y576;^iCi;y@=_>Ovc1Z#G5LTual=;&4!e=&_` zSDhLtj-rtySA^% z*}ksIdf1%x$DjTZe8eoVpQSMWBW8&Krpoy13zis&KmDcVc^&$7mrHOIb2y3_C=Ch* z2iuij&m{IMQdho({1pm1PbsWCuZfJx9yNGg-#oFJ9ksc5>#6AIuPpwu4yFDUHBhd| z>eB?#QCWRJpv0N4-X}(RTwVPDcj$eo*>|1X8P#t`^&GxCo1_NHIkaDnAXoCwq5B0& zf|-=zKXUf4_jBeyKlctqY96C~x{vONF8{{juNY9eG!R$DsC&?rt3TrM*%+jg2hRL4 z8cayM;@sV*b0T+b=!2qnplBXnc9>KsKb?~pn3Ir(W^lTKVHrydNvX^qhM|Gs=94um z?B!arW$PvA=uQ^@uZiEG7Bo;MYpAU%`I9v$Q^8E`s83O4e6m<#F#hxxK3u-bq(!Xe z$x3#FhtTC+=&}f<^MeM;WF=$NRZiA0Q)T{S4L6828zT*`L4NtNc&El_G z_=RpkQ)P^XxYU)a`(q?uRSKq*@m$Wrcj*0fp2L?)m#}m~B*bOi)QJyZ+k062wHUsA z=89_ZgKqvLMg&Z{+&@E*T(ZRQoXWyo%6rb0E?KYB!(8tD$cg;r+TYQojbBA)l8`c% z2e<^K%L7ss%;fvlh_uSWU5>OVkM_5k|5&hKP8rb_KU7h7FN?pP#BcBj28R3f|5z|F zGD-c>Z~5eYprtVX!!LJPH`n`tPm3hb-u9(9%K2iq$1)yG-HYF6KDt>ZKF5R1PR zfzo)Y$4C13Z(?+gs8k^+P+iJsZ_sRL?-^uoN{=)a7YSsv8C+%8{R- z6RTPMgtx~HLG_1O+|%*vxTqcx{{Sj2pL1|VX zq^OTKsjE~!#8a8CeyB^VX7wJcV^dK5Q5OH7fnQ)8G*#5)sZXj;Op+33zWSuVdd=$H zukU^jsy~M6c_>{L*jLmKAt7-N@Q=7j7D1^#*(6r8`r6?=e?;|vu=v|1enEy!4HWf5 zQv~IFG6WG)#GEZ*G;sEO7*F_%6#={g#63UsaEyR zX7?JoZV;+J&f@P__)SN_X5te8J-baRQd?9nKf6s!E3AC}n;sN%m%gJJNy@8vJmAJ; z3v~1^7JoMerIQ08#nCj6x=Ke=GYTs^ni*4>?`UR`Sj~>6-pJols(S(**|>Kmse$5X zhD}g9n(ivB>}XbAWxk`?IbtB(a(ub)P=-40QA~ zix*N*(qq&>ag_21N=JdXa-NwV6k}d_&z@hT+L|4$K5P3fbo6g@njZ)$juv~=RXSQsNZF5;#t2GBON+#6c64IfJuXK_&$9T3I+WzWsyJF=Q&;Ke zFjryaF*-c2GJn>V<%rensOQcV{FUc(=qQKRZ5}mH935^Fl#Z5K3M)H0BBL_jQA3(o z&5qK=&Un+d?s*pf$iSOBHZ@Qj9ibDHj+Pk;D?2(er83`QgF|{P8%>r9`=t9B&h=Sv@tT`%F~-FRI)4Ut$bupr{`kFuCn3 z0-I8OMUfI~R^Jer)eY5OW${mwP`c2i2CBM8P^zC`s>~nxl?Jhz)jKUcZ6&I|hUzYq zmS(7dqJDgmpj2O(Q<<;6Dk!d5ef%-$FH!w<7XK^-r6EC6MSX>(D*5xinvghQ`0A@Y zf;Fqpj?QU^>TjUB2c>aoYM`i}kS8d&{lt{YeDyU+Vx(TniQu8g)}4p$ix%Ew@nRak z2PQ^cloP=!ouIU^##UJQM6lLUSouVdHi`WjTLp9MuI+zDTW_)W=Mg9^Pg57g*4n_U zv~{9OiO9;fPBIi$wzWtt79<M2GwLYz|vaOAl!pgQbnZ$mLt%5o7xjlQMt#?@bO9M*1g3gMq zjWKF1ZEZ*@tZZwup|G;8EjqDZXKTQxTV|lGchOcJzi>O~qS)G$r`FQe#-hT?wzd*d z_N}cR!Cz-bP}WzEGVrH z#Fdlz_84`Qj!sFc%pa7~3}Reez8wrpZ#P5Ums)wA@g=H%z~W!WptLw>s@(P8UZk#E z{V7~wiPh-}X40Df$n(PAo3M1su5`(IE%8EJF40eX6qZ{M!^>GzOclsu!uNR6i%DGGF~S8Dcf7uh1iB zq53DN?%}tx2SSSasd?%u)z2lQoEOja2-d7VL7Vpxs(;Gjr8It9BGuz#a5wqvUr_&T ziZZz)f7{PX602E#uaCA4LiNvBd{+cYebdxHQU8rjP;UEqw#s~U`4)f8>O-b(n2hR0 zRL|fypP1BCQ9n1ZB-PI^Qli}U3-ZK-`UD+^kAF1a=ihT5J$25VDEc{zf2Tv?&=}R> z(NzAP`^0Zuf?VJ#iyvqg8Y=Toco*r!@PzJv&wb)|g#3eVLb#DleWqWEM!rBJIW%Gi zh0@4{IfBy21*Xb;Bfs;ANh2ATShW$EJ!%ubh4&?ke{VoRzC)yp$nVnBOd7c;qcY#f z?`>kz$i)`1YDPNt?DaAl*@;H-P#6>RQjGjQNzJ5@OiE?Gkw%l4G;)bSteTOr^|OYf zk*`?%2NMb_0!_up#YJi+ja(d4nQ!D$otQLo8KJ8CNY__3ZA2qqqmd#MCZ~f!xsP0u zBPfkD=2hk!xy&Obja=>$<0B%k4OsXm0_wZZ>VuBHVeua=D9DQob znEreYeq>rS4TXjn)hSzWb%vl6xW*+`O+b6I@B1k56Vvd!!wL;H)hPmhNE4I-*9M8z z1ooXbdL1^4pg;x+M<%J4B5+NLpcJqzV$}rJ^<0CmAER|lV>$}BRHq1B8>mWw>r6_l zCa~(;0e`|~Q548Q!3@kQ0(Oj=NrCGPV$}qeO=pksW=v~gpnykpsz8yT6!@b~teU`S zudntqStWX`}6!);0x&bsp6z0ypLfN`b!+s(KtueeRT}u-Tp{;6Y(jU{(?MbB?+x0v@qy zTaXxj+D>fNo@slep>UE*b&9}E8G=&a<{+_}K6567t`8Bps=q+y%d4JqzOua zTWn(01ddQET&Qk^1jOOl`z zxXmP1P2iL>#=e2gI-)=h3fnVOrwH5{BPa!O2C-@a(}#E4fXzBFt-S$-M4swYfg(XE z@K>E!HG$(U>x(zKqn%M84~3O!s#64R3sj}R?Ll5OfeE)xACAqsFl{dr3KzIRp}a22 zlbU&}dPeBJj5qK`C%&kXTJ%`Jw^*Y`PB$*ig94 zqh5-@9Z7;x;4YKc?gb{BOS%v2jLo_;tz!}j7X_oC2;3Q?W>Vm8gIG0z=y}=}Y}Nw> zTqwx99;!f*nn{6sbYj&6*b111&3ZDeQwj7K}EnLR&5LVe>CuE6wsK~IgKx&QdFmG!95v*Qs7>fST%upYv%5Y z&3ZAdO9ToRn^dO={5?%j3fyNCt0pk^=^0C~nT`S(C>)rlIz`~#V6&va{T3xw6Ig!S z+AFYGZ>DwCp|Cnfb&9}!NrF<~0h3rYf%+T!orcZ&pg;}^=i5}L2;3hdCF6HNlQ`AffJZcfE zCa|jel;g44ekfo=VWduViohdDf>PiylUOx@jpy`lh0O*qtw$0Ca#W`XJQ^b?1^!_W zt18fa@`c!JAPTrpnEQ(YMQSDm{;3nICUEepojyl_K}_qJg2JQ})hS!>Se~F1c$`qx z<6!Kot76z}Fba53m}dlq@;LZMj-Vpo5v#TZqh`nWi@+gFi>IM5h05?TxNP}nhNu+y zmrJagK&PeS@55$8nWjacFtkW@iooM(f>PiKn^-l0MeUYlu~`xYGEhibRHq31D@9NW zJQ*Zb6FBUc;dR(-7}I*`xCJKlQUsnz5|jc@1&P%J+Rf8mz-GfyAP0pZdFrJIJQ*V> z1)esDRTCJet;E}!(fygG8&C)a1IvR+ezHi-q`<#*Vq8GE6CeH;gv&1Jiyu{pjzFb6 z6y~InE#z2D45CFQ+btz--&<5qde}irY|fQ`sNk`T^_)+-X;`g z2My%^#7R68SVu?YFD&?vOG=zEo4d^8Pk-SIJkFlA%80**kOYIX=S-DFag8OO$KUu1 z&x;zIy`cL9Z?STQYb^01Arv52FXq6W%bzmOq_F3WTMV!DEvT>a&w%J^KP z%b3a@6nW3(iHTi)sulSae}g;XkkeYC-vgP}$AZEvms)YZ<(YdvN05&`;0n&B>ZAOL zn*XGfyz=J`{u_AX+xn=%YhMleRUSdR`JBs~J{wL)m!p{0H-;{gB&3XLK7evmpAM7+ zGi4c%I14|5UMW&`rAyXJ*F)yM<>n#yBo-ZwE^R2xw5fsO^7Vj8m#<|Al1r9&O;?#e z_s<57c%DD^uX~i&+-0K`;&Si@tLCE1F-+^1M3*sYptyX)B`96Kk*Z)OpUKzLDhnUW zH*Lym<}&=8x#Zmi2cpZd=+cG442v2lF5e2Eboo}WIdUxDOj0pt;VwOs@+w_I!x52? zvF!U~D}D>;Af_c!P?%n%28zr7$;Z;mtIVJAw}OVe)}QgWGn7~9ayMVR zwC(M2Ezsq`=+cA2)WDG9@|`qwl`h{QB#spR%*_&S>jZZ{mhYx0ubInUv%-!Z^N{|( zMVI54R-eYPL^)c5&tJV~6Ou09OI9$GyL{JGnLn2Acq;S9vS1ObbO{Z|hRyht4~E@{ zF2^%%-v|_@<*9)(mhT5px_sZHM8R;4B??8A`7R4FmH93|$PsJqa-JKqU(PsV&;{ty zK$jVGX;1^j<%b!9(&dMG1v9zJ4-A!sA3YzXDUZ9X>58Lwe&*rmast!(>$qR))Iho7 zz!%iz1znb}ICi8|#%%8LfZl`ePlHLw$J)cO61iRdy9g~Njeip#G| zRms0n|H!LgL55FhEGdUSa^}1I+8|!(5*oII&3K>9lWcT3iD?5&C@jrU1I6Vx!EPYO z@*ABJ+Ue?Mgk(*{~lSdyUz%DC;c2#PVn6_)tc zt6(N)C0AwPXT|S|lvlIMgHO>sbUBr2gJS5C>hUpn9{fH}RJ#1$tYEmt65m-W3wQZL zj`Fz6n$F#udXD-7x}1hCZ73X;rUuHn`v-#{pWT+Y!V*7bROX+%e+r6uo;Y{cM^b7Y z7+70hmsFWJch^T_6-?wsixo`gwUAesf9|elIhBcXcYTWtvEbZY-!iQ*|J+^QDy1@U z?yhg0l&r>MWbXm9#^NYWXWHN-6c&5b04>u_k6J2w6f;t0Ef`STi!Nmk?0G5MOA zN8l*VWZIAv6c)MEKpDmM0hFWIPNzi9#3N|S`dEUdpnol_s&vW%Li}GqdU(db$v>(ysY^DuO zLt%cA8c3IkA9aG#WlKVe%MK>N-MiewpuA=-dxd`8`3j?91G=2Uv}6Pd3vFs3UDogE z5tJ_5SQX5qHUDwmgU%ht5mghA!taZI}*)1(uYfXXyHFd4keqH?xA_8mr&iQd#(ZxlfMr zDqZg8=$Vx7axc1^hc0utU!oi>fy?e0Legb-y@HwC=AQ(nz34?U>&N$7Gu z(}o-PUQV7GC^OzOMNqoz;Z-n`yX--z%8bX8lo-WZ{nu{r@X1#E1!8o%i(#LKd49z>d?CXuYY0LpIn8OPbiSxE7+oFq^^$3 zxy|JCpUXveE^k7){`j^;CPdE3ks59-zi8vrGXt)XY_+K2$j_$Y<(dA)GJ0OOC$WWxFflCR>`=AOirm<8pXWXT_a8`C{5$7&< z_w~iy-NYSeZV8&RaR_aylV4w4-%o*bu~To#L}a+e>i0EN<{Rm+6XPenhQC>SHM*2( zBa;=!erta0->joqXRFO~_)-^XC5l|W5;Wy zEXsrxo#crmrO4nQdKA;f=%GbFQ?f+SaxBV)7V#9ZkUf{LMUQ6MSRrIqg-^C zSdSiqMfuPoy?K$rLG)Or9b|?Tt<5zrvP98wSX2xxGMX2;qUd<09c+aat;shlx?8MA zS1@f{EVRgMUSx0(JpqgCu%Z)-&5JBiw32D#lc7Z-hv$`t{BD=8L|0*v8(I|OIeaM> z-6K|_tC?n`!irYgB1tJSIEbEzMP6u8lIQS+lq|jyUBk2q>9C^Xnh(T1t|(f|v_m4H zMHbKDOVmHH{M}zkV^JowC>RJTGDL@yn0BZhR&=b*bNE6wk1IEZdw+9Wfq=xC4U@P&#jv9b}1ilIem zp2HU^a>dFfrcJg&i+GAy%6r6pVkNqnX;WfhMawhg8rqHSGdPHD!6G}XsKMqrd?6)^ zuSB;pZE7;KsQEnI=Zd0jSmcHk9U*c=o3%~LZO0-ntZ13X zbNEso^7}2Zaw^lNr$dXH&(r;`C_0U4Ga{iyJVh*Jr3d^K3yU&gMTZCTL`5dAik{B2 znR-~!LXYS0g^Fykat0RVLW`Pj(*vF;I+JO$jL;&UB9^k!gF0V}o`psEu%ZRcw~1f- zVA0u3n{9>`={$!oq-2YgbFiowRy04ybNE6=(})8<&AMF!8|OS$MFU96nTv{Wpt zNWLVdB2yIo7K`lAB9X)Mn7@}lWb>8ic}$y|3@e&jX zI$w!iz_fX((4rX6;R_X+d?k7z7I|SsGYy`@7b>#(O7tS8%}<9F&B*f{zEF|JSE9dT z+JZ=Ek;!xTQZ9N}=PS_+7G=VUrZ*qGhfPuRd!{YaLyIh)!_4 z`DE$8{D?L(ZIKaHG)*)X&HX*%5nZfYf<^hzq9o7ZOWEEdrdYX@X^YLUqNy&=;R_Yn zV&yU{Dux!Ncn)8v$P+7%H zywBvngp1S-oVY+d7l5+by9Q224B%`lDtOXm zlNe%#*ivwZjVoV6+yZe-!7Z*|^d91t;A}?Wz~Hu)v6m6I0%uc3!2@<3JsNRq#JYmJ zC&$JSw*hBkPQl$`vrk3b7O|n=hW0J*L%at#8}bU?`1Il@5Vu2YD!5~D_+E(j1n1##9hHz>B%P1tv$sQ?~ORE z;LXX|XJU3Y#1RFLK6l_&#QT7ALPo(Yo|}&Mza!ld>k97F?G*g@W26T-D{>0%HQ=bB zh?N@AHgSZbkrXm?_<1BmuabLuWWVFxsOP)pC51b>5(n&PZb(@Dcf!I>; zUhlHOi0cu@6bvm-JuR%N%oW-`> z9M)>{f?0@@h?5E)F|YRxh=+l*$W`#{o9DJeJREUK!6PPb!gD}me{fQ2@4l<2FGV~8 zvD$l`*Lor11HhT1_O5R~w*%slh?U;a{{07?hWJ2mW_wbyuH6fh`1xcM;w?jO4v@dU(*lR7h1HyrUH;7rQlVgS>PO; z7tw5tOcBii$F)V20_XCgh~|Rx2cOLY=Z`7D=0mo<|Jwx^S%NJD=UzWr1de|LC$bov zTYQbfz`5D~?Gj|Bs8|ZltvL}L4$f^E5iJ8Jmln|x;QTcuq6TnoPm1VBaQqvf5fhv{ z@`4=&&P}d}mV@)4Uwbr0o?yp-)8w;b(LqeGU9n&J(2+my@5p4qJioA$6gL9QGqAif!!xPa~aBlSTwt@2xfg-2iZ~bUH zWIO00JQcF-QX)DHHT>UN;5-}?;psT5u87XS?XX02CS=?CozKF&qF`r(^GIGq=iqO3 z5&Z_TZ442e3)$ADh<*#%R+fm)gKSG%MCU`cg)5>9Aj>=vU5JqgA{RjxbP@gzvQa}s z8OYX|BKkdKBbJCR2InVRM2+D5=!)nPaDMPabSXw6h+GEF_qqr#2j@FOL|1^b%M{U- z;FK&8T?Nj!wuqX*`NkE|)!=;XiRcd)i6C+fIA7@^ycV3DhKOu%zBEO29XMZDBDx-& z&utO?5uBncA_tt$JP~Cv5<%n!a6Z*V_$P2aF+_ADI3JrL`ZG8?ED_xV&PTS0{sPX2 zu83|1=L1hfw_qfK$gSYKuZ!?Da0-Tqa^SpYis-N4ylaW*c5vRYMf5jt-gZTF2RQ%p zM06)cB8c1tj;D+8ZgAc*M05{0Z<-?dJ2-DxBDxox*KHBq2hMA*i0%jHRZm0@U?hUb zgW&vE7vV$TykdyR1?Oc`L=S`Wk|m-?z^bd?g5cwxK&*>t3 z9Gqtj5&a9CyeXn5!1<3Qq9?(5#um|2;QZSa(bM2Oofgr*!FehpqG!N)GAE+{fb&FN zM0s%jRTR;)7{x^N95|0BMf5y4|4fPK1$^r^Eut6kjAV-FB|Lt05xtCOLQh1mfOC&4 zqW^+(pDChO!MQ&tqSwHAAS0sJ!Fk9P(HoHMloHXKknNll(OZ!15)+Y!QBg$ygKXEl zh~9?m-Z>Gy1KDmF5xoo9ebOR&53=1;A}T<(M^Z%ZL$+s3L?2*O6w!x}jps%55oEQT zh;~4>S4Ko1Lsn0V=o85HPKoGK$o5H!=rhRnjftp;QBg#nL$+UDL|;HQkrUCEkgd;% zXeVU%O^fI&$o5Z(=xfOCmlV-AkR1>c(YF{CMO1?9z`TfdL3U71MBhPna7IMmLv~17 zL_a`wXi7vsLN=Kc(NB;a7UL1V(U%=o6j2>yhv!8Uh3x)05dmaJWJJUudq7%5Eg(BG zC8Cy)JuoSvR&!Lpn3BH9y{Wpxp? zhh>ic+r2O{1dGA4Kl*tcFfs+}2+OW7il`GtmWVoI6cbSwSazK)qOP#)T2DlKqeezV z-J(1Eqq}aO=nntLtLq-!;UA54J)%4OBebq3vYe=hM|ZrI5|I|&;UCj=y^y(r>Cqkj zv0v9ay2C$P)b)X;HMaO|UuaruiKrhmrA-kfpy?z-MD@_LP8ZR>(6pWh{38=h>pc;mPej9@X`3se z;m~x7Eu#IQX}cw&5zut1DWU_Q=`=$`BcaLCMRXuEoz8@jq6yISTUSJfK+}1)hz^CO z^DPlggr*Bj5lw=o3k?xXhQ@*|qAAe$z9pim(D;EVqG{0hp&_E_(D;!qq8ZS*g9nki znHYH@ngxv?yCRwmji1;engflWS|Un8<7cLb=0ao95Yaqn{9G5&d}#cF2a&o37{K(74ML zQ3Eu7XN%}aX#Cz1kqM1Im?C{O+%wE7F|7b*$fghKR0b{qEO!)Z!ETAJ|DA mwR#T!xHQG1)+<=zb5BH%v-%dgh+bj!d-5RC`aM?PN&i184Oeym literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t new file mode 100644 index 0000000..16daf53 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t @@ -0,0 +1,9 @@ +-w +-l 5 +-i 6 +-n 1 +-t 1 +-s 1 +-c 0 +-e 0 +-exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 new file mode 100644 index 0000000..eb789dd --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p2t.tmp0 @@ -0,0 +1,9 @@ +-w +-l 5 +-i 6 +-n 1 +-t 1 +-s 1 +-c 0 +-e 0 +-exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t new file mode 100644 index 0000000..e625c68 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.p3t @@ -0,0 +1,5 @@ +-rem +-distrce +-log "RAM2GS_LCMXO256C_impl1.log" +-o "RAM2GS_LCMXO256C_impl1.csv" +-pr "RAM2GS_LCMXO256C_impl1.prf" diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad new file mode 100644 index 0000000..0445323 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pad @@ -0,0 +1,271 @@ +PAD Specification File +*************************** + +PART TYPE: LCMXO256C +Performance Grade: 3 +PACKAGE: TQFP100 +Package Status: Final Version 1.19 + +Mon Aug 16 21:32:33 2021 + +Pinout by Port Name: ++-----------+----------+--------------+------+----------------------------------+ +| Port Name | Pin/Bank | Buffer Type | Site | Properties | ++-----------+----------+--------------+------+----------------------------------+ +| CROW[0] | 32/1 | LVTTL33_IN | PB2C | SLEW:FAST | +| CROW[1] | 34/1 | LVTTL33_IN | PB2D | SLEW:FAST | +| Din[0] | 21/1 | LVTTL33_IN | PL8A | SLEW:FAST | +| Din[1] | 15/1 | LVTTL33_IN | PL6A | SLEW:FAST | +| Din[2] | 14/1 | LVTTL33_IN | PL5D | SLEW:FAST | +| Din[3] | 16/1 | LVTTL33_IN | PL6B | SLEW:FAST | +| Din[4] | 18/1 | LVTTL33_IN | PL7B | SLEW:FAST | +| Din[5] | 17/1 | LVTTL33_IN | PL7A | SLEW:FAST | +| Din[6] | 20/1 | LVTTL33_IN | PL7D | SLEW:FAST | +| Din[7] | 19/1 | LVTTL33_IN | PL7C | SLEW:FAST | +| Dout[0] | 1/1 | LVTTL33_OUT | PL2A | DRIVE:4mA SLEW:SLOW | +| Dout[1] | 7/1 | LVTTL33_OUT | PL4A | DRIVE:4mA SLEW:SLOW | +| Dout[2] | 8/1 | LVTTL33_OUT | PL4B | DRIVE:4mA SLEW:SLOW | +| Dout[3] | 6/1 | LVTTL33_OUT | PL3D | DRIVE:4mA SLEW:SLOW | +| Dout[4] | 4/1 | LVTTL33_OUT | PL3B | DRIVE:4mA SLEW:SLOW | +| Dout[5] | 5/1 | LVTTL33_OUT | PL3C | DRIVE:4mA SLEW:SLOW | +| Dout[6] | 2/1 | LVTTL33_OUT | PL2B | DRIVE:4mA SLEW:SLOW | +| Dout[7] | 3/1 | LVTTL33_OUT | PL3A | DRIVE:4mA SLEW:SLOW | +| LED | 57/0 | LVTTL33_OUT | PR7B | DRIVE:16mA SLEW:SLOW | +| MAin[0] | 23/1 | LVTTL33_IN | PL9A | SLEW:FAST | +| MAin[1] | 38/1 | LVTTL33_IN | PB3C | SLEW:FAST | +| MAin[2] | 37/1 | LVTTL33_IN | PB3B | SLEW:FAST | +| MAin[3] | 47/1 | LVTTL33_IN | PB5A | SLEW:FAST | +| MAin[4] | 46/1 | LVTTL33_IN | PB4D | SLEW:FAST | +| MAin[5] | 45/1 | LVTTL33_IN | PB4C | SLEW:FAST | +| MAin[6] | 49/1 | LVTTL33_IN | PB5C | SLEW:FAST | +| MAin[7] | 44/1 | LVTTL33_IN | PB4B | SLEW:FAST | +| MAin[8] | 50/1 | LVTTL33_IN | PB5D | SLEW:FAST | +| MAin[9] | 51/0 | LVTTL33_IN | PR9B | SLEW:FAST | +| PHI2 | 39/1 | LVTTL33_IN | PB3D | SLEW:FAST | +| RA[0] | 98/0 | LVTTL33_OUT | PT2C | DRIVE:4mA SLEW:SLOW | +| RA[10] | 87/0 | LVTTL33_OUT | PT3D | DRIVE:4mA SLEW:SLOW | +| RA[11] | 79/0 | LVTTL33_OUT | PT5A | DRIVE:4mA SLEW:SLOW | +| RA[1] | 89/0 | LVTTL33_OUT | PT3C | DRIVE:4mA SLEW:SLOW | +| RA[2] | 94/0 | LVTTL33_OUT | PT3A | DRIVE:4mA SLEW:SLOW | +| RA[3] | 97/0 | LVTTL33_OUT | PT2D | DRIVE:4mA SLEW:SLOW | +| RA[4] | 99/0 | LVTTL33_OUT | PT2B | DRIVE:4mA SLEW:SLOW | +| RA[5] | 95/0 | LVTTL33_OUT | PT2F | DRIVE:4mA SLEW:SLOW | +| RA[6] | 91/0 | LVTTL33_OUT | PT3B | DRIVE:4mA SLEW:SLOW | +| RA[7] | 100/0 | LVTTL33_OUT | PT2A | DRIVE:4mA SLEW:SLOW | +| RA[8] | 96/0 | LVTTL33_OUT | PT2E | DRIVE:4mA SLEW:SLOW | +| RA[9] | 85/0 | LVTTL33_OUT | PT4B | DRIVE:4mA SLEW:SLOW | +| RBA[0] | 63/0 | LVTTL33_OUT | PR5D | DRIVE:4mA SLEW:SLOW | +| RBA[1] | 83/0 | LVTTL33_OUT | PT4C | DRIVE:4mA SLEW:SLOW | +| RCKE | 82/0 | LVTTL33_OUT | PT4D | DRIVE:4mA SLEW:SLOW | +| RCLK | 86/0 | LVTTL33_IN | PT4A | SLEW:FAST | +| RDQMH | 76/0 | LVTTL33_OUT | PR2A | DRIVE:4mA SLEW:SLOW | +| RDQML | 61/0 | LVTTL33_OUT | PR6A | DRIVE:4mA SLEW:SLOW | +| RD[0] | 64/0 | LVTTL33_BIDI | PR5C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[1] | 65/0 | LVTTL33_BIDI | PR5B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[2] | 66/0 | LVTTL33_BIDI | PR5A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[3] | 67/0 | LVTTL33_BIDI | PR4B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[4] | 68/0 | LVTTL33_BIDI | PR4A | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[5] | 69/0 | LVTTL33_BIDI | PR3D | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[6] | 70/0 | LVTTL33_BIDI | PR3C | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| RD[7] | 71/0 | LVTTL33_BIDI | PR3B | DRIVE:4mA SLEW:SLOW PULL:KEEPER | +| UFMCLK | 58/0 | LVTTL33_OUT | PR7A | DRIVE:4mA SLEW:SLOW | +| UFMSDI | 56/0 | LVTTL33_OUT | PR7C | DRIVE:4mA SLEW:SLOW | +| UFMSDO | 55/0 | LVTTL33_IN | PR7D | SLEW:FAST PULL:KEEPER | +| nCCAS | 27/1 | LVTTL33_IN | PL9B | SLEW:FAST | +| nCRAS | 43/1 | LVTTL33_IN | PB4A | SLEW:FAST | +| nFWE | 22/1 | LVTTL33_IN | PL8B | SLEW:FAST | +| nRCAS | 78/0 | LVTTL33_OUT | PT5B | DRIVE:4mA SLEW:SLOW | +| nRCS | 77/0 | LVTTL33_OUT | PT5C | DRIVE:4mA SLEW:SLOW | +| nRRAS | 73/0 | LVTTL33_OUT | PR2B | DRIVE:4mA SLEW:SLOW | +| nRWE | 72/0 | LVTTL33_OUT | PR3A | DRIVE:4mA SLEW:SLOW | +| nUFMCS | 53/0 | LVTTL33_OUT | PR8B | DRIVE:4mA SLEW:SLOW | ++-----------+----------+--------------+------+----------------------------------+ + +Vccio by Bank: ++------+-------+ +| Bank | Vccio | ++------+-------+ +| 0 | 3.3V | +| 1 | 3.3V | ++------+-------+ + +Vref by Bank: ++------+-----+-----------------+---------+ +| Vref | Pin | Bank # / Vref # | Load(s) | ++------+-----+-----------------+---------+ ++------+-----+-----------------+---------+ + +Pinout by Pin Number: ++----------+---------------------+------------+--------------+------+---------------+ +| Pin/Bank | Pin Info | Preference | Buffer Type | Site | Dual Function | ++----------+---------------------+------------+--------------+------+---------------+ +| 1/1 | Dout[0] | LOCATED | LVTTL33_OUT | PL2A | | +| 2/1 | Dout[6] | LOCATED | LVTTL33_OUT | PL2B | | +| 3/1 | Dout[7] | LOCATED | LVTTL33_OUT | PL3A | | +| 4/1 | Dout[4] | LOCATED | LVTTL33_OUT | PL3B | | +| 5/1 | Dout[5] | LOCATED | LVTTL33_OUT | PL3C | | +| 6/1 | Dout[3] | LOCATED | LVTTL33_OUT | PL3D | | +| 7/1 | Dout[1] | LOCATED | LVTTL33_OUT | PL4A | | +| 8/1 | Dout[2] | LOCATED | LVTTL33_OUT | PL4B | | +| 9/1 | unused, PULL:UP | | | PL5A | | +| 11/1 | unused, PULL:UP | | | PL5B | | +| 13/1 | unused, PULL:UP | | | PL5C | | +| 14/1 | Din[2] | LOCATED | LVTTL33_IN | PL5D | GSR_PADN | +| 15/1 | Din[1] | LOCATED | LVTTL33_IN | PL6A | | +| 16/1 | Din[3] | LOCATED | LVTTL33_IN | PL6B | TSALLPAD | +| 17/1 | Din[5] | LOCATED | LVTTL33_IN | PL7A | | +| 18/1 | Din[4] | LOCATED | LVTTL33_IN | PL7B | | +| 19/1 | Din[7] | LOCATED | LVTTL33_IN | PL7C | | +| 20/1 | Din[6] | LOCATED | LVTTL33_IN | PL7D | | +| 21/1 | Din[0] | LOCATED | LVTTL33_IN | PL8A | | +| 22/1 | nFWE | LOCATED | LVTTL33_IN | PL8B | | +| 23/1 | MAin[0] | LOCATED | LVTTL33_IN | PL9A | | +| 27/1 | nCCAS | LOCATED | LVTTL33_IN | PL9B | | +| 29/1 | unused, PULL:UP | | | PB2A | | +| 30/1 | unused, PULL:UP | | | PB2B | | +| 32/1 | CROW[0] | LOCATED | LVTTL33_IN | PB2C | | +| 34/1 | CROW[1] | LOCATED | LVTTL33_IN | PB2D | | +| 36/1 | unused, PULL:UP | | | PB3A | PCLKT1_1 | +| 37/1 | MAin[2] | LOCATED | LVTTL33_IN | PB3B | | +| 38/1 | MAin[1] | LOCATED | LVTTL33_IN | PB3C | PCLKT1_0 | +| 39/1 | PHI2 | LOCATED | LVTTL33_IN | PB3D | | +| 43/1 | nCRAS | LOCATED | LVTTL33_IN | PB4A | | +| 44/1 | MAin[7] | LOCATED | LVTTL33_IN | PB4B | | +| 45/1 | MAin[5] | LOCATED | LVTTL33_IN | PB4C | | +| 46/1 | MAin[4] | LOCATED | LVTTL33_IN | PB4D | | +| 47/1 | MAin[3] | LOCATED | LVTTL33_IN | PB5A | | +| 49/1 | MAin[6] | LOCATED | LVTTL33_IN | PB5C | | +| 50/1 | MAin[8] | LOCATED | LVTTL33_IN | PB5D | | +| 51/0 | MAin[9] | LOCATED | LVTTL33_IN | PR9B | | +| 52/0 | unused, PULL:UP | | | PR9A | | +| 53/0 | nUFMCS | LOCATED | LVTTL33_OUT | PR8B | | +| 54/0 | unused, PULL:UP | | | PR8A | | +| 55/0 | UFMSDO | LOCATED | LVTTL33_IN | PR7D | | +| 56/0 | UFMSDI | LOCATED | LVTTL33_OUT | PR7C | | +| 57/0 | LED | LOCATED | LVTTL33_OUT | PR7B | | +| 58/0 | UFMCLK | LOCATED | LVTTL33_OUT | PR7A | | +| 59/0 | unused, PULL:UP | | | PR6B | | +| 61/0 | RDQML | LOCATED | LVTTL33_OUT | PR6A | | +| 63/0 | RBA[0] | LOCATED | LVTTL33_OUT | PR5D | | +| 64/0 | RD[0] | LOCATED | LVTTL33_BIDI | PR5C | | +| 65/0 | RD[1] | LOCATED | LVTTL33_BIDI | PR5B | | +| 66/0 | RD[2] | LOCATED | LVTTL33_BIDI | PR5A | | +| 67/0 | RD[3] | LOCATED | LVTTL33_BIDI | PR4B | | +| 68/0 | RD[4] | LOCATED | LVTTL33_BIDI | PR4A | | +| 69/0 | RD[5] | LOCATED | LVTTL33_BIDI | PR3D | | +| 70/0 | RD[6] | LOCATED | LVTTL33_BIDI | PR3C | | +| 71/0 | RD[7] | LOCATED | LVTTL33_BIDI | PR3B | | +| 72/0 | nRWE | LOCATED | LVTTL33_OUT | PR3A | | +| 73/0 | nRRAS | LOCATED | LVTTL33_OUT | PR2B | | +| 76/0 | RDQMH | LOCATED | LVTTL33_OUT | PR2A | | +| 77/0 | nRCS | LOCATED | LVTTL33_OUT | PT5C | | +| 78/0 | nRCAS | LOCATED | LVTTL33_OUT | PT5B | | +| 79/0 | RA[11] | LOCATED | LVTTL33_OUT | PT5A | | +| 80/0 | unused, PULL:UP | | | PT4F | | +| 81/0 | unused, PULL:UP | | | PT4E | | +| 82/0 | RCKE | LOCATED | LVTTL33_OUT | PT4D | | +| 83/0 | RBA[1] | LOCATED | LVTTL33_OUT | PT4C | | +| 85/0 | RA[9] | LOCATED | LVTTL33_OUT | PT4B | PCLKT0_1 | +| 86/0 | RCLK | LOCATED | LVTTL33_IN | PT4A | PCLKT0_0 | +| 87/0 | RA[10] | LOCATED | LVTTL33_OUT | PT3D | | +| 89/0 | RA[1] | LOCATED | LVTTL33_OUT | PT3C | | +| 91/0 | RA[6] | LOCATED | LVTTL33_OUT | PT3B | | +| 94/0 | RA[2] | LOCATED | LVTTL33_OUT | PT3A | | +| 95/0 | RA[5] | LOCATED | LVTTL33_OUT | PT2F | | +| 96/0 | RA[8] | LOCATED | LVTTL33_OUT | PT2E | | +| 97/0 | RA[3] | LOCATED | LVTTL33_OUT | PT2D | | +| 98/0 | RA[0] | LOCATED | LVTTL33_OUT | PT2C | | +| 99/0 | RA[4] | LOCATED | LVTTL33_OUT | PT2B | | +| 100/0 | RA[7] | LOCATED | LVTTL33_OUT | PT2A | | +| PB5B/0 | unused, PULL:UP | | | PB5B | | +| PT5D/0 | unused, PULL:UP | | | PT5D | | +| TCK/1 | | | | TCK | TCK | +| TDI/1 | | | | TDI | TDI | +| TDO/1 | | | | TDO | TDO | +| TMS/1 | | | | TMS | TMS | ++----------+---------------------+------------+--------------+------+---------------+ + + +List of All Pins' Locate Preferences Based on Final Placement After PAR +to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): + +LOCATE COMP "CROW[0]" SITE "32"; +LOCATE COMP "CROW[1]" SITE "34"; +LOCATE COMP "Din[0]" SITE "21"; +LOCATE COMP "Din[1]" SITE "15"; +LOCATE COMP "Din[2]" SITE "14"; +LOCATE COMP "Din[3]" SITE "16"; +LOCATE COMP "Din[4]" SITE "18"; +LOCATE COMP "Din[5]" SITE "17"; +LOCATE COMP "Din[6]" SITE "20"; +LOCATE COMP "Din[7]" SITE "19"; +LOCATE COMP "Dout[0]" SITE "1"; +LOCATE COMP "Dout[1]" SITE "7"; +LOCATE COMP "Dout[2]" SITE "8"; +LOCATE COMP "Dout[3]" SITE "6"; +LOCATE COMP "Dout[4]" SITE "4"; +LOCATE COMP "Dout[5]" SITE "5"; +LOCATE COMP "Dout[6]" SITE "2"; +LOCATE COMP "Dout[7]" SITE "3"; +LOCATE COMP "LED" SITE "57"; +LOCATE COMP "MAin[0]" SITE "23"; +LOCATE COMP "MAin[1]" SITE "38"; +LOCATE COMP "MAin[2]" SITE "37"; +LOCATE COMP "MAin[3]" SITE "47"; +LOCATE COMP "MAin[4]" SITE "46"; +LOCATE COMP "MAin[5]" SITE "45"; +LOCATE COMP "MAin[6]" SITE "49"; +LOCATE COMP "MAin[7]" SITE "44"; +LOCATE COMP "MAin[8]" SITE "50"; +LOCATE COMP "MAin[9]" SITE "51"; +LOCATE COMP "PHI2" SITE "39"; +LOCATE COMP "RA[0]" SITE "98"; +LOCATE COMP "RA[10]" SITE "87"; +LOCATE COMP "RA[11]" SITE "79"; +LOCATE COMP "RA[1]" SITE "89"; +LOCATE COMP "RA[2]" SITE "94"; +LOCATE COMP "RA[3]" SITE "97"; +LOCATE COMP "RA[4]" SITE "99"; +LOCATE COMP "RA[5]" SITE "95"; +LOCATE COMP "RA[6]" SITE "91"; +LOCATE COMP "RA[7]" SITE "100"; +LOCATE COMP "RA[8]" SITE "96"; +LOCATE COMP "RA[9]" SITE "85"; +LOCATE COMP "RBA[0]" SITE "63"; +LOCATE COMP "RBA[1]" SITE "83"; +LOCATE COMP "RCKE" SITE "82"; +LOCATE COMP "RCLK" SITE "86"; +LOCATE COMP "RDQMH" SITE "76"; +LOCATE COMP "RDQML" SITE "61"; +LOCATE COMP "RD[0]" SITE "64"; +LOCATE COMP "RD[1]" SITE "65"; +LOCATE COMP "RD[2]" SITE "66"; +LOCATE COMP "RD[3]" SITE "67"; +LOCATE COMP "RD[4]" SITE "68"; +LOCATE COMP "RD[5]" SITE "69"; +LOCATE COMP "RD[6]" SITE "70"; +LOCATE COMP "RD[7]" SITE "71"; +LOCATE COMP "UFMCLK" SITE "58"; +LOCATE COMP "UFMSDI" SITE "56"; +LOCATE COMP "UFMSDO" SITE "55"; +LOCATE COMP "nCCAS" SITE "27"; +LOCATE COMP "nCRAS" SITE "43"; +LOCATE COMP "nFWE" SITE "22"; +LOCATE COMP "nRCAS" SITE "78"; +LOCATE COMP "nRCS" SITE "77"; +LOCATE COMP "nRRAS" SITE "73"; +LOCATE COMP "nRWE" SITE "72"; +LOCATE COMP "nUFMCS" SITE "53"; + + + + + +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Mon Aug 16 21:32:33 2021 + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par new file mode 100644 index 0000000..6275f58 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.par @@ -0,0 +1,239 @@ +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Mon Aug 16 21:32:27 2021 + +C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t +RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir +RAM2GS_LCMXO256C_impl1.prf -gui -msgset +C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml + + +Preference file: RAM2GS_LCMXO256C_impl1.prf. + +Level/ Number Worst Timing Worst Timing Run NCD +Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status +---------- -------- ----- ------ ----------- ----------- ---- ------ +5_1 * 0 2.023 0 0.339 0 07 Completed + +* : Design saved. + +Total (real) run time for 1-seed: 7 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd" +Mon Aug 16 21:32:27 2021 + +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf +Preference file: RAM2GS_LCMXO256C_impl1.prf. +Placement level-cost: 5-1. +Routing Iterations: 6 + +Loading design for application par from file RAM2GS_LCMXO256C_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO256C +Package: TQFP100 +Performance: 3 +Loading device for application par from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.19. +Performance Hardware Data Status: Version 1.124. +License checked out. + + +Ignore Preference Error(s): True +Device utilization summary: + + PIO (prelim) 67/79 84% used + 67/78 85% bonded + SLICE 65/128 50% used + + + +Number of Signals: 252 +Number of Connections: 618 + +Pin Constraint Summary: + 67 out of 67 pins locked (100% locked). + +The following 4 signals are selected to use the primary clock routing resources: + RCLK_c (driver: RCLK, clk load #: 39) + PHI2_c (driver: PHI2, clk load #: 13) + nCCAS_c (driver: nCCAS, clk load #: 4) + nCRAS_c (driver: nCRAS, clk load #: 7) + +No signal is selected as secondary clock. + +No signal is selected as Global Set/Reset. +Starting Placer Phase 0. +........ +Finished Placer Phase 0. REAL time: 0 secs + +Starting Placer Phase 1. +............... +Placer score = 586066. +Finished Placer Phase 1. REAL time: 6 secs + +Starting Placer Phase 2. +. +Placer score = 584668 +Finished Placer Phase 2. REAL time: 6 secs + + +------------------ Clock Report ------------------ + +Global Clock Resources: + CLK_PIN : 1 out of 4 (25%) + General PIO: 3 out of 80 (3%) + +Global Clocks: + PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT4A)", clk load = 39 + PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 13 + PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PL9B)", clk load = 4 + PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB4A)", clk load = 7 + + PRIMARY : 4 out of 4 (100%) + SECONDARY: 0 out of 4 (0%) + +--------------- End of Clock Report --------------- + + +I/O Usage Summary (final): + 67 out of 79 (84.8%) PIO sites used. + 67 out of 78 (85.9%) bonded PIO sites used. + Number of PIO comps: 67; differential: 0. + Number of Vref pins used: 0. + +I/O Bank Usage Summary: ++----------+----------------+------------+------------+------------+ +| I/O Bank | Usage | Bank Vccio | Bank Vref1 | Bank Vref2 | ++----------+----------------+------------+------------+------------+ +| 0 | 36 / 41 ( 87%) | 3.3V | - | - | +| 1 | 31 / 37 ( 83%) | 3.3V | - | - | ++----------+----------------+------------+------------+------------+ + +Total placer CPU time: 6 secs + +Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. + +0 connections routed; 618 unrouted. +Starting router resource preassignment +WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. +WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. +WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew. + +Completed router resource preassignment. Real time: 6 secs + +Start NBR router at 21:32:33 08/16/21 + +***************************************************************** +Info: NBR allows conflicts(one node used by more than one signal) + in the earlier iterations. In each iteration, it tries to + solve the conflicts while keeping the critical connections + routed as short as possible. The routing process is said to + be completed when no conflicts exist and all connections + are routed. +Note: NBR uses a different method to calculate timing slacks. The + worst slack and total negative slack may not be the same as + that in TRCE report. You should always run TRCE to verify + your design. +***************************************************************** + +Start NBR special constraint process at 21:32:33 08/16/21 + +Start NBR section for initial routing at 21:32:33 08/16/21 +Level 1, iteration 1 +0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs +Level 2, iteration 1 +0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.084ns/0.000ns; real time: 6 secs +Level 3, iteration 1 +0(0.00%) conflict; 509(82.36%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.038ns/0.000ns; real time: 6 secs +Level 4, iteration 1 +23(0.19%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs + +Info: Initial congestion level at 75% usage is 0 +Info: Initial congestion area at 75% usage is 0 (0.00%) + +Start NBR section for normal routing at 21:32:33 08/16/21 +Level 1, iteration 1 +0(0.00%) conflict; 24(3.88%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs +Level 4, iteration 1 +8(0.07%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs +Level 4, iteration 2 +4(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs +Level 4, iteration 3 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs + +Start NBR section for setup/hold timing optimization with effort level 3 at 21:32:33 08/16/21 + +Start NBR section for re-routing at 21:32:33 08/16/21 +Level 4, iteration 1 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 2.023ns/0.000ns; real time: 6 secs + +Start NBR section for post-routing at 21:32:33 08/16/21 + +End NBR router with 0 unrouted connection + +NBR Summary +----------- + Number of unrouted connections : 0 (0.00%) + Number of connections with timing violations : 0 (0.00%) + Estimated worst slack : 2.023ns + Timing score : 0 +----------- +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. + + + +Total CPU time 6 secs +Total REAL time: 7 secs +Completely routed. +End of route. 618 routed (100.00%); 0 unrouted. + +Hold time timing score: 0, hold timing errors: 0 + +Timing score: 0 + +Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd. + + +All signals are completely routed. + + +PAR_SUMMARY::Run status = Completed +PAR_SUMMARY::Number of unrouted conns = 0 +PAR_SUMMARY::Worst slack> = 2.023 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Worst slack> = 0.339 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Number of errors = 0 + +Total CPU time to completion: 6 secs +Total REAL time to completion: 7 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf new file mode 100644 index 0000000..4d03744 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.prf @@ -0,0 +1,165 @@ +SCHEMATIC START ; +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:32:26 2021 + +SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; +LOCATE COMP "RD[7]" SITE "71" ; +LOCATE COMP "RD[6]" SITE "70" ; +LOCATE COMP "RD[5]" SITE "69" ; +LOCATE COMP "RD[4]" SITE "68" ; +LOCATE COMP "RD[3]" SITE "67" ; +LOCATE COMP "RD[2]" SITE "66" ; +LOCATE COMP "RD[1]" SITE "65" ; +LOCATE COMP "RD[0]" SITE "64" ; +LOCATE COMP "Dout[7]" SITE "3" ; +LOCATE COMP "Dout[6]" SITE "2" ; +LOCATE COMP "Dout[5]" SITE "5" ; +LOCATE COMP "Dout[4]" SITE "4" ; +LOCATE COMP "Dout[3]" SITE "6" ; +LOCATE COMP "Dout[2]" SITE "8" ; +LOCATE COMP "Dout[1]" SITE "7" ; +LOCATE COMP "Dout[0]" SITE "1" ; +LOCATE COMP "LED" SITE "57" ; +LOCATE COMP "RBA[1]" SITE "83" ; +LOCATE COMP "RBA[0]" SITE "63" ; +LOCATE COMP "RA[11]" SITE "79" ; +LOCATE COMP "RA[10]" SITE "87" ; +LOCATE COMP "RA[9]" SITE "85" ; +LOCATE COMP "RA[8]" SITE "96" ; +LOCATE COMP "RA[7]" SITE "100" ; +LOCATE COMP "RA[6]" SITE "91" ; +LOCATE COMP "RA[5]" SITE "95" ; +LOCATE COMP "RA[4]" SITE "99" ; +LOCATE COMP "RA[3]" SITE "97" ; +LOCATE COMP "RA[2]" SITE "94" ; +LOCATE COMP "RA[1]" SITE "89" ; +LOCATE COMP "RA[0]" SITE "98" ; +LOCATE COMP "nRCS" SITE "77" ; +LOCATE COMP "RCKE" SITE "82" ; +LOCATE COMP "nRWE" SITE "72" ; +LOCATE COMP "nRRAS" SITE "73" ; +LOCATE COMP "nRCAS" SITE "78" ; +LOCATE COMP "RDQMH" SITE "76" ; +LOCATE COMP "RDQML" SITE "61" ; +LOCATE COMP "nUFMCS" SITE "53" ; +LOCATE COMP "UFMCLK" SITE "58" ; +LOCATE COMP "UFMSDI" SITE "56" ; +LOCATE COMP "PHI2" SITE "39" ; +LOCATE COMP "MAin[9]" SITE "51" ; +LOCATE COMP "MAin[8]" SITE "50" ; +LOCATE COMP "MAin[7]" SITE "44" ; +LOCATE COMP "MAin[6]" SITE "49" ; +LOCATE COMP "MAin[5]" SITE "45" ; +LOCATE COMP "MAin[4]" SITE "46" ; +LOCATE COMP "MAin[3]" SITE "47" ; +LOCATE COMP "MAin[2]" SITE "37" ; +LOCATE COMP "MAin[1]" SITE "38" ; +LOCATE COMP "MAin[0]" SITE "23" ; +LOCATE COMP "CROW[1]" SITE "34" ; +LOCATE COMP "CROW[0]" SITE "32" ; +LOCATE COMP "Din[7]" SITE "19" ; +LOCATE COMP "Din[6]" SITE "20" ; +LOCATE COMP "Din[5]" SITE "17" ; +LOCATE COMP "Din[4]" SITE "18" ; +LOCATE COMP "Din[3]" SITE "16" ; +LOCATE COMP "Din[2]" SITE "14" ; +LOCATE COMP "Din[1]" SITE "15" ; +LOCATE COMP "Din[0]" SITE "21" ; +LOCATE COMP "nCCAS" SITE "27" ; +LOCATE COMP "nCRAS" SITE "43" ; +LOCATE COMP "nFWE" SITE "22" ; +LOCATE COMP "RCLK" SITE "86" ; +LOCATE COMP "UFMSDO" SITE "55" ; +PERIOD NET "PHI2_c" 350.000000 ns ; +USE PRIMARY NET "RCLK_c" ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +USE PRIMARY NET "PHI2_c" ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +USE PRIMARY NET "nCCAS_c" ; +PERIOD NET "RCLK_c" 16.000000 ns ; +USE PRIMARY NET "nCRAS_c" ; +SCHEMATIC END ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +COMMERCIAL ; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt new file mode 100644 index 0000000..916dbc3 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.pt @@ -0,0 +1,10 @@ +-v +10 + + + + +-gt +-sethld +-sp 3 +-sphld m diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b new file mode 100644 index 0000000..aa05f83 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.t2b @@ -0,0 +1,2 @@ + +-g ES:No diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 new file mode 100644 index 0000000..f728d52 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.tw1 @@ -0,0 +1,2507 @@ + +Loading design for application trce from file ram2gs_lcmxo256c_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO256C +Package: TQFP100 +Performance: 3 +Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.19. +Performance Hardware Data Status: Version 1.124. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:32:27 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf +Design file: ram2gs_lcmxo256c_impl1_map.ncd +Preference file: ram2gs_lcmxo256c_impl1.prf +Device,speed: LCMXO256C,3 +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. + + Constraint Details: + + 12.873ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 +CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 +ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 +CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 +ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 +CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 +ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 +CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 +ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 +CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 +ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 +ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.873 (21.6% logic, 78.4% route), 7 logic levels. + +Report: 26.276ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.575ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. + + Constraint Details: + + 10.181ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 +CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 +ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 +CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 +ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 +CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 +ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 +CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 +ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 +CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 +ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 10.181 (23.7% logic, 76.3% route), 6 logic levels. + +Report: 10.425ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_55 and + 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets + 12.500ns offset RCLK to RA[10] by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[9] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[8] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[7] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[6] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[5] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.427ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets + 12.500ns offset RCLK to RA[4] by 3.427ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 6.569 (69.5% logic, 30.5% route), 3 logic levels. + +Report: 9.073ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[3] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[2] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[1] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[0] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_60 and + 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_34 and + 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets + 12.500ns offset RCLK to RCKE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_63 and + 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets + 12.500ns offset RCLK to nRWE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_61 and + 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRRAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_58 and + 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQMH by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQML by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:32:27 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf +Design file: ram2gs_lcmxo256c_impl1_map.ncd +Preference file: ram2gs_lcmxo256c_impl1.prf +Device,speed: LCMXO256C,M +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.485ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. + + Constraint Details: + + 0.462ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted +CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 +ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) + -------- + 0.462 (56.7% logic, 43.3% route), 2 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.377ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. + + Constraint Details: + + 0.356ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) + -------- + 0.356 (44.1% logic, 55.9% route), 1 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_55 and + 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RA[10] by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.850ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.850ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 2.071 (65.5% logic, 34.5% route), 3 logic levels. + +Report: 2.850ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_60 and + 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_34 and + 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RCKE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_63 and + 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRWE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_61 and + 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_58 and + 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQML by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr new file mode 100644 index 0000000..b0d4521 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.twr @@ -0,0 +1,4355 @@ + +Loading design for application trce from file ram2gs_lcmxo256c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO256C +Package: TQFP100 +Performance: 3 +Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.19. +Performance Hardware Data Status: Version 1.124. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:32:34 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf +Design file: ram2gs_lcmxo256c_impl1.ncd +Preference file: ram2gs_lcmxo256c_impl1.prf +Device,speed: LCMXO256C,3 +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.925ns (weighted slack = 323.850ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.810ns (21.7% logic, 78.3% route), 7 logic levels. + + Constraint Details: + + 12.810ns physical path delay SLICE_94 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.925ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.810 (21.7% logic, 78.3% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.080ns (weighted slack = 324.160ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.655ns (22.0% logic, 78.0% route), 7 logic levels. + + Constraint Details: + + 12.655ns physical path delay SLICE_95 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.080ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) +ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 +CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.655 (22.0% logic, 78.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.409ns (weighted slack = 324.818ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.326ns (22.6% logic, 77.4% route), 7 logic levels. + + Constraint Details: + + 12.326ns physical path delay SLICE_94 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.409ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.326 (22.6% logic, 77.4% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.416ns (weighted slack = 324.832ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 12.319ns (22.6% logic, 77.4% route), 7 logic levels. + + Constraint Details: + + 12.319ns physical path delay SLICE_94 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.416ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.319 (22.6% logic, 77.4% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.564ns (weighted slack = 325.128ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.171ns (22.9% logic, 77.1% route), 7 logic levels. + + Constraint Details: + + 12.171ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.564ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) +ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 +CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.171 (22.9% logic, 77.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.571ns (weighted slack = 325.142ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 12.164ns (22.9% logic, 77.1% route), 7 logic levels. + + Constraint Details: + + 12.164ns physical path delay SLICE_95 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.571ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) +ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 +CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.164 (22.9% logic, 77.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.606ns (weighted slack = 325.212ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.129ns (23.0% logic, 77.0% route), 7 logic levels. + + Constraint Details: + + 12.129ns physical path delay SLICE_95 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.606ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 1.155 R2C2C.Q1 to R5C2B.D1 Bank_7 +CTOF_DEL --- 0.371 R5C2B.D1 to R5C2B.F1 SLICE_67 +ROUTE 1 0.304 R5C2B.F1 to R5C2C.D1 n2154 +CTOF_DEL --- 0.371 R5C2C.D1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.129 (23.0% logic, 77.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.635ns (weighted slack = 325.270ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i1 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.100ns (20.0% logic, 80.0% route), 6 logic levels. + + Constraint Details: + + 12.100ns physical path delay SLICE_94 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.635ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q1 SLICE_94 (from PHI2_c) +ROUTE 1 1.905 R2C3B.Q1 to R6C2B.C1 Bank_1 +CTOF_DEL --- 0.371 R6C2B.C1 to R6C2B.F1 SLICE_97 +ROUTE 1 1.444 R6C2B.F1 to R5C5B.C1 n2170 +CTOF_DEL --- 0.371 R5C5B.C1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.100 (20.0% logic, 80.0% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.868ns (weighted slack = 325.736ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 11.867ns (23.5% logic, 76.5% route), 7 logic levels. + + Constraint Details: + + 11.867ns physical path delay SLICE_97 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.868ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R6C2B.CLK to R6C2B.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 0.700 R6C2B.Q1 to R5C2C.D0 Bank_5 +CTOF_DEL --- 0.371 R5C2C.D0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 11.867 (23.5% logic, 76.5% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R6C2B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.886ns (weighted slack = 325.772ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 11.849ns (23.5% logic, 76.5% route), 7 logic levels. + + Constraint Details: + + 11.849ns physical path delay SLICE_94 to SLICE_96 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.886ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4D.A1 to R4C4D.F1 SLICE_90 +ROUTE 1 1.073 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 11.849 (23.5% logic, 76.5% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R3C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 26.150ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 7.566ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.190ns (29.5% logic, 70.5% route), 6 logic levels. + + Constraint Details: + + 8.190ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.566ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.190 (29.5% logic, 70.5% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.590ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 8.166ns (29.6% logic, 70.4% route), 6 logic levels. + + Constraint Details: + + 8.166ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.590ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 8.166 (29.6% logic, 70.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.984ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i13 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 7.772ns (31.1% logic, 68.9% route), 6 logic levels. + + Constraint Details: + + 7.772ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.984ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) +ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 +CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 7.772 (31.1% logic, 68.9% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.008ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i13 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 7.748ns (31.2% logic, 68.8% route), 6 logic levels. + + Constraint Details: + + 7.748ns physical path delay SLICE_8 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.008ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) +ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 +CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 7.748 (31.2% logic, 68.8% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.123ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i12 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 7.633ns (31.6% logic, 68.4% route), 6 logic levels. + + Constraint Details: + + 7.633ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.123ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) +ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 +CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 7.633 (31.6% logic, 68.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.147ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i12 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 7.609ns (31.7% logic, 68.3% route), 6 logic levels. + + Constraint Details: + + 7.609ns physical path delay SLICE_8 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.147ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) +ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 +CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 7.609 (31.7% logic, 68.3% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.262ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in UFMCLK_389 (to RCLK_c +) + + Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. + + Constraint Details: + + 7.112ns physical path delay SLICE_7 to SLICE_42 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_42: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 +CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 +ROUTE 2 1.538 R6C4A.F0 to R7C5A.LSR n2291 (to RCLK_c) + -------- + 7.112 (23.5% logic, 76.5% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_42: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R7C5A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.262ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in UFMSDI_390 (to RCLK_c +) + + Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. + + Constraint Details: + + 7.112ns physical path delay SLICE_7 to SLICE_43 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_43: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 +CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 +ROUTE 2 1.538 R6C4A.F0 to R7C5B.LSR n2291 (to RCLK_c) + -------- + 7.112 (23.5% logic, 76.5% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_43: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R7C5B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.316ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 7.440ns (32.5% logic, 67.5% route), 6 logic levels. + + Constraint Details: + + 7.440ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.316ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 +CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 7.440 (32.5% logic, 67.5% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.340ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 7.416ns (32.6% logic, 67.4% route), 6 logic levels. + + Constraint Details: + + 7.416ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.340ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 +CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 7.416 (32.6% logic, 67.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 8.434ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.904ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 6.180ns (67.9% logic, 32.1% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_55 and + 6.180ns delay SLICE_55 to RA[10] (totaling 8.596ns) meets + 12.500ns offset RCLK to RA[10] by 3.904ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C4B.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 1.984 R2C4B.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 6.180 (67.9% logic, 32.1% route), 2 logic levels. + +Report: 8.596ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.734ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.350ns delay SLICE_64 to RA[9] (totaling 8.766ns) meets + 12.500ns offset RCLK to RA[9] by 3.734ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C1 nRowColSel +CTOF_DEL --- 0.371 R2C4A.C1 to R2C4A.F1 SLICE_88 +ROUTE 1 0.817 R2C4A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 6.350 (71.9% logic, 28.1% route), 3 logic levels. + +Report: 8.766ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.604ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 6.480ns (70.5% logic, 29.5% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.480ns delay SLICE_64 to RA[8] (totaling 8.896ns) meets + 12.500ns offset RCLK to RA[8] by 3.604ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B0 nRowColSel +CTOF_DEL --- 0.371 R2C2C.B0 to R2C2C.F0 SLICE_95 +ROUTE 1 0.817 R2C2C.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 6.480 (70.5% logic, 29.5% route), 3 logic levels. + +Report: 8.896ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.245ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.839ns (58.3% logic, 41.7% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.839ns delay SLICE_64 to RA[7] (totaling 10.255ns) meets + 12.500ns offset RCLK to RA[7] by 2.245ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.234 R2C2A.Q0 to R6C2B.D0 nRowColSel +CTOF_DEL --- 0.371 R6C2B.D0 to R6C2B.F0 SLICE_97 +ROUTE 1 2.038 R6C2B.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.839 (58.3% logic, 41.7% route), 3 logic levels. + +Report: 10.255ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.499ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.585ns (60.2% logic, 39.8% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.585ns delay SLICE_64 to RA[6] (totaling 10.001ns) meets + 12.500ns offset RCLK to RA[6] by 2.499ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C0 nRowColSel +CTOF_DEL --- 0.371 R3C2A.C0 to R3C2A.F0 SLICE_98 +ROUTE 1 2.052 R3C2A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.585 (60.2% logic, 39.8% route), 3 logic levels. + +Report: 10.001ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.891ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.193ns (63.5% logic, 36.5% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.193ns delay SLICE_64 to RA[5] (totaling 9.609ns) meets + 12.500ns offset RCLK to RA[5] by 2.891ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C1 nRowColSel +CTOF_DEL --- 0.371 R3C2A.C1 to R3C2A.F1 SLICE_98 +ROUTE 1 1.660 R3C2A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.193 (63.5% logic, 36.5% route), 3 logic levels. + +Report: 9.609ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.996ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 6.088ns (75.0% logic, 25.0% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.088ns delay SLICE_64 to RA[4] (totaling 8.504ns) meets + 12.500ns offset RCLK to RA[4] by 3.996ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.704 R2C2A.Q0 to R2C2A.D1 nRowColSel +CTOF_DEL --- 0.371 R2C2A.D1 to R2C2A.F1 SLICE_64 +ROUTE 1 0.817 R2C2A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 6.088 (75.0% logic, 25.0% route), 3 logic levels. + +Report: 8.504ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.567ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.517ns (60.8% logic, 39.2% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.517ns delay SLICE_64 to RA[3] (totaling 9.933ns) meets + 12.500ns offset RCLK to RA[3] by 2.567ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C1 nRowColSel +CTOF_DEL --- 0.371 R2C3B.C1 to R2C3B.F1 SLICE_94 +ROUTE 1 1.984 R2C3B.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 7.517 (60.8% logic, 39.2% route), 3 logic levels. + +Report: 9.933ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.438ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.646ns (59.7% logic, 40.3% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.646ns delay SLICE_64 to RA[2] (totaling 10.062ns) meets + 12.500ns offset RCLK to RA[2] by 2.438ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B1 nRowColSel +CTOF_DEL --- 0.371 R2C2C.B1 to R2C2C.F1 SLICE_95 +ROUTE 1 1.983 R2C2C.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 7.646 (59.7% logic, 40.3% route), 3 logic levels. + +Report: 10.062ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.734ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.350ns delay SLICE_64 to RA[1] (totaling 8.766ns) meets + 12.500ns offset RCLK to RA[1] by 3.734ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C0 nRowColSel +CTOF_DEL --- 0.371 R2C3B.C0 to R2C3B.F0 SLICE_94 +ROUTE 1 0.817 R2C3B.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 6.350 (71.9% logic, 28.1% route), 3 logic levels. + +Report: 8.766ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.826ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.258ns (62.9% logic, 37.1% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.258ns delay SLICE_64 to RA[0] (totaling 9.674ns) meets + 12.500ns offset RCLK to RA[0] by 2.826ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.165 R2C2A.Q0 to R3C2B.B1 nRowColSel +CTOF_DEL --- 0.371 R3C2B.B1 to R3C2B.F1 SLICE_92 +ROUTE 1 1.526 R3C2B.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 7.258 (62.9% logic, 37.1% route), 3 logic levels. + +Report: 9.674ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.071ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_60 and + 5.013ns delay SLICE_60 to nRCS (totaling 7.429ns) meets + 12.500ns offset RCLK to nRCS by 5.071ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C5B.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.817 R2C5B.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.429ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.420ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 6.664ns (63.0% logic, 37.0% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_34 and + 6.664ns delay SLICE_34 to RCKE (totaling 9.080ns) meets + 12.500ns offset RCLK to RCKE by 3.420ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R5C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 2.468 R5C2A.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 6.664 (63.0% logic, 37.0% route), 2 logic levels. + +Report: 9.080ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.071ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_63 and + 5.013ns delay SLICE_63 to nRWE (totaling 7.429ns) meets + 12.500ns offset RCLK to nRWE by 5.071ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R3C5B.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 0.817 R3C5B.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.429ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.885ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 6.199ns (67.7% logic, 32.3% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_61 and + 6.199ns delay SLICE_61 to nRRAS (totaling 8.615ns) meets + 12.500ns offset RCLK to nRRAS by 3.885ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R4C5A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 2.003 R4C5A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 6.199 (67.7% logic, 32.3% route), 2 logic levels. + +Report: 8.615ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.905ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 6.179ns (67.9% logic, 32.1% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_58 and + 6.179ns delay SLICE_58 to nRCAS (totaling 8.595ns) meets + 12.500ns offset RCLK to nRCAS by 3.905ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C4C.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 1.983 R2C4C.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 6.179 (67.9% logic, 32.1% route), 2 logic levels. + +Report: 8.595ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.025ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.059ns (64.7% logic, 35.3% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.059ns delay SLICE_64 to RDQMH (totaling 9.475ns) meets + 12.500ns offset RCLK to RDQMH by 3.025ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C0 nRowColSel +CTOF_DEL --- 0.371 R2C4A.C0 to R2C4A.F0 SLICE_88 +ROUTE 1 1.526 R2C4A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.059 (64.7% logic, 35.3% route), 3 logic levels. + +Report: 9.475ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.023ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 8.061ns (56.7% logic, 43.3% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 8.061ns delay SLICE_64 to RDQML (totaling 10.477ns) meets + 12.500ns offset RCLK to RDQML by 2.023ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.954 R2C2A.Q0 to R3C2B.C0 nRowColSel +CTOF_DEL --- 0.371 R3C2B.C0 to R3C2B.F0 SLICE_92 +ROUTE 1 2.540 R3C2B.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 8.061 (56.7% logic, 43.3% route), 3 logic levels. + +Report: 10.477ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.150 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 8.434 ns| 6 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.596 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.896 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.255 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.001 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.609 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.504 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.933 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.062 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.080 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.615 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.595 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.475 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.477 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:32:34 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf +Design file: ram2gs_lcmxo256c_impl1.ncd +Preference file: ram2gs_lcmxo256c_impl1.prf +Device,speed: LCMXO256C,m +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.444ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.421ns (62.2% logic, 37.8% route), 2 logic levels. + + Constraint Details: + + 0.421ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.023ns) by 0.444ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D0 ADSubmitted +CTOF_DEL --- 0.092 R5C3A.D0 to R5C3A.F0 SLICE_9 +ROUTE 1 0.000 R5C3A.F0 to R5C3A.DI0 n1361 (to PHI2_c) + -------- + 0.421 (62.2% logic, 37.8% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.186ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) + + Delay: 1.157ns (30.6% logic, 69.4% route), 3 logic levels. + + Constraint Details: + + 1.157ns physical path delay SLICE_18 to SLICE_23 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.186ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 0.167 R4C4D.F0 to R4C4C.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.157 (30.6% logic, 69.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R4C4C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.193ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_379 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.164ns (38.3% logic, 61.7% route), 4 logic levels. + + Constraint Details: + + 1.164ns physical path delay SLICE_14 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.193ns + + Physical Path Details: + + Data path SLICE_14 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R6C3B.CLK to R6C3B.Q0 SLICE_14 (from PHI2_c) +ROUTE 1 0.253 R6C3B.Q0 to R6C3B.B1 C1Submitted +CTOF_DEL --- 0.092 R6C3B.B1 to R6C3B.F1 SLICE_14 +ROUTE 1 0.075 R6C3B.F1 to R6C3C.D1 n2098 +CTOF_DEL --- 0.092 R6C3C.D1 to R6C3C.F1 SLICE_77 +ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 +CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 +ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.164 (38.3% logic, 61.7% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.280ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.251ns (35.7% logic, 64.3% route), 4 logic levels. + + Constraint Details: + + 1.251ns physical path delay SLICE_9 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.280ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D1 ADSubmitted +CTOF_DEL --- 0.092 R5C3A.D1 to R5C3A.F1 SLICE_9 +ROUTE 1 0.256 R5C3A.F1 to R6C3C.A1 n2080 +CTOF_DEL --- 0.092 R6C3C.A1 to R6C3C.F1 SLICE_77 +ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 +CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 +ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.251 (35.7% logic, 64.3% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.286ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 1.257ns (28.2% logic, 71.8% route), 3 logic levels. + + Constraint Details: + + 1.257ns physical path delay SLICE_18 to SLICE_96 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.286ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4D.A1 to R4C4D.F1 SLICE_90 +ROUTE 1 0.267 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 1.257 (28.2% logic, 71.8% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.400ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 1.371ns (25.8% logic, 74.2% route), 3 logic levels. + + Constraint Details: + + 1.371ns physical path delay SLICE_18 to SLICE_88 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.400ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 0.381 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.371 (25.8% logic, 74.2% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R2C4A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.414ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 1.385ns (25.6% logic, 74.4% route), 3 logic levels. + + Constraint Details: + + 1.385ns physical path delay SLICE_18 to SLICE_19 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.414ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 0.395 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.385 (25.6% logic, 74.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.537ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 1.508ns (23.5% logic, 76.5% route), 3 logic levels. + + Constraint Details: + + 1.508ns physical path delay SLICE_18 to SLICE_83 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.537ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 0.518 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.508 (23.5% logic, 76.5% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C4B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.681ns (weighted slack = 351.362ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG_381 (from PHI2_c -) + Destination: FF Data in RA11_358 (to PHI2_c +) + + Delay: 0.670ns (39.1% logic, 60.9% route), 2 logic levels. + + Constraint Details: + + 0.670ns physical path delay SLICE_96 to SLICE_31 meets + -0.011ns DIN_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.011ns) by 175.681ns + + Physical Path Details: + + Data path SLICE_96 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R3C4B.CLK to R3C4B.Q0 SLICE_96 (from PHI2_c) +ROUTE 1 0.408 R3C4B.Q0 to R2C5A.D0 XOR8MEG +CTOF_DEL --- 0.092 R2C5A.D0 to R2C5A.F0 SLICE_31 +ROUTE 1 0.000 R2C5A.F0 to R2C5A.DI0 RA11_N_180 (to PHI2_c) + -------- + 0.670 (39.1% logic, 60.9% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R2C5A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 176.485ns (weighted slack = 352.970ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i3 (from PHI2_c +) + Destination: FF Data in C1Submitted_379 (to PHI2_c -) + + Delay: 1.456ns (23.4% logic, 76.6% route), 3 logic levels. + + Constraint Details: + + 1.456ns physical path delay SLICE_98 to SLICE_14 meets + -0.029ns CE_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.029ns) by 176.485ns + + Physical Path Details: + + Data path SLICE_98 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q1 SLICE_98 (from PHI2_c) +ROUTE 1 0.495 R3C2A.Q1 to R5C5B.A1 Bank_3 +CTOF_DEL --- 0.092 R5C5B.A1 to R5C5B.F1 SLICE_76 +ROUTE 4 0.459 R5C5B.F1 to R6C3A.D1 n1285 +CTOF_DEL --- 0.092 R6C3A.D1 to R6C3A.F1 SLICE_89 +ROUTE 1 0.161 R6C3A.F1 to R6C3B.CE PHI2_N_114_enable_1 (to PHI2_c) + -------- + 1.456 (23.4% logic, 76.6% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_98: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R3C2A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R4C4A.Q0 to R4C4A.M1 n702 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i12 (from RCLK_c +) + Destination: FF Data in IS_FSM__i13 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q1 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R4C4A.Q1 to R4C4D.M0 n701 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i7 (from RCLK_c +) + Destination: FF Data in IS_FSM__i8 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_73 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_73 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C4A.CLK to R7C4A.Q0 SLICE_73 (from RCLK_c) +ROUTE 1 0.161 R7C4A.Q0 to R7C4A.M1 n706 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i3 (from RCLK_c +) + Destination: FF Data in IS_FSM__i4 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_74 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_74 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C3B.CLK to R5C3B.Q0 SLICE_74 (from RCLK_c) +ROUTE 1 0.161 R5C3B.Q0 to R5C3B.M1 n710 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i6 (from RCLK_c +) + Destination: FF Data in IS_FSM__i7 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q1 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R7C4B.Q1 to R7C4A.M0 n707 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i5 (from RCLK_c +) + Destination: FF Data in IS_FSM__i6 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_75 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_75: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q0 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R7C4B.Q0 to R7C4B.M1 n708 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i10 (from RCLK_c +) + Destination: FF Data in IS_FSM__i11 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_84 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_84 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4B.CLK to R4C4B.Q1 SLICE_84 (from RCLK_c) +ROUTE 1 0.161 R4C4B.Q1 to R4C4A.M0 n703 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_84: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_87 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_87 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C3D.CLK to R5C3D.Q1 SLICE_87 (from RCLK_c) +ROUTE 1 0.161 R5C3D.Q1 to R5C3B.M0 n711 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_87: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i13 (from RCLK_c +) + Destination: FF Data in IS_FSM__i14 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_90 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_90 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4D.CLK to R4C4D.Q0 SLICE_90 (from RCLK_c) +ROUTE 1 0.161 R4C4D.Q0 to R4C4D.M1 n700 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.345ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RASr2_353 (from RCLK_c +) + Destination: FF Data in RASr3_354 (to RCLK_c +) + + Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. + + Constraint Details: + + 0.324ns physical path delay SLICE_93 to SLICE_93 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.345ns + + Physical Path Details: + + Data path SLICE_93 to SLICE_93: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C5D.CLK to R7C5D.Q0 SLICE_93 (from RCLK_c) +ROUTE 16 0.167 R7C5D.Q0 to R7C5D.M1 RASr2 (to RCLK_c) + -------- + 0.324 (48.5% logic, 51.5% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_93: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_93: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.220ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.733ns (73.0% logic, 27.0% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_55 and + 1.733ns delay SLICE_55 to RA[10] (totaling 2.220ns) meets + 0.000ns hold offset RCLK to RA[10] by 2.220ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C4B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 0.468 R2C4B.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.733 (73.0% logic, 27.0% route), 2 logic levels. + +Report: 2.220ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.797ns delay SLICE_64 to RA[9] (totaling 2.284ns) meets + 0.000ns hold offset RCLK to RA[9] by 2.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C1 nRowColSel +CTOF_DEL --- 0.092 R2C4A.C1 to R2C4A.F1 SLICE_88 +ROUTE 1 0.197 R2C4A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 1.797 (75.5% logic, 24.5% route), 3 logic levels. + +Report: 2.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.316ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 1.829ns (74.2% logic, 25.8% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.829ns delay SLICE_64 to RA[8] (totaling 2.316ns) meets + 0.000ns hold offset RCLK to RA[8] by 2.316ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B0 nRowColSel +CTOF_DEL --- 0.092 R2C2C.B0 to R2C2C.F0 SLICE_95 +ROUTE 1 0.197 R2C2C.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 1.829 (74.2% logic, 25.8% route), 3 logic levels. + +Report: 2.316ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.652ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.165ns delay SLICE_64 to RA[7] (totaling 2.652ns) meets + 0.000ns hold offset RCLK to RA[7] by 2.652ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.319 R2C2A.Q0 to R6C2B.D0 nRowColSel +CTOF_DEL --- 0.092 R6C2B.D0 to R6C2B.F0 SLICE_97 +ROUTE 1 0.489 R6C2B.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.165 (62.7% logic, 37.3% route), 3 logic levels. + +Report: 2.652ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.579ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.092ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.092ns delay SLICE_64 to RA[6] (totaling 2.579ns) meets + 0.000ns hold offset RCLK to RA[6] by 2.579ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C0 nRowColSel +CTOF_DEL --- 0.092 R3C2A.C0 to R3C2A.F0 SLICE_98 +ROUTE 1 0.492 R3C2A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.092 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 2.579ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.481ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 1.994ns (68.1% logic, 31.9% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.994ns delay SLICE_64 to RA[5] (totaling 2.481ns) meets + 0.000ns hold offset RCLK to RA[5] by 2.481ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C1 nRowColSel +CTOF_DEL --- 0.092 R3C2A.C1 to R3C2A.F1 SLICE_98 +ROUTE 1 0.394 R3C2A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 1.994 (68.1% logic, 31.9% route), 3 logic levels. + +Report: 2.481ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.219ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 1.732ns (78.3% logic, 21.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.732ns delay SLICE_64 to RA[4] (totaling 2.219ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.219ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.178 R2C2A.Q0 to R2C2A.D1 nRowColSel +CTOF_DEL --- 0.092 R2C2A.D1 to R2C2A.F1 SLICE_64 +ROUTE 1 0.197 R2C2A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 1.732 (78.3% logic, 21.7% route), 3 logic levels. + +Report: 2.219ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.555ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.068ns (65.6% logic, 34.4% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.068ns delay SLICE_64 to RA[3] (totaling 2.555ns) meets + 0.000ns hold offset RCLK to RA[3] by 2.555ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C1 nRowColSel +CTOF_DEL --- 0.092 R2C3B.C1 to R2C3B.F1 SLICE_94 +ROUTE 1 0.468 R2C3B.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.068 (65.6% logic, 34.4% route), 3 logic levels. + +Report: 2.555ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.599ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.112ns (64.3% logic, 35.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.112ns delay SLICE_64 to RA[2] (totaling 2.599ns) meets + 0.000ns hold offset RCLK to RA[2] by 2.599ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B1 nRowColSel +CTOF_DEL --- 0.092 R2C2C.B1 to R2C2C.F1 SLICE_95 +ROUTE 1 0.480 R2C2C.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.112 (64.3% logic, 35.7% route), 3 logic levels. + +Report: 2.599ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.797ns delay SLICE_64 to RA[1] (totaling 2.284ns) meets + 0.000ns hold offset RCLK to RA[1] by 2.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C0 nRowColSel +CTOF_DEL --- 0.092 R2C3B.C0 to R2C3B.F0 SLICE_94 +ROUTE 1 0.197 R2C3B.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 1.797 (75.5% logic, 24.5% route), 3 logic levels. + +Report: 2.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.492ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.005ns (67.7% logic, 32.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.005ns delay SLICE_64 to RA[0] (totaling 2.492ns) meets + 0.000ns hold offset RCLK to RA[0] by 2.492ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.292 R2C2A.Q0 to R3C2B.B1 nRowColSel +CTOF_DEL --- 0.092 R3C2B.B1 to R3C2B.F1 SLICE_92 +ROUTE 1 0.356 R3C2B.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.005 (67.7% logic, 32.3% route), 3 logic levels. + +Report: 2.492ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_60 and + 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRCS by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C5B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.197 R2C5B.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.363ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_34 and + 1.876ns delay SLICE_34 to RCKE (totaling 2.363ns) meets + 0.000ns hold offset RCLK to RCKE by 2.363ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 0.611 R5C2A.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.876 (67.4% logic, 32.6% route), 2 logic levels. + +Report: 2.363ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_63 and + 1.462ns delay SLICE_63 to nRWE (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRWE by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R3C5B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 0.197 R3C5B.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.236ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.749ns (72.3% logic, 27.7% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_61 and + 1.749ns delay SLICE_61 to nRRAS (totaling 2.236ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.236ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R4C5A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.484 R4C5A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.749 (72.3% logic, 27.7% route), 2 logic levels. + +Report: 2.236ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.232ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.745ns (72.5% logic, 27.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_58 and + 1.745ns delay SLICE_58 to nRCAS (totaling 2.232ns) meets + 0.000ns hold offset RCLK to nRCAS by 2.232ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C4C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 0.480 R2C4C.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.745 (72.5% logic, 27.5% route), 2 logic levels. + +Report: 2.232ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.443ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 1.956ns (69.4% logic, 30.6% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.956ns delay SLICE_64 to RDQMH (totaling 2.443ns) meets + 0.000ns hold offset RCLK to RDQMH by 2.443ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C0 nRowColSel +CTOF_DEL --- 0.092 R2C4A.C0 to R2C4A.F0 SLICE_88 +ROUTE 1 0.356 R2C4A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 1.956 (69.4% logic, 30.6% route), 3 logic levels. + +Report: 2.443ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.713ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.226ns (61.0% logic, 39.0% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.226ns delay SLICE_64 to RDQML (totaling 2.713ns) meets + 0.000ns hold offset RCLK to RDQML by 2.713ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.238 R2C2A.Q0 to R3C2B.C0 nRowColSel +CTOF_DEL --- 0.092 R3C2B.C0 to R3C2B.F0 SLICE_92 +ROUTE 1 0.631 R3C2B.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 2.226 (61.0% logic, 39.0% route), 3 logic levels. + +Report: 2.713ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.220 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.316 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.579 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.481 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.219 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.555 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.599 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.492 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.236 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.232 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.443 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.713 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html new file mode 100644 index 0000000..0fd44c9 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_bgn.html @@ -0,0 +1,111 @@ + +Bitgen Report + + + + + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html new file mode 100644 index 0000000..61370c6 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_iotiming.html @@ -0,0 +1,202 @@ + +I/O Timing Report + + +
I/O Timing Report
+Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
+Design name: RAM2GS
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO256C
+Package:     TQFP100
+Performance: 4
+Package Status:                     Final          Version 1.19.
+Performance Hardware Data Status: Version 1.124.
+Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
+Design name: RAM2GS
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO256C
+Package:     TQFP100
+Performance: 5
+Package Status:                     Final          Version 1.19.
+Performance Hardware Data Status: Version 1.124.
+Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
+Design name: RAM2GS
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO256C
+Package:     TQFP100
+Performance: M
+Package Status:                     Final          Version 1.19.
+Performance Hardware Data Status: Version 1.124.
+// Design: RAM2GS
+// Package: TQFP100
+// ncd File: ram2gs_lcmxo256c_impl1.ncd
+// Version: Diamond (64-bit) 3.12.0.240.2
+// Written on Mon Aug 16 21:32:34 2021
+// M: Minimum Performance Grade
+// iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml
+
+I/O Timing Report (All units are in ns)
+
+Worst Case Results across Performance Grades (M, 5, 4, 3):
+
+// Input Setup and Hold Times
+
+Port    Clock Edge  Setup Performance_Grade  Hold Performance_Grade
+----------------------------------------------------------------------
+CROW[0] nCRAS F     0.215      3       1.805     3
+CROW[1] nCRAS F    -0.050      M       2.105     3
+Din[0]  PHI2  F     5.083      3       2.097     3
+Din[0]  nCCAS F    -0.020      M       2.133     3
+Din[1]  PHI2  F     3.519      3       2.454     3
+Din[1]  nCCAS F    -0.146      M       2.462     3
+Din[2]  PHI2  F     4.416      3       2.660     3
+Din[2]  nCCAS F     0.272      3       1.853     3
+Din[3]  PHI2  F     5.627      3       2.084     3
+Din[3]  nCCAS F    -0.024      M       2.144     3
+Din[4]  PHI2  F     4.808      3       2.117     3
+Din[4]  nCCAS F     0.350      3       1.766     3
+Din[5]  PHI2  F     5.446      3       2.212     3
+Din[5]  nCCAS F     0.435      3       1.708     3
+Din[6]  PHI2  F     5.339      3       1.487     3
+Din[6]  nCCAS F    -0.140      M       2.452     3
+Din[7]  PHI2  F     4.546      3       1.555     3
+Din[7]  nCCAS F    -0.016      M       2.122     3
+MAin[0] PHI2  F     4.027      3       0.711     3
+MAin[0] nCRAS F     1.132      3       0.987     3
+MAin[1] PHI2  F     4.032      3       1.734     3
+MAin[1] nCRAS F     0.704      3       1.373     3
+MAin[2] PHI2  F    10.358      3      -0.773     M
+MAin[2] nCRAS F    -0.202      M       2.529     3
+MAin[3] PHI2  F    10.442      3      -0.829     M
+MAin[3] nCRAS F     0.186      3       1.819     3
+MAin[4] PHI2  F    10.311      3      -0.765     M
+MAin[4] nCRAS F     0.569      3       1.506     3
+MAin[5] PHI2  F     7.007      3       0.178     3
+MAin[5] nCRAS F     0.186      3       1.819     3
+MAin[6] PHI2  F     9.786      3      -0.641     M
+MAin[6] nCRAS F     0.177      3       1.829     3
+MAin[7] PHI2  F    10.008      3      -0.718     M
+MAin[7] nCRAS F    -0.092      M       2.222     3
+MAin[8] nCRAS F    -0.202      M       2.532     3
+MAin[9] nCRAS F     0.228      3       1.797     3
+PHI2    RCLK  R     5.091      3      -0.759     M
+UFMSDO  RCLK  R     2.219      3      -0.104     M
+nCCAS   RCLK  R     3.820      3      -0.611     M
+nCCAS   nCRAS F     1.538      3       0.708     3
+nCRAS   RCLK  R     4.749      3      -0.670     M
+nFWE    PHI2  F     5.301      3       1.647     3
+nFWE    nCRAS F     1.049      3       1.128     3
+
+
+// Clock to Output Delay
+
+Port   Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
+------------------------------------------------------------------------
+LED    RCLK  R    11.669         3        3.051          M
+RA[0]  RCLK  R     9.674         3        2.492          M
+RA[0]  nCRAS F    12.127         3        3.067          M
+RA[10] RCLK  R     8.596         3        2.220          M
+RA[11] PHI2  R     9.987         3        2.559          M
+RA[1]  RCLK  R     8.766         3        2.284          M
+RA[1]  nCRAS F    11.652         3        2.982          M
+RA[2]  RCLK  R    10.062         3        2.599          M
+RA[2]  nCRAS F    12.947         3        3.306          M
+RA[3]  RCLK  R     9.933         3        2.555          M
+RA[3]  nCRAS F    12.783         3        3.240          M
+RA[4]  RCLK  R     8.504         3        2.219          M
+RA[4]  nCRAS F    11.513         3        2.948          M
+RA[5]  RCLK  R     9.609         3        2.481          M
+RA[5]  nCRAS F    11.870         3        3.010          M
+RA[6]  RCLK  R    10.001         3        2.579          M
+RA[6]  nCRAS F    12.947         3        3.292          M
+RA[7]  RCLK  R    10.255         3        2.652          M
+RA[7]  nCRAS F    12.177         3        3.089          M
+RA[8]  RCLK  R     8.896         3        2.316          M
+RA[8]  nCRAS F    11.417         3        2.920          M
+RA[9]  RCLK  R     8.766         3        2.284          M
+RA[9]  nCRAS F    11.617         3        2.957          M
+RBA[0] nCRAS F     9.698         3        2.483          M
+RBA[1] nCRAS F    11.425         3        2.916          M
+RCKE   RCLK  R     9.080         3        2.363          M
+RDQMH  RCLK  R     9.475         3        2.443          M
+RDQML  RCLK  R    10.477         3        2.713          M
+RD[0]  nCCAS F    11.252         3        2.942          M
+RD[1]  nCCAS F    11.963         3        3.100          M
+RD[2]  nCCAS F    12.880         3        3.336          M
+RD[3]  nCCAS F    12.422         3        3.224          M
+RD[4]  nCCAS F    11.252         3        2.942          M
+RD[5]  nCCAS F    12.423         3        3.212          M
+RD[6]  nCCAS F    12.979         3        3.375          M
+RD[7]  nCCAS F    12.914         3        3.350          M
+UFMCLK RCLK  R     8.007         3        2.126          M
+UFMSDI RCLK  R     8.007         3        2.126          M
+nRCAS  RCLK  R     8.595         3        2.232          M
+nRCS   RCLK  R     7.429         3        1.949          M
+nRRAS  RCLK  R     8.615         3        2.236          M
+nRWE   RCLK  R     7.429         3        1.949          M
+nUFMCS RCLK  R     9.193         3        2.413          M
+WARNING: you must also run trce with hold speed: 3
+WARNING: you must also run trce with setup speed: M
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj new file mode 100644 index 0000000..a0fe340 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_lattice.synproj @@ -0,0 +1,41 @@ +-a "MachXO" +-d LCMXO256C +-t TQFP100 +-s 3 +-frequency 200 +-optimization_goal Balanced +-bram_utilization 100 +-ramstyle Auto +-romstyle auto +-dsp_utilization 100 +-use_dsp 1 +-use_carry_chain 1 +-carry_chain_length 0 +-force_gsr Auto +-resource_sharing 1 +-propagate_constants 1 +-remove_duplicate_regs 1 +-mux_style Auto +-max_fanout 1000 +-fsm_encoding_style Auto +-twr_paths 3 +-fix_gated_clocks 1 +-loop_limit 1950 + + + +-use_io_insertion 1 +-resolve_mixed_drivers 0 +-use_io_reg auto + + +-lpf 1 +-p "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C" +-ver "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" +-top RAM2GS + + +-p "C:/lscc/diamond/3.12/ispfpga/mj5g00/data" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C" + +-ngd "RAM2GS_LCMXO256C_impl1.ngd" + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd new file mode 100644 index 0000000..c70636c --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.asd @@ -0,0 +1,13 @@ +[ActiveSupport MAP] +Device = LCMXO256C; +Package = TQFP100; +Performance = 3; +LUTS_avail = 256; +LUTS_used = 129; +FF_avail = 256; +FF_used = 102; +INPUT_LVTTL33 = 26; +OUTPUT_LVTTL33 = 33; +BIDI_LVTTL33 = 8; +IO_avail = 78; +IO_used = 67; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam new file mode 100644 index 0000000..816529f --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.cam @@ -0,0 +1,108 @@ +[ START MERGED ] +nCRAS_N_9 nCRAS_c +nCCAS_N_3 nCCAS_c +n2307 Ready +n2306 nFWE_c +PHI2_N_114 PHI2_c +n2302 nRowColSel_N_35 +nRWE_N_172 nRWE_N_173 +UFMSDO_N_74 UFMSDO_c +n1377 nRowColSel_N_34 +RASr2_N_63 RASr2 +[ END MERGED ] +[ START CLIPPED ] +GND_net +VCC_net +FS_577_add_4_14/CO0 +FS_577_add_4_16/CO0 +FS_577_add_4_12/CO0 +FS_577_add_4_2/CO0 +FS_577_add_4_4/CO0 +FS_577_add_4_6/CO0 +FS_577_add_4_18/CO1 +FS_577_add_4_18/CO0 +FS_577_add_4_8/CO0 +FS_577_add_4_10/CO0 +[ END CLIPPED ] +[ START DESIGN PREFS ] +SCHEMATIC START ; +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:32:26 2021 + +SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; +LOCATE COMP "RD[7]" SITE "71" ; +LOCATE COMP "RD[6]" SITE "70" ; +LOCATE COMP "RD[5]" SITE "69" ; +LOCATE COMP "RD[4]" SITE "68" ; +LOCATE COMP "RD[3]" SITE "67" ; +LOCATE COMP "RD[2]" SITE "66" ; +LOCATE COMP "RD[1]" SITE "65" ; +LOCATE COMP "RD[0]" SITE "64" ; +LOCATE COMP "Dout[7]" SITE "3" ; +LOCATE COMP "Dout[6]" SITE "2" ; +LOCATE COMP "Dout[5]" SITE "5" ; +LOCATE COMP "Dout[4]" SITE "4" ; +LOCATE COMP "Dout[3]" SITE "6" ; +LOCATE COMP "Dout[2]" SITE "8" ; +LOCATE COMP "Dout[1]" SITE "7" ; +LOCATE COMP "Dout[0]" SITE "1" ; +LOCATE COMP "LED" SITE "57" ; +LOCATE COMP "RBA[1]" SITE "83" ; +LOCATE COMP "RBA[0]" SITE "63" ; +LOCATE COMP "RA[11]" SITE "79" ; +LOCATE COMP "RA[10]" SITE "87" ; +LOCATE COMP "RA[9]" SITE "85" ; +LOCATE COMP "RA[8]" SITE "96" ; +LOCATE COMP "RA[7]" SITE "100" ; +LOCATE COMP "RA[6]" SITE "91" ; +LOCATE COMP "RA[5]" SITE "95" ; +LOCATE COMP "RA[4]" SITE "99" ; +LOCATE COMP "RA[3]" SITE "97" ; +LOCATE COMP "RA[2]" SITE "94" ; +LOCATE COMP "RA[1]" SITE "89" ; +LOCATE COMP "RA[0]" SITE "98" ; +LOCATE COMP "nRCS" SITE "77" ; +LOCATE COMP "RCKE" SITE "82" ; +LOCATE COMP "nRWE" SITE "72" ; +LOCATE COMP "nRRAS" SITE "73" ; +LOCATE COMP "nRCAS" SITE "78" ; +LOCATE COMP "RDQMH" SITE "76" ; +LOCATE COMP "RDQML" SITE "61" ; +LOCATE COMP "nUFMCS" SITE "53" ; +LOCATE COMP "UFMCLK" SITE "58" ; +LOCATE COMP "UFMSDI" SITE "56" ; +LOCATE COMP "PHI2" SITE "39" ; +LOCATE COMP "MAin[9]" SITE "51" ; +LOCATE COMP "MAin[8]" SITE "50" ; +LOCATE COMP "MAin[7]" SITE "44" ; +LOCATE COMP "MAin[6]" SITE "49" ; +LOCATE COMP "MAin[5]" SITE "45" ; +LOCATE COMP "MAin[4]" SITE "46" ; +LOCATE COMP "MAin[3]" SITE "47" ; +LOCATE COMP "MAin[2]" SITE "37" ; +LOCATE COMP "MAin[1]" SITE "38" ; +LOCATE COMP "MAin[0]" SITE "23" ; +LOCATE COMP "CROW[1]" SITE "34" ; +LOCATE COMP "CROW[0]" SITE "32" ; +LOCATE COMP "Din[7]" SITE "19" ; +LOCATE COMP "Din[6]" SITE "20" ; +LOCATE COMP "Din[5]" SITE "17" ; +LOCATE COMP "Din[4]" SITE "18" ; +LOCATE COMP "Din[3]" SITE "16" ; +LOCATE COMP "Din[2]" SITE "14" ; +LOCATE COMP "Din[1]" SITE "15" ; +LOCATE COMP "Din[0]" SITE "21" ; +LOCATE COMP "nCCAS" SITE "27" ; +LOCATE COMP "nCRAS" SITE "43" ; +LOCATE COMP "nFWE" SITE "22" ; +LOCATE COMP "RCLK" SITE "86" ; +LOCATE COMP "UFMSDO" SITE "55" ; +PERIOD NET "PHI2_c" 350.000000 ns ; +USE PRIMARY NET "RCLK_c" ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +USE PRIMARY NET "PHI2_c" ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +USE PRIMARY NET "nCCAS_c" ; +PERIOD NET "RCLK_c" 16.000000 ns ; +USE PRIMARY NET "nCRAS_c" ; +SCHEMATIC END ; +[ END DESIGN PREFS ] diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr new file mode 100644 index 0000000..5a900d5 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.hrr @@ -0,0 +1,10 @@ +--------------------------------------------------- +Report for cell RAM2GS + Instance path: RAM2GS + Cell usage: + cell count Res Usage(%) + SLIC 65.00 100.0 + LUT4 111.00 100.0 + IOBUF 67 100.0 + PFUREG 102 100.0 + RIPPLE 9 100.0 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_map.ncd new file mode 100644 index 0000000000000000000000000000000000000000..81a1185f6f37cb7a88c7f60990b2114a5c7fe912 GIT binary patch literal 104196 zcmeHw2Yg+{mHv~fWE-1eim9TzP+pau1O}uh$rhF@`$;Zf;9;-<0hS3d0YXBY-g_gx zH`06W$tFuS*<_RKZnh`c>}EGhlFe>5Te9o_nRD)(Z)WaX$p$}u;?MJsBXrN5Id|rp zZ_b=4_v-lLfBoyW;wSFO&CSiN_l*|UjpufrbkzNAxwbtwS8mMbb0f9U?PJACS1mWO zd1zCCG^HH|o_$DDy^}3$>iKEz}mHX-h`C zFicxE+6AGuVzl!^ZI{u`3$@)wO9;_Vr@U38ofB$%j8@8v3UhJWgQUf1g^OI_uo6YK z5LTkd6%H#=gy@#JB|>z|+!7(WWv+0DZkeAJqFd$)hv1aC z!XY?iu5iePGFLcNUaqi|7Zr~3yuuX@D^cMJhn1*sg~LizqAgr;S{OxeIu(>opMuiq zQcxy6YQFZqjeVnoi4Pg=o19wN)0 zbN$-~=<(gL>b6*@xcKI3GAism26h9L^@r&*C{=O*b#6f1? z7S_}$bBwa$GTSq63u`iO3u`iO3v0aFPQ9gBGV3i4HnV8rX|r!LC$n!$SM-YJP*R+5 zcJApx6}Oz7n?YSzABeJD{B-7BhSa<3ASy)8L{vPum)WVac=F70Ft&rEg{4X1D^6e&opbV57EayfNChsWCJ>GH9Z&qwc!vuEwp8-`#lp zvO6@u&4l}1;eDnT?pMP5+>*Fo4)1eQ;(jT-&uxkO#qd5iChjKy zDD+Z)KwJ&-%P@reAwDt=EiryP4v-8)PJ$32iLIRkAw&{pI|)LJB;s}wgdj=q?IZ|M zk~rK+5b{JqawkCw2gZ5+RIS!G?vt1aD%QIqOMIWp9buJ8x=e+aR074Q{o$ zBZC8hUdT$LUYzYR>@C*&VI^dSu%u4erMHAI$jIj;NLdiNs)ew}$_!$$-Vf;}a|zr} zz*4$$Z*#y5$t2?+@~7@Iq_`h)O(q?g`nRnWTCf;jGBZpU zMaIU6J_7<73M1(=AdrzSlAa+@z49PVNEzQWwGu%lb`gCB3du5B3LP20B6c!Rknt*# zUb;d*JPq?w#-}+cwa_3@D+KY*C!;)ETvx!6ijK8Cuey z$jB1WXHX#SWn?f(Talq8qR*f}SB5W;F(hIq1B5QGnA&)rFI27P3RUZQfyLv)>v&eU zX-nPA8W(P@)f!W~_uYQho1b;zg`{|x|Jo+Wz}$(kO$X?ovDLQI{2-Y_VzwUUk(gD^ z1tbee+DSS{7LhC_SweCI$x@PKBuA1QMRGLBF(k`LjwLaBH^-BlK(d15M3R$8P9`~p z!m&L&w&vWjFi$r_ULNX{p@fW!ciCn=B=Nz7(ZnWRF}Mbb@D zCFvpQC0R>y3CX1-myz_5^pn&`21o`;hDg?ttS1>JxtwGJ$q30P$wrb*B%4X< zO0tJ!isUwu+esczvXA5rk|&VdNpcs--6T&WxrgLQBu^%}m*goV`$?Wk@-&jClRSgu znIz95c{a&&NS;gbJd)>=yny6|BrhU)G096vUP|&Zl9!Xbg5;GXuOfLh$$ccRA$cvy z>quTt@&=MOlDvuJ%_MIjc`M1=NZwBJ4w840yo==BB<~@)pX9wH?<09X$p=V2Nb(_) z50iX^%NNWMt&C6X_be1+tzBwr)>I>{f9 ze1qhhB!5Wq0LiyV{)pt;B;O(VW0LQZe2?T$NWM?<1Ck$-{3*$wk^DKyk4XN4?k|!yU6iG@XWs(X>7fCls zm86HHm*gUni%Hg!Ttadw$z>#cB>f~ck^z!Ik|C0HBqxFA*+sIO_Aho?6z?`$h9hfIP$uClB<*7Dw;f%6fhll*GVHTmJJMWPD@J z4c}S-S*AI^%#Jx9w7{H?nv*N@IX-TIIlmA`O&0Q%N~6@c^^SeSMEdFDG+9jU?c8;9 z!!OCQzJc*OuG=%YZ{O}+jZ)ReYQdg-)EGaaVT=WJt`!_(-F|ObOoc3!8^x5x_Q_JD z&_~qv>>8ZfdEKqM8>Mcaza3M>QnmZ4Je^Uo|H!rG$d%>h^=}z6%}TC~{uwPfH`SiA zpDy^{GKJ*!-M2MLJ`;|lM?3YX`d}YRcc-eOgX;!2HijG3a-{4hOctu8qExkE9#H6! z1~AXw&jp|#OC3X{s^8oT_7qY$EuSnTCKr*yjZ#_3smm{02d#SDPCcOFGhbQ6jn9o@_(Fr1@`VO7`e(E> zoT>Jr{dB?q&?3?!(p|43X^n2bH997X#Rw+twrFxF@Vu2Jgo`}(okBapS|CpnsEU9}uEv@ZHhXQ+{<2K5_-7*H;CM{0|fWXENy zyWjjU{}$UGR_gKl<}v~FIv#REM|bR0(U47VMjqNhOSFCCR7l_K{K-O3iEG1;H%(4a zM{eG_z6pv_avS&45B}F~mUyXm`AxBm8V;}(eB1CfhOtHeCY6VlbE!N&)CA@D?L=9> z?->``mH2W~n*CfP`mtzuyfHLBN|B3SF6e#pN1N5h=p}n$oGiNx0wqF#k?4n|qC(#JlA4jmc!BGB@{|ALifEsrueKYkO}U-+e1t4~7-n zEqKTYLZIa_-WrSh%@6ahU9g$-$x2vOtBId8srH*6=AY?=To-e(-~2HDtdSJAtKF5z zm}ab(qk~;i7#}~Ayb@~&x=}9XBM-3U3D{&@9eMbQ?{18hY7F&u^7QGtE|R5vayY*0 zFrB2p1!qW1C)rD4JIU03R@0BAiEroeq`;KqTPDZjNNkSV_OqIPEcIK_sP~&6<{vy0 z`FslGQp$&xSgXwz`9j09^p5ymA=>2gMgPvG4PR)m+gMxtwtP8f)2O5*UBNClY zV$&I-Vb!v!V!oQsa7+uyfAlpb{Z>wtK7j4i^l8!uEfO2B+D-4G&K2Nf&W&(<;aAO! z2@bvya1G<5<>_#iK0(wdRNe?|xE8>O8z&H+)CpbRaGzb)cY|pRRt5S>mlpL^!&z)?cS!+JAgIoi`#k z+vV5RxP_ilq>0k;WemfFVSarQrO%P2wl}dG^Bq>uSWz!!B6sTZ6~9s)Ms50=UxIYu zW9}lID%SlL_V1j!B^+QArQgfb_G0T3=~Pty6giUz1pQfx;`am-$H{I-?=nJQI{6xYzGi&iftv}QaPU>Xlimm0q|f{57Xg0{=Y?{7HY;(^w>fcuJS_W# z5X%AVNbJ{85(k(gu@9dl4gg1DzilLOfHo5Q4KIlUsFB!@y(Dpf^FkW14b44}`M9jh z?heyAO=s{QzkrX&`fZ^}{fBeX;Mp|Lh|$ohIaC{3O_GLIDQRGp)}z>J*aiD`^!PSy zLVw(xPX}(R@r1r7F$n`FU@iJAGzkL^+Jrs>O~QbGHlfcxlQ7_(P3SYvBn)_G6Z)(( z2?NgAgnr|gghAWbgnrYQgkj6@f@DZGIf?4BP1eR!>C8%vM^jngOQqxEsII+a;WA7( zpVu=zi^-B}BqhzK{giwTHPv&-lbPeG-qVe0B%YGCj-4^YE@p*~x93;Aw8``?l~(k= z-DDj-me!nbb?vsBSyigA^NiL@w}@vOWU=A*vxV}0gx`UX*zaqSIOuIi?DscG9P~IO z_WPV94tgCD`~6N52R#po{k|uOgWiY4e*criK@UV?A5uvi^g<-|`=KNbdLk10eNhqz zy>XFwlZQQJU|HVq30hDS2bMW;V7bHW(HUy^4Y+{c4D#)2Qos2)Y0!F_)Neda8nm4z z^_z~91}&#a{f6VDLAz;Ezu7ox&}y30hnABDZKg?mU^!`sE59<6>YT}&G;S~M;8$c~ zDQRx!_2YK)%1kPuyh!6(B%eI8A)St27I4$ap50g~r9Cq5rhNuo{GP=7_rL?=Bg3`9 zMn1=f4u|-P4kppRxs>n|^}%(cV*`V|LqnbX|5|NJv6GaA-ZRHGnqTt#%jQDw=FZJ| z`mt`j-a9Zn-q%l&PA64jbj$W$`d?>l(EL{D92u{hU-F&we`C0B{ECe=qaE95^m4m% zs5aJH8>9aX8zud(b6~h&ejP8+Z+ZSL-)Rcd+dH0Lo4@OZojZ4)H(p47Gbb4rCPkNu z%`*>^U*~Kn*k6)b&odP=u*}JsUzr+hxjCC{y3I+tIdp=6VVX0PXy#INMKyoaluCYI zxFNs6lvb`52ntCu#v!>Om6eNmV?)~$>+^@UBeTGSG|7Y8EntVT+!8K40kR zxnv1I%^vb@RIpLR_DmlOQ9L^F~}VIR}KCr8qesV*~wSaCA|Nqx{}T z#Dx}^NFbs&&=;6haTnUdZ{EaQ=&Qg=HvURnv%RMYOUo^mn!pMyJ)*@@exoVIQhr@5 zi>2yI^Ql0#C|_TQ(eyshl4<&CTpOCUwF^xvahiVV;f?e>lb{*WVVXU$xtZoqbV|JP z@aIoQfv`um2+ObC#R#iocp=S6`O-x^Fpzg(V}pMc{A9kq2G`8j<-*slIA0%Qga2}{ z^q3Y)`GwF3OY_qW{&^YTd9fs10w~I-QetlPC7>l+e=e?>rpW}VyG2tP{f`Gtk89DC z-&Ic2v?$*fi2KWKWvMt?cOq5Qs38v$@>jCsM`abF#cD8ZHI&=xS;KEM#NP!Zow>8MtPao+d2qiL>-WVT3v| zwr;rAxuMY8yS}h?<-N7lEAQ=J-M4C0=Y~9~@@rSFG^)Ne{i{~38Cc~k{F^oZp+fIa z=TM%0WUT)8_L@C_wPy}*+!}5K&^or=3ZP#bV_OI7joM&pLtw@geZu#Y+?)-(c7HNM zoh%j$>U8_m$2vcG>w;*=L8t2r3+g9BUw9^1#VqT=wP9KAXkl3)W4=BE%?5~4L@Oqm zGA%FU>g^?pjt@?(C6S$_hrlu+lG!afj5O=CG&?Oyv(sBNT4PDI zI!dbZTBKr9$xOhFwB%E0<^)O@>37Ko$S8mf@ANoJ*uHDKHX!0Su6fwppAa)+nfjG8 z5OZKnBs@E0|CR*&hjpC1!1C|>DE}^O@y{72UH(-whsg@K!%poaTr-!ZgiG{6l&F)t ze|j!)tYxQ?9P?k+w~F1{p?bE+{E=pt6yD41GC5WDaHo<5OR#*DV8s@}90%tHVud`j z#Z1IQKZf1p^gY@2Fn-bZaL>mzv+_J#^K*_!SeY^OzH53`nt?VMVvVOu23%(#uC10a z_#u+?u=>2RrDQ2e$x4fo8I&x_hjn9=lsj0Lb6ur;MmI%C`re*Wlqq>Wu9=dn5=v$) ziF|nmO7^ema|r0Hkl0|5m}*wx3?*r`j*h<$tWB0o%!yna#GP@uB=n>+%wPG0Y42#E z^I#^j-IlCf30Y~asE2>$1-fdBtW4JSw3F0Ri)kk*pID9skKOW}+QjKDagN-oxzMxj z0d1Ma&V8owak$SrC5sbUXT*}Pn4Z?r6_{BOO0Km`y*TPtFKIE=2_?OeKKZV7WG>T_ z8D)MRtYX$ZNmy0RI5PX5=~-nKj_tb3%4F88lV%>-4|(F8nynnhh2zUC)h>-vt*=Ej zrrLaViZ0cP@<(ps<8<=ncJh5XE;Icu!!=WFIj)U^Yg?31FC%n(=k(N*&@tI0b4YmD zBF4kWyPD-)f0TCvE#75Bj`Uelf8W-P54$rLY^uDSmWmwdi{Z*pX5?MC<{|fm!btjx zRCJ2{u^AZIzlQcV)E%zmMa4BWY}Y=79knn-BvLkEvOQ$^IT+>Vx)wjP{9^KlM0*8lkjZ#YLdJ}Z z->*(j#)NwJ8t&0hZTzDlpqWA2%YdhxhLR9}$uu2(IrLKq%^>TDCF_PLSw~xBWwNG^ z9EgLAxxr;{d&UjYpGi=+$jm!Om`9%hj1I=Bm-&JNRJ3Ww?vB?LY5y)+`!*-2))4*f z9mwLBcY;dZ1Q?CH3Xr+9NUsr_gIF|DdoNVa=n}b>$@!_VD9bjrSmwAyHx!h=ffk>h zB!3htoSw|N0(|3PxQ1)yUq7zd;~t;zF=PMb+tYhO8w^?=@@&~`${2S@Q|9P;qVN3l z4gY2>ERwSwEyym;jBKUl#Jcr~n-jK@Zi0X1CC2dxvohw>EsN?fy#Jp$Lv@iTDE9AB7lJYzNJy%`)&PN>ojj#>P ziGxHm>O}$AR!lzWb5JMyBP&FQ>p1^amU%m(%)7e9JjXq{>?$PeN)OcXS9vkMySe0L z==30dIylIjJO95UVSXedkhE_<(YP$LcRN5kZw>H`hj<=Hzkb%7 zb@b~jId?|Md3}qV8RRTxu4&3&V~&%vqK7X0Sg$W zNxGZ)S6)P#Y!NfVW#R*R=_phFn!Gqo-!)zWDl#>%!8KEJBd*ycFG;AH@ov`prl+dM zP8(Zd5|p@2`ro0wW*Uh!57(6ER!hfQqJDBui;j+;bXSCm@@bsdqEDHb6k#L%)oW#^ zVI%z&ZM|kTmie#RT__x+Kf@RuuI2N%sr4RShvfHXoHH4;A4xmlW+SrJXp6oGzcH7ZHnx2X4bL6^Xk0T9 zwk2IXWB*}>$SAs$Au~w(i31vIX5N>Ge@sLDMe3_?nOngReRG1MpvT*x==Owtq`QNE z<;ZAXYbfHOXklvqp-|A%E)p8K)GSQ9Rjg|yp8ui`cIY+xuMN0n+P)FjJl4G-p>f7$ z#n-2gnj%}pYgX#9uEP@Mr@w6291h=UIs620_-=6ct`>)x!|5&Jf~<&`pee>-=Y{0( zCBLG&-tbOWawph&%bf=HGP|9F5zOwl;y$zcEeX3b_CUTeJ-cQ3&W_T_0kKD+d%nj~ z`-!0TlR@n#wW#e(TzwDBUN(!``n|32EW>KhkZHOP*G$uQ;+kptj)bNe+aiB3Jx%p< zH5yPpda3#pOVxWp)u)20`&(4a^uoIO7xTi-N4r8_D<4IS&4#NPUbqPQGQFRGYo__vdCfN`6SIiBGpo ze;SznOfdZ!Ev7p$v%B|PU{1*zjp4HAu;pAi9JZSgXMHq8=96cag06oK8F3A@nrlKJu}9p`ct@OT7NR3b;efDcc-UybV0*YQvQd#p7Drp z`8?l}_IV)f3qjf!v`FiOBkloUW?0+}cQM;+yy0eY;^{C*sj|WJYm(bRVh%+fhilHU z2-p1Bxe3WLHi;gXiR2uFBri(ZiJ?7#^l;hz$j2Zrw)B1x=>1aA`z0-UJM{MB40XGa zXj{%N#UqrW9Gj256W5h2>4me&tHEK8PdaeT9RDD$nd2W2j#o1_p}sXe$C=^YO!Bbq z+CTCnf4L?3%Rus1g5x3EF)sKL=E0Duf2jH zWuivTao}aC*nE(enGq_x9$CItmO6fv3tuw53Z!KczZ=&~;x{EE&e%fy{!Gz>^A-!S znf$H!{)t0p^9>a96=wU1sKdC}5uTAfoVzUVvW$Kw82uhF`rR!?JB)VM;-)#aQ3=*Q zTsl`XjwN-2t~|ZF8`n(d1-NE9U!Kr8W6N;HU|9ZGP>RNMpxbi$k=r$F^2F}Fmg4t= z;_nB=-`AozQ#^8TDfx4NCa6rG%ya($QMeji$vn7JNxp6o9$Z=nel!2qCBL#vbDg2bVM+!8#sPhc||9YCQ5mOYIMU+8+kBKh&bOFvez*7rfr_GWzF zf{r14)N=JB30L{ZxdeT5`(rJxGFM}N@KTQ%g??6czU@|_OVb`ES!~&@7er*Dz6jS$ z)TboG%-8{(;WaTQez456+kc1lTJ3aO*@u;vpRm0Ac$Al)Z1IwL8GAECXwaDUQ8bQ!+H&wy7{@=0ar`qa4rYa@@_80n zlTD4|g=r2wZOWs?&UK zl~sphein7I={P_?XmF4#560{ve0=cpmi?at`@abGf1$;GCyI3k`GPJ&r1y~vdMGaa zRkwouj%GLudjTlSo#1o0W{Mw$Yo_>-3B@zEu9@T?Pe`7zS@(^Z z{kVM#5X;4OPlVTy6S^O!UFT-57JuE+_-ml?H$dY*XwlfAvAbIAM9SeDu8Z&4^KNdY ztPQ+e9~jDRdIzqV!R@$a26rS3&e*{F(hLkvyJ^)tdZ_z{mb%{rb-xAbKG33WR)}43 zco>G*Mf(SX(gBEj@IK^xPZDB>^TsEGxa^wG#x)arEv}i^UrmUev8nf%AojN{vHu9f z{$mjPJ1t^o5nH&MF?Xym;bZ|V#d`UYn4LVj@k&wRmDKF9Z6T=3)b7MJKj{m&W@>*X zp?1aw-wa;@4Gt+kv?aJ{k0Kw|HMs9t4u3b{u)j9^CoK*$htq4rMcJx|Gtmny+<9Zw zSr_qQ_MA+z*MTr*3bn6NTq+iiwFA>&28c6r$QhS;Oz)Wi=gU%wBZ`={`^KWy>U ziGyADSimtg5|ij6G2_3_DQ&AYJUaSOzkfx z)Xvzt`{DHSJpXGhHe@)A9(kIDe{HU98I+Fuv#_H%mj zCm)RyPwu(v+-=}2bK3c{HO%QXxX+w^k8rw>&)6%R;iM1KSVIl_h37|x)Caj+(s?ka zC;rYd_;11BpMb$XZZSBM!MaAAW^kbt?2@=qayRoY6>#Kk07DIfb6arD41PbZ*~0tk z13S8}=8rA5Z5kfSZK{|0`O0*R7jNnt7#Qy5pPj>F{ac2}OK#dSGGacAxpu?g;HJU) zdBbCki7Pe@t{vGrF)>mqoi|V)-a5FpeBSuT;I?|-#NgWTk+E%^1AP;Hqg%GKt+CFD z`mnv2Fed3S6Kl^LA6{qf3~$`pD+xM>Hx3Mrn3TgC>EXmhItR$5k*`C}=Q2@2b3e_^ zCB6CW?{f>2XGxj`VhR^zUM||Lb-7$LG`xLqfS%WPg(z~lISkg~GtVa5XKxzbI6Pn= zy^xO^x{V?894QUq+2!L|V{?x#Jb$Km{zKF8OvfAr`L47ATJZrLcoYKqj|%9|n+|Bi zmB?Q(P(aHbpyj?tA)x=Hfc|sS0WGEv7|RI_1+?S?`e<~azfeH`rRji{B7pL6rGOSa zKr4?%hCZl({<7(SmLq`jc&~sKd_W(K4E>b?`s=0xT8RM40h0on_W^x0j`IJifc~cG zfObUy<@i73fccP9kLT6kU2pzkCW)I5_SjcGYq}fX|Cq*E0!eEBXi`zzuvoOeL zYg5SPG##>&Bak_P?#Q5cu4Hkr8=dmDLy5@>U5CCNc+f#v8~qs|S^aC9*L1u(BY5#5 zt~j!Kf8P$TQ%;m;VSv##UlCi-bi_`NAm&8=1~IQs)+@7curx=c5{|Vu9kDYah&h3O zohasW%vm3qHIBcHzI2%&wy5cdof$#QiTe#=9>*qTV?bsOI3wYFzm>t19natu&NXC6JXxP z3u zU6Q^mngDiO(*au*0n7>Z2{3P^XJR(4C$`ZS0TaMZXgXl4BY?Tle%}snooAvr8$;I> z3fPHF2W(9QFgMum+u^P9Ow7hb{x&+wm@w?*rUQ0f1h631_ri+VxL)0MiUM|O(*Zj_ z0+=7_`;mTeHqO84Pa!4@>ufq;7eoMaBK@g)ZJdWiS>lx+8eG zfqrd+MD__@<=N=+>D8D7ud|wtS5F$R9w*M9s&8}gs?SP~Pw#Rjc%9R9ym}*eIbr@( zz24_`$oi}d!p>E|RyG~5iz0wIVLmx953q>}7O7{?%zKprwz}znT^s?-4f6*!kFIxI zetlNXyy>ic(k0JpI$&!ffVp8l0XE_S)-@YF9kELzh&ge-0c>Q0%dzg+$T4~eEa6zO>4;qxLCgvC39<2kVGps{7`c`d zv2xQ9>x&@fMEaZ756ic(By#O{_CWfmRkPpph#j^&=yx;PDvCf?(-Fu;5O8Am(Z0zk ze_x~C1IN@%5a<)?Nyn)+9fYDkMv+p(o7JB6@<&14#J`c2u{RUtB-B-5A^jrdwk6V zVS|D&(sU3Or$Hz<5hH`(F`?htRcR&&qYA>trh~8~0)i7U4$#!W3m8YbG2d(^24jlB zrlwMlf*VMT3DCE{-@WHO<6eTrrqvItI%k z7&zgg!N7|aN1TJ<&BTB{XOTpUTbqu-kr51>XwhKc1&bqHkIYiSHpO6j(=j+If`Jn( z8VtNxapVzNNw`8WxU%UO938>Hi4_e7UZ^<%=uFYHhZu4+04$3!r2LPdjt7axpN zADKw;YQ^B1rem-?f`Jn$PSyNv$dN~AWwD_UT-$UAPDw*hbmGLRn!k13Uw&j*uu~zp zuIUh*8iBxx5~qf|(+(rfzE?AS!S#y3uBIb!S_AhxIQF4vqm+YOE_&2oF*$QzN?5BD&6q?(~T6tcdRHi0+(-?%aq@DeUksA4#X| zchZ$2=_(OjS47tn(U}gDU?PK-o z=-|4>#zvvQ4;#kA7F)|hYDQ7-Q!}VkS~Z{<`C(J^Iq|Cb?Q(b%wHSFwzgpDnUFNq9 zlHGid?}&66RW+d5^&vG?u|*1c8`n1~=Jye?*+IYFec-^aPd;$qSKsj*l$wPNH5+@9 zRvqlnhpVbzQvpU*t+jQ7SG_E);))oua2u8zyQg+uckAv(x6zLRC@t%dmf}KdtTt#l zQBJGa{?It_!~C`pFsbJR7gg1#l~BI~6}q#g(w+-mDb+!uqAeX#L8Z2|Mg@)pzgQb+@_NB+ovYe$`&OSzAO^ zD7ua(sI#hS`XC)mkP(B4n#Wk8DjiBtTLl2-bd54BI@wL_IB9Us;DvqhO+I zsR|Y9w&sxvDn)`JpDLUGZ5FIe%%XLQv@^=VdD-ul%&&FHZ`_Q!PqdZ#T~tk*4=OfE zQz{OkCSXv9Ty!3d5&*JNIq=%XAbaaAV(}2N+}6!#FaW0LxR0tTpI~2AD8-pd3*~@P4Ca+7;FAId_o}VM?Y|ZL(X^4~ z3iv}y2;A7)kXv0@q-lbOo7~*8+a{VQ0%?4PwLSC7@8koXnwdY&M)yH z197bwSV;PN1&E(~%mYFxgu-hTQPT5mN%15l3sNappi){u#YNm!ACMaEL=E-1sNs5w zaPQ0I7JWM1+_c=q4(oa`uz<{}w}dl*o7Lu06;N0I01#A#RO*)i0@tP1UpN2X1*lOF zeX0&b$gBmyQ=w8?YC$lZs0y~UY$z_5ZK_b};s|&*a8@q_=ccOAxz!5ecU-q;a^Jq) zyU0-%i#+MLQEV9}uk+N1EobD^zUAo9xfCQWa^HYH(p=vp4>QhQO9_Ig3h1aRfTC`C z6-QW;z(TtaOzP4A(B*O^{)H{v3}Q33ZcseQ0dcy-Z4dGt?j*aeD|&9 z$+yVEmeQ|kHdb7PQBxr_(%uS(q$;G+@*tI}kV?BbFo#Ncdy#b+NClOq!VsaQ#|RNo zX-006dLKD_Zjf8iq*{9f%{y|r#Wb^wjT&Z($ewbKSTLSa=Yv35Rp_faQvpww+d5+i z(GVUm=%0Lda|Ng9a2T&0Oo4DZg+cwaCs^p2NkWs6T?xF#J(`M*ZN)1(^IvN%tPEg=rU!zX6 zp)v=Es|u+uwNOB18aiiQpyx*5j`uV)f>til2zV0PsO{P1g>z3AD~8rOqeTm-3P9>$ z0~H%K>!RGzo)NK#a;ZZ=_7iP&G1{<2+4i&JV38~ROc>XKz_^Z$@FN-mK*h#sY~+#k zSz^$18XZzle^p^@RD)<7UDypOK+oWg`Rv#;v=ieQsw!ASKxtJ0H-VjoHm=BXFN-C`}QmwI^1=)4A7O4aS@gn!3-dZ-aiK-k>69j4dMS|Pcpjk9| zq{1lC^|B0C{A_v|&RMM?KUWlo{#rahJ0Oav#0Gpy?4ZhKnUI~+>riR6h*iEmDc{*| zNtbV`r;Dc`uNMPmMHt;c8^#!T8SSgGfeAa8>lf`=4;r(ottHyLryUUOicOw&P_!pJ z+tUt-c1f3~T_@VbdpxaK0i}}33aFMrTC}H|hm|(~8&i+{wjMgA9Hy0Dax&+<$XLeg z)fnMV*q9iv!Z5!Prqto1;Z&987VXUlx84-70B-G~<@+CJOq`Nnvx^JzW|%q2_7D_A zRbfH=5RTD#3oL10!?327f^dyPU!r|3_g3WB#Hi491o(Xf?adKri^=r;ZLpv_l&FiU z!n#cL$hOowBH2-ZEws@@@mOqW%gp}=H=4#Rus41u3~5x1a;PdShnO)?yenb=CDEB# zdL$}x7ksy5H={u*-KOdlFjDK{a^Mxr0LnFb4+^Ad(YC4z3MAVjT%`NOfQdYxWVT1B zqjj2=)UKm8FpTJkivdB2g;7g)Oz?2^>j*q9u{a>30Xj#ccZmn!_o9Xx@Sx4Kbnv8{ z-Mltux5C~B3s0bB&>y8DFpYnMGSKJot&R7?hNectk4qou_Fjj z6ZPOLJgBh~rEXdGGC=^SG?kta0&{eXK%JCwXb@E)W0!3ZJnc-ycgHksW zLEI^I`{m|%-7uzVMWE7(K!u8Eb(L8N0=3kr8%nJixzsdmu5#b`5ZY9Wg1(~`0S#xT zDJJLsu;ex?iaPtlc&sYqR`&vxhL5PO&N|Vzl2R86yejq)d9LvxnjQT?9|CAJRbbH{ zDYz9s3PjWp5Wzye9y{Zr$(d^z{62=#J>87N2M#=Vo5uq+0(xoWAJB^?8dK(swjPl^OxeF)$O|b+gm_BxL8mP~_qIQ)1l2X}TPTMo{AzuC>!ZrBfBC)KfwQW@v-vwV~ga9v&gKy6P(e^!3bpB47>f$A-m4&kzG|G<wP zz=-Ame^nJY4BAOA^LpbKk^OW1l z(*ClTFs@M3qZ-ONwCas!oIY^i$KObg)26xD2YvDO5^(^g=Eo zJ%g8VzJWT=x4H2QRl%T6Km&uc+bbdeH&JH|iz9Ugid>##-Hpe|KNJgQe7;3Sq9459 z8;LZEfSc9>0Vt`e0B_Y+5vlm;b@Odc83rFf@zntO{B8*sOV5bVu)=_T3xMkS1gfw5 zBPak=>J+t1BT}YsqfA-@l<5ZP8o&Qqx=j5$r*4sU{tis^S`g6Ax~zy|aT$mu?7IFK zl~PJzJWv(dR9TWX$iwh=VQ^l$II2Q!H5d%TR6-tlzZWy8fWn}v)L`iRY2wRc;Gc*^ z!$QnnG`p(M4b&TeZ|dif3M#e5P*ccc{39J+q<`O+XPf;AjX%&@+Rb5=O-Ozd>&WQ$ z16a{4ppB|Re`p$={m&02zwti{(~YDmG}3CD3aXy8ipy#q!ei{8%0o=3F~_DwRaFIx zXWLX@8=k9}>T`jSs*q8O!W{ZD)VJL}1T0q-vg>mr4@vV>8s*Pp579vi4^fpq1QxX> zY3??5?z*|bUF=8lAj2U|kJi^w7i3j1crg8|Si2~^XctwfMa*BcsSu8!HkwgOhg4h? zI*3wb@AKEFiIUFQt<$okcIzmHWCK4D118!)z@h+aM{oib-MDmgQmA54V#A-JP&$so z&#MZJ#@W-MAxBhyA2X%})CJ}sG?Ym^ch#SX0W*nzqDan(b@G7*(}5MK zbix3xsS4a#Yx@i0|I5-UL68o=%CPniQfRY)^;9YJkALA6T75Jgq;nzkLM=3WggV)n zN{Oe_5rh$3LVa`S=TgAsq}BNxe3Py`I2_TrH`-luqQu8qXn?6MPou=DLSyL63ox%p z+d9drP>3_E3K^B6PVsdu5M@<#on#s=I657t&{o>loMalmI69pJqVBp<=Oh#M6pLkM z{6C?~Xyx2vS&9pcvH{%#HREK7hoE1;ly)_EN>zp9WV$c2wSN(7#@T2`fwd*JA<&o6 zHFe@HRi(2^>>VCN`E*|n7F89NPuv5KY`+u>CYHHJdfM+#^Lm;#Ji0>3mJ|T03XH@e zuy!jhycyp)jQX`a+7BW$t@?8iPR9|bbkIP5Nf6?Y`(N=0O$mH-5CSnZ6;wJ1K_!o% zF@(p%-^fEuvnpTV@3lt*EF~ahsQ)JR%U_}-8uU8v#3+{VEJ`LPnFhy>4*pk%Ivq5eWKwY~ zC;k>Kah&BSyt4Ktz^;xu028Z}#uvx}$$tP>wFEAyD(q{th9EKX?7XgXDi1qy|DzW(3H zu4z$cRi!#_flI)2{vVi73IO&qEs|){qy&X79t{2$+0mJ_v?tl@=$y6!J&fT0A-i%C z70~Rd3RGn$?*OuE$3u2i1y7d=pZOR+ou;Gzj3+QswxtE9)kIK#UcMjZ37=^Q`U~i4 zMY8}!Ri(&l{uB&ZDe&7iWP+`j!9tgHQ5N-d=uA2+VDL~FQE6=$sOSmKK}|yh`lICh zaMOQu6)^H}CoIr1;_3RR055aw(h#2h;JE^&^+AiND##uz`J3UbZ2>HuYa0%hDpnPC zK3a0*>MlffU8zHMZ840u^i2JNgn52D3}{aWUh4V6n%GSdK4wLKb6VlhFb<{A05+%u z9Y*To<)OAk$giOxCeFIv6f``)0K?6(82L3ZczX>|;hFJM0uth|jU{5ku=dGGi1TxA zJk!AoJW*!{cdYftaHd5!iX*)YQjrSd$Is!+h za{x!DUDnZ2RcL9`Y(3Eb=}+V;Nwr&n=~|TlA<9mkjgKt0Er$h74w`M2Wz=8(*s#Vw zXyhD5F(E$vEmbXw4o&XdLuY|OVR$)~DRTlW=+qg_t>6avlSOhfX0@#l3&v62p3ALx zSE{|WCBbC13*UW_r2x7BS#{4cV2+DE;vkJj8DN^kiJV z-V~q{7Sx>szR84i=SN6q+$#_3r^AGHMUbUz3lTuT?v?YOf&7{n7;L5WZE``eLs(N? z{5ntDxiFv+CJKPzUn4F?VXB+I{nEBFmRpmmLAWKGoBjGKeA6YZEo&2=f`sEgVbQUgM z7IgjuuhDP3MlL63d;OuxSYY;b!Gg}HP=;D!fzJV_EwJnCj#*IP2E>A#8BSZ^{!@ho zT@yeV2E~G$FHT$F{@W9?pk**;kqHMmi=4K=ZP5!08UdmV=G-z_kaNqvg=Dbh4snqf zF^fO+R(rLaB8B>8^kyBcf!X6$hKtG%>PJyEKTy^DL8~ML z;2u^(Q6ER^%^j(tA_~-)N#`>tmo^|&K$RbqEEk#u3N?wMs>z_JTvhJKgQzOf>)uehT8+Mq%CIX{pTSqu!U(l$X3f@o&>{B-voHZGa8q{f!Ow{%T``*f{&B zs15F0Bd}roKiLrfuQo;!8()vwU{^8<8)gI`8!`f@jZv|2R^&5DR2TM~8)3tY0c1nQ z0JX7EY@G1kXgN4c8G{Wo3XlyM1=Plv*jTYIZDU~X9s6Y3y$M#MXhWSE2Vd* zt#E|S_1O$7+7&R(*hb&nB)DS{I-6iv>oB2gf{E?)a=??PF~O}n4inl(VB!jD?5|H_ zf=MrPr+>EDr)L?Ph)~R>sFWmoLY*jsUnM~F~MQzHkeS? zj8Zg+EWeAJNDkp#pQBiIJB%Fjlmp2ZoYiUEM0~lAzIl3oni*zki97xkurS|RaF&*w zuK8NxBZIN-gV~bFpNo5Hoai+K{S3Lk~Rg F{{Z(g1Y`gJ literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html new file mode 100644 index 0000000..e7b8ba5 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mrp.html @@ -0,0 +1,425 @@ + +Project Summary + + +

+            Lattice Mapping Report File for Design Module 'RAM2GS'
+
+
+
+Design Information
+
+Command line:   map -a MachXO -p LCMXO256C -t TQFP100 -s 3 -oc Commercial
+     RAM2GS_LCMXO256C_impl1.ngd -o RAM2GS_LCMXO256C_impl1_map.ncd -pr
+     RAM2GS_LCMXO256C_impl1.prf -mp RAM2GS_LCMXO256C_impl1.mrp -lpf C:/Users/Dog
+     /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1.
+     lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_L
+     CMXO256C.lpf -c 0 -gui -msgset
+     C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml 
+Target Vendor:  LATTICE
+Target Device:  LCMXO256CTQFP100
+Target Performance:   3
+Mapper:  mj5g00,  version:  Diamond (64-bit) 3.12.0.240.2
+Mapped on:  08/16/21  21:32:26
+
+
+Design Summary
+   Number of PFU registers:   102 out of   256 (40%)
+   Number of SLICEs:        65 out of   128 (51%)
+      SLICEs as Logic/ROM:     65 out of   128 (51%)
+      SLICEs as RAM:            0 out of    64 (0%)
+      SLICEs as Carry:          9 out of   128 (7%)
+   Number of LUT4s:        129 out of   256 (50%)
+      Number used as logic LUTs:        111
+      Number used as distributed RAM:     0
+      Number used as ripple logic:       18
+      Number used as shift registers:     0
+   Number of external PIOs: 67 out of 78 (86%)
+   Number of GSRs:  0 out of 1 (0%)
+   JTAG used :      No
+   Readback used :  No
+   Oscillator used :  No
+   Startup used :   No
+   Number of TSALL: 0 out of 1 (0%)
+   Notes:-
+      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
+     distributed RAMs) + 2*(Number of ripple logic)
+      2. Number of logic LUT4s does not include count of distributed RAM and
+     ripple logic.
+   Number of clocks:  4
+     Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK )
+     Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 )
+     Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS )
+     Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS )
+   Number of Clock Enables:  13
+     Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs
+     Net RCLK_c_enable_6: 1 loads, 1 LSLICEs
+     Net RCLK_c_enable_4: 3 loads, 3 LSLICEs
+     Net RCLK_c_enable_24: 2 loads, 2 LSLICEs
+     Net RCLK_c_enable_3: 1 loads, 1 LSLICEs
+     Net RCLK_c_enable_7: 1 loads, 1 LSLICEs
+     Net RCLK_c_enable_23: 8 loads, 8 LSLICEs
+     Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs
+     Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs
+     Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs
+     Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs
+
+     Net RCLK_c_enable_25: 1 loads, 1 LSLICEs
+     Net Ready_N_268: 1 loads, 1 LSLICEs
+   Number of LSRs:  9
+     Net RASr2: 1 loads, 1 LSLICEs
+     Net C1Submitted_N_225: 2 loads, 2 LSLICEs
+     Net n2299: 1 loads, 1 LSLICEs
+     Net nRowColSel_N_35: 1 loads, 1 LSLICEs
+     Net nRowColSel_N_34: 1 loads, 1 LSLICEs
+     Net LEDEN_N_88: 1 loads, 1 LSLICEs
+     Net n2291: 2 loads, 2 LSLICEs
+     Net Ready: 7 loads, 7 LSLICEs
+     Net nRWE_N_173: 1 loads, 1 LSLICEs
+   Number of nets driven by tri-state buffers:  0
+   Top 10 highest fanout non-clock nets:
+     Net Ready: 19 loads
+     Net InitReady: 17 loads
+     Net RASr2: 16 loads
+     Net nRowColSel_N_35: 14 loads
+     Net nRowColSel: 13 loads
+     Net Din_c_6: 9 loads
+     Net MAin_c_1: 9 loads
+     Net Din_c_5: 8 loads
+     Net FS_11: 8 loads
+     Net MAin_c_0: 8 loads
+
+
+
+
+   Number of warnings:  0
+   Number of errors:    0
+     
+
+
+
+
+Design Errors/Warnings
+
+   No errors or warnings present.
+
+
+
+IO (PIO) Attributes
+
++---------------------+-----------+-----------+------------+------------+
+| IO Name             | Direction | Levelmode | IO         | FIXEDDELAY |
+|                     |           |  IO_TYPE  | Register   |            |
++---------------------+-----------+-----------+------------+------------+
+| RD[7]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RD[6]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RD[5]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RD[4]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RD[3]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RD[2]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+
+| RD[1]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RD[0]               | BIDIR     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[7]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[6]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[5]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[4]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[3]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[2]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[1]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Dout[0]             | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| LED                 | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RBA[1]              | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RBA[0]              | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[11]              | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[10]              | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[9]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[8]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[7]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[6]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[5]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[4]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[3]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[2]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[1]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RA[0]               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| nRCS                | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RCKE                | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| nRWE                | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+
+| nRRAS               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| nRCAS               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RDQMH               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RDQML               | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| nUFMCS              | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| UFMCLK              | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| UFMSDI              | OUTPUT    | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| PHI2                | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[9]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[8]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[7]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[6]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[5]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[4]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[3]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[2]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[1]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| MAin[0]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| CROW[1]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| CROW[0]             | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[7]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[6]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[5]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[4]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[3]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[2]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[1]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| Din[0]              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+
+| nCCAS               | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| nCRAS               | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| nFWE                | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| RCLK                | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+| UFMSDO              | INPUT     | LVTTL33   |            |            |
++---------------------+-----------+-----------+------------+------------+
+
+
+
+Removed logic
+
+Block i2 undriven or does not drive anything - clipped.
+Block GSR_INST undriven or does not drive anything - clipped.
+Signal PHI2_N_114 was merged into signal PHI2_c
+Signal nCRAS_N_9 was merged into signal nCRAS_c
+Signal nCCAS_N_3 was merged into signal nCCAS_c
+Signal n2302 was merged into signal nRowColSel_N_35
+Signal nRWE_N_172 was merged into signal nRWE_N_173
+Signal n2307 was merged into signal Ready
+Signal RASr2_N_63 was merged into signal RASr2
+Signal n1377 was merged into signal nRowColSel_N_34
+Signal n2306 was merged into signal nFWE_c
+Signal UFMSDO_N_74 was merged into signal UFMSDO_c
+Signal GND_net undriven or does not drive anything - clipped.
+Signal VCC_net undriven or does not drive anything - clipped.
+Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped.
+Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped.
+Block i1962 was optimized away.
+Block i1961 was optimized away.
+Block i1963 was optimized away.
+Block i1070_1_lut_rep_25 was optimized away.
+Block nRWE_I_49_1_lut was optimized away.
+Block i604_1_lut_rep_30 was optimized away.
+Block RASr2_I_0_1_lut was optimized away.
+Block i1069_1_lut was optimized away.
+Block i1_1_lut_rep_29 was optimized away.
+Block UFMSDO_I_0_1_lut was optimized away.
+Block i1 was optimized away.
+
+
+
+Run Time and Memory Usage
+-------------------------
+
+   Total CPU Time: 0 secs  
+   Total REAL Time: 0 secs  
+   Peak Memory Usage: 29 MB
+
+        
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+     Copyright (c) 1995 AT&T Corp.   All rights reserved.
+     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+     Copyright (c) 2001 Agere Systems   All rights reserved.
+     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights
+     reserved.
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html new file mode 100644 index 0000000..911c6d4 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_pad.html @@ -0,0 +1,336 @@ + +PAD Specification File + + +
PAD Specification File
+***************************
+
+PART TYPE:        LCMXO256C
+Performance Grade:      3
+PACKAGE:          TQFP100
+Package Status:                     Final          Version 1.19
+
+Mon Aug 16 21:32:33 2021
+
+Pinout by Port Name:
++-----------+----------+--------------+------+----------------------------------+
+| Port Name | Pin/Bank | Buffer Type  | Site | Properties                       |
++-----------+----------+--------------+------+----------------------------------+
+| CROW[0]   | 32/1     | LVTTL33_IN   | PB2C | SLEW:FAST                        |
+| CROW[1]   | 34/1     | LVTTL33_IN   | PB2D | SLEW:FAST                        |
+| Din[0]    | 21/1     | LVTTL33_IN   | PL8A | SLEW:FAST                        |
+| Din[1]    | 15/1     | LVTTL33_IN   | PL6A | SLEW:FAST                        |
+| Din[2]    | 14/1     | LVTTL33_IN   | PL5D | SLEW:FAST                        |
+| Din[3]    | 16/1     | LVTTL33_IN   | PL6B | SLEW:FAST                        |
+| Din[4]    | 18/1     | LVTTL33_IN   | PL7B | SLEW:FAST                        |
+| Din[5]    | 17/1     | LVTTL33_IN   | PL7A | SLEW:FAST                        |
+| Din[6]    | 20/1     | LVTTL33_IN   | PL7D | SLEW:FAST                        |
+| Din[7]    | 19/1     | LVTTL33_IN   | PL7C | SLEW:FAST                        |
+| Dout[0]   | 1/1      | LVTTL33_OUT  | PL2A | DRIVE:4mA SLEW:SLOW              |
+| Dout[1]   | 7/1      | LVTTL33_OUT  | PL4A | DRIVE:4mA SLEW:SLOW              |
+| Dout[2]   | 8/1      | LVTTL33_OUT  | PL4B | DRIVE:4mA SLEW:SLOW              |
+| Dout[3]   | 6/1      | LVTTL33_OUT  | PL3D | DRIVE:4mA SLEW:SLOW              |
+| Dout[4]   | 4/1      | LVTTL33_OUT  | PL3B | DRIVE:4mA SLEW:SLOW              |
+| Dout[5]   | 5/1      | LVTTL33_OUT  | PL3C | DRIVE:4mA SLEW:SLOW              |
+| Dout[6]   | 2/1      | LVTTL33_OUT  | PL2B | DRIVE:4mA SLEW:SLOW              |
+| Dout[7]   | 3/1      | LVTTL33_OUT  | PL3A | DRIVE:4mA SLEW:SLOW              |
+| LED       | 57/0     | LVTTL33_OUT  | PR7B | DRIVE:16mA SLEW:SLOW             |
+| MAin[0]   | 23/1     | LVTTL33_IN   | PL9A | SLEW:FAST                        |
+| MAin[1]   | 38/1     | LVTTL33_IN   | PB3C | SLEW:FAST                        |
+| MAin[2]   | 37/1     | LVTTL33_IN   | PB3B | SLEW:FAST                        |
+| MAin[3]   | 47/1     | LVTTL33_IN   | PB5A | SLEW:FAST                        |
+| MAin[4]   | 46/1     | LVTTL33_IN   | PB4D | SLEW:FAST                        |
+| MAin[5]   | 45/1     | LVTTL33_IN   | PB4C | SLEW:FAST                        |
+| MAin[6]   | 49/1     | LVTTL33_IN   | PB5C | SLEW:FAST                        |
+| MAin[7]   | 44/1     | LVTTL33_IN   | PB4B | SLEW:FAST                        |
+| MAin[8]   | 50/1     | LVTTL33_IN   | PB5D | SLEW:FAST                        |
+| MAin[9]   | 51/0     | LVTTL33_IN   | PR9B | SLEW:FAST                        |
+| PHI2      | 39/1     | LVTTL33_IN   | PB3D | SLEW:FAST                        |
+| RA[0]     | 98/0     | LVTTL33_OUT  | PT2C | DRIVE:4mA SLEW:SLOW              |
+| RA[10]    | 87/0     | LVTTL33_OUT  | PT3D | DRIVE:4mA SLEW:SLOW              |
+| RA[11]    | 79/0     | LVTTL33_OUT  | PT5A | DRIVE:4mA SLEW:SLOW              |
+| RA[1]     | 89/0     | LVTTL33_OUT  | PT3C | DRIVE:4mA SLEW:SLOW              |
+| RA[2]     | 94/0     | LVTTL33_OUT  | PT3A | DRIVE:4mA SLEW:SLOW              |
+| RA[3]     | 97/0     | LVTTL33_OUT  | PT2D | DRIVE:4mA SLEW:SLOW              |
+| RA[4]     | 99/0     | LVTTL33_OUT  | PT2B | DRIVE:4mA SLEW:SLOW              |
+| RA[5]     | 95/0     | LVTTL33_OUT  | PT2F | DRIVE:4mA SLEW:SLOW              |
+| RA[6]     | 91/0     | LVTTL33_OUT  | PT3B | DRIVE:4mA SLEW:SLOW              |
+| RA[7]     | 100/0    | LVTTL33_OUT  | PT2A | DRIVE:4mA SLEW:SLOW              |
+| RA[8]     | 96/0     | LVTTL33_OUT  | PT2E | DRIVE:4mA SLEW:SLOW              |
+| RA[9]     | 85/0     | LVTTL33_OUT  | PT4B | DRIVE:4mA SLEW:SLOW              |
+| RBA[0]    | 63/0     | LVTTL33_OUT  | PR5D | DRIVE:4mA SLEW:SLOW              |
+| RBA[1]    | 83/0     | LVTTL33_OUT  | PT4C | DRIVE:4mA SLEW:SLOW              |
+| RCKE      | 82/0     | LVTTL33_OUT  | PT4D | DRIVE:4mA SLEW:SLOW              |
+| RCLK      | 86/0     | LVTTL33_IN   | PT4A | SLEW:FAST                        |
+| RDQMH     | 76/0     | LVTTL33_OUT  | PR2A | DRIVE:4mA SLEW:SLOW              |
+| RDQML     | 61/0     | LVTTL33_OUT  | PR6A | DRIVE:4mA SLEW:SLOW              |
+| RD[0]     | 64/0     | LVTTL33_BIDI | PR5C | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| RD[1]     | 65/0     | LVTTL33_BIDI | PR5B | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| RD[2]     | 66/0     | LVTTL33_BIDI | PR5A | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| RD[3]     | 67/0     | LVTTL33_BIDI | PR4B | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| RD[4]     | 68/0     | LVTTL33_BIDI | PR4A | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| RD[5]     | 69/0     | LVTTL33_BIDI | PR3D | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| RD[6]     | 70/0     | LVTTL33_BIDI | PR3C | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| RD[7]     | 71/0     | LVTTL33_BIDI | PR3B | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
+| UFMCLK    | 58/0     | LVTTL33_OUT  | PR7A | DRIVE:4mA SLEW:SLOW              |
+| UFMSDI    | 56/0     | LVTTL33_OUT  | PR7C | DRIVE:4mA SLEW:SLOW              |
+| UFMSDO    | 55/0     | LVTTL33_IN   | PR7D | SLEW:FAST PULL:KEEPER            |
+| nCCAS     | 27/1     | LVTTL33_IN   | PL9B | SLEW:FAST                        |
+| nCRAS     | 43/1     | LVTTL33_IN   | PB4A | SLEW:FAST                        |
+| nFWE      | 22/1     | LVTTL33_IN   | PL8B | SLEW:FAST                        |
+| nRCAS     | 78/0     | LVTTL33_OUT  | PT5B | DRIVE:4mA SLEW:SLOW              |
+| nRCS      | 77/0     | LVTTL33_OUT  | PT5C | DRIVE:4mA SLEW:SLOW              |
+| nRRAS     | 73/0     | LVTTL33_OUT  | PR2B | DRIVE:4mA SLEW:SLOW              |
+| nRWE      | 72/0     | LVTTL33_OUT  | PR3A | DRIVE:4mA SLEW:SLOW              |
+| nUFMCS    | 53/0     | LVTTL33_OUT  | PR8B | DRIVE:4mA SLEW:SLOW              |
++-----------+----------+--------------+------+----------------------------------+
+
+Vccio by Bank:
++------+-------+
+| Bank | Vccio |
++------+-------+
+| 0    | 3.3V  |
+| 1    | 3.3V  |
++------+-------+
+
+
+Vref by Bank:
++------+-----+-----------------+---------+
+| Vref | Pin | Bank # / Vref # | Load(s) |
++------+-----+-----------------+---------+
++------+-----+-----------------+---------+
+
+Pinout by Pin Number:
++----------+---------------------+------------+--------------+------+---------------+
+| Pin/Bank | Pin Info            | Preference | Buffer Type  | Site | Dual Function |
++----------+---------------------+------------+--------------+------+---------------+
+| 1/1      | Dout[0]             | LOCATED    | LVTTL33_OUT  | PL2A |               |
+| 2/1      | Dout[6]             | LOCATED    | LVTTL33_OUT  | PL2B |               |
+| 3/1      | Dout[7]             | LOCATED    | LVTTL33_OUT  | PL3A |               |
+| 4/1      | Dout[4]             | LOCATED    | LVTTL33_OUT  | PL3B |               |
+| 5/1      | Dout[5]             | LOCATED    | LVTTL33_OUT  | PL3C |               |
+| 6/1      | Dout[3]             | LOCATED    | LVTTL33_OUT  | PL3D |               |
+| 7/1      | Dout[1]             | LOCATED    | LVTTL33_OUT  | PL4A |               |
+| 8/1      | Dout[2]             | LOCATED    | LVTTL33_OUT  | PL4B |               |
+| 9/1      |     unused, PULL:UP |            |              | PL5A |               |
+| 11/1     |     unused, PULL:UP |            |              | PL5B |               |
+| 13/1     |     unused, PULL:UP |            |              | PL5C |               |
+| 14/1     | Din[2]              | LOCATED    | LVTTL33_IN   | PL5D | GSR_PADN      |
+| 15/1     | Din[1]              | LOCATED    | LVTTL33_IN   | PL6A |               |
+| 16/1     | Din[3]              | LOCATED    | LVTTL33_IN   | PL6B | TSALLPAD      |
+| 17/1     | Din[5]              | LOCATED    | LVTTL33_IN   | PL7A |               |
+| 18/1     | Din[4]              | LOCATED    | LVTTL33_IN   | PL7B |               |
+| 19/1     | Din[7]              | LOCATED    | LVTTL33_IN   | PL7C |               |
+| 20/1     | Din[6]              | LOCATED    | LVTTL33_IN   | PL7D |               |
+| 21/1     | Din[0]              | LOCATED    | LVTTL33_IN   | PL8A |               |
+| 22/1     | nFWE                | LOCATED    | LVTTL33_IN   | PL8B |               |
+| 23/1     | MAin[0]             | LOCATED    | LVTTL33_IN   | PL9A |               |
+| 27/1     | nCCAS               | LOCATED    | LVTTL33_IN   | PL9B |               |
+| 29/1     |     unused, PULL:UP |            |              | PB2A |               |
+| 30/1     |     unused, PULL:UP |            |              | PB2B |               |
+| 32/1     | CROW[0]             | LOCATED    | LVTTL33_IN   | PB2C |               |
+| 34/1     | CROW[1]             | LOCATED    | LVTTL33_IN   | PB2D |               |
+| 36/1     |     unused, PULL:UP |            |              | PB3A | PCLKT1_1      |
+| 37/1     | MAin[2]             | LOCATED    | LVTTL33_IN   | PB3B |               |
+| 38/1     | MAin[1]             | LOCATED    | LVTTL33_IN   | PB3C | PCLKT1_0      |
+| 39/1     | PHI2                | LOCATED    | LVTTL33_IN   | PB3D |               |
+| 43/1     | nCRAS               | LOCATED    | LVTTL33_IN   | PB4A |               |
+| 44/1     | MAin[7]             | LOCATED    | LVTTL33_IN   | PB4B |               |
+| 45/1     | MAin[5]             | LOCATED    | LVTTL33_IN   | PB4C |               |
+| 46/1     | MAin[4]             | LOCATED    | LVTTL33_IN   | PB4D |               |
+| 47/1     | MAin[3]             | LOCATED    | LVTTL33_IN   | PB5A |               |
+| 49/1     | MAin[6]             | LOCATED    | LVTTL33_IN   | PB5C |               |
+| 50/1     | MAin[8]             | LOCATED    | LVTTL33_IN   | PB5D |               |
+| 51/0     | MAin[9]             | LOCATED    | LVTTL33_IN   | PR9B |               |
+| 52/0     |     unused, PULL:UP |            |              | PR9A |               |
+| 53/0     | nUFMCS              | LOCATED    | LVTTL33_OUT  | PR8B |               |
+| 54/0     |     unused, PULL:UP |            |              | PR8A |               |
+| 55/0     | UFMSDO              | LOCATED    | LVTTL33_IN   | PR7D |               |
+| 56/0     | UFMSDI              | LOCATED    | LVTTL33_OUT  | PR7C |               |
+| 57/0     | LED                 | LOCATED    | LVTTL33_OUT  | PR7B |               |
+| 58/0     | UFMCLK              | LOCATED    | LVTTL33_OUT  | PR7A |               |
+| 59/0     |     unused, PULL:UP |            |              | PR6B |               |
+| 61/0     | RDQML               | LOCATED    | LVTTL33_OUT  | PR6A |               |
+| 63/0     | RBA[0]              | LOCATED    | LVTTL33_OUT  | PR5D |               |
+| 64/0     | RD[0]               | LOCATED    | LVTTL33_BIDI | PR5C |               |
+| 65/0     | RD[1]               | LOCATED    | LVTTL33_BIDI | PR5B |               |
+| 66/0     | RD[2]               | LOCATED    | LVTTL33_BIDI | PR5A |               |
+| 67/0     | RD[3]               | LOCATED    | LVTTL33_BIDI | PR4B |               |
+| 68/0     | RD[4]               | LOCATED    | LVTTL33_BIDI | PR4A |               |
+| 69/0     | RD[5]               | LOCATED    | LVTTL33_BIDI | PR3D |               |
+| 70/0     | RD[6]               | LOCATED    | LVTTL33_BIDI | PR3C |               |
+| 71/0     | RD[7]               | LOCATED    | LVTTL33_BIDI | PR3B |               |
+| 72/0     | nRWE                | LOCATED    | LVTTL33_OUT  | PR3A |               |
+| 73/0     | nRRAS               | LOCATED    | LVTTL33_OUT  | PR2B |               |
+| 76/0     | RDQMH               | LOCATED    | LVTTL33_OUT  | PR2A |               |
+| 77/0     | nRCS                | LOCATED    | LVTTL33_OUT  | PT5C |               |
+| 78/0     | nRCAS               | LOCATED    | LVTTL33_OUT  | PT5B |               |
+| 79/0     | RA[11]              | LOCATED    | LVTTL33_OUT  | PT5A |               |
+| 80/0     |     unused, PULL:UP |            |              | PT4F |               |
+| 81/0     |     unused, PULL:UP |            |              | PT4E |               |
+| 82/0     | RCKE                | LOCATED    | LVTTL33_OUT  | PT4D |               |
+| 83/0     | RBA[1]              | LOCATED    | LVTTL33_OUT  | PT4C |               |
+| 85/0     | RA[9]               | LOCATED    | LVTTL33_OUT  | PT4B | PCLKT0_1      |
+| 86/0     | RCLK                | LOCATED    | LVTTL33_IN   | PT4A | PCLKT0_0      |
+| 87/0     | RA[10]              | LOCATED    | LVTTL33_OUT  | PT3D |               |
+| 89/0     | RA[1]               | LOCATED    | LVTTL33_OUT  | PT3C |               |
+| 91/0     | RA[6]               | LOCATED    | LVTTL33_OUT  | PT3B |               |
+| 94/0     | RA[2]               | LOCATED    | LVTTL33_OUT  | PT3A |               |
+| 95/0     | RA[5]               | LOCATED    | LVTTL33_OUT  | PT2F |               |
+| 96/0     | RA[8]               | LOCATED    | LVTTL33_OUT  | PT2E |               |
+| 97/0     | RA[3]               | LOCATED    | LVTTL33_OUT  | PT2D |               |
+| 98/0     | RA[0]               | LOCATED    | LVTTL33_OUT  | PT2C |               |
+| 99/0     | RA[4]               | LOCATED    | LVTTL33_OUT  | PT2B |               |
+| 100/0    | RA[7]               | LOCATED    | LVTTL33_OUT  | PT2A |               |
+| PB5B/0   |     unused, PULL:UP |            |              | PB5B |               |
+| PT5D/0   |     unused, PULL:UP |            |              | PT5D |               |
+| TCK/1    |                     |            |              | TCK  | TCK           |
+| TDI/1    |                     |            |              | TDI  | TDI           |
+| TDO/1    |                     |            |              | TDO  | TDO           |
+| TMS/1    |                     |            |              | TMS  | TMS           |
++----------+---------------------+------------+--------------+------+---------------+
+
+
+List of All Pins' Locate Preferences Based on Final Placement After PAR 
+to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): 
+
+LOCATE  COMP  "CROW[0]"  SITE  "32";
+LOCATE  COMP  "CROW[1]"  SITE  "34";
+LOCATE  COMP  "Din[0]"  SITE  "21";
+LOCATE  COMP  "Din[1]"  SITE  "15";
+LOCATE  COMP  "Din[2]"  SITE  "14";
+LOCATE  COMP  "Din[3]"  SITE  "16";
+LOCATE  COMP  "Din[4]"  SITE  "18";
+LOCATE  COMP  "Din[5]"  SITE  "17";
+LOCATE  COMP  "Din[6]"  SITE  "20";
+LOCATE  COMP  "Din[7]"  SITE  "19";
+LOCATE  COMP  "Dout[0]"  SITE  "1";
+LOCATE  COMP  "Dout[1]"  SITE  "7";
+LOCATE  COMP  "Dout[2]"  SITE  "8";
+LOCATE  COMP  "Dout[3]"  SITE  "6";
+LOCATE  COMP  "Dout[4]"  SITE  "4";
+LOCATE  COMP  "Dout[5]"  SITE  "5";
+LOCATE  COMP  "Dout[6]"  SITE  "2";
+LOCATE  COMP  "Dout[7]"  SITE  "3";
+LOCATE  COMP  "LED"  SITE  "57";
+LOCATE  COMP  "MAin[0]"  SITE  "23";
+LOCATE  COMP  "MAin[1]"  SITE  "38";
+LOCATE  COMP  "MAin[2]"  SITE  "37";
+LOCATE  COMP  "MAin[3]"  SITE  "47";
+LOCATE  COMP  "MAin[4]"  SITE  "46";
+LOCATE  COMP  "MAin[5]"  SITE  "45";
+LOCATE  COMP  "MAin[6]"  SITE  "49";
+LOCATE  COMP  "MAin[7]"  SITE  "44";
+LOCATE  COMP  "MAin[8]"  SITE  "50";
+LOCATE  COMP  "MAin[9]"  SITE  "51";
+LOCATE  COMP  "PHI2"  SITE  "39";
+LOCATE  COMP  "RA[0]"  SITE  "98";
+LOCATE  COMP  "RA[10]"  SITE  "87";
+LOCATE  COMP  "RA[11]"  SITE  "79";
+LOCATE  COMP  "RA[1]"  SITE  "89";
+LOCATE  COMP  "RA[2]"  SITE  "94";
+LOCATE  COMP  "RA[3]"  SITE  "97";
+LOCATE  COMP  "RA[4]"  SITE  "99";
+LOCATE  COMP  "RA[5]"  SITE  "95";
+LOCATE  COMP  "RA[6]"  SITE  "91";
+LOCATE  COMP  "RA[7]"  SITE  "100";
+LOCATE  COMP  "RA[8]"  SITE  "96";
+LOCATE  COMP  "RA[9]"  SITE  "85";
+LOCATE  COMP  "RBA[0]"  SITE  "63";
+LOCATE  COMP  "RBA[1]"  SITE  "83";
+LOCATE  COMP  "RCKE"  SITE  "82";
+LOCATE  COMP  "RCLK"  SITE  "86";
+LOCATE  COMP  "RDQMH"  SITE  "76";
+LOCATE  COMP  "RDQML"  SITE  "61";
+LOCATE  COMP  "RD[0]"  SITE  "64";
+LOCATE  COMP  "RD[1]"  SITE  "65";
+LOCATE  COMP  "RD[2]"  SITE  "66";
+LOCATE  COMP  "RD[3]"  SITE  "67";
+LOCATE  COMP  "RD[4]"  SITE  "68";
+LOCATE  COMP  "RD[5]"  SITE  "69";
+LOCATE  COMP  "RD[6]"  SITE  "70";
+LOCATE  COMP  "RD[7]"  SITE  "71";
+LOCATE  COMP  "UFMCLK"  SITE  "58";
+LOCATE  COMP  "UFMSDI"  SITE  "56";
+LOCATE  COMP  "UFMSDO"  SITE  "55";
+LOCATE  COMP  "nCCAS"  SITE  "27";
+LOCATE  COMP  "nCRAS"  SITE  "43";
+LOCATE  COMP  "nFWE"  SITE  "22";
+LOCATE  COMP  "nRCAS"  SITE  "78";
+LOCATE  COMP  "nRCS"  SITE  "77";
+LOCATE  COMP  "nRRAS"  SITE  "73";
+LOCATE  COMP  "nRWE"  SITE  "72";
+LOCATE  COMP  "nUFMCS"  SITE  "53";
+
+
+
+
+
+PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+Mon Aug 16 21:32:33 2021
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html new file mode 100644 index 0000000..ce22106 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_par.html @@ -0,0 +1,307 @@ + +Place & Route Report + + +
PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+Mon Aug 16 21:32:27 2021
+
+C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO256C_impl1.p2t
+RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir
+RAM2GS_LCMXO256C_impl1.prf -gui -msgset
+C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml
+
+
+Preference file: RAM2GS_LCMXO256C_impl1.prf.
+
+Cost Table Summary
+Level/       Number       Worst        Timing       Worst        Timing       Run          NCD
+Cost [ncd]   Unrouted     Slack        Score        Slack(hold)  Score(hold)  Time         Status
+----------   --------     -----        ------       -----------  -----------  ----         ------
+5_1   *      0            2.023        0            0.339        0            07           Completed
+* : Design saved.
+
+Total (real) run time for 1-seed: 7 secs 
+
+par done!
+
+Note: user must run 'Trace' for timing closure signoff.
+
+Lattice Place and Route Report for Design "RAM2GS_LCMXO256C_impl1_map.ncd"
+Mon Aug 16 21:32:27 2021
+
+
+Best Par Run
+PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
+Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.dir/5_1.ncd RAM2GS_LCMXO256C_impl1.prf
+Preference file: RAM2GS_LCMXO256C_impl1.prf.
+Placement level-cost: 5-1.
+Routing Iterations: 6
+
+Loading design for application par from file RAM2GS_LCMXO256C_impl1_map.ncd.
+Design name: RAM2GS
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO256C
+Package:     TQFP100
+Performance: 3
+Loading device for application par from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
+Package Status:                     Final          Version 1.19.
+Performance Hardware Data Status: Version 1.124.
+License checked out.
+
+
+Ignore Preference Error(s):  True
+
+Device utilization summary:
+
+   PIO (prelim)      67/79           84% used
+                     67/78           85% bonded
+   SLICE             65/128          50% used
+
+
+
+Number of Signals: 252
+Number of Connections: 618
+
+Pin Constraint Summary:
+   67 out of 67 pins locked (100% locked).
+
+The following 4 signals are selected to use the primary clock routing resources:
+    RCLK_c (driver: RCLK, clk load #: 39)
+    PHI2_c (driver: PHI2, clk load #: 13)
+    nCCAS_c (driver: nCCAS, clk load #: 4)
+    nCRAS_c (driver: nCRAS, clk load #: 7)
+
+No signal is selected as secondary clock.
+
+No signal is selected as Global Set/Reset.
+Starting Placer Phase 0.
+........
+Finished Placer Phase 0.  REAL time: 0 secs 
+
+Starting Placer Phase 1.
+...............
+Placer score = 586066.
+Finished Placer Phase 1.  REAL time: 6 secs 
+
+Starting Placer Phase 2.
+.
+Placer score =  584668
+Finished Placer Phase 2.  REAL time: 6 secs 
+
+
+
+Clock Report
+
+Global Clock Resources:
+  CLK_PIN    : 1 out of 4 (25%)
+  General PIO: 3 out of 80 (3%)
+
+Global Clocks:
+  PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT4A)", clk load = 39
+  PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 13
+  PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PL9B)", clk load = 4
+  PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB4A)", clk load = 7
+
+  PRIMARY  : 4 out of 4 (100%)
+  SECONDARY: 0 out of 4 (0%)
+
+
+
+
+I/O Usage Summary (final):
+   67 out of 79 (84.8%) PIO sites used.
+   67 out of 78 (85.9%) bonded PIO sites used.
+   Number of PIO comps: 67; differential: 0.
+   Number of Vref pins used: 0.
+
+I/O Bank Usage Summary:
++----------+----------------+------------+------------+------------+
+| I/O Bank | Usage          | Bank Vccio | Bank Vref1 | Bank Vref2 |
++----------+----------------+------------+------------+------------+
+| 0        | 36 / 41 ( 87%) | 3.3V       | -          | -          |
+| 1        | 31 / 37 ( 83%) | 3.3V       | -          | -          |
++----------+----------------+------------+------------+------------+
+
+Total placer CPU time: 6 secs 
+
+Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd.
+
+0 connections routed; 618 unrouted.
+Starting router resource preassignment
+WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
+WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
+WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
+
+Completed router resource preassignment. Real time: 6 secs 
+
+Start NBR router at 21:32:33 08/16/21
+
+*****************************************************************
+Info: NBR allows conflicts(one node used by more than one signal)
+      in the earlier iterations. In each iteration, it tries to  
+      solve the conflicts while keeping the critical connections 
+      routed as short as possible. The routing process is said to
+      be completed when no conflicts exist and all connections   
+      are routed.                                                
+Note: NBR uses a different method to calculate timing slacks. The
+      worst slack and total negative slack may not be the same as
+      that in TRCE report. You should always run TRCE to verify  
+      your design.                                               
+*****************************************************************
+
+Start NBR special constraint process at 21:32:33 08/16/21
+
+Start NBR section for initial routing at 21:32:33 08/16/21
+Level 1, iteration 1
+0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.084ns/0.000ns; real time: 6 secs 
+Level 2, iteration 1
+0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.084ns/0.000ns; real time: 6 secs 
+Level 3, iteration 1
+0(0.00%) conflict; 509(82.36%) untouched conns; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.038ns/0.000ns; real time: 6 secs 
+Level 4, iteration 1
+23(0.19%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
+
+Info: Initial congestion level at 75% usage is 0
+Info: Initial congestion area  at 75% usage is 0 (0.00%)
+
+Start NBR section for normal routing at 21:32:33 08/16/21
+Level 1, iteration 1
+0(0.00%) conflict; 24(3.88%) untouched conns; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
+Level 4, iteration 1
+8(0.07%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
+Level 4, iteration 2
+4(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
+Level 4, iteration 3
+0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
+
+Start NBR section for setup/hold timing optimization with effort level 3 at 21:32:33 08/16/21
+
+Start NBR section for re-routing at 21:32:33 08/16/21
+Level 4, iteration 1
+0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
+Estimated worst slack/total negative slack<setup>: 2.023ns/0.000ns; real time: 6 secs 
+
+Start NBR section for post-routing at 21:32:33 08/16/21
+
+End NBR router with 0 unrouted connection
+
+NBR Summary
+-----------
+  Number of unrouted connections : 0 (0.00%)
+  Number of connections with timing violations : 0 (0.00%)
+  Estimated worst slack<setup> : 2.023ns
+  Timing score<setup> : 0
+-----------
+Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
+
+
+
+Total CPU time 6 secs 
+Total REAL time: 7 secs 
+Completely routed.
+End of route.  618 routed (100.00%); 0 unrouted.
+
+Hold time timing score: 0, hold timing errors: 0
+
+Timing score: 0 
+
+Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd.
+
+
+All signals are completely routed.
+
+
+PAR_SUMMARY::Run status = Completed
+PAR_SUMMARY::Number of unrouted conns = 0
+PAR_SUMMARY::Worst  slack<setup/<ns>> = 2.023
+PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
+PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.339
+PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
+PAR_SUMMARY::Number of errors = 0
+
+Total CPU  time to completion: 6 secs 
+Total REAL time to completion: 7 secs 
+
+par done!
+
+Note: user must run 'Trace' for timing closure signoff.
+
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html new file mode 100644 index 0000000..bb33f8f --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_summary.html @@ -0,0 +1,83 @@ + +Project Summary + + +

+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
RAM2GS_LCMXO256C project summary
Module Name:RAM2GS_LCMXO256CSynthesis:Lattice LSE
Implementation Name:impl1Strategy Name:Strategy1
Last Process:JEDEC FileState:Passed
Target Device:LCMXO256C-3T100CDevice Family:MachXO
Device Type:LCMXO256CPackage Type:TQFP100
Performance grade:3Operating conditions:COM
Logic preference file:RAM2GS_LCMXO256C.lpf
Physical Preference file:impl1/RAM2GS_LCMXO256C_impl1.prf
Product Version:3.12.0.240.2Patch Version:
Updated:2021/08/16 21:36:29
Implementation Location:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1
Project File:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/RAM2GS_LCMXO256C.ldf
+
+
+
+
+
+
+ + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html new file mode 100644 index 0000000..01aa986 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_tw1.html @@ -0,0 +1,2740 @@ + +Lattice Map TRACE Report + + +
Map TRACE Report
+
+Loading design for application trce from file ram2gs_lcmxo256c_impl1_map.ncd.
+Design name: RAM2GS
+NCD version: 3.3
+Vendor:      LATTICE
+Device:      LCMXO256C
+Package:     TQFP100
+Performance: 3
+Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
+Package Status:                     Final          Version 1.19.
+Performance Hardware Data Status: Version 1.124.
+Setup and Hold Report
+
+--------------------------------------------------------------------------------
+Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
+Mon Aug 16 21:32:27 2021
+
+Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
+Copyright (c) 1995 AT&T Corp.   All rights reserved.
+Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
+Copyright (c) 2001 Agere Systems   All rights reserved.
+Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
+
+Report Information
+------------------
+Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf 
+Design file:     ram2gs_lcmxo256c_impl1_map.ncd
+Preference file: ram2gs_lcmxo256c_impl1.prf
+Device,speed:    LCMXO256C,3
+Report level:    verbose report, limited to 1 item per preference
+--------------------------------------------------------------------------------
+
+Preference Summary
+
+
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. + + Constraint Details: + + 12.873ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 +CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 +ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 +CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 +ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 +CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 +ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 +CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 +ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 +CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 +ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 +ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.873 (21.6% logic, 78.4% route), 7 logic levels. + +Report: 26.276ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.575ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. + + Constraint Details: + + 10.181ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 +CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 +ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 +CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 +ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 +CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 +ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 +CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 +ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 +CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 +ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 10.181 (23.7% logic, 76.3% route), 6 logic levels. + +Report: 10.425ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_55 and + 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets + 12.500ns offset RCLK to RA[10] by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[9] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[8] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[7] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[6] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[5] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.427ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets + 12.500ns offset RCLK to RA[4] by 3.427ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 6.569 (69.5% logic, 30.5% route), 3 logic levels. + +Report: 9.073ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[3] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[2] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[1] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[0] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_60 and + 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_34 and + 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets + 12.500ns offset RCLK to RCKE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_63 and + 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets + 12.500ns offset RCLK to nRWE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_61 and + 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRRAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_58 and + 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQMH by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQML by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:32:27 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf +Design file: ram2gs_lcmxo256c_impl1_map.ncd +Preference file: ram2gs_lcmxo256c_impl1.prf +Device,speed: LCMXO256C,M +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +Preference Summary + +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.485ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. + + Constraint Details: + + 0.462ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted +CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 +ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) + -------- + 0.462 (56.7% logic, 43.3% route), 2 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.377ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. + + Constraint Details: + + 0.356ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) + -------- + 0.356 (44.1% logic, 55.9% route), 1 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_55 and + 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RA[10] by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.850ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.850ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 2.071 (65.5% logic, 34.5% route), 3 logic levels. + +Report: 2.850ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_60 and + 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_34 and + 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RCKE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_63 and + 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRWE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_61 and + 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_58 and + 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQML by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + + + + +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html new file mode 100644 index 0000000..bdfe34c --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_twr.html @@ -0,0 +1,4588 @@ + +Lattice TRACE Report + + +
    Place & Route TRACE Report
    +
    +Loading design for application trce from file ram2gs_lcmxo256c_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO256C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.19.
    +Performance Hardware Data Status: Version 1.124.
    +Setup and Hold Report
    +
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    +Mon Aug 16 21:32:34 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Command line:    trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf 
    +Design file:     ram2gs_lcmxo256c_impl1.ncd
    +Preference file: ram2gs_lcmxo256c_impl1.prf
    +Device,speed:    LCMXO256C,3
    +Report level:    verbose report, limited to 10 items per preference
    +--------------------------------------------------------------------------------
    +
    +Preference Summary
    +
    +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.925ns (weighted slack = 323.850ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.810ns (21.7% logic, 78.3% route), 7 logic levels. + + Constraint Details: + + 12.810ns physical path delay SLICE_94 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.925ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.810 (21.7% logic, 78.3% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.080ns (weighted slack = 324.160ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.655ns (22.0% logic, 78.0% route), 7 logic levels. + + Constraint Details: + + 12.655ns physical path delay SLICE_95 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.080ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) +ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 +CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.655 (22.0% logic, 78.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.409ns (weighted slack = 324.818ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.326ns (22.6% logic, 77.4% route), 7 logic levels. + + Constraint Details: + + 12.326ns physical path delay SLICE_94 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.409ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.326 (22.6% logic, 77.4% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.416ns (weighted slack = 324.832ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 12.319ns (22.6% logic, 77.4% route), 7 logic levels. + + Constraint Details: + + 12.319ns physical path delay SLICE_94 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.416ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.319 (22.6% logic, 77.4% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.564ns (weighted slack = 325.128ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.171ns (22.9% logic, 77.1% route), 7 logic levels. + + Constraint Details: + + 12.171ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.564ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) +ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 +CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 1.550 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.171 (22.9% logic, 77.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C5A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.571ns (weighted slack = 325.142ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 12.164ns (22.9% logic, 77.1% route), 7 logic levels. + + Constraint Details: + + 12.164ns physical path delay SLICE_95 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.571ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q0 SLICE_95 (from PHI2_c) +ROUTE 1 1.488 R2C2C.Q0 to R5C2C.A0 Bank_6 +CTOF_DEL --- 0.371 R5C2C.A0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 1.543 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.164 (22.9% logic, 77.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C4A.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.606ns (weighted slack = 325.212ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.129ns (23.0% logic, 77.0% route), 7 logic levels. + + Constraint Details: + + 12.129ns physical path delay SLICE_95 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.606ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2C.CLK to R2C2C.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 1.155 R2C2C.Q1 to R5C2B.D1 Bank_7 +CTOF_DEL --- 0.371 R5C2B.D1 to R5C2B.F1 SLICE_67 +ROUTE 1 0.304 R5C2B.F1 to R5C2C.D1 n2154 +CTOF_DEL --- 0.371 R5C2C.D1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.129 (23.0% logic, 77.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C2C.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.635ns (weighted slack = 325.270ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i1 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 12.100ns (20.0% logic, 80.0% route), 6 logic levels. + + Constraint Details: + + 12.100ns physical path delay SLICE_94 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.635ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q1 SLICE_94 (from PHI2_c) +ROUTE 1 1.905 R2C3B.Q1 to R6C2B.C1 Bank_1 +CTOF_DEL --- 0.371 R6C2B.C1 to R6C2B.F1 SLICE_97 +ROUTE 1 1.444 R6C2B.F1 to R5C5B.C1 n2170 +CTOF_DEL --- 0.371 R5C5B.C1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 12.100 (20.0% logic, 80.0% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.868ns (weighted slack = 325.736ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 11.867ns (23.5% logic, 76.5% route), 7 logic levels. + + Constraint Details: + + 11.867ns physical path delay SLICE_97 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.868ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R6C2B.CLK to R6C2B.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 0.700 R6C2B.Q1 to R5C2C.D0 Bank_5 +CTOF_DEL --- 0.371 R5C2C.D0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 2.034 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 11.867 (23.5% logic, 76.5% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R6C2B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R5C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.886ns (weighted slack = 325.772ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i0 (from PHI2_c +) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 11.849ns (23.5% logic, 76.5% route), 7 logic levels. + + Constraint Details: + + 11.849ns physical path delay SLICE_94 to SLICE_96 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.886ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C3B.CLK to R2C3B.Q0 SLICE_94 (from PHI2_c) +ROUTE 1 1.643 R2C3B.Q0 to R5C2C.B0 Bank_0 +CTOF_DEL --- 0.371 R5C2C.B0 to R5C2C.F0 SLICE_82 +ROUTE 1 0.497 R5C2C.F0 to R5C2C.C1 n2166 +CTOF_DEL --- 0.371 R5C2C.C1 to R5C2C.F1 SLICE_82 +ROUTE 1 1.548 R5C2C.F1 to R5C5B.B1 n26 +CTOF_DEL --- 0.371 R5C5B.B1 to R5C5B.F1 SLICE_76 +ROUTE 4 1.750 R5C5B.F1 to R6C3A.D0 n1285 +CTOF_DEL --- 0.371 R6C3A.D0 to R6C3A.F0 SLICE_89 +ROUTE 3 1.048 R6C3A.F0 to R5C3C.A1 n2290 +CTOF_DEL --- 0.371 R5C3C.A1 to R5C3C.F1 SLICE_18 +ROUTE 3 1.504 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R4C4D.A1 to R4C4D.F1 SLICE_90 +ROUTE 1 1.073 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 11.849 (23.5% logic, 76.5% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R2C3B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.911 39.PADDI to R3C4B.CLK PHI2_c + -------- + 3.911 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 26.150ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 7.566ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.190ns (29.5% logic, 70.5% route), 6 logic levels. + + Constraint Details: + + 8.190ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.566ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.190 (29.5% logic, 70.5% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.590ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 8.166ns (29.6% logic, 70.4% route), 6 logic levels. + + Constraint Details: + + 8.166ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.590ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 8.166 (29.6% logic, 70.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.984ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i13 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 7.772ns (31.1% logic, 68.9% route), 6 logic levels. + + Constraint Details: + + 7.772ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.984ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) +ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 +CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 7.772 (31.1% logic, 68.9% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.008ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i13 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 7.748ns (31.2% logic, 68.8% route), 6 logic levels. + + Constraint Details: + + 7.748ns physical path delay SLICE_8 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.008ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q1 SLICE_8 (from RCLK_c) +ROUTE 3 1.511 R8C4C.Q1 to R7C5C.A0 FS_13 +CTOF_DEL --- 0.371 R7C5C.A0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 7.748 (31.2% logic, 68.8% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.123ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i12 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 7.633ns (31.6% logic, 68.4% route), 6 logic levels. + + Constraint Details: + + 7.633ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.123ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) +ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 +CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 7.633 (31.6% logic, 68.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.147ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i12 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 7.609ns (31.7% logic, 68.3% route), 6 logic levels. + + Constraint Details: + + 7.609ns physical path delay SLICE_8 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.147ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4C.CLK to R8C4C.Q0 SLICE_8 (from RCLK_c) +ROUTE 3 1.372 R8C4C.Q0 to R7C5C.C0 FS_12 +CTOF_DEL --- 0.371 R7C5C.C0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 7.609 (31.7% logic, 68.3% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4C.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.262ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in UFMCLK_389 (to RCLK_c +) + + Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. + + Constraint Details: + + 7.112ns physical path delay SLICE_7 to SLICE_42 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_42: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 +CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 +ROUTE 2 1.538 R6C4A.F0 to R7C5A.LSR n2291 (to RCLK_c) + -------- + 7.112 (23.5% logic, 76.5% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_42: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R7C5A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.262ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in UFMSDI_390 (to RCLK_c +) + + Delay: 7.112ns (23.5% logic, 76.5% route), 4 logic levels. + + Constraint Details: + + 7.112ns physical path delay SLICE_7 to SLICE_43 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.626ns LSR_SET requirement (totaling 15.374ns) by 8.262ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_43: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.929 R8C4D.Q1 to R7C5C.B0 FS_15 +CTOF_DEL --- 0.371 R7C5C.B0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.915 R7C4A.F1 to R6C4A.C0 n2300 +CTOF_DEL --- 0.371 R6C4A.C0 to R6C4A.F0 SLICE_86 +ROUTE 2 1.538 R6C4A.F0 to R7C5B.LSR n2291 (to RCLK_c) + -------- + 7.112 (23.5% logic, 76.5% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_43: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R7C5B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.316ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 7.440ns (32.5% logic, 67.5% route), 6 logic levels. + + Constraint Details: + + 7.440ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.316ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 +CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 0.513 R7C4B.F0 to R7C4B.C1 n2119 +CTOF_DEL --- 0.371 R7C4B.C1 to R7C4B.F1 SLICE_75 +ROUTE 1 1.260 R7C4B.F1 to R6C3A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 7.440 (32.5% logic, 67.5% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C3A.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 8.340ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 7.416ns (32.6% logic, 67.4% route), 6 logic levels. + + Constraint Details: + + 7.416ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 8.340ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R8C4D.CLK to R8C4D.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 1.179 R8C4D.Q0 to R7C5C.D0 FS_14 +CTOF_DEL --- 0.371 R7C5C.D0 to R7C5C.F0 SLICE_78 +ROUTE 3 1.057 R7C5C.F0 to R7C4A.A1 n10 +CTOF_DEL --- 0.371 R7C4A.A1 to R7C4A.F1 SLICE_73 +ROUTE 4 0.712 R7C4A.F1 to R7C4A.B0 n2300 +CTOF_DEL --- 0.371 R7C4A.B0 to R7C4A.F0 SLICE_73 +ROUTE 1 0.304 R7C4A.F0 to R7C4B.D0 n11 +CTOF_DEL --- 0.371 R7C4B.D0 to R7C4B.F0 SLICE_75 +ROUTE 2 1.102 R7C4B.F0 to R6C4C.B1 n2119 +CTOF_DEL --- 0.371 R6C4C.B1 to R6C4C.F1 SLICE_33 +ROUTE 1 0.647 R6C4C.F1 to R6C4B.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 7.416 (32.6% logic, 67.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R8C4D.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_56: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.353 86.PADDI to R6C4B.CLK RCLK_c + -------- + 1.353 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 8.434ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.904ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 6.180ns (67.9% logic, 32.1% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_55 and + 6.180ns delay SLICE_55 to RA[10] (totaling 8.596ns) meets + 12.500ns offset RCLK to RA[10] by 3.904ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C4B.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 1.984 R2C4B.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 6.180 (67.9% logic, 32.1% route), 2 logic levels. + +Report: 8.596ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.734ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.350ns delay SLICE_64 to RA[9] (totaling 8.766ns) meets + 12.500ns offset RCLK to RA[9] by 3.734ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C1 nRowColSel +CTOF_DEL --- 0.371 R2C4A.C1 to R2C4A.F1 SLICE_88 +ROUTE 1 0.817 R2C4A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 6.350 (71.9% logic, 28.1% route), 3 logic levels. + +Report: 8.766ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.604ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 6.480ns (70.5% logic, 29.5% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.480ns delay SLICE_64 to RA[8] (totaling 8.896ns) meets + 12.500ns offset RCLK to RA[8] by 3.604ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B0 nRowColSel +CTOF_DEL --- 0.371 R2C2C.B0 to R2C2C.F0 SLICE_95 +ROUTE 1 0.817 R2C2C.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 6.480 (70.5% logic, 29.5% route), 3 logic levels. + +Report: 8.896ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.245ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.839ns (58.3% logic, 41.7% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.839ns delay SLICE_64 to RA[7] (totaling 10.255ns) meets + 12.500ns offset RCLK to RA[7] by 2.245ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.234 R2C2A.Q0 to R6C2B.D0 nRowColSel +CTOF_DEL --- 0.371 R6C2B.D0 to R6C2B.F0 SLICE_97 +ROUTE 1 2.038 R6C2B.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.839 (58.3% logic, 41.7% route), 3 logic levels. + +Report: 10.255ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.499ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.585ns (60.2% logic, 39.8% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.585ns delay SLICE_64 to RA[6] (totaling 10.001ns) meets + 12.500ns offset RCLK to RA[6] by 2.499ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C0 nRowColSel +CTOF_DEL --- 0.371 R3C2A.C0 to R3C2A.F0 SLICE_98 +ROUTE 1 2.052 R3C2A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.585 (60.2% logic, 39.8% route), 3 logic levels. + +Report: 10.001ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.891ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.193ns (63.5% logic, 36.5% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.193ns delay SLICE_64 to RA[5] (totaling 9.609ns) meets + 12.500ns offset RCLK to RA[5] by 2.891ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R3C2A.C1 nRowColSel +CTOF_DEL --- 0.371 R3C2A.C1 to R3C2A.F1 SLICE_98 +ROUTE 1 1.660 R3C2A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.193 (63.5% logic, 36.5% route), 3 logic levels. + +Report: 9.609ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.996ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 6.088ns (75.0% logic, 25.0% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.088ns delay SLICE_64 to RA[4] (totaling 8.504ns) meets + 12.500ns offset RCLK to RA[4] by 3.996ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.704 R2C2A.Q0 to R2C2A.D1 nRowColSel +CTOF_DEL --- 0.371 R2C2A.D1 to R2C2A.F1 SLICE_64 +ROUTE 1 0.817 R2C2A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 6.088 (75.0% logic, 25.0% route), 3 logic levels. + +Report: 8.504ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.567ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.517ns (60.8% logic, 39.2% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.517ns delay SLICE_64 to RA[3] (totaling 9.933ns) meets + 12.500ns offset RCLK to RA[3] by 2.567ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C1 nRowColSel +CTOF_DEL --- 0.371 R2C3B.C1 to R2C3B.F1 SLICE_94 +ROUTE 1 1.984 R2C3B.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 7.517 (60.8% logic, 39.2% route), 3 logic levels. + +Report: 9.933ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.438ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.646ns (59.7% logic, 40.3% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.646ns delay SLICE_64 to RA[2] (totaling 10.062ns) meets + 12.500ns offset RCLK to RA[2] by 2.438ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.096 R2C2A.Q0 to R2C2C.B1 nRowColSel +CTOF_DEL --- 0.371 R2C2C.B1 to R2C2C.F1 SLICE_95 +ROUTE 1 1.983 R2C2C.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 7.646 (59.7% logic, 40.3% route), 3 logic levels. + +Report: 10.062ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.734ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 6.350ns (71.9% logic, 28.1% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 6.350ns delay SLICE_64 to RA[1] (totaling 8.766ns) meets + 12.500ns offset RCLK to RA[1] by 3.734ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C3B.C0 nRowColSel +CTOF_DEL --- 0.371 R2C3B.C0 to R2C3B.F0 SLICE_94 +ROUTE 1 0.817 R2C3B.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 6.350 (71.9% logic, 28.1% route), 3 logic levels. + +Report: 8.766ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.826ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.258ns (62.9% logic, 37.1% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.258ns delay SLICE_64 to RA[0] (totaling 9.674ns) meets + 12.500ns offset RCLK to RA[0] by 2.826ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.165 R2C2A.Q0 to R3C2B.B1 nRowColSel +CTOF_DEL --- 0.371 R3C2B.B1 to R3C2B.F1 SLICE_92 +ROUTE 1 1.526 R3C2B.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 7.258 (62.9% logic, 37.1% route), 3 logic levels. + +Report: 9.674ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.071ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_60 and + 5.013ns delay SLICE_60 to nRCS (totaling 7.429ns) meets + 12.500ns offset RCLK to nRCS by 5.071ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C5B.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.817 R2C5B.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.429ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.420ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 6.664ns (63.0% logic, 37.0% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_34 and + 6.664ns delay SLICE_34 to RCKE (totaling 9.080ns) meets + 12.500ns offset RCLK to RCKE by 3.420ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R5C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 2.468 R5C2A.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 6.664 (63.0% logic, 37.0% route), 2 logic levels. + +Report: 9.080ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.071ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_63 and + 5.013ns delay SLICE_63 to nRWE (totaling 7.429ns) meets + 12.500ns offset RCLK to nRWE by 5.071ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R3C5B.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 0.817 R3C5B.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.429ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.885ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 6.199ns (67.7% logic, 32.3% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_61 and + 6.199ns delay SLICE_61 to nRRAS (totaling 8.615ns) meets + 12.500ns offset RCLK to nRRAS by 3.885ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R4C5A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 2.003 R4C5A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 6.199 (67.7% logic, 32.3% route), 2 logic levels. + +Report: 8.615ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.905ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 6.179ns (67.9% logic, 32.1% route), 2 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_58 and + 6.179ns delay SLICE_58 to nRCAS (totaling 8.595ns) meets + 12.500ns offset RCLK to nRCAS by 3.905ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C4C.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 1.983 R2C4C.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 6.179 (67.9% logic, 32.1% route), 2 logic levels. + +Report: 8.595ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.025ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.059ns (64.7% logic, 35.3% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 7.059ns delay SLICE_64 to RDQMH (totaling 9.475ns) meets + 12.500ns offset RCLK to RDQMH by 3.025ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.966 R2C2A.Q0 to R2C4A.C0 nRowColSel +CTOF_DEL --- 0.371 R2C4A.C0 to R2C4A.F0 SLICE_88 +ROUTE 1 1.526 R2C4A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.059 (64.7% logic, 35.3% route), 3 logic levels. + +Report: 9.475ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.023ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 8.061ns (56.7% logic, 43.3% route), 3 logic levels. + + Clock Path Delay: 2.416ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 2.416ns delay RCLK to SLICE_64 and + 8.061ns delay SLICE_64 to RDQML (totaling 10.477ns) meets + 12.500ns offset RCLK to RDQML by 2.023ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.353 86.PADDI to R2C2A.CLK RCLK_c + -------- + 2.416 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.954 R2C2A.Q0 to R3C2B.C0 nRowColSel +CTOF_DEL --- 0.371 R3C2B.C0 to R3C2B.F0 SLICE_92 +ROUTE 1 2.540 R3C2B.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 8.061 (56.7% logic, 43.3% route), 3 logic levels. + +Report: 10.477ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.150 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 8.434 ns| 6 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.596 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.896 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.255 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.001 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.609 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.504 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.933 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.062 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.766 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.080 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.429 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.615 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.595 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.475 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.477 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:32:34 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO256C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf +Design file: ram2gs_lcmxo256c_impl1.ncd +Preference file: ram2gs_lcmxo256c_impl1.prf +Device,speed: LCMXO256C,m +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +Preference Summary + +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.444ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.421ns (62.2% logic, 37.8% route), 2 logic levels. + + Constraint Details: + + 0.421ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.023ns) by 0.444ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D0 ADSubmitted +CTOF_DEL --- 0.092 R5C3A.D0 to R5C3A.F0 SLICE_9 +ROUTE 1 0.000 R5C3A.F0 to R5C3A.DI0 n1361 (to PHI2_c) + -------- + 0.421 (62.2% logic, 37.8% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.186ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) + + Delay: 1.157ns (30.6% logic, 69.4% route), 3 logic levels. + + Constraint Details: + + 1.157ns physical path delay SLICE_18 to SLICE_23 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.186ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 0.167 R4C4D.F0 to R4C4C.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.157 (30.6% logic, 69.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R4C4C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.193ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_379 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.164ns (38.3% logic, 61.7% route), 4 logic levels. + + Constraint Details: + + 1.164ns physical path delay SLICE_14 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.193ns + + Physical Path Details: + + Data path SLICE_14 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R6C3B.CLK to R6C3B.Q0 SLICE_14 (from PHI2_c) +ROUTE 1 0.253 R6C3B.Q0 to R6C3B.B1 C1Submitted +CTOF_DEL --- 0.092 R6C3B.B1 to R6C3B.F1 SLICE_14 +ROUTE 1 0.075 R6C3B.F1 to R6C3C.D1 n2098 +CTOF_DEL --- 0.092 R6C3C.D1 to R6C3C.F1 SLICE_77 +ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 +CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 +ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.164 (38.3% logic, 61.7% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.280ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.251ns (35.7% logic, 64.3% route), 4 logic levels. + + Constraint Details: + + 1.251ns physical path delay SLICE_9 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.280ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3A.CLK to R5C3A.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.159 R5C3A.Q0 to R5C3A.D1 ADSubmitted +CTOF_DEL --- 0.092 R5C3A.D1 to R5C3A.F1 SLICE_9 +ROUTE 1 0.256 R5C3A.F1 to R6C3C.A1 n2080 +CTOF_DEL --- 0.092 R6C3C.A1 to R6C3C.F1 SLICE_77 +ROUTE 1 0.123 R6C3C.F1 to R6C3C.C0 n2286 +CTOF_DEL --- 0.092 R6C3C.C0 to R6C3C.F0 SLICE_77 +ROUTE 1 0.267 R6C3C.F0 to R5C3C.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.251 (35.7% logic, 64.3% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.286ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 1.257ns (28.2% logic, 71.8% route), 3 logic levels. + + Constraint Details: + + 1.257ns physical path delay SLICE_18 to SLICE_96 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.286ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4D.A1 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4D.A1 to R4C4D.F1 SLICE_90 +ROUTE 1 0.267 R4C4D.F1 to R3C4B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 1.257 (28.2% logic, 71.8% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.400ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 1.371ns (25.8% logic, 74.2% route), 3 logic levels. + + Constraint Details: + + 1.371ns physical path delay SLICE_18 to SLICE_88 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.400ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 0.381 R4C4A.F0 to R2C4A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.371 (25.8% logic, 74.2% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R2C4A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.414ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 1.385ns (25.6% logic, 74.4% route), 3 logic levels. + + Constraint Details: + + 1.385ns physical path delay SLICE_18 to SLICE_19 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.414ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4D.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4D.A0 to R4C4D.F0 SLICE_90 +ROUTE 2 0.395 R4C4D.F0 to R5C5A.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.385 (25.6% logic, 74.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.537ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 1.508ns (23.5% logic, 76.5% route), 3 logic levels. + + Constraint Details: + + 1.508ns physical path delay SLICE_18 to SLICE_83 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.537ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C3C.CLK to R5C3C.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.253 R5C3C.Q0 to R5C3C.B1 CmdEnable +CTOF_DEL --- 0.092 R5C3C.B1 to R5C3C.F1 SLICE_18 +ROUTE 3 0.383 R5C3C.F1 to R4C4A.A0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R4C4A.A0 to R4C4A.F0 SLICE_72 +ROUTE 2 0.518 R4C4A.F0 to R5C4B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.508 (23.5% logic, 76.5% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C3C.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R5C4B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.681ns (weighted slack = 351.362ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG_381 (from PHI2_c -) + Destination: FF Data in RA11_358 (to PHI2_c +) + + Delay: 0.670ns (39.1% logic, 60.9% route), 2 logic levels. + + Constraint Details: + + 0.670ns physical path delay SLICE_96 to SLICE_31 meets + -0.011ns DIN_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.011ns) by 175.681ns + + Physical Path Details: + + Data path SLICE_96 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R3C4B.CLK to R3C4B.Q0 SLICE_96 (from PHI2_c) +ROUTE 1 0.408 R3C4B.Q0 to R2C5A.D0 XOR8MEG +CTOF_DEL --- 0.092 R2C5A.D0 to R2C5A.F0 SLICE_31 +ROUTE 1 0.000 R2C5A.F0 to R2C5A.DI0 RA11_N_180 (to PHI2_c) + -------- + 0.670 (39.1% logic, 60.9% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R3C4B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R2C5A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 176.485ns (weighted slack = 352.970ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i3 (from PHI2_c +) + Destination: FF Data in C1Submitted_379 (to PHI2_c -) + + Delay: 1.456ns (23.4% logic, 76.6% route), 3 logic levels. + + Constraint Details: + + 1.456ns physical path delay SLICE_98 to SLICE_14 meets + -0.029ns CE_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.029ns) by 176.485ns + + Physical Path Details: + + Data path SLICE_98 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q1 SLICE_98 (from PHI2_c) +ROUTE 1 0.495 R3C2A.Q1 to R5C5B.A1 Bank_3 +CTOF_DEL --- 0.092 R5C5B.A1 to R5C5B.F1 SLICE_76 +ROUTE 4 0.459 R5C5B.F1 to R6C3A.D1 n1285 +CTOF_DEL --- 0.092 R6C3A.D1 to R6C3A.F1 SLICE_89 +ROUTE 1 0.161 R6C3A.F1 to R6C3B.CE PHI2_N_114_enable_1 (to PHI2_c) + -------- + 1.456 (23.4% logic, 76.6% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_98: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R3C2A.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.196 39.PADDI to R6C3B.CLK PHI2_c + -------- + 1.196 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R4C4A.Q0 to R4C4A.M1 n702 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i12 (from RCLK_c +) + Destination: FF Data in IS_FSM__i13 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4A.CLK to R4C4A.Q1 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R4C4A.Q1 to R4C4D.M0 n701 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i7 (from RCLK_c +) + Destination: FF Data in IS_FSM__i8 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_73 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_73 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C4A.CLK to R7C4A.Q0 SLICE_73 (from RCLK_c) +ROUTE 1 0.161 R7C4A.Q0 to R7C4A.M1 n706 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i3 (from RCLK_c +) + Destination: FF Data in IS_FSM__i4 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_74 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_74 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C3B.CLK to R5C3B.Q0 SLICE_74 (from RCLK_c) +ROUTE 1 0.161 R5C3B.Q0 to R5C3B.M1 n710 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i6 (from RCLK_c +) + Destination: FF Data in IS_FSM__i7 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q1 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R7C4B.Q1 to R7C4A.M0 n707 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i5 (from RCLK_c +) + Destination: FF Data in IS_FSM__i6 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_75 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_75: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C4B.CLK to R7C4B.Q0 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R7C4B.Q0 to R7C4B.M1 n708 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i10 (from RCLK_c +) + Destination: FF Data in IS_FSM__i11 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_84 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_84 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4B.CLK to R4C4B.Q1 SLICE_84 (from RCLK_c) +ROUTE 1 0.161 R4C4B.Q1 to R4C4A.M0 n703 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_84: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4A.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_87 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_87 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C3D.CLK to R5C3D.Q1 SLICE_87 (from RCLK_c) +ROUTE 1 0.161 R5C3D.Q1 to R5C3B.M0 n711 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_87: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R5C3B.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i13 (from RCLK_c +) + Destination: FF Data in IS_FSM__i14 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_90 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_90 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C4D.CLK to R4C4D.Q0 SLICE_90 (from RCLK_c) +ROUTE 1 0.161 R4C4D.Q0 to R4C4D.M1 n700 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R4C4D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.345ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RASr2_353 (from RCLK_c +) + Destination: FF Data in RASr3_354 (to RCLK_c +) + + Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. + + Constraint Details: + + 0.324ns physical path delay SLICE_93 to SLICE_93 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.345ns + + Physical Path Details: + + Data path SLICE_93 to SLICE_93: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R7C5D.CLK to R7C5D.Q0 SLICE_93 (from RCLK_c) +ROUTE 16 0.167 R7C5D.Q0 to R7C5D.M1 RASr2 (to RCLK_c) + -------- + 0.324 (48.5% logic, 51.5% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_93: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_93: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.413 86.PADDI to R7C5D.CLK RCLK_c + -------- + 0.413 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.220ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.733ns (73.0% logic, 27.0% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_55 and + 1.733ns delay SLICE_55 to RA[10] (totaling 2.220ns) meets + 0.000ns hold offset RCLK to RA[10] by 2.220ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C4B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C4B.CLK to R2C4B.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 0.468 R2C4B.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.733 (73.0% logic, 27.0% route), 2 logic levels. + +Report: 2.220ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.797ns delay SLICE_64 to RA[9] (totaling 2.284ns) meets + 0.000ns hold offset RCLK to RA[9] by 2.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C1 nRowColSel +CTOF_DEL --- 0.092 R2C4A.C1 to R2C4A.F1 SLICE_88 +ROUTE 1 0.197 R2C4A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 1.797 (75.5% logic, 24.5% route), 3 logic levels. + +Report: 2.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.316ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 1.829ns (74.2% logic, 25.8% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.829ns delay SLICE_64 to RA[8] (totaling 2.316ns) meets + 0.000ns hold offset RCLK to RA[8] by 2.316ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B0 nRowColSel +CTOF_DEL --- 0.092 R2C2C.B0 to R2C2C.F0 SLICE_95 +ROUTE 1 0.197 R2C2C.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 1.829 (74.2% logic, 25.8% route), 3 logic levels. + +Report: 2.316ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.652ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.165ns delay SLICE_64 to RA[7] (totaling 2.652ns) meets + 0.000ns hold offset RCLK to RA[7] by 2.652ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.319 R2C2A.Q0 to R6C2B.D0 nRowColSel +CTOF_DEL --- 0.092 R6C2B.D0 to R6C2B.F0 SLICE_97 +ROUTE 1 0.489 R6C2B.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.165 (62.7% logic, 37.3% route), 3 logic levels. + +Report: 2.652ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.579ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.092ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.092ns delay SLICE_64 to RA[6] (totaling 2.579ns) meets + 0.000ns hold offset RCLK to RA[6] by 2.579ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C0 nRowColSel +CTOF_DEL --- 0.092 R3C2A.C0 to R3C2A.F0 SLICE_98 +ROUTE 1 0.492 R3C2A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.092 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 2.579ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.481ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 1.994ns (68.1% logic, 31.9% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.994ns delay SLICE_64 to RA[5] (totaling 2.481ns) meets + 0.000ns hold offset RCLK to RA[5] by 2.481ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R3C2A.C1 nRowColSel +CTOF_DEL --- 0.092 R3C2A.C1 to R3C2A.F1 SLICE_98 +ROUTE 1 0.394 R3C2A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 1.994 (68.1% logic, 31.9% route), 3 logic levels. + +Report: 2.481ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.219ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 1.732ns (78.3% logic, 21.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.732ns delay SLICE_64 to RA[4] (totaling 2.219ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.219ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.178 R2C2A.Q0 to R2C2A.D1 nRowColSel +CTOF_DEL --- 0.092 R2C2A.D1 to R2C2A.F1 SLICE_64 +ROUTE 1 0.197 R2C2A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 1.732 (78.3% logic, 21.7% route), 3 logic levels. + +Report: 2.219ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.555ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.068ns (65.6% logic, 34.4% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.068ns delay SLICE_64 to RA[3] (totaling 2.555ns) meets + 0.000ns hold offset RCLK to RA[3] by 2.555ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C1 nRowColSel +CTOF_DEL --- 0.092 R2C3B.C1 to R2C3B.F1 SLICE_94 +ROUTE 1 0.468 R2C3B.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.068 (65.6% logic, 34.4% route), 3 logic levels. + +Report: 2.555ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.599ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.112ns (64.3% logic, 35.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.112ns delay SLICE_64 to RA[2] (totaling 2.599ns) meets + 0.000ns hold offset RCLK to RA[2] by 2.599ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.275 R2C2A.Q0 to R2C2C.B1 nRowColSel +CTOF_DEL --- 0.092 R2C2C.B1 to R2C2C.F1 SLICE_95 +ROUTE 1 0.480 R2C2C.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.112 (64.3% logic, 35.7% route), 3 logic levels. + +Report: 2.599ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 1.797ns (75.5% logic, 24.5% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.797ns delay SLICE_64 to RA[1] (totaling 2.284ns) meets + 0.000ns hold offset RCLK to RA[1] by 2.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C3B.C0 nRowColSel +CTOF_DEL --- 0.092 R2C3B.C0 to R2C3B.F0 SLICE_94 +ROUTE 1 0.197 R2C3B.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 1.797 (75.5% logic, 24.5% route), 3 logic levels. + +Report: 2.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.492ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.005ns (67.7% logic, 32.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.005ns delay SLICE_64 to RA[0] (totaling 2.492ns) meets + 0.000ns hold offset RCLK to RA[0] by 2.492ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.292 R2C2A.Q0 to R3C2B.B1 nRowColSel +CTOF_DEL --- 0.092 R3C2B.B1 to R3C2B.F1 SLICE_92 +ROUTE 1 0.356 R3C2B.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.005 (67.7% logic, 32.3% route), 3 logic levels. + +Report: 2.492ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_60 and + 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRCS by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C5B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C5B.CLK to R2C5B.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.197 R2C5B.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.363ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_34 and + 1.876ns delay SLICE_34 to RCKE (totaling 2.363ns) meets + 0.000ns hold offset RCLK to RCKE by 2.363ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C2A.CLK to R5C2A.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 0.611 R5C2A.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.876 (67.4% logic, 32.6% route), 2 logic levels. + +Report: 2.363ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_63 and + 1.462ns delay SLICE_63 to nRWE (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRWE by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R3C5B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R3C5B.CLK to R3C5B.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 0.197 R3C5B.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.236ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.749ns (72.3% logic, 27.7% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_61 and + 1.749ns delay SLICE_61 to nRRAS (totaling 2.236ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.236ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R4C5A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R4C5A.CLK to R4C5A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.484 R4C5A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.749 (72.3% logic, 27.7% route), 2 logic levels. + +Report: 2.236ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.232ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.745ns (72.5% logic, 27.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_58 and + 1.745ns delay SLICE_58 to nRCAS (totaling 2.232ns) meets + 0.000ns hold offset RCLK to nRCAS by 2.232ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C4C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C4C.CLK to R2C4C.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 0.480 R2C4C.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.745 (72.5% logic, 27.5% route), 2 logic levels. + +Report: 2.232ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.443ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 1.956ns (69.4% logic, 30.6% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.956ns delay SLICE_64 to RDQMH (totaling 2.443ns) meets + 0.000ns hold offset RCLK to RDQMH by 2.443ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.243 R2C2A.Q0 to R2C4A.C0 nRowColSel +CTOF_DEL --- 0.092 R2C4A.C0 to R2C4A.F0 SLICE_88 +ROUTE 1 0.356 R2C4A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 1.956 (69.4% logic, 30.6% route), 3 logic levels. + +Report: 2.443ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.713ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.226ns (61.0% logic, 39.0% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.226ns delay SLICE_64 to RDQML (totaling 2.713ns) meets + 0.000ns hold offset RCLK to RDQML by 2.713ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C2A.CLK to R2C2A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.238 R2C2A.Q0 to R3C2B.C0 nRowColSel +CTOF_DEL --- 0.092 R3C2B.C0 to R3C2B.F0 SLICE_92 +ROUTE 1 0.631 R3C2B.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 2.226 (61.0% logic, 39.0% route), 3 logic levels. + +Report: 2.713ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.220 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.316 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.579 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.481 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.219 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.555 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.599 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.284 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.492 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.236 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.232 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.443 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.713 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 440 connections (71.20% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + + + + +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log new file mode 100644 index 0000000..946f96e --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_drc.log @@ -0,0 +1,15 @@ +Results of NGD DRC are available in RAM2GS_drc.log. +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... + + +Running DRC... + +DRC complete with no errors or warnings + +Design Results: + 304 blocks expanded +completed the first expansion +All blocks are expanded and NGD expansion is successful. +Writing NGD file RAM2GS_LCMXO256C_impl1.ngd. diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr new file mode 100644 index 0000000..83d5ab2 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse.twr @@ -0,0 +1,311 @@ +-------------------------------------------------------------------------------- +Lattice Synthesis Timing Report, Version +Mon Aug 16 21:32:26 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Design: RAM2GS +Constraint file: +Report level: verbose report, limited to 3 items per constraint +-------------------------------------------------------------------------------- + + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c] + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c] + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c] + 122 items scored, 121 timing errors detected. +-------------------------------------------------------------------------------- + + +Error: The following path violates requirements by 10.378ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i5 (from PHI2_c +) + Destination: FD1P3AX SP CmdUFMCS_385 (to PHI2_c -) + + Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 12.614ns data_path Bank_i5 to CmdUFMCS_385 violates + 2.500ns delay constraint less + 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns + + Path Details: Bank_i5 to CmdUFMCS_385 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) +Route 1 e 1.220 Bank[5] +LUT4 --- 0.390 B to Z i1856_4_lut +Route 1 e 1.220 n2166 +LUT4 --- 0.390 B to Z i12_4_lut +Route 1 e 1.220 n26 +LUT4 --- 0.390 B to Z i13_4_lut +Route 4 e 1.552 n1285 +LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 +Route 3 e 1.483 n2290 +LUT4 --- 0.390 D to Z i3_4_lut +Route 3 e 1.483 XOR8MEG_N_112 +LUT4 --- 0.390 A to Z i2_3_lut_4_lut +Route 3 e 1.483 PHI2_N_114_enable_7 + -------- + 12.614 (23.4% logic, 76.6% route), 7 logic levels. + + +Error: The following path violates requirements by 10.378ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i5 (from PHI2_c +) + Destination: FD1P3AX SP CmdUFMSDI_387 (to PHI2_c -) + + Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates + 2.500ns delay constraint less + 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns + + Path Details: Bank_i5 to CmdUFMSDI_387 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) +Route 1 e 1.220 Bank[5] +LUT4 --- 0.390 B to Z i1856_4_lut +Route 1 e 1.220 n2166 +LUT4 --- 0.390 B to Z i12_4_lut +Route 1 e 1.220 n26 +LUT4 --- 0.390 B to Z i13_4_lut +Route 4 e 1.552 n1285 +LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 +Route 3 e 1.483 n2290 +LUT4 --- 0.390 D to Z i3_4_lut +Route 3 e 1.483 XOR8MEG_N_112 +LUT4 --- 0.390 A to Z i2_3_lut_4_lut +Route 3 e 1.483 PHI2_N_114_enable_7 + -------- + 12.614 (23.4% logic, 76.6% route), 7 logic levels. + + +Error: The following path violates requirements by 10.378ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i5 (from PHI2_c +) + Destination: FD1P3AX SP CmdUFMCLK_386 (to PHI2_c -) + + Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates + 2.500ns delay constraint less + 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns + + Path Details: Bank_i5 to CmdUFMCLK_386 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) +Route 1 e 1.220 Bank[5] +LUT4 --- 0.390 B to Z i1856_4_lut +Route 1 e 1.220 n2166 +LUT4 --- 0.390 B to Z i12_4_lut +Route 1 e 1.220 n26 +LUT4 --- 0.390 B to Z i13_4_lut +Route 4 e 1.552 n1285 +LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 +Route 3 e 1.483 n2290 +LUT4 --- 0.390 D to Z i3_4_lut +Route 3 e 1.483 XOR8MEG_N_112 +LUT4 --- 0.390 A to Z i2_3_lut_4_lut +Route 3 e 1.483 PHI2_N_114_enable_7 + -------- + 12.614 (23.4% logic, 76.6% route), 7 logic levels. + +Warning: 12.878 ns is the maximum delay for this constraint. + + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c] + 369 items scored, 244 timing errors detected. +-------------------------------------------------------------------------------- + + +Error: The following path violates requirements by 6.291ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) + Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) + + Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. + + Constraint Details: + + 11.027ns data_path FS_577__i12 to LEDEN_392 violates + 5.000ns delay constraint less + 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns + + Path Details: FS_577__i12 to LEDEN_392 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) +Route 3 e 1.603 FS[12] +LUT4 --- 0.390 C to Z i4_4_lut +Route 3 e 1.483 n10 +LUT4 --- 0.390 B to Z i5_3_lut_rep_23 +Route 4 e 1.552 n2300 +LUT4 --- 0.390 B to Z i4_3_lut_4_lut +Route 1 e 1.220 n11 +LUT4 --- 0.390 C to Z i2_4_lut_adj_4 +Route 2 e 1.386 n2119 +LUT4 --- 0.390 C to Z i2_3_lut_3_lut +Route 1 e 1.220 RCLK_c_enable_25 + -------- + 11.027 (23.2% logic, 76.8% route), 6 logic levels. + + +Error: The following path violates requirements by 6.291ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) + Destination: FD1P3AX SP n8MEGEN_391 (to RCLK_c +) + + Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. + + Constraint Details: + + 11.027ns data_path FS_577__i12 to n8MEGEN_391 violates + 5.000ns delay constraint less + 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns + + Path Details: FS_577__i12 to n8MEGEN_391 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) +Route 3 e 1.603 FS[12] +LUT4 --- 0.390 C to Z i4_4_lut +Route 3 e 1.483 n10 +LUT4 --- 0.390 B to Z i5_3_lut_rep_23 +Route 4 e 1.552 n2300 +LUT4 --- 0.390 B to Z i4_3_lut_4_lut +Route 1 e 1.220 n11 +LUT4 --- 0.390 C to Z i2_4_lut_adj_4 +Route 2 e 1.386 n2119 +LUT4 --- 0.390 D to Z i1248_4_lut +Route 1 e 1.220 RCLK_c_enable_7 + -------- + 11.027 (23.2% logic, 76.8% route), 6 logic levels. + + +Error: The following path violates requirements by 6.291ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_577__i13 (from RCLK_c +) + Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) + + Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. + + Constraint Details: + + 11.027ns data_path FS_577__i13 to LEDEN_392 violates + 5.000ns delay constraint less + 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns + + Path Details: FS_577__i13 to LEDEN_392 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q FS_577__i13 (from RCLK_c) +Route 3 e 1.603 FS[13] +LUT4 --- 0.390 B to Z i4_4_lut +Route 3 e 1.483 n10 +LUT4 --- 0.390 B to Z i5_3_lut_rep_23 +Route 4 e 1.552 n2300 +LUT4 --- 0.390 B to Z i4_3_lut_4_lut +Route 1 e 1.220 n11 +LUT4 --- 0.390 C to Z i2_4_lut_adj_4 +Route 2 e 1.386 n2119 +LUT4 --- 0.390 C to Z i2_3_lut_3_lut +Route 1 e 1.220 RCLK_c_enable_25 + -------- + 11.027 (23.2% logic, 76.8% route), 6 logic levels. + +Warning: 11.291 ns is the maximum delay for this constraint. + + +Timing Report Summary +-------------- +-------------------------------------------------------------------------------- +Constraint | Constraint| Actual|Levels +-------------------------------------------------------------------------------- + | | | +create_clock -period 5.000000 -name | | | +clk3 [get_nets nCCAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk2 [get_nets nCRAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk1 [get_nets PHI2_c] | 5.000 ns| 25.756 ns| 7 * + | | | +create_clock -period 5.000000 -name | | | +clk0 [get_nets RCLK_c] | 5.000 ns| 11.291 ns| 6 * + | | | +-------------------------------------------------------------------------------- + + +2 constraints not met. + +-------------------------------------------------------------------------------- +Critical Nets | Loads| Errors| % of total +-------------------------------------------------------------------------------- +n1285 | 4| 112| 30.68% + | | | +n26 | 1| 70| 19.18% + | | | +RCLK_c_enable_23 | 16| 64| 17.53% + | | | +n2290 | 3| 64| 17.53% + | | | +XOR8MEG_N_112 | 3| 54| 14.79% + | | | +n2119 | 2| 48| 13.15% + | | | +n2166 | 1| 42| 11.51% + | | | +-------------------------------------------------------------------------------- + + +Timing summary: +--------------- + +Timing errors: 365 Score: 2309745 + +Constraints cover 495 paths, 177 nets, and 464 connections (66.5% coverage) + + +Peak memory: 52502528 bytes, TRCE: 1482752 bytes, DLYMAN: 163840 bytes +CPU_TIME_REPORT: 0 secs diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html new file mode 100644 index 0000000..82208e7 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_lse_lsetwr.html @@ -0,0 +1,376 @@ + +Lattice Synthesis Timing Report + + +
    Lattice Synthesis Timing Report
    +--------------------------------------------------------------------------------
    +Lattice Synthesis Timing Report, Version  
    +Mon Aug 16 21:32:26 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Design:     RAM2GS
    +Constraint file:  
    +Report level:    verbose report, limited to 3 items per constraint
    +--------------------------------------------------------------------------------
    +
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c]
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c]
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c]
    +            122 items scored, 121 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Error:  The following path violates requirements by 10.378ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdUFMCS_385  (to PHI2_c -)
    +
    +   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     12.614ns data_path Bank_i5 to CmdUFMCS_385 violates
    +      2.500ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    +
    + Path Details: Bank_i5 to CmdUFMCS_385
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    +Route         1   e 1.220                                  Bank[5]
    +LUT4        ---     0.390              B to Z              i1856_4_lut
    +Route         1   e 1.220                                  n2166
    +LUT4        ---     0.390              B to Z              i12_4_lut
    +Route         1   e 1.220                                  n26
    +LUT4        ---     0.390              B to Z              i13_4_lut
    +Route         4   e 1.552                                  n1285
    +LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    +Route         3   e 1.483                                  n2290
    +LUT4        ---     0.390              D to Z              i3_4_lut
    +Route         3   e 1.483                                  XOR8MEG_N_112
    +LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    +Route         3   e 1.483                                  PHI2_N_114_enable_7
    +                  --------
    +                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    +
    +
    +Error:  The following path violates requirements by 10.378ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdUFMSDI_387  (to PHI2_c -)
    +
    +   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates
    +      2.500ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    +
    + Path Details: Bank_i5 to CmdUFMSDI_387
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    +Route         1   e 1.220                                  Bank[5]
    +LUT4        ---     0.390              B to Z              i1856_4_lut
    +Route         1   e 1.220                                  n2166
    +LUT4        ---     0.390              B to Z              i12_4_lut
    +Route         1   e 1.220                                  n26
    +LUT4        ---     0.390              B to Z              i13_4_lut
    +Route         4   e 1.552                                  n1285
    +LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    +Route         3   e 1.483                                  n2290
    +LUT4        ---     0.390              D to Z              i3_4_lut
    +Route         3   e 1.483                                  XOR8MEG_N_112
    +LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    +Route         3   e 1.483                                  PHI2_N_114_enable_7
    +                  --------
    +                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    +
    +
    +Error:  The following path violates requirements by 10.378ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdUFMCLK_386  (to PHI2_c -)
    +
    +   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates
    +      2.500ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    +
    + Path Details: Bank_i5 to CmdUFMCLK_386
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    +Route         1   e 1.220                                  Bank[5]
    +LUT4        ---     0.390              B to Z              i1856_4_lut
    +Route         1   e 1.220                                  n2166
    +LUT4        ---     0.390              B to Z              i12_4_lut
    +Route         1   e 1.220                                  n26
    +LUT4        ---     0.390              B to Z              i13_4_lut
    +Route         4   e 1.552                                  n1285
    +LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    +Route         3   e 1.483                                  n2290
    +LUT4        ---     0.390              D to Z              i3_4_lut
    +Route         3   e 1.483                                  XOR8MEG_N_112
    +LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    +Route         3   e 1.483                                  PHI2_N_114_enable_7
    +                  --------
    +                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    +
    +Warning: 12.878 ns is the maximum delay for this constraint.
    +
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c]
    +            369 items scored, 244 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Error:  The following path violates requirements by 6.291ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    +   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    +
    +   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     11.027ns data_path FS_577__i12 to LEDEN_392 violates
    +      5.000ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    +
    + Path Details: FS_577__i12 to LEDEN_392
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    +Route         3   e 1.603                                  FS[12]
    +LUT4        ---     0.390              C to Z              i4_4_lut
    +Route         3   e 1.483                                  n10
    +LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    +Route         4   e 1.552                                  n2300
    +LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    +Route         1   e 1.220                                  n11
    +LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    +Route         2   e 1.386                                  n2119
    +LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    +Route         1   e 1.220                                  RCLK_c_enable_25
    +                  --------
    +                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    +
    +
    +Error:  The following path violates requirements by 6.291ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    +   Destination:    FD1P3AX    SP             n8MEGEN_391  (to RCLK_c +)
    +
    +   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     11.027ns data_path FS_577__i12 to n8MEGEN_391 violates
    +      5.000ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    +
    + Path Details: FS_577__i12 to n8MEGEN_391
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    +Route         3   e 1.603                                  FS[12]
    +LUT4        ---     0.390              C to Z              i4_4_lut
    +Route         3   e 1.483                                  n10
    +LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    +Route         4   e 1.552                                  n2300
    +LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    +Route         1   e 1.220                                  n11
    +LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    +Route         2   e 1.386                                  n2119
    +LUT4        ---     0.390              D to Z              i1248_4_lut
    +Route         1   e 1.220                                  RCLK_c_enable_7
    +                  --------
    +                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    +
    +
    +Error:  The following path violates requirements by 6.291ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_577__i13  (from RCLK_c +)
    +   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    +
    +   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     11.027ns data_path FS_577__i13 to LEDEN_392 violates
    +      5.000ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    +
    + Path Details: FS_577__i13 to LEDEN_392
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              FS_577__i13 (from RCLK_c)
    +Route         3   e 1.603                                  FS[13]
    +LUT4        ---     0.390              B to Z              i4_4_lut
    +Route         3   e 1.483                                  n10
    +LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    +Route         4   e 1.552                                  n2300
    +LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    +Route         1   e 1.220                                  n11
    +LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    +Route         2   e 1.386                                  n2119
    +LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    +Route         1   e 1.220                                  RCLK_c_enable_25
    +                  --------
    +                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    +
    +Warning: 11.291 ns is the maximum delay for this constraint.
    +
    +
    +Timing Report Summary
    +--------------
    +--------------------------------------------------------------------------------
    +Constraint                              |   Constraint|       Actual|Levels
    +--------------------------------------------------------------------------------
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk1 [get_nets PHI2_c]                  |     5.000 ns|    25.756 ns|     7 *
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk0 [get_nets RCLK_c]                  |     5.000 ns|    11.291 ns|     6 *
    +                                        |             |             |
    +--------------------------------------------------------------------------------
    +
    +
    +2 constraints not met.
    +
    +--------------------------------------------------------------------------------
    +Critical Nets                           |   Loads|  Errors| % of total
    +--------------------------------------------------------------------------------
    +n1285                                   |       4|     112|     30.68%
    +                                        |        |        |
    +n26                                     |       1|      70|     19.18%
    +                                        |        |        |
    +RCLK_c_enable_23                        |      16|      64|     17.53%
    +                                        |        |        |
    +n2290                                   |       3|      64|     17.53%
    +                                        |        |        |
    +XOR8MEG_N_112                           |       3|      54|     14.79%
    +                                        |        |        |
    +n2119                                   |       2|      48|     13.15%
    +                                        |        |        |
    +n2166                                   |       1|      42|     11.51%
    +                                        |        |        |
    +--------------------------------------------------------------------------------
    +
    +
    +Timing summary:
    +---------------
    +
    +Timing errors: 365  Score: 2309745
    +
    +Constraints cover  495 paths, 177 nets, and 464 connections (66.5% coverage)
    +
    +
    +Peak memory: 52502528 bytes, TRCE: 1482752 bytes, DLYMAN: 163840 bytes
    +CPU_TIME_REPORT: 0 secs 
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v new file mode 100644 index 0000000..d942735 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/RAM2GS_prim.v @@ -0,0 +1,789 @@ +// Verilog netlist produced by program LSE : version Diamond (64-bit) 3.12.0.240.2 +// Netlist written on Mon Aug 16 21:32:26 2021 +// +// Verilog Description of module RAM2GS +// + +module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, + LED, RBA, RA, RD, nRCS, RCLK, RCKE, nRWE, nRRAS, + nRCAS, RDQMH, RDQML, nUFMCS, UFMCLK, UFMSDI, UFMSDO) /* synthesis syn_module_defined=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1[8:14]) + input PHI2; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + input [9:0]MAin; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + input [1:0]CROW; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) + input [7:0]Din; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + output [7:0]Dout; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + input nCCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + input nCRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + input nFWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) + output LED; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) + output [1:0]RBA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + output [11:0]RA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + inout [7:0]RD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + output nRCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) + input RCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) + output RCKE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) + output nRWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) + output nRRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) + output nRCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) + output RDQMH; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) + output RDQML; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) + output nUFMCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) + output UFMCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) + output UFMSDI; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) + input UFMSDO; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) + + wire PHI2_c /* synthesis is_clock=1, SET_AS_NETWORK=PHI2_c */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + wire nCCAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + wire nCRAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + wire RCLK_c /* synthesis SET_AS_NETWORK=RCLK_c, is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) + wire nCCAS_N_3 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + wire nCRAS_N_9 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + wire PHI2_N_114 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(38[6:13]) + + wire GND_net, VCC_net, LEDEN, PHI2r, PHI2r2, PHI2r3, RASr, + RASr2, RASr3, CASr, CASr2, CASr3, FWEr, CBR, Din_c_7, + Din_c_6, Din_c_5, Din_c_4, Din_c_3, Din_c_2, Din_c_1, Din_c_0, + n2131, n33, PHI2_N_114_enable_2, n1; + wire [7:0]Bank; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(31[12:16]) + + wire CROW_c_1, CROW_c_0, MAin_c_9, MAin_c_8, MAin_c_7, MAin_c_6, + MAin_c_5, MAin_c_4, MAin_c_3, MAin_c_2, MAin_c_1, MAin_c_0, + nFWE_c, n8MEGEN, XOR8MEG, RCKEEN, RCKE_c, nRCS_c, nRRAS_c, + nRCAS_c, nRWE_c, RBA_c_1, RBA_c_0, nRowColSel, RA_c, n980; + wire [9:0]RowA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(51[12:16]) + + wire RA_c_9, RA_c_8, RA_c_7, RA_c_6, RA_c_5, RA_c_4, RA_c_3, + RA_c_2, RA_c_1, RA_c_0, RDQML_c, RDQMH_c; + wire [7:0]WRD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(59[12:15]) + + wire nUFMCS_c, UFMCLK_c, UFMSDI_c, UFMSDO_c, C1Submitted, ADSubmitted, + CmdEnable, CmdSubmitted, Cmdn8MEGEN, CmdUFMCLK, CmdUFMSDI, + CmdUFMCS, InitReady, Ready; + wire [17:0]FS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(86[13:15]) + + wire LED_N_90, RA11_N_180, n2164, n1895, n2294, n4, PHI2_N_114_enable_6, + n1881, RASr2_N_63, RCKE_N_128, nRowColSel_N_35, nRWE_N_178, + RCKEEN_N_126, nRowColSel_N_34, nRowColSel_N_33, nRowColSel_N_32, + nRowColSel_N_28, n1880, n4_adj_1, n2286, RCKEEN_N_117, nRWE_N_174, + RCKEEN_N_116, nRCS_N_135, nRCAS_N_161, nRWE_N_173, nRWE_N_172, + n1377, Ready_N_272, n2287, n26, Ready_N_268, nRCS_N_132, + nRCAS_N_157, nRWE_N_167, RCKEEN_N_115, n2290, n2289, n1361, + n1369, ADSubmitted_N_234, CmdEnable_N_236, C1Submitted_N_225, + XOR8MEG_N_112, n2098, PHI2_N_114_enable_1, n2248, Cmdn8MEGEN_N_248, + RCLK_c_enable_7, n2244, n2117, LEDEN_N_88, RCLK_c_enable_6, + UFMSDO_N_74, n2243, RCLK_c_enable_24, n8MEGEN_N_94, UFMCLK_N_212, + UFMSDI_N_219, n2242, n2114, n2080, PHI2_N_114_enable_7, n12, + n699, n700, n701, n702, n703, n705, n706, n707, n708, + n709, n710, n711, n11, n2076, n2119, n1368, n12_adj_2, + n1878, PHI2_N_114_enable_8, n2308, n2291, n2307, n11_adj_3, + n973, n1135, n78, n79, n80, n81, n82, n83, n84, n85, + n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, + n1348, n50, n1877, RCLK_c_enable_23, n1876, n1875, n2293, + n2306, RCLK_c_enable_4, n2170, RCLK_c_enable_25, RCLK_c_enable_3, + n2128, n2103, n2304, n2386, n1879, n1874, n2310, n974, + n975, n962, n976, n2168, n977, n2245, n978, n2122, n979, + Dout_c, n2166, n2302, n2108, n2301, n2387, n1285, n2300, + n1628, n1627, n2299, n18, n2385, n2309, n2298, n2292, + n2297, n2154, n10, n2296, n2295; + + VHI i2 (.Z(VCC_net)); + INV i1963 (.A(nCCAS_c), .Z(nCCAS_N_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + FD1S3AX PHI2r2_350 (.D(PHI2r), .CK(RCLK_c), .Q(PHI2r2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam PHI2r2_350.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_4_lut (.A(XOR8MEG_N_112), .B(n2298), .C(n2296), + .D(Din_c_5), .Z(PHI2_N_114_enable_7)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; + defparam i2_3_lut_4_lut.init = 16'h0800; + ORCALUT4 i1_2_lut_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), .Z(n4)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1_2_lut_3_lut.init = 16'hfdfd; + FD1S3AX PHI2r3_351 (.D(PHI2r2), .CK(RCLK_c), .Q(PHI2r3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam PHI2r3_351.GSR = "ENABLED"; + FD1S3AX RASr_352 (.D(nCRAS_N_9), .CK(RCLK_c), .Q(RASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam RASr_352.GSR = "ENABLED"; + FD1S3AX RASr2_353 (.D(RASr), .CK(RCLK_c), .Q(RASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam RASr2_353.GSR = "ENABLED"; + FD1S3AX RASr3_354 (.D(RASr2), .CK(RCLK_c), .Q(RASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam RASr3_354.GSR = "ENABLED"; + FD1S3AX CASr_355 (.D(nCCAS_N_3), .CK(RCLK_c), .Q(CASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam CASr_355.GSR = "ENABLED"; + FD1S3AX CASr2_356 (.D(CASr), .CK(RCLK_c), .Q(CASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam CASr2_356.GSR = "ENABLED"; + FD1S3AX CASr3_357 (.D(CASr2), .CK(RCLK_c), .Q(CASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam CASr3_357.GSR = "ENABLED"; + FD1S3IX RA11_358 (.D(RA11_N_180), .CK(PHI2_c), .CD(n2307), .Q(RA_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam RA11_358.GSR = "ENABLED"; + FD1S3IX RowA_i0 (.D(MAin_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i0.GSR = "ENABLED"; + FD1S3AX WRD_i0 (.D(Din_c_0), .CK(nCCAS_N_3), .Q(WRD[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i0.GSR = "ENABLED"; + FD1S3AX FWEr_362 (.D(n2306), .CK(nCRAS_N_9), .Q(FWEr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam FWEr_362.GSR = "ENABLED"; + FD1S3AX CBR_363 (.D(nCCAS_N_3), .CK(nCRAS_N_9), .Q(CBR)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam CBR_363.GSR = "ENABLED"; + FD1S3IX ADSubmitted_380 (.D(n1361), .CK(PHI2_N_114), .CD(C1Submitted_N_225), + .Q(ADSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam ADSubmitted_380.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i5_3_lut (.A(RowA[4]), .B(MAin_c_4), .C(nRowColSel), + .Z(RA_c_4)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i5_3_lut.init = 16'hcaca; + ORCALUT4 i1_2_lut (.A(FS[10]), .B(n2076), .Z(RCLK_c_enable_6)) /* synthesis lut_function=(A (B)) */ ; + defparam i1_2_lut.init = 16'h8888; + CCU2 FS_577_add_4_10 (.A0(FS[8]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[9]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1877), + .COUT1(n1878), .S0(n87), .S1(n86)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_10.INIT0 = 16'hfaaa; + defparam FS_577_add_4_10.INIT1 = 16'hfaaa; + defparam FS_577_add_4_10.INJECT1_0 = "NO"; + defparam FS_577_add_4_10.INJECT1_1 = "NO"; + FD1S3AX RCKE_368 (.D(RCKE_N_128), .CK(RCLK_c), .Q(RCKE_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(141[9] 144[5]) + defparam RCKE_368.GSR = "ENABLED"; + FD1P3AY nRCS_369 (.D(nRCS_N_132), .SP(RCLK_c_enable_4), .CK(RCLK_c), + .Q(nRCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRCS_369.GSR = "ENABLED"; + FD1S3IX nRowColSel_375 (.D(n1368), .CK(RCLK_c), .CD(n2299), .Q(nRowColSel)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRowColSel_375.GSR = "ENABLED"; + ORCALUT4 n1_bdd_4_lut (.A(n1), .B(n1627), .C(nRWE_N_178), .D(nRowColSel_N_35), + .Z(nRWE_N_174)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))+!A (C+!(D))) */ ; + defparam n1_bdd_4_lut.init = 16'hf0dd; + ORCALUT4 i2_3_lut_rep_31 (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), + .Z(n2308)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ; + defparam i2_3_lut_rep_31.init = 16'h0808; + ORCALUT4 i1_2_lut_2_lut_4_lut (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), + .D(InitReady), .Z(RCLK_c_enable_24)) /* synthesis lut_function=(!(A (B (C (D))+!B (D))+!A (D))) */ ; + defparam i1_2_lut_2_lut_4_lut.init = 16'h08ff; + CCU2 FS_577_add_4_8 (.A0(FS[6]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[7]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1876), + .COUT1(n1877), .S0(n89), .S1(n88)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_8.INIT0 = 16'hfaaa; + defparam FS_577_add_4_8.INIT1 = 16'hfaaa; + defparam FS_577_add_4_8.INJECT1_0 = "NO"; + defparam FS_577_add_4_8.INJECT1_1 = "NO"; + ORCALUT4 i1_4_lut (.A(nRowColSel_N_34), .B(n1), .C(n2304), .D(nRowColSel_N_33), + .Z(n2117)) /* synthesis lut_function=(!(A+(B (C (D))+!B (C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1_4_lut.init = 16'h0544; + ORCALUT4 i3_4_lut (.A(MAin_c_1), .B(MAin_c_0), .C(CmdEnable), .D(n2290), + .Z(XOR8MEG_N_112)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; + defparam i3_4_lut.init = 16'h0040; + ORCALUT4 i4_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[6]), .D(n2168), + .Z(n11)) /* synthesis lut_function=((B+(C+!(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i4_3_lut_4_lut.init = 16'hfdff; + FD1S3IX S_FSM_i2 (.D(n1135), .CK(RCLK_c), .CD(n2302), .Q(nRowColSel_N_34)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i2.GSR = "ENABLED"; + ORCALUT4 i1_4_lut_adj_1 (.A(nRowColSel), .B(n1627), .C(nRowColSel_N_28), + .D(nRowColSel_N_32), .Z(n1368)) /* synthesis lut_function=(A (B+!(C (D)))+!A (B+!(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_4_lut_adj_1.init = 16'hcfee; + FD1S3AY nRRAS_370 (.D(n33), .CK(RCLK_c), .Q(nRRAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRRAS_370.GSR = "ENABLED"; + ORCALUT4 i1055_3_lut_4_lut (.A(MAin_c_1), .B(n2290), .C(ADSubmitted), + .D(ADSubmitted_N_234), .Z(n1361)) /* synthesis lut_function=(A (B (C+(D))+!B (D))+!A (C+(D))) */ ; + defparam i1055_3_lut_4_lut.init = 16'hffd0; + ORCALUT4 i2_3_lut (.A(FWEr), .B(CASr3), .C(CBR), .Z(nRowColSel_N_28)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(230[16:37]) + defparam i2_3_lut.init = 16'hfdfd; + BB Dout_pad_7__688 (.I(WRD[7]), .T(n962), .B(RD[7]), .O(n973)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + FD1P3AY nRCAS_371 (.D(nRCAS_N_157), .SP(RCLK_c_enable_4), .CK(RCLK_c), + .Q(nRCAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRCAS_371.GSR = "ENABLED"; + FD1P3AY nRWE_372 (.D(nRWE_N_167), .SP(RCLK_c_enable_3), .CK(RCLK_c), + .Q(nRWE_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRWE_372.GSR = "ENABLED"; + FD1S3JX RA10_373 (.D(n2128), .CK(RCLK_c), .PD(nRWE_N_172), .Q(n980)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam RA10_373.GSR = "ENABLED"; + FD1P3AX RCKEEN_374 (.D(RCKEEN_N_115), .SP(RCLK_c_enable_4), .CK(RCLK_c), + .Q(RCKEEN)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam RCKEEN_374.GSR = "ENABLED"; + ORCALUT4 i2_4_lut (.A(n2122), .B(n2295), .C(Din_c_2), .D(n2131), + .Z(C1Submitted_N_225)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; + defparam i2_4_lut.init = 16'h0008; + FD1S3IX RBA__i1 (.D(CROW_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RBA__i1.GSR = "ENABLED"; + ORCALUT4 Din_7__I_0_442_i6_2_lut_rep_32 (.A(Din_c_6), .B(Din_c_7), .Z(n2385)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam Din_7__I_0_442_i6_2_lut_rep_32.init = 16'heeee; + ORCALUT4 i1248_4_lut (.A(FS[5]), .B(n2308), .C(InitReady), .D(n2119), + .Z(RCLK_c_enable_7)) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1248_4_lut.init = 16'hc5c0; + ORCALUT4 i2_3_lut_4_lut_adj_2 (.A(nRowColSel_N_32), .B(n2299), .C(nRowColSel_N_34), + .D(nRowColSel_N_33), .Z(RCLK_c_enable_4)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i2_3_lut_4_lut_adj_2.init = 16'hfffe; + ORCALUT4 i1437_4_lut (.A(UFMSDO_c), .B(Cmdn8MEGEN), .C(FS[10]), .D(n4), + .Z(n8MEGEN_N_94)) /* synthesis lut_function=(A (B ((D)+!C))+!A (B+!((D)+!C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1437_4_lut.init = 16'hcc5c; + FD1P3AX IS_FSM__i0 (.D(Ready_N_272), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(nRCS_N_135)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i0.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_adj_3 (.A(RASr2), .B(RCKE_c), .Z(nRWE_N_178)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam i1_2_lut_adj_3.init = 16'hbbbb; + ORCALUT4 i2_4_lut_adj_4 (.A(n2294), .B(FS[10]), .C(n11), .D(n12), + .Z(n2119)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i2_4_lut_adj_4.init = 16'h0008; + FD1P3JX C1Submitted_379 (.D(n2386), .SP(PHI2_N_114_enable_1), .PD(C1Submitted_N_225), + .CK(PHI2_N_114), .Q(C1Submitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam C1Submitted_379.GSR = "ENABLED"; + FD1S3JX nUFMCS_388 (.D(n1348), .CK(RCLK_c), .PD(LEDEN_N_88), .Q(nUFMCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam nUFMCS_388.GSR = "ENABLED"; + ORCALUT4 m1_lut (.Z(n2387)) /* synthesis lut_function=1, syn_instantiated=1 */ ; + defparam m1_lut.init = 16'hffff; + ORCALUT4 i2_4_lut_adj_5 (.A(n2108), .B(MAin_c_1), .C(C1Submitted), + .D(MAin_c_0), .Z(n2098)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; + defparam i2_4_lut_adj_5.init = 16'h0800; + ORCALUT4 i5_4_lut (.A(FS[9]), .B(FS[4]), .C(FS[8]), .D(FS[7]), .Z(n12)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ; + defparam i5_4_lut.init = 16'hfffb; + FD1S3AX FS_577__i0 (.D(n95), .CK(RCLK_c), .Q(FS[0])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i0.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_adj_6 (.A(n2122), .B(ADSubmitted), .C(MAin_c_0), + .Z(n2080)) /* synthesis lut_function=(!((B+(C))+!A)) */ ; + defparam i2_3_lut_adj_6.init = 16'h0202; + ORCALUT4 i1419_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQMH_c)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(58[17:46]) + defparam i1419_2_lut.init = 16'hbbbb; + ORCALUT4 n50_bdd_4_lut_1911 (.A(n50), .B(RASr2), .C(RCKE_c), .D(nRowColSel_N_35), + .Z(n2242)) /* synthesis lut_function=(!(A (B (D)+!B (C (D)))+!A (B+(C+!(D))))) */ ; + defparam n50_bdd_4_lut_1911.init = 16'h03aa; + ORCALUT4 i1893_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQML_c)) /* synthesis lut_function=(!(A (B))) */ ; + defparam i1893_2_lut.init = 16'h7777; + FD1S3AX Bank_i0 (.D(Din_c_0), .CK(PHI2_c), .Q(Bank[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i0.GSR = "ENABLED"; + ORCALUT4 i1858_4_lut (.A(FS[1]), .B(FS[0]), .C(FS[2]), .D(FS[3]), + .Z(n2168)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i1858_4_lut.init = 16'h8000; + ORCALUT4 i1_2_lut_3_lut_adj_7 (.A(MAin_c_1), .B(n1285), .C(MAin_c_0), + .Z(n2131)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) + defparam i1_2_lut_3_lut_adj_7.init = 16'hfdfd; + ORCALUT4 i22_4_lut (.A(FS[4]), .B(CmdUFMCLK), .C(InitReady), .D(n2076), + .Z(UFMCLK_N_212)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i22_4_lut.init = 16'hc0ca; + ORCALUT4 i5_4_lut_adj_8 (.A(FS[14]), .B(FS[16]), .C(FS[13]), .D(FS[12]), + .Z(n12_adj_2)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i5_4_lut_adj_8.init = 16'h8000; + ORCALUT4 i1889_4_lut_then_4_lut (.A(n2117), .B(RCKE_c), .C(RASr2), + .D(nRowColSel_N_35), .Z(n2310)) /* synthesis lut_function=(!(A (B+(C+!(D)))+!A (B (D)+!B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1889_4_lut_then_4_lut.init = 16'h0355; + ORCALUT4 i1889_4_lut_else_4_lut (.A(InitReady), .B(nRCS_N_135), .C(RASr2), + .D(nRowColSel_N_35), .Z(n2309)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1889_4_lut_else_4_lut.init = 16'hdfff; + CCU2 FS_577_add_4_18 (.A0(FS[16]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[17]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1881), + .S0(n79), .S1(n78)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_18.INIT0 = 16'hfaaa; + defparam FS_577_add_4_18.INIT1 = 16'hfaaa; + defparam FS_577_add_4_18.INJECT1_0 = "NO"; + defparam FS_577_add_4_18.INJECT1_1 = "NO"; + ORCALUT4 UFMSDO_I_0_1_lut (.A(UFMSDO_c), .Z(UFMSDO_N_74)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(378[53:60]) + defparam UFMSDO_I_0_1_lut.init = 16'h5555; + FD1S3IX S_FSM_i3 (.D(n1135), .CK(RCLK_c), .CD(n1377), .Q(nRowColSel_N_33)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i3.GSR = "ENABLED"; + ORCALUT4 i1897_2_lut_rep_14_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), + .Z(n2291)) /* synthesis lut_function=(!(A+(B+(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1897_2_lut_rep_14_3_lut.init = 16'h0101; + ORCALUT4 i1878_2_lut_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[10]), + .D(InitReady), .Z(LEDEN_N_88)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1878_2_lut_3_lut_4_lut.init = 16'h0001; + ORCALUT4 i1884_4_lut (.A(MAin_c_0), .B(n2290), .C(n2286), .D(MAin_c_1), + .Z(PHI2_N_114_enable_8)) /* synthesis lut_function=(!(A (B+(C))+!A (B+(C+!(D))))) */ ; + defparam i1884_4_lut.init = 16'h0302; + FD1S3AX PHI2r_349 (.D(PHI2_c), .CK(RCLK_c), .Q(PHI2r)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam PHI2r_349.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_rep_21_4_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_5), + .D(Din_c_4), .Z(n2298)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam i2_3_lut_rep_21_4_lut.init = 16'hfffe; + ORCALUT4 i1830_2_lut_rep_13 (.A(nFWE_c), .B(n1285), .Z(n2290)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1830_2_lut_rep_13.init = 16'heeee; + PFUMX i1912 (.BLUT(n2243), .ALUT(n2242), .C0(Ready), .Z(n2244)); + FD1S3AX S_FSM_i1 (.D(RASr2_N_63), .CK(RCLK_c), .Q(nRowColSel_N_35)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i1.GSR = "ENABLED"; + ORCALUT4 i1886_2_lut (.A(nRowColSel_N_32), .B(RASr2), .Z(n1135)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1886_2_lut.init = 16'h4444; + ORCALUT4 n50_bdd_4_lut (.A(n50), .B(InitReady), .C(RASr2), .D(nRowColSel_N_35), + .Z(n2243)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B (C)))) */ ; + defparam n50_bdd_4_lut.init = 16'h3fbf; + ORCALUT4 i1034_2_lut (.A(ADSubmitted_N_234), .B(C1Submitted_N_225), + .Z(CmdEnable_N_236)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1034_2_lut.init = 16'heeee; + ORCALUT4 i2_3_lut_4_lut_adj_9 (.A(Din_c_6), .B(Din_c_7), .C(XOR8MEG_N_112), + .D(Din_c_4), .Z(PHI2_N_114_enable_6)) /* synthesis lut_function=(!(A+(B+!(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam i2_3_lut_4_lut_adj_9.init = 16'h1000; + ORCALUT4 i1832_2_lut_rep_19_3_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_4), + .Z(n2296)) /* synthesis lut_function=(A+(B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam i1832_2_lut_rep_19_3_lut.init = 16'hefef; + FD1S3IX S_FSM_i4 (.D(n1628), .CK(RCLK_c), .CD(RASr2_N_63), .Q(nRowColSel_N_32)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i4.GSR = "ENABLED"; + ORCALUT4 i1424_2_lut_rep_27 (.A(FWEr), .B(CBR), .Z(n2304)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1424_2_lut_rep_27.init = 16'heeee; + ORCALUT4 i2_3_lut_adj_10 (.A(Din_c_3), .B(Din_c_6), .C(Din_c_5), .Z(n2122)) /* synthesis lut_function=(!(A+((C)+!B))) */ ; + defparam i2_3_lut_adj_10.init = 16'h0404; + ORCALUT4 i1429_2_lut_3_lut (.A(FWEr), .B(CBR), .C(RASr2), .Z(RCKEEN_N_126)) /* synthesis lut_function=(!(A (C)+!A (B (C)))) */ ; + defparam i1429_2_lut_3_lut.init = 16'h1f1f; + ORCALUT4 i4_4_lut (.A(FS[14]), .B(FS[13]), .C(FS[12]), .D(FS[15]), + .Z(n10)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i4_4_lut.init = 16'hfffe; + ORCALUT4 i5_3_lut_rep_23 (.A(FS[16]), .B(n10), .C(FS[17]), .Z(n2300)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i5_3_lut_rep_23.init = 16'hfefe; + ORCALUT4 i1_4_lut_adj_11 (.A(n2244), .B(n2297), .C(n18), .D(Ready), + .Z(n33)) /* synthesis lut_function=(A+(B (C+!(D))+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1_4_lut_adj_11.init = 16'hfaee; + ORCALUT4 i1_2_lut_rep_16_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), + .Z(n2293)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1_2_lut_rep_16_4_lut.init = 16'hfeff; + ORCALUT4 i3_4_lut_adj_12 (.A(CBR), .B(FWEr), .C(CASr2), .D(CASr3), + .Z(n1)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; + defparam i3_4_lut_adj_12.init = 16'h0040; + ORCALUT4 i1_2_lut_adj_13 (.A(nRowColSel_N_34), .B(nRowColSel_N_33), + .Z(n1627)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_adj_13.init = 16'heeee; + ORCALUT4 i1420_2_lut (.A(nCCAS_c), .B(nFWE_c), .Z(n962)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1420_2_lut.init = 16'heeee; + ORCALUT4 i1_1_lut_rep_29 (.A(nFWE_c), .Z(n2306)) /* synthesis lut_function=(!(A)) */ ; + defparam i1_1_lut_rep_29.init = 16'h5555; + ORCALUT4 Cmdn8MEGEN_I_84_4_lut (.A(Din_c_0), .B(n8MEGEN), .C(Din_c_5), + .D(n2296), .Z(Cmdn8MEGEN_N_248)) /* synthesis lut_function=(A (B (C+(D)))+!A (B+!(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(316[13] 322[7]) + defparam Cmdn8MEGEN_I_84_4_lut.init = 16'hccc5; + ORCALUT4 i1069_1_lut (.A(nRowColSel_N_34), .Z(n1377)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1069_1_lut.init = 16'h5555; + ORCALUT4 n2080_bdd_4_lut (.A(n2080), .B(n2098), .C(Din_c_2), .D(n2114), + .Z(n2286)) /* synthesis lut_function=(A (B (D)+!B !(C+!(D)))+!A (B (C (D)))) */ ; + defparam n2080_bdd_4_lut.init = 16'hca00; + ORCALUT4 RASr2_I_0_1_lut (.A(RASr2), .Z(RASr2_N_63)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[40:46]) + defparam RASr2_I_0_1_lut.init = 16'h5555; + ORCALUT4 i847_2_lut_4_lut (.A(n2385), .B(Din_c_4), .C(Din_c_5), .D(XOR8MEG_N_112), + .Z(PHI2_N_114_enable_2)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(310[8:22]) + defparam i847_2_lut_4_lut.init = 16'h0100; + ORCALUT4 i1_4_lut_adj_14 (.A(n2108), .B(MAin_c_0), .C(n4_adj_1), .D(n2289), + .Z(ADSubmitted_N_234)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) + defparam i1_4_lut_adj_14.init = 16'h0080; + ORCALUT4 i1_2_lut_adj_15 (.A(nRowColSel_N_33), .B(CASr2), .Z(n11_adj_3)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(48[6:16]) + defparam i1_2_lut_adj_15.init = 16'hbbbb; + FD1S3AX FS_577__i17 (.D(n78), .CK(RCLK_c), .Q(FS[17])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i17.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_3_lut_3_lut (.A(nFWE_c), .B(Din_c_2), .C(n2114), + .Z(n4_adj_1)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; + defparam i1_2_lut_3_lut_3_lut.init = 16'h4040; + FD1S3AX FS_577__i16 (.D(n79), .CK(RCLK_c), .Q(FS[16])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i16.GSR = "ENABLED"; + FD1S3AX FS_577__i15 (.D(n80), .CK(RCLK_c), .Q(FS[15])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i15.GSR = "ENABLED"; + ORCALUT4 nRWE_I_0_428_4_lut (.A(n2164), .B(nRWE_N_174), .C(Ready), + .D(n2292), .Z(nRWE_N_167)) /* synthesis lut_function=(A (B (C+(D))+!B !(C+!(D)))+!A (B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(232[12] 284[6]) + defparam nRWE_I_0_428_4_lut.init = 16'hcfc5; + ORCALUT4 i1257_3_lut (.A(n1895), .B(CmdUFMSDI), .C(InitReady), .Z(UFMSDI_N_219)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1257_3_lut.init = 16'hcaca; + ORCALUT4 RCKE_I_0_423_4_lut (.A(RASr), .B(RCKEEN), .C(RASr2), .D(RASr3), + .Z(RCKE_N_128)) /* synthesis lut_function=(A (B+!(C+!(D)))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[11:55]) + defparam RCKE_I_0_423_4_lut.init = 16'hcfc8; + FD1P3AX InitReady_367 (.D(n2387), .SP(RCLK_c_enable_6), .CK(RCLK_c), + .Q(InitReady)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(134[9] 138[5]) + defparam InitReady_367.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_adj_16 (.A(nRowColSel_N_32), .B(nRowColSel_N_33), + .Z(n1628)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_adj_16.init = 16'heeee; + ORCALUT4 i1854_2_lut (.A(nRCAS_N_161), .B(nRWE_N_173), .Z(n2164)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1854_2_lut.init = 16'heeee; + ORCALUT4 i1_2_lut_rep_17_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), + .Z(n2294)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1_2_lut_rep_17_4_lut.init = 16'hfffe; + ORCALUT4 i1881_2_lut_rep_24 (.A(RASr2), .B(InitReady), .Z(n2301)) /* synthesis lut_function=(!(A (B))) */ ; + defparam i1881_2_lut_rep_24.init = 16'h7777; + GSR GSR_INST (.GSR(VCC_net)); + ORCALUT4 i1_2_lut_rep_18_2_lut (.A(nFWE_c), .B(n2114), .Z(n2295)) /* synthesis lut_function=(!(A+!(B))) */ ; + defparam i1_2_lut_rep_18_2_lut.init = 16'h4444; + FD1S3AX FS_577__i14 (.D(n81), .CK(RCLK_c), .Q(FS[14])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i14.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_3_lut (.A(InitReady), .B(FS[5]), .C(n2119), .Z(RCLK_c_enable_25)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(384[16:26]) + defparam i2_3_lut_3_lut.init = 16'h4040; + ORCALUT4 i2_3_lut_adj_17 (.A(Din_c_6), .B(Din_c_5), .C(Din_c_3), .Z(n2108)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) + defparam i2_3_lut_adj_17.init = 16'h4040; + ORCALUT4 i2_4_lut_adj_18 (.A(FS[6]), .B(n2293), .C(n2103), .D(FS[10]), + .Z(n1895)) /* synthesis lut_function=(!((B+((D)+!C))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam i2_4_lut_adj_18.init = 16'h0020; + ORCALUT4 i1_4_lut_adj_19 (.A(FS[8]), .B(FS[7]), .C(FS[5]), .D(FS[9]), + .Z(n2103)) /* synthesis lut_function=(!(A+(B (D)+!B !(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(339[4] 372[11]) + defparam i1_4_lut_adj_19.init = 16'h1044; + FD1P3AX XOR8MEG_381 (.D(Din_c_0), .SP(PHI2_N_114_enable_2), .CK(PHI2_N_114), + .Q(XOR8MEG)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam XOR8MEG_381.GSR = "ENABLED"; + FD1P3AX n8MEGEN_391 (.D(n8MEGEN_N_94), .SP(RCLK_c_enable_7), .CK(RCLK_c), + .Q(n8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam n8MEGEN_391.GSR = "ENABLED"; + FD1P3AX Ready_377 (.D(n2387), .SP(Ready_N_268), .CK(RCLK_c), .Q(Ready)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam Ready_377.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i2_3_lut (.A(RowA[1]), .B(MAin_c_1), .C(nRowColSel), + .Z(RA_c_1)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i2_3_lut.init = 16'hcaca; + FD1P3AX CmdUFMCLK_386 (.D(Din_c_1), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), + .Q(CmdUFMCLK)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdUFMCLK_386.GSR = "ENABLED"; + FD1P3AX CmdUFMSDI_387 (.D(Din_c_0), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), + .Q(CmdUFMSDI)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdUFMSDI_387.GSR = "ENABLED"; + FD1P3AX Cmdn8MEGEN_383 (.D(Cmdn8MEGEN_N_248), .SP(PHI2_N_114_enable_6), + .CK(PHI2_N_114), .Q(Cmdn8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam Cmdn8MEGEN_383.GSR = "ENABLED"; + FD1P3AX CmdSubmitted_384 (.D(n2387), .SP(PHI2_N_114_enable_6), .CK(PHI2_N_114), + .Q(CmdSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdSubmitted_384.GSR = "ENABLED"; + CCU2 FS_577_add_4_6 (.A0(FS[4]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[5]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1875), + .COUT1(n1876), .S0(n91), .S1(n90)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_6.INIT0 = 16'hfaaa; + defparam FS_577_add_4_6.INIT1 = 16'hfaaa; + defparam FS_577_add_4_6.INJECT1_0 = "NO"; + defparam FS_577_add_4_6.INJECT1_1 = "NO"; + FD1P3AX CmdUFMCS_385 (.D(Din_c_2), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), + .Q(CmdUFMCS)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdUFMCS_385.GSR = "ENABLED"; + FD1S3AX FS_577__i13 (.D(n82), .CK(RCLK_c), .Q(FS[13])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i13.GSR = "ENABLED"; + ORCALUT4 i1875_2_lut (.A(nCRAS_c), .B(LEDEN), .Z(LED_N_90)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(13[15:34]) + defparam i1875_2_lut.init = 16'hbbbb; + FD1S3AX FS_577__i12 (.D(n83), .CK(RCLK_c), .Q(FS[12])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i12.GSR = "ENABLED"; + PFUMX RCKEEN_I_0_419 (.BLUT(RCKEEN_N_117), .ALUT(RCKEEN_N_126), .C0(nRowColSel_N_35), + .Z(RCKEEN_N_116)); + ORCALUT4 i1856_4_lut (.A(Bank[0]), .B(Bank[5]), .C(MAin_c_2), .D(Bank[6]), + .Z(n2166)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i1856_4_lut.init = 16'h8000; + FD1S3AX FS_577__i11 (.D(n84), .CK(RCLK_c), .Q(FS[11])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i11.GSR = "ENABLED"; + ORCALUT4 i1844_2_lut (.A(Bank[7]), .B(MAin_c_4), .Z(n2154)) /* synthesis lut_function=(A (B)) */ ; + defparam i1844_2_lut.init = 16'h8888; + ORCALUT4 RA11_I_53_3_lut (.A(Din_c_6), .B(XOR8MEG), .C(n8MEGEN), .Z(RA11_N_180)) /* synthesis lut_function=(A (B (C)+!B !(C))+!A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(99[22:51]) + defparam RA11_I_53_3_lut.init = 16'hc6c6; + ORCALUT4 Ready_bdd_3_lut_1922 (.A(nRCAS_N_161), .B(nRCS_N_135), .C(InitReady), + .Z(n2248)) /* synthesis lut_function=(A+(B+!(C))) */ ; + defparam Ready_bdd_3_lut_1922.init = 16'hefef; + FD1P3IX UFMSDI_390 (.D(UFMSDI_N_219), .SP(RCLK_c_enable_24), .CD(n2291), + .CK(RCLK_c), .Q(UFMSDI_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam UFMSDI_390.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i10_3_lut (.A(RowA[9]), .B(MAin_c_9), .C(nRowColSel), + .Z(RA_c_9)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i10_3_lut.init = 16'hcaca; + ORCALUT4 i604_1_lut_rep_30 (.A(Ready), .Z(n2307)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i604_1_lut_rep_30.init = 16'h5555; + FD1S3AX FS_577__i10 (.D(n85), .CK(RCLK_c), .Q(FS[10])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i10.GSR = "ENABLED"; + FD1S3AX FS_577__i9 (.D(n86), .CK(RCLK_c), .Q(FS[9])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i9.GSR = "ENABLED"; + FD1S3AX FS_577__i8 (.D(n87), .CK(RCLK_c), .Q(FS[8])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i8.GSR = "ENABLED"; + FD1S3AX FS_577__i7 (.D(n88), .CK(RCLK_c), .Q(FS[7])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i7.GSR = "ENABLED"; + FD1S3AX FS_577__i6 (.D(n89), .CK(RCLK_c), .Q(FS[6])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i6.GSR = "ENABLED"; + FD1S3AX FS_577__i5 (.D(n90), .CK(RCLK_c), .Q(FS[5])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i5.GSR = "ENABLED"; + FD1S3AX FS_577__i4 (.D(n91), .CK(RCLK_c), .Q(FS[4])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i4.GSR = "ENABLED"; + FD1S3AX FS_577__i3 (.D(n92), .CK(RCLK_c), .Q(FS[3])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i3.GSR = "ENABLED"; + FD1S3AX FS_577__i2 (.D(n93), .CK(RCLK_c), .Q(FS[2])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i2.GSR = "ENABLED"; + FD1S3AX FS_577__i1 (.D(n94), .CK(RCLK_c), .Q(FS[1])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i1.GSR = "ENABLED"; + FD1P3AX IS_FSM__i15 (.D(n699), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(Ready_N_272)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i15.GSR = "ENABLED"; + FD1P3AX IS_FSM__i14 (.D(n700), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n699)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i14.GSR = "ENABLED"; + FD1P3AX IS_FSM__i13 (.D(n701), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n700)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i13.GSR = "ENABLED"; + FD1P3AX IS_FSM__i12 (.D(n702), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n701)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i12.GSR = "ENABLED"; + FD1P3AX IS_FSM__i11 (.D(n703), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n702)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i11.GSR = "ENABLED"; + FD1P3AX IS_FSM__i10 (.D(nRWE_N_173), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n703)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i10.GSR = "ENABLED"; + FD1P3AX IS_FSM__i9 (.D(n705), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(nRWE_N_173)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i9.GSR = "ENABLED"; + FD1P3AX IS_FSM__i8 (.D(n706), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n705)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i8.GSR = "ENABLED"; + FD1P3AX IS_FSM__i7 (.D(n707), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n706)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i7.GSR = "ENABLED"; + FD1P3AX IS_FSM__i6 (.D(n708), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n707)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i6.GSR = "ENABLED"; + FD1P3AX IS_FSM__i5 (.D(n709), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n708)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i5.GSR = "ENABLED"; + FD1P3AX IS_FSM__i4 (.D(n710), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n709)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i4.GSR = "ENABLED"; + FD1P3AX IS_FSM__i3 (.D(n711), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n710)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i3.GSR = "ENABLED"; + FD1P3AX IS_FSM__i2 (.D(nRCAS_N_161), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n711)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i2.GSR = "ENABLED"; + FD1P3AX IS_FSM__i1 (.D(nRCS_N_135), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(nRCAS_N_161)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i1.GSR = "ENABLED"; + FD1S3IX RBA__i2 (.D(CROW_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RBA__i2.GSR = "ENABLED"; + FD1S3AX WRD_i7 (.D(Din_c_7), .CK(nCCAS_N_3), .Q(WRD[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i7.GSR = "ENABLED"; + FD1S3AX WRD_i6 (.D(Din_c_6), .CK(nCCAS_N_3), .Q(WRD[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i6.GSR = "ENABLED"; + FD1S3AX WRD_i5 (.D(Din_c_5), .CK(nCCAS_N_3), .Q(WRD[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i5.GSR = "ENABLED"; + FD1S3AX WRD_i4 (.D(Din_c_4), .CK(nCCAS_N_3), .Q(WRD[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i4.GSR = "ENABLED"; + FD1S3AX WRD_i3 (.D(Din_c_3), .CK(nCCAS_N_3), .Q(WRD[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i3.GSR = "ENABLED"; + FD1S3AX WRD_i2 (.D(Din_c_2), .CK(nCCAS_N_3), .Q(WRD[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i2.GSR = "ENABLED"; + FD1S3AX WRD_i1 (.D(Din_c_1), .CK(nCCAS_N_3), .Q(WRD[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i1.GSR = "ENABLED"; + FD1S3JX RowA_i9 (.D(MAin_c_9), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i9.GSR = "ENABLED"; + FD1S3IX RowA_i8 (.D(MAin_c_8), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i8.GSR = "ENABLED"; + FD1S3IX RowA_i7 (.D(MAin_c_7), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i7.GSR = "ENABLED"; + FD1S3IX RowA_i6 (.D(MAin_c_6), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i6.GSR = "ENABLED"; + FD1S3JX RowA_i5 (.D(MAin_c_5), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i5.GSR = "ENABLED"; + FD1S3IX RowA_i4 (.D(MAin_c_4), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i4.GSR = "ENABLED"; + FD1S3IX RowA_i3 (.D(MAin_c_3), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i3.GSR = "ENABLED"; + FD1S3IX RowA_i2 (.D(MAin_c_2), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i2.GSR = "ENABLED"; + FD1S3IX RowA_i1 (.D(MAin_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i1.GSR = "ENABLED"; + FD1S3AX Bank_i7 (.D(Din_c_7), .CK(PHI2_c), .Q(Bank[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i7.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_rep_12 (.A(MAin_c_1), .B(n1285), .Z(n2289)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) + defparam i1_2_lut_rep_12.init = 16'hdddd; + ORCALUT4 i1_2_lut_rep_15_3_lut_4_lut_4_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), + .C(InitReady), .D(RASr2), .Z(n2292)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_rep_15_3_lut_4_lut_4_lut.init = 16'hdfff; + ORCALUT4 i1_2_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_35), .C(RASr2), + .D(InitReady), .Z(RCLK_c_enable_23)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i1_2_lut_4_lut_4_lut.init = 16'h4000; + FD1S3AX Bank_i6 (.D(Din_c_6), .CK(PHI2_c), .Q(Bank[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i6.GSR = "ENABLED"; + FD1S3AX Bank_i5 (.D(Din_c_5), .CK(PHI2_c), .Q(Bank[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i5.GSR = "ENABLED"; + FD1S3AX Bank_i4 (.D(Din_c_4), .CK(PHI2_c), .Q(Bank[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i4.GSR = "ENABLED"; + FD1S3AX Bank_i3 (.D(Din_c_3), .CK(PHI2_c), .Q(Bank[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i3.GSR = "ENABLED"; + FD1S3AX Bank_i2 (.D(Din_c_2), .CK(PHI2_c), .Q(Bank[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i2.GSR = "ENABLED"; + FD1S3AX Bank_i1 (.D(Din_c_1), .CK(PHI2_c), .Q(Bank[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i1.GSR = "ENABLED"; + BB Dout_pad_6__689 (.I(WRD[6]), .T(n962), .B(RD[6]), .O(n974)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_5__690 (.I(WRD[5]), .T(n962), .B(RD[5]), .O(n975)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_4__691 (.I(WRD[4]), .T(n962), .B(RD[4]), .O(n976)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_3__692 (.I(WRD[3]), .T(n962), .B(RD[3]), .O(n977)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_2__693 (.I(WRD[2]), .T(n962), .B(RD[2]), .O(n978)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_1__694 (.I(WRD[1]), .T(n962), .B(RD[1]), .O(n979)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + ORCALUT4 nRWE_I_49_1_lut (.A(nRWE_N_173), .Z(nRWE_N_172)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(255[14] 262[8]) + defparam nRWE_I_49_1_lut.init = 16'h5555; + BB Dout_pad_0__695 (.I(WRD[0]), .T(n962), .B(RD[0]), .O(Dout_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + OB Dout_pad_7 (.I(n973), .O(Dout[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_6 (.I(n974), .O(Dout[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_5 (.I(n975), .O(Dout[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_4 (.I(n976), .O(Dout[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_3 (.I(n977), .O(Dout[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_2 (.I(n978), .O(Dout[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_1 (.I(n979), .O(Dout[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_0 (.I(Dout_c), .O(Dout[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB LED_pad (.I(LED_N_90), .O(LED)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) + OB RBA_pad_1 (.I(RBA_c_1), .O(RBA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + OB RBA_pad_0 (.I(RBA_c_0), .O(RBA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + OB RA_pad_11 (.I(RA_c), .O(RA[11])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_10 (.I(n980), .O(RA[10])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_9 (.I(RA_c_9), .O(RA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_8 (.I(RA_c_8), .O(RA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_7 (.I(RA_c_7), .O(RA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_6 (.I(RA_c_6), .O(RA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_5 (.I(RA_c_5), .O(RA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_4 (.I(RA_c_4), .O(RA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_3 (.I(RA_c_3), .O(RA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_2 (.I(RA_c_2), .O(RA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_1 (.I(RA_c_1), .O(RA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_0 (.I(RA_c_0), .O(RA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB nRCS_pad (.I(nRCS_c), .O(nRCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) + OB RCKE_pad (.I(RCKE_c), .O(RCKE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) + OB nRWE_pad (.I(nRWE_c), .O(nRWE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) + OB nRRAS_pad (.I(nRRAS_c), .O(nRRAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) + OB nRCAS_pad (.I(nRCAS_c), .O(nRCAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) + OB RDQMH_pad (.I(RDQMH_c), .O(RDQMH)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) + OB RDQML_pad (.I(RDQML_c), .O(RDQML)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) + OB nUFMCS_pad (.I(nUFMCS_c), .O(nUFMCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) + OB UFMCLK_pad (.I(UFMCLK_c), .O(UFMCLK)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) + OB UFMSDI_pad (.I(UFMSDI_c), .O(UFMSDI)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) + IB PHI2_pad (.I(PHI2), .O(PHI2_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + IB MAin_pad_9 (.I(MAin[9]), .O(MAin_c_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_8 (.I(MAin[8]), .O(MAin_c_8)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_7 (.I(MAin[7]), .O(MAin_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_6 (.I(MAin[6]), .O(MAin_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_5 (.I(MAin[5]), .O(MAin_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_4 (.I(MAin[4]), .O(MAin_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_3 (.I(MAin[3]), .O(MAin_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_2 (.I(MAin[2]), .O(MAin_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_1 (.I(MAin[1]), .O(MAin_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_0 (.I(MAin[0]), .O(MAin_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB CROW_pad_1 (.I(CROW[1]), .O(CROW_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) + IB CROW_pad_0 (.I(CROW[0]), .O(CROW_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) + IB Din_pad_7 (.I(Din[7]), .O(Din_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_6 (.I(Din[6]), .O(Din_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_5 (.I(Din[5]), .O(Din_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_4 (.I(Din[4]), .O(Din_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_3 (.I(Din[3]), .O(Din_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_2 (.I(Din[2]), .O(Din_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_1 (.I(Din[1]), .O(Din_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_0 (.I(Din[0]), .O(Din_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB nCCAS_pad (.I(nCCAS), .O(nCCAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + IB nCRAS_pad (.I(nCRAS), .O(nCRAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + IB nFWE_pad (.I(nFWE), .O(nFWE_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) + IB RCLK_pad (.I(RCLK), .O(RCLK_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) + IB UFMSDO_pad (.I(UFMSDO), .O(UFMSDO_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) + ORCALUT4 MAin_9__I_0_400_i9_3_lut (.A(RowA[8]), .B(MAin_c_8), .C(nRowColSel), + .Z(RA_c_8)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i9_3_lut.init = 16'hcaca; + CCU2 FS_577_add_4_4 (.A0(FS[2]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[3]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1874), + .COUT1(n1875), .S0(n93), .S1(n92)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_4.INIT0 = 16'hfaaa; + defparam FS_577_add_4_4.INIT1 = 16'hfaaa; + defparam FS_577_add_4_4.INJECT1_0 = "NO"; + defparam FS_577_add_4_4.INJECT1_1 = "NO"; + ORCALUT4 i1_2_lut_3_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_32), + .C(n1627), .D(nRowColSel_N_35), .Z(RCLK_c_enable_3)) /* synthesis lut_function=((B+(C+(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i1_2_lut_3_lut_4_lut_4_lut.init = 16'hfffd; + FD1P3IX UFMCLK_389 (.D(UFMCLK_N_212), .SP(RCLK_c_enable_24), .CD(n2291), + .CK(RCLK_c), .Q(UFMCLK_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam UFMCLK_389.GSR = "ENABLED"; + ORCALUT4 i2_2_lut_rep_22_2_lut (.A(Ready), .B(nRowColSel_N_35), .Z(n2299)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i2_2_lut_rep_22_2_lut.init = 16'hdddd; + ORCALUT4 i2_3_lut_4_lut_adj_20 (.A(n2297), .B(n2301), .C(nRCAS_N_161), + .D(Ready), .Z(n2128)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i2_3_lut_4_lut_adj_20.init = 16'hfffe; + ORCALUT4 i2_3_lut_adj_21 (.A(nRowColSel_N_33), .B(nRRAS_c), .C(nRowColSel_N_32), + .Z(n50)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i2_3_lut_adj_21.init = 16'hfefe; + ORCALUT4 MAin_9__I_0_400_i8_3_lut (.A(RowA[7]), .B(MAin_c_7), .C(nRowColSel), + .Z(RA_c_7)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i8_3_lut.init = 16'hcaca; + ORCALUT4 Ready_bdd_4_lut (.A(Ready), .B(n2117), .C(n2287), .D(nRowColSel_N_35), + .Z(nRCAS_N_157)) /* synthesis lut_function=(A (B (C (D))+!B (C+!(D)))+!A (C+!(D))) */ ; + defparam Ready_bdd_4_lut.init = 16'hf077; + ORCALUT4 i1366_3_lut (.A(InitReady), .B(RCKEEN_N_116), .C(Ready), + .Z(RCKEEN_N_115)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1366_3_lut.init = 16'hcaca; + ORCALUT4 i6_4_lut (.A(FS[15]), .B(n12_adj_2), .C(FS[11]), .D(FS[17]), + .Z(n2076)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i6_4_lut.init = 16'h8000; + ORCALUT4 i1_4_lut_4_lut (.A(CBR), .B(n11_adj_3), .C(FWEr), .D(nRowColSel_N_34), + .Z(RCKEEN_N_117)) /* synthesis lut_function=(!(A+!(B (C+(D))+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(214[26:30]) + defparam i1_4_lut_4_lut.init = 16'h5540; + ORCALUT4 i1_2_lut_rep_11_3_lut (.A(nFWE_c), .B(n1285), .C(MAin_c_1), + .Z(PHI2_N_114_enable_1)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; + defparam i1_2_lut_rep_11_3_lut.init = 16'h1010; + CCU2 FS_577_add_4_2 (.A0(FS[0]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[1]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(GND_net), + .COUT1(n1874), .S0(n95), .S1(n94)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_2.INIT0 = 16'h0555; + defparam FS_577_add_4_2.INIT1 = 16'hfaaa; + defparam FS_577_add_4_2.INJECT1_0 = "NO"; + defparam FS_577_add_4_2.INJECT1_1 = "NO"; + ORCALUT4 i3_4_lut_adj_22 (.A(Din_c_0), .B(Din_c_1), .C(Din_c_4), .D(Din_c_7), + .Z(n2114)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ; + defparam i3_4_lut_adj_22.init = 16'h0200; + ORCALUT4 Ready_bdd_4_lut_1960 (.A(nRowColSel_N_32), .B(RASr2), .C(Ready_N_272), + .D(InitReady), .Z(n2245)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ; + defparam Ready_bdd_4_lut_1960.init = 16'h2000; + ORCALUT4 n2245_bdd_2_lut (.A(n2245), .B(Ready), .Z(Ready_N_268)) /* synthesis lut_function=(A+(B)) */ ; + defparam n2245_bdd_2_lut.init = 16'heeee; + ORCALUT4 n2248_bdd_4_lut_4_lut (.A(CBR), .B(RASr2), .C(Ready), .D(n2248), + .Z(n2287)) /* synthesis lut_function=(!(A (B (C+!(D)))+!A !((C+(D))+!B))) */ ; + defparam n2248_bdd_4_lut_4_lut.init = 16'h7f73; + FD1P3AX LEDEN_392 (.D(UFMSDO_N_74), .SP(RCLK_c_enable_25), .CK(RCLK_c), + .Q(LEDEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam LEDEN_392.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i7_3_lut (.A(RowA[6]), .B(MAin_c_6), .C(nRowColSel), + .Z(RA_c_6)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i7_3_lut.init = 16'hcaca; + ORCALUT4 MAin_9__I_0_400_i6_3_lut (.A(RowA[5]), .B(MAin_c_5), .C(nRowColSel), + .Z(RA_c_5)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i6_3_lut.init = 16'hcaca; + CCU2 FS_577_add_4_12 (.A0(FS[10]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[11]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1878), + .COUT1(n1879), .S0(n85), .S1(n84)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_12.INIT0 = 16'hfaaa; + defparam FS_577_add_4_12.INIT1 = 16'hfaaa; + defparam FS_577_add_4_12.INJECT1_0 = "NO"; + defparam FS_577_add_4_12.INJECT1_1 = "NO"; + FD1P3AX CmdEnable_378 (.D(CmdEnable_N_236), .SP(PHI2_N_114_enable_8), + .CK(PHI2_N_114), .Q(CmdEnable)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdEnable_378.GSR = "ENABLED"; + CCU2 FS_577_add_4_16 (.A0(FS[14]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[15]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1880), + .COUT1(n1881), .S0(n81), .S1(n80)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_16.INIT0 = 16'hfaaa; + defparam FS_577_add_4_16.INIT1 = 16'hfaaa; + defparam FS_577_add_4_16.INJECT1_0 = "NO"; + defparam FS_577_add_4_16.INJECT1_1 = "NO"; + CCU2 FS_577_add_4_14 (.A0(FS[12]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[13]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1879), + .COUT1(n1880), .S0(n83), .S1(n82)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_14.INIT0 = 16'hfaaa; + defparam FS_577_add_4_14.INIT1 = 16'hfaaa; + defparam FS_577_add_4_14.INJECT1_0 = "NO"; + defparam FS_577_add_4_14.INJECT1_1 = "NO"; + ORCALUT4 MAin_9__I_0_400_i3_3_lut (.A(RowA[2]), .B(MAin_c_2), .C(nRowColSel), + .Z(RA_c_2)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i3_3_lut.init = 16'hcaca; + ORCALUT4 i1485_3_lut (.A(n2076), .B(n1369), .C(InitReady), .Z(n1348)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1485_3_lut.init = 16'hcaca; + ORCALUT4 i1_2_lut_2_lut (.A(nRowColSel_N_35), .B(nRowColSel_N_34), .Z(n18)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_2_lut.init = 16'h4444; + ORCALUT4 i1_2_lut_rep_20_2_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), + .Z(n2297)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_rep_20_2_lut.init = 16'hdddd; + ORCALUT4 i1062_3_lut (.A(nUFMCS_c), .B(CmdUFMCS), .C(n2308), .Z(n1369)) /* synthesis lut_function=(!(A (B (C))+!A (B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam i1062_3_lut.init = 16'h3a3a; + ORCALUT4 MAin_9__I_0_400_i4_3_lut (.A(RowA[3]), .B(MAin_c_3), .C(nRowColSel), + .Z(RA_c_3)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i4_3_lut.init = 16'hcaca; + ORCALUT4 MAin_9__I_0_400_i1_3_lut (.A(RowA[0]), .B(MAin_c_0), .C(nRowColSel), + .Z(RA_c_0)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i1_3_lut.init = 16'hcaca; + INV i1961 (.A(nCRAS_c), .Z(nCRAS_N_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + INV i1962 (.A(PHI2_c), .Z(PHI2_N_114)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + VLO i1 (.Z(GND_net)); + TSALL TSALL_INST (.TSALL(GND_net)); + ORCALUT4 i1070_1_lut_rep_25 (.A(nRowColSel_N_35), .Z(n2302)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1070_1_lut_rep_25.init = 16'h5555; + PUR PUR_INST (.PUR(VCC_net)); + defparam PUR_INST.RST_PULSE = 1; + ORCALUT4 i13_4_lut (.A(Bank[3]), .B(n26), .C(n2170), .D(MAin_c_5), + .Z(n1285)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; + defparam i13_4_lut.init = 16'hdfff; + ORCALUT4 i12_4_lut (.A(Bank[2]), .B(n2166), .C(n2154), .D(MAin_c_6), + .Z(n26)) /* synthesis lut_function=(A+!(B (C (D)))) */ ; + defparam i12_4_lut.init = 16'hbfff; + ORCALUT4 i1860_4_lut (.A(MAin_c_7), .B(MAin_c_3), .C(Bank[4]), .D(Bank[1]), + .Z(n2170)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i1860_4_lut.init = 16'h8000; + ORCALUT4 m0_lut (.Z(n2386)) /* synthesis lut_function=0, syn_instantiated=1 */ ; + defparam m0_lut.init = 16'h0000; + PFUMX i1934 (.BLUT(n2309), .ALUT(n2310), .C0(Ready), .Z(nRCS_N_132)); + +endmodule +// +// Verilog Description of module TSALL +// module not written out since it is a black-box. +// + +// +// Verilog Description of module PUR +// module not written out since it is a black-box. +// + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf new file mode 100644 index 0000000..420deec --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf @@ -0,0 +1,89 @@ +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 15.000000 ns ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +COMMERCIAL ; \ No newline at end of file diff --git a/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp new file mode 100644 index 0000000..fc768e6 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp @@ -0,0 +1,155 @@ +VOLTAGE 3.300 V; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; +IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 15.000000 ns ; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 new file mode 100644 index 0000000..f59c75e --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp0 @@ -0,0 +1,88 @@ +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 15.000000 ns ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 new file mode 100644 index 0000000..fc768e6 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf.prf_cdmp2 @@ -0,0 +1,155 @@ +VOLTAGE 3.300 V; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; +IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 15.000000 ns ; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html new file mode 100644 index 0000000..14546c8 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_hold.html @@ -0,0 +1,2080 @@ + + + + + + + +
    
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2
    +Mon Aug 16 20:23:38 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Design file:     RAM2GS
    +Device,speed:    LCMXO256C,M
    +Report level:    verbose report, limited to 10 items per preference
    +--------------------------------------------------------------------------------
    +
    +
    +Derating parameters
    +-------------------
    +Voltage:    3.300 V
    +
    +
    +
    +================================================================================
    +Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 0.540ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    +   Destination:    FF         Data in        ADSubmitted_375  (to PHI2_c -)
    +
    +   Delay:               0.517ns  (50.7% logic, 49.3% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.517ns physical path delay SLICE_9 to SLICE_9 meets
    +     -0.023ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.023ns) by 0.540ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_9 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C3C.CLK to       R6C3C.Q0 SLICE_9 (from PHI2_c)
    +ROUTE         2     0.255       R6C3C.Q0 to R6C3C.B0       ADSubmitted
    +CTOF_DEL    ---     0.092       R6C3C.B0 to       R6C3C.F0 SLICE_9
    +ROUTE         1     0.000       R6C3C.F0 to R6C3C.DI0      n1355 (to PHI2_c)
    +                  --------
    +                    0.517   (50.7% logic, 49.3% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C3C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C3C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.089ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:               1.060ns  (33.4% logic, 66.6% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.060ns physical path delay SLICE_18 to SLICE_83 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.089ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    +CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.354       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R4C5A.C1 to       R4C5A.F1 SLICE_73
    +ROUTE         2     0.178       R4C5A.F1 to R5C5D.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                    1.060   (33.4% logic, 66.6% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R5C5D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.165ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    +
    +   Delay:               1.136ns  (31.2% logic, 68.8% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.136ns physical path delay SLICE_18 to SLICE_19 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.165ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    +CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.181       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R6C3A.B0 to       R6C3A.F0 SLICE_76
    +ROUTE         2     0.427       R6C3A.F0 to R7C4D.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                    1.136   (31.2% logic, 68.8% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R7C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.212ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:               1.183ns  (29.9% logic, 70.1% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.183ns physical path delay SLICE_18 to SLICE_77 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.212ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    +CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.354       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R4C5A.C1 to       R4C5A.F1 SLICE_73
    +ROUTE         2     0.301       R4C5A.F1 to R7C5C.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                    1.183   (29.9% logic, 70.1% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R7C5C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.247ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    +
    +   Delay:               1.218ns  (29.1% logic, 70.9% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.218ns physical path delay SLICE_18 to SLICE_94 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.247ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    +CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.266       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R7C3C.A0 to       R7C3C.F0 SLICE_97
    +ROUTE         1     0.424       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    +                  --------
    +                    1.218   (29.1% logic, 70.9% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R8C5C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.288ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    +
    +   Delay:               1.259ns  (28.1% logic, 71.9% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.259ns physical path delay SLICE_18 to SLICE_23 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.288ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C4D.CLK to       R6C4D.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.174       R6C4D.Q0 to R6C3A.D1       CmdEnable
    +CTOF_DEL    ---     0.092       R6C3A.D1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.181       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R6C3A.B0 to       R6C3A.F0 SLICE_76
    +ROUTE         2     0.550       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                    1.259   (28.1% logic, 71.9% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R7C3A.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.392ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              C1Submitted_374  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    +
    +   Delay:               1.363ns  (37.1% logic, 62.9% route), 4 logic levels.
    +
    + Constraint Details:
    +
    +      1.363ns physical path delay SLICE_14 to SLICE_18 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.392ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_14 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C4C.CLK to       R6C4C.Q0 SLICE_14 (from PHI2_c)
    +ROUTE         1     0.256       R6C4C.Q0 to R6C3D.A1       C1Submitted
    +CTOOFX_DEL  ---     0.151       R6C3D.A1 to     R6C3D.OFX0 i26/SLICE_70
    +ROUTE         1     0.269     R6C3D.OFX0 to R6C4A.B1       n13
    +CTOF_DEL    ---     0.092       R6C4A.B1 to       R6C4A.F1 SLICE_80
    +ROUTE         1     0.172       R6C4A.F1 to R6C4A.B0       n6
    +CTOF_DEL    ---     0.092       R6C4A.B0 to       R6C4A.F0 SLICE_80
    +ROUTE         1     0.161       R6C4A.F0 to R6C4D.CE       PHI2_N_114_enable_8 (to PHI2_c)
    +                  --------
    +                    1.363   (37.1% logic, 62.9% route), 4 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_14:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.395ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    +
    +   Delay:               1.366ns  (37.3% logic, 62.7% route), 4 logic levels.
    +
    + Constraint Details:
    +
    +      1.366ns physical path delay SLICE_9 to SLICE_18 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.395ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_9 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R6C3C.CLK to       R6C3C.Q0 SLICE_9 (from PHI2_c)
    +ROUTE         2     0.255       R6C3C.Q0 to R6C3D.B0       ADSubmitted
    +CTOOFX_DEL  ---     0.155       R6C3D.B0 to     R6C3D.OFX0 i26/SLICE_70
    +ROUTE         1     0.269     R6C3D.OFX0 to R6C4A.B1       n13
    +CTOF_DEL    ---     0.092       R6C4A.B1 to       R6C4A.F1 SLICE_80
    +ROUTE         1     0.172       R6C4A.F1 to R6C4A.B0       n6
    +CTOF_DEL    ---     0.092       R6C4A.B0 to       R6C4A.F0 SLICE_80
    +ROUTE         1     0.161       R6C4A.F0 to R6C4D.CE       PHI2_N_114_enable_8 (to PHI2_c)
    +                  --------
    +                    1.366   (37.3% logic, 62.7% route), 4 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C3C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4D.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 175.744ns (weighted slack = 351.488ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              XOR8MEG_376  (from PHI2_c -)
    +   Destination:    FF         Data in        RA11_353  (to PHI2_c +)
    +
    +   Delay:               0.733ns  (35.7% logic, 64.3% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.733ns physical path delay SLICE_94 to SLICE_31 meets
    +     -0.011ns DIN_HLD and
    +    -175.000ns delay constraint less
    +      0.000ns skew requirement (totaling -175.011ns) by 175.744ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_94 to SLICE_31:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R8C5C.CLK to       R8C5C.Q0 SLICE_94 (from PHI2_c)
    +ROUTE         1     0.471       R8C5C.Q0 to R2C5A.C0       XOR8MEG
    +CTOF_DEL    ---     0.092       R2C5A.C0 to       R2C5A.F0 SLICE_31
    +ROUTE         1     0.000       R2C5A.F0 to R2C5A.DI0      RA11_N_180 (to PHI2_c)
    +                  --------
    +                    0.733   (35.7% logic, 64.3% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R8C5C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_31:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R2C5A.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 176.433ns (weighted slack = 352.866ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i3  (from PHI2_c +)
    +   Destination:    FF         Data in        C1Submitted_374  (to PHI2_c -)
    +
    +   Delay:               1.404ns  (24.3% logic, 75.7% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.404ns physical path delay SLICE_92 to SLICE_14 meets
    +     -0.029ns CE_HLD and
    +    -175.000ns delay constraint less
    +      0.000ns skew requirement (totaling -175.029ns) by 176.433ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_92 to SLICE_14:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C3B.CLK to       R2C3B.Q1 SLICE_92 (from PHI2_c)
    +ROUTE         1     0.502       R2C3B.Q1 to R5C4B.A1       Bank_3
    +CTOF_DEL    ---     0.092       R5C4B.A1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     0.137       R5C4B.F1 to R5C4B.C0       n1279
    +CTOF_DEL    ---     0.092       R5C4B.C0 to       R5C4B.F0 SLICE_74
    +ROUTE         1     0.424       R5C4B.F0 to R6C4C.CE       PHI2_N_114_enable_1 (to PHI2_c)
    +                  --------
    +                    1.404   (24.3% logic, 75.7% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_92:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R2C3B.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_14:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.200       39.PADDI to R6C4C.CLK      PHI2_c
    +                  --------
    +                    1.200   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: PERIOD NET "RCLK_c" 15.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i2  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i3  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_101 to SLICE_101 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_101 to SLICE_101:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R5C4C.CLK to       R5C4C.Q0 SLICE_101 (from RCLK_c)
    +ROUTE         1     0.161       R5C4C.Q0 to R5C4C.M1       n705 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_101:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_101:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i4  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i5  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_81 to SLICE_81 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_81 to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R4C4C.CLK to       R4C4C.Q0 SLICE_81 (from RCLK_c)
    +ROUTE         1     0.161       R4C4C.Q0 to R4C4C.M1       n703 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R4C4C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R4C4C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i12  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i13  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_84 to SLICE_84 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_84 to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R5C4D.CLK to       R5C4D.Q0 SLICE_84 (from RCLK_c)
    +ROUTE         1     0.161       R5C4D.Q0 to R5C4D.M1       n695 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4D.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4D.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i8  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i9  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_95 to SLICE_95 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_95 to SLICE_95:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R6C2A.CLK to       R6C2A.Q0 SLICE_95 (from RCLK_c)
    +ROUTE         1     0.161       R6C2A.Q0 to R6C2A.M1       n699 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_95:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R6C2A.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_95:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R6C2A.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i11  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i12  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_96 to SLICE_84 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_96 to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R5C4A.CLK to       R5C4A.Q1 SLICE_96 (from RCLK_c)
    +ROUTE         1     0.161       R5C4A.Q1 to R5C4D.M0       n696 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_96:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4A.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4D.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i10  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i11  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_96 to SLICE_96 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_96 to SLICE_96:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R5C4A.CLK to       R5C4A.Q0 SLICE_96 (from RCLK_c)
    +ROUTE         1     0.161       R5C4A.Q0 to R5C4A.M1       n697 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_96:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4A.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_96:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R5C4A.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              PHI2r_344  (from RCLK_c +)
    +   Destination:    FF         Data in        PHI2r2_345  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_97 to SLICE_88 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_97 to SLICE_88:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C3C.CLK to       R7C3C.Q1 SLICE_97 (from RCLK_c)
    +ROUTE         1     0.161       R7C3C.Q1 to R7C3B.M1       PHI2r (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_97:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R7C3C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_88:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R7C3B.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i14  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i15  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_99 to SLICE_99 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_99 to SLICE_99:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R3C5C.CLK to       R3C5C.Q0 SLICE_99 (from RCLK_c)
    +ROUTE         1     0.161       R3C5C.Q0 to R3C5C.M1       n693 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_99:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R3C5C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_99:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R3C5C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.345ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              PHI2r2_345  (from RCLK_c +)
    +   Destination:    FF         Data in        PHI2r3_346  (to RCLK_c +)
    +
    +   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.324ns physical path delay SLICE_88 to SLICE_97 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_88 to SLICE_97:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C3B.CLK to       R7C3B.Q1 SLICE_88 (from RCLK_c)
    +ROUTE         3     0.167       R7C3B.Q1 to R7C3C.M0       PHI2r2 (to RCLK_c)
    +                  --------
    +                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_88:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R7C3B.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_97:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R7C3C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.345ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i15  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i0  (to RCLK_c +)
    +
    +   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.324ns physical path delay SLICE_99 to SLICE_87 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_99 to SLICE_87:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R3C5C.CLK to       R3C5C.Q1 SLICE_99 (from RCLK_c)
    +ROUTE         2     0.167       R3C5C.Q1 to R3C5A.M0       Ready_N_272 (to RCLK_c)
    +                  --------
    +                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_99:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R3C5C.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_87:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.413       86.PADDI to R3C5A.CLK      RCLK_c
    +                  --------
    +                    0.413   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.220ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA10_368  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[10]
    +
    +   Data Path Delay:     1.733ns  (73.0% logic, 27.0% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_55 and
    +      1.733ns delay SLICE_55 to RA[10] (totaling 2.220ns) meets
    +      0.000ns hold offset RCLK to RA[10] by 2.220ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_55:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C4B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_55 to RA[10]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C4B.CLK to       R2C4B.Q0 SLICE_55 (from RCLK_c)
    +ROUTE         1     0.468       R2C4B.Q0 to 87.PADDO       n974
    +DOPAD_DEL   ---     1.108       87.PADDO to         87.PAD RA[10]
    +                  --------
    +                    1.733   (73.0% logic, 27.0% route), 2 logic levels.
    +
    +Report:    2.220ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.805ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[9]
    +
    +   Data Path Delay:     2.318ns  (58.5% logic, 41.5% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.318ns delay SLICE_64 to RA[9] (totaling 2.805ns) meets
    +      0.000ns hold offset RCLK to RA[9] by 2.805ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[9]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.469       R7C2B.Q0 to R3C5A.D1       nRowColSel
    +CTOF_DEL    ---     0.092       R3C5A.D1 to       R3C5A.F1 SLICE_87
    +ROUTE         1     0.492       R3C5A.F1 to 85.PADDO       RA_c_9
    +DOPAD_DEL   ---     1.108       85.PADDO to         85.PAD RA[9]
    +                  --------
    +                    2.318   (58.5% logic, 41.5% route), 3 logic levels.
    +
    +Report:    2.805ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.476ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[8]
    +
    +   Data Path Delay:     1.989ns  (68.2% logic, 31.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      1.989ns delay SLICE_64 to RA[8] (totaling 2.476ns) meets
    +      0.000ns hold offset RCLK to RA[8] by 2.476ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[8]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.435       R7C2B.Q0 to R2C2C.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2C.D0 to       R2C2C.F0 SLICE_98
    +ROUTE         1     0.197       R2C2C.F0 to 96.PADDO       RA_c_8
    +DOPAD_DEL   ---     1.108       96.PADDO to         96.PAD RA[8]
    +                  --------
    +                    1.989   (68.2% logic, 31.8% route), 3 logic levels.
    +
    +Report:    2.476ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.460ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[7]
    +
    +   Data Path Delay:     1.973ns  (68.8% logic, 31.2% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      1.973ns delay SLICE_64 to RA[7] (totaling 2.460ns) meets
    +      0.000ns hold offset RCLK to RA[7] by 2.460ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[7]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.222       R7C2B.Q0 to R7C2B.C1       nRowColSel
    +CTOF_DEL    ---     0.092       R7C2B.C1 to       R7C2B.F1 SLICE_64
    +ROUTE         1     0.394       R7C2B.F1 to 100.PADDO      RA_c_7
    +DOPAD_DEL   ---     1.108      100.PADDO to        100.PAD RA[7]
    +                  --------
    +                    1.973   (68.8% logic, 31.2% route), 3 logic levels.
    +
    +Report:    2.460ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.759ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[6]
    +
    +   Data Path Delay:     2.272ns  (59.7% logic, 40.3% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.272ns delay SLICE_64 to RA[6] (totaling 2.759ns) meets
    +      0.000ns hold offset RCLK to RA[6] by 2.759ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[6]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.435       R7C2B.Q0 to R2C2C.D1       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2C.D1 to       R2C2C.F1 SLICE_98
    +ROUTE         1     0.480       R2C2C.F1 to 91.PADDO       RA_c_6
    +DOPAD_DEL   ---     1.108       91.PADDO to         91.PAD RA[6]
    +                  --------
    +                    2.272   (59.7% logic, 40.3% route), 3 logic levels.
    +
    +Report:    2.759ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.516ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[5]
    +
    +   Data Path Delay:     2.029ns  (66.9% logic, 33.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.029ns delay SLICE_64 to RA[5] (totaling 2.516ns) meets
    +      0.000ns hold offset RCLK to RA[5] by 2.516ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[5]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.278       R7C2B.Q0 to R6C2A.A1       nRowColSel
    +CTOF_DEL    ---     0.092       R6C2A.A1 to       R6C2A.F1 SLICE_95
    +ROUTE         1     0.394       R6C2A.F1 to 95.PADDO       RA_c_5
    +DOPAD_DEL   ---     1.108       95.PADDO to         95.PAD RA[5]
    +                  --------
    +                    2.029   (66.9% logic, 33.1% route), 3 logic levels.
    +
    +Report:    2.516ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.635ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[4]
    +
    +   Data Path Delay:     2.148ns  (63.2% logic, 36.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.148ns delay SLICE_64 to RA[4] (totaling 2.635ns) meets
    +      0.000ns hold offset RCLK to RA[4] by 2.635ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[4]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.435       R7C2B.Q0 to R2C2B.D1       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2B.D1 to       R2C2B.F1 SLICE_93
    +ROUTE         1     0.356       R2C2B.F1 to 99.PADDO       RA_c_4
    +DOPAD_DEL   ---     1.108       99.PADDO to         99.PAD RA[4]
    +                  --------
    +                    2.148   (63.2% logic, 36.8% route), 3 logic levels.
    +
    +Report:    2.635ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.758ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[3]
    +
    +   Data Path Delay:     2.271ns  (59.8% logic, 40.2% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.271ns delay SLICE_64 to RA[3] (totaling 2.758ns) meets
    +      0.000ns hold offset RCLK to RA[3] by 2.758ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[3]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.446       R7C2B.Q0 to R2C3B.D1       nRowColSel
    +CTOF_DEL    ---     0.092       R2C3B.D1 to       R2C3B.F1 SLICE_92
    +ROUTE         1     0.468       R2C3B.F1 to 97.PADDO       RA_c_3
    +DOPAD_DEL   ---     1.108       97.PADDO to         97.PAD RA[3]
    +                  --------
    +                    2.271   (59.8% logic, 40.2% route), 3 logic levels.
    +
    +Report:    2.758ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.487ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[2]
    +
    +   Data Path Delay:     2.000ns  (67.8% logic, 32.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.000ns delay SLICE_64 to RA[2] (totaling 2.487ns) meets
    +      0.000ns hold offset RCLK to RA[2] by 2.487ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[2]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.446       R7C2B.Q0 to R2C3A.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C3A.D0 to       R2C3A.F0 SLICE_90
    +ROUTE         1     0.197       R2C3A.F0 to 94.PADDO       RA_c_2
    +DOPAD_DEL   ---     1.108       94.PADDO to         94.PAD RA[2]
    +                  --------
    +                    2.000   (67.8% logic, 32.1% route), 3 logic levels.
    +
    +Report:    2.487ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.487ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[1]
    +
    +   Data Path Delay:     2.000ns  (67.8% logic, 32.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.000ns delay SLICE_64 to RA[1] (totaling 2.487ns) meets
    +      0.000ns hold offset RCLK to RA[1] by 2.487ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[1]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.446       R7C2B.Q0 to R2C3B.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C3B.D0 to       R2C3B.F0 SLICE_92
    +ROUTE         1     0.197       R2C3B.F0 to 89.PADDO       RA_c_1
    +DOPAD_DEL   ---     1.108       89.PADDO to         89.PAD RA[1]
    +                  --------
    +                    2.000   (67.8% logic, 32.1% route), 3 logic levels.
    +
    +Report:    2.487ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.476ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[0]
    +
    +   Data Path Delay:     1.989ns  (68.2% logic, 31.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      1.989ns delay SLICE_64 to RA[0] (totaling 2.476ns) meets
    +      0.000ns hold offset RCLK to RA[0] by 2.476ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[0]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.435       R7C2B.Q0 to R2C2B.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2B.D0 to       R2C2B.F0 SLICE_93
    +ROUTE         1     0.197       R2C2B.F0 to 98.PADDO       RA_c_0
    +DOPAD_DEL   ---     1.108       98.PADDO to         98.PAD RA[0]
    +                  --------
    +                    1.989   (68.2% logic, 31.8% route), 3 logic levels.
    +
    +Report:    2.476ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.949ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCS
    +
    +   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_60 and
    +      1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets
    +      0.000ns hold offset RCLK to nRCS by 1.949ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_60:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C5B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_60 to nRCS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C5B.CLK to       R2C5B.Q0 SLICE_60 (from RCLK_c)
    +ROUTE         1     0.197       R2C5B.Q0 to 77.PADDO       nRCS_c
    +DOPAD_DEL   ---     1.108       77.PADDO to         77.PAD nRCS
    +                  --------
    +                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    1.949ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.252ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    +   Destination:    Port       Pad            RCKE
    +
    +   Data Path Delay:     1.765ns  (71.7% logic, 28.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_34 and
    +      1.765ns delay SLICE_34 to RCKE (totaling 2.252ns) meets
    +      0.000ns hold offset RCLK to RCKE by 2.252ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R6C5B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_34 to RCKE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R6C5B.CLK to       R6C5B.Q0 SLICE_34 (from RCLK_c)
    +ROUTE         4     0.500       R6C5B.Q0 to 82.PADDO       RCKE_c
    +DOPAD_DEL   ---     1.108       82.PADDO to         82.PAD RCKE
    +                  --------
    +                    1.765   (71.7% logic, 28.3% route), 2 logic levels.
    +
    +Report:    2.252ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.949ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    +   Destination:    Port       Pad            nRWE
    +
    +   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_63 and
    +      1.462ns delay SLICE_63 to nRWE (totaling 1.949ns) meets
    +      0.000ns hold offset RCLK to nRWE by 1.949ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_63:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R3C5B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_63 to nRWE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R3C5B.CLK to       R3C5B.Q0 SLICE_63 (from RCLK_c)
    +ROUTE         1     0.197       R3C5B.Q0 to 72.PADDO       nRWE_c
    +DOPAD_DEL   ---     1.108       72.PADDO to         72.PAD nRWE
    +                  --------
    +                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    1.949ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.111ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    +   Destination:    Port       Pad            nRRAS
    +
    +   Data Path Delay:     1.624ns  (77.9% logic, 22.1% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_61 and
    +      1.624ns delay SLICE_61 to nRRAS (totaling 2.111ns) meets
    +      0.000ns hold offset RCLK to nRRAS by 2.111ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_61:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C4C.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_61 to nRRAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C4C.CLK to       R2C4C.Q0 SLICE_61 (from RCLK_c)
    +ROUTE         2     0.359       R2C4C.Q0 to 73.PADDO       nRRAS_c
    +DOPAD_DEL   ---     1.108       73.PADDO to         73.PAD nRRAS
    +                  --------
    +                    1.624   (77.9% logic, 22.1% route), 2 logic levels.
    +
    +Report:    2.111ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.220ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCAS
    +
    +   Data Path Delay:     1.733ns  (73.0% logic, 27.0% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_58 and
    +      1.733ns delay SLICE_58 to nRCAS (totaling 2.220ns) meets
    +      0.000ns hold offset RCLK to nRCAS by 2.220ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_58:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C4A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_58 to nRCAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C4A.CLK to       R2C4A.Q0 SLICE_58 (from RCLK_c)
    +ROUTE         1     0.468       R2C4A.Q0 to 78.PADDO       nRCAS_c
    +DOPAD_DEL   ---     1.108       78.PADDO to         78.PAD nRCAS
    +                  --------
    +                    1.733   (73.0% logic, 27.0% route), 2 logic levels.
    +
    +Report:    2.220ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.510ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQMH
    +
    +   Data Path Delay:     2.023ns  (67.1% logic, 32.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.023ns delay SLICE_64 to RDQMH (totaling 2.510ns) meets
    +      0.000ns hold offset RCLK to RDQMH by 2.510ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQMH:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.469       R7C2B.Q0 to R3C5A.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R3C5A.D0 to       R3C5A.F0 SLICE_87
    +ROUTE         1     0.197       R3C5A.F0 to 76.PADDO       RDQMH_c
    +DOPAD_DEL   ---     1.108       76.PADDO to         76.PAD RDQMH
    +                  --------
    +                    2.023   (67.1% logic, 32.9% route), 3 logic levels.
    +
    +Report:    2.510ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.602ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQML
    +
    +   Data Path Delay:     2.115ns  (64.2% logic, 35.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.115ns delay SLICE_64 to RDQML (totaling 2.602ns) meets
    +      0.000ns hold offset RCLK to RDQML by 2.602ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQML:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.278       R7C2B.Q0 to R6C2A.A0       nRowColSel
    +CTOF_DEL    ---     0.092       R6C2A.A0 to       R6C2A.F0 SLICE_95
    +ROUTE         1     0.480       R6C2A.F0 to 61.PADDO       RDQML_c
    +DOPAD_DEL   ---     1.108       61.PADDO to         61.PAD RDQML
    +                  --------
    +                    2.115   (64.2% logic, 35.8% route), 3 logic levels.
    +
    +Report:    2.602ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +Report Summary
    +--------------
    +----------------------------------------------------------------------------
    +Preference(MIN Delays)                  |   Constraint|       Actual|Levels
    +----------------------------------------------------------------------------
    +                                        |             |             |
    +PERIOD NET "PHI2_c" 350.000000 ns  ;    |            -|            -|   2  
    +                                        |             |             |
    +PERIOD NET "nCCAS_c" 350.000000 ns  ;   |            -|            -|   0  
    +                                        |             |             |
    +PERIOD NET "nCRAS_c" 350.000000 ns  ;   |            -|            -|   0  
    +                                        |             |             |
    +PERIOD NET "RCLK_c" 15.000000 ns  ;     |            -|            -|   1  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.220 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.805 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.476 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.460 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.759 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.516 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.635 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.758 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.487 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.487 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.476 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.252 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.111 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.220 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.510 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.602 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +----------------------------------------------------------------------------
    +
    +
    +All preferences were met.
    +
    +
    +Clock Domains Analysis
    +------------------------
    +
    +Found 4 clocks:
    +
    +Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    +   No transfer within this clock domain is found
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    +   No transfer within this clock domain is found
    +
    +Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    +   Covered under: PERIOD NET "RCLK_c" 15.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +   Clock Domain: PHI2_c   Source: PHI2.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    +   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +
    +Timing summary (Hold):
    +---------------
    +
    +Timing errors: 0  Score: 0
    +Cumulative negative slack: 0
    +
    +Constraints cover 520 paths, 6 nets, and 436 connections (70.89% coverage)
    +
    +
    +
    +Timing summary (Setup and Hold):
    +---------------
    +
    +Timing errors: 0 (setup), 0 (hold)
    +Score: 0 (setup), 0 (hold)
    +Cumulative negative slack: 0 (0+0)
    diff --git a/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html
    new file mode 100644
    index 0000000..267300a
    --- /dev/null
    +++ b/CPLD/LCMXO/LCMXO256C/impl1/Untitled.tpf_setup.html
    @@ -0,0 +1,3314 @@
    +
    +
    +
    +
    +
    +
    +
    +
    
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    +Mon Aug 16 20:23:38 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Design file:     RAM2GS
    +Device,speed:    LCMXO256C,3
    +Report level:    verbose report, limited to 10 items per preference
    +--------------------------------------------------------------------------------
    +
    +
    +Derating parameters
    +-------------------
    +Voltage:    3.300 V
    +
    +
    +
    +================================================================================
    +Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 162.969ns (weighted slack = 325.938ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    +   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    +
    +   Delay:              11.766ns  (23.7% logic, 76.3% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.766ns physical path delay SLICE_93 to SLICE_23 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 162.969ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_93 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    +ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    +CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    +ROUTE         2     2.130       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                   11.766   (23.7% logic, 76.3% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_93:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R7C3A.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.029ns (weighted slack = 326.058ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    +   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    +
    +   Delay:              11.706ns  (23.8% logic, 76.2% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.706ns physical path delay SLICE_98 to SLICE_23 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.029ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    +CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    +ROUTE         2     2.130       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                   11.706   (23.8% logic, 76.2% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R7C3A.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.113ns (weighted slack = 326.226ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    +   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    +
    +   Delay:              11.622ns  (24.0% logic, 76.0% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.622ns physical path delay SLICE_93 to SLICE_94 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.113ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_93 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    +ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    +CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     1.057       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R7C3C.A0 to       R7C3C.F0 SLICE_97
    +ROUTE         1     1.656       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    +                  --------
    +                   11.622   (24.0% logic, 76.0% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_93:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R8C5C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.173ns (weighted slack = 326.346ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    +   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    +
    +   Delay:              11.562ns  (24.1% logic, 75.9% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.562ns physical path delay SLICE_98 to SLICE_94 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.173ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    +CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     1.057       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R7C3C.A0 to       R7C3C.F0 SLICE_97
    +ROUTE         1     1.656       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    +                  --------
    +                   11.562   (24.1% logic, 75.9% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R8C5C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.236ns (weighted slack = 326.472ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    +   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    +
    +   Delay:              11.499ns  (24.2% logic, 75.8% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.499ns physical path delay SLICE_90 to SLICE_23 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.236ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_90 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C3A.CLK to       R2C3A.Q1 SLICE_90 (from PHI2_c)
    +ROUTE         1     0.819       R2C3A.Q1 to R2C3A.C1       Bank_5
    +CTOF_DEL    ---     0.371       R2C3A.C1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    +ROUTE         2     2.130       R6C3A.F0 to R7C3A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                   11.499   (24.2% logic, 75.8% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_90:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C3A.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R7C3A.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.277ns (weighted slack = 326.554ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:              11.458ns  (24.3% logic, 75.7% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.458ns physical path delay SLICE_93 to SLICE_77 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.277ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_93 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    +ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    +CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     1.379       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R4C5A.C1 to       R4C5A.F1 SLICE_73
    +ROUTE         2     1.170       R4C5A.F1 to R7C5C.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   11.458   (24.3% logic, 75.7% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_93:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R7C5C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.337ns (weighted slack = 326.674ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:              11.398ns  (24.4% logic, 75.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.398ns physical path delay SLICE_98 to SLICE_77 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.337ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    +CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     1.379       R6C3A.F1 to R4C5A.C1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R4C5A.C1 to       R4C5A.F1 SLICE_73
    +ROUTE         2     1.170       R4C5A.F1 to R7C5C.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   11.398   (24.4% logic, 75.6% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R7C5C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.380ns (weighted slack = 326.760ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    +   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    +
    +   Delay:              11.355ns  (24.5% logic, 75.5% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.355ns physical path delay SLICE_90 to SLICE_94 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.380ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_90 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C3A.CLK to       R2C3A.Q1 SLICE_90 (from PHI2_c)
    +ROUTE         1     0.819       R2C3A.Q1 to R2C3A.C1       Bank_5
    +CTOF_DEL    ---     0.371       R2C3A.C1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     1.057       R6C3A.F1 to R7C3C.A0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R7C3C.A0 to       R7C3C.F0 SLICE_97
    +ROUTE         1     1.656       R7C3C.F0 to R8C5C.CE       PHI2_N_114_enable_2 (to PHI2_c)
    +                  --------
    +                   11.355   (24.5% logic, 75.5% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_90:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C3A.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R8C5C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.430ns (weighted slack = 326.860ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    +
    +   Delay:              11.305ns  (24.6% logic, 75.4% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.305ns physical path delay SLICE_93 to SLICE_19 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.430ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_93 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_93 (from PHI2_c)
    +ROUTE         1     1.086       R2C2B.Q0 to R2C3A.B1       Bank_0
    +CTOF_DEL    ---     0.371       R2C3A.B1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    +ROUTE         2     1.669       R6C3A.F0 to R7C4D.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                   11.305   (24.6% logic, 75.4% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_93:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R7C4D.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 163.490ns (weighted slack = 326.980ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    +
    +   Delay:              11.245ns  (24.8% logic, 75.2% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     11.245ns physical path delay SLICE_98 to SLICE_19 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.490ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.026       R2C2C.Q0 to R2C3A.A1       Bank_6
    +CTOF_DEL    ---     0.371       R2C3A.A1 to       R2C3A.F1 SLICE_90
    +ROUTE         1     1.643       R2C3A.F1 to R5C4D.B1       n2160
    +CTOF_DEL    ---     0.371       R5C4D.B1 to       R5C4D.F1 SLICE_84
    +ROUTE         1     0.819       R5C4D.F1 to R5C4B.C1       n26
    +CTOF_DEL    ---     0.371       R5C4B.C1 to       R5C4B.F1 SLICE_74
    +ROUTE         5     1.601       R5C4B.F1 to R6C3C.B1       n1279
    +CTOF_DEL    ---     0.371       R6C3C.B1 to       R6C3C.F1 SLICE_9
    +ROUTE         2     0.974       R6C3C.F1 to R6C3A.A1       n2288
    +CTOF_DEL    ---     0.371       R6C3A.A1 to       R6C3A.F1 SLICE_76
    +ROUTE         3     0.727       R6C3A.F1 to R6C3A.B0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R6C3A.B0 to       R6C3A.F0 SLICE_76
    +ROUTE         2     1.669       R6C3A.F0 to R7C4D.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                   11.245   (24.8% logic, 75.2% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R2C2C.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.924       39.PADDI to R7C4D.CLK      PHI2_c
    +                  --------
    +                    3.924   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +Report:   24.062ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 348.000ns
    +         The internal maximum frequency of the following component is 500.000 MHz
    +
    + Logical Details:  Cell type  Pin name       Component name
    +
    +   Destination:    FSLICE     CLK            SLICE_73
    +
    +   Delay:               2.000ns -- based on Minimum Pulse Width
    +
    +Report:    2.000ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 348.000ns
    +         The internal maximum frequency of the following component is 500.000 MHz
    +
    + Logical Details:  Cell type  Pin name       Component name
    +
    +   Destination:    FSLICE     CLK            SLICE_74
    +
    +   Delay:               2.000ns -- based on Minimum Pulse Width
    +
    +Report:    2.000ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "RCLK_c" 15.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 6.275ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               8.481ns  (28.5% logic, 71.5% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.481ns physical path delay SLICE_7 to SLICE_85 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.275ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q0 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.466       R8C4D.Q0 to R8C5D.B1       FS_14
    +CTOF_DEL    ---     0.371       R8C5D.B1 to       R8C5D.F1 SLICE_78
    +ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    +CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    +ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    +CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    +CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    +ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    8.481   (28.5% logic, 71.5% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 6.434ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               8.322ns  (29.0% logic, 71.0% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.322ns physical path delay SLICE_7 to SLICE_56 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.434ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q0 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.466       R8C4D.Q0 to R8C5D.B1       FS_14
    +CTOF_DEL    ---     0.371       R8C5D.B1 to       R8C5D.F1 SLICE_78
    +ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    +CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    +ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    +CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    +CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    +ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    8.322   (29.0% logic, 71.0% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 6.474ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               8.282ns  (29.2% logic, 70.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.282ns physical path delay SLICE_7 to SLICE_85 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.474ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q1 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.267       R8C4D.Q1 to R8C5D.C1       FS_15
    +CTOF_DEL    ---     0.371       R8C5D.C1 to       R8C5D.F1 SLICE_78
    +ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    +CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    +ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    +CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    +CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    +ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    8.282   (29.2% logic, 70.8% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 6.633ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               8.123ns  (29.7% logic, 70.3% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.123ns physical path delay SLICE_7 to SLICE_56 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.633ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C4D.CLK to       R8C4D.Q1 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.267       R8C4D.Q1 to R8C5D.C1       FS_15
    +CTOF_DEL    ---     0.371       R8C5D.C1 to       R8C5D.F1 SLICE_78
    +ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    +CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    +ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    +CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    +CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    +ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    8.123   (29.7% logic, 70.3% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C4D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 6.693ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               8.063ns  (30.0% logic, 70.0% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.063ns physical path delay SLICE_8 to SLICE_85 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.693ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_8 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C4C.CLK to       R8C4C.Q1 SLICE_8 (from RCLK_c)
    +ROUTE         3     1.048       R8C4C.Q1 to R8C5D.A1       FS_13
    +CTOF_DEL    ---     0.371       R8C5D.A1 to       R8C5D.F1 SLICE_78
    +ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    +CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    +ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    +CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    +CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    +ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    8.063   (30.0% logic, 70.0% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_8:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C4C.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 6.852ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               7.904ns  (30.6% logic, 69.4% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.904ns physical path delay SLICE_8 to SLICE_56 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.852ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_8 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C4C.CLK to       R8C4C.Q1 SLICE_8 (from RCLK_c)
    +ROUTE         3     1.048       R8C4C.Q1 to R8C5D.A1       FS_13
    +CTOF_DEL    ---     0.371       R8C5D.A1 to       R8C5D.F1 SLICE_78
    +ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    +CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    +ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    +CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    +CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    +ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    7.904   (30.6% logic, 69.4% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_8:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C4C.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 6.891ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i2  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               7.865ns  (26.0% logic, 74.0% route), 5 logic levels.
    +
    + Constraint Details:
    +
    +      7.865ns physical path delay SLICE_4 to SLICE_85 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.891ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_4 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C3B.CLK to       R8C3B.Q0 SLICE_4 (from RCLK_c)
    +ROUTE         2     1.563       R8C3B.Q0 to R7C4C.B1       FS_2
    +CTOF_DEL    ---     0.371       R7C4C.B1 to       R7C4C.F1 SLICE_68
    +ROUTE         1     1.487       R7C4C.F1 to R9C5A.A0       n2164
    +CTOF_DEL    ---     0.371       R9C5A.A0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    +CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    +ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    7.865   (26.0% logic, 74.0% route), 5 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_4:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C3B.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 6.951ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i1  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               7.805ns  (26.2% logic, 73.8% route), 5 logic levels.
    +
    + Constraint Details:
    +
    +      7.805ns physical path delay SLICE_5 to SLICE_85 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 6.951ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_5 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C3A.CLK to       R8C3A.Q1 SLICE_5 (from RCLK_c)
    +ROUTE         2     1.503       R8C3A.Q1 to R7C4C.A1       FS_1
    +CTOF_DEL    ---     0.371       R7C4C.A1 to       R7C4C.F1 SLICE_68
    +ROUTE         1     1.487       R7C4C.F1 to R9C5A.A0       n2164
    +CTOF_DEL    ---     0.371       R9C5A.A0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    +CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    +ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    7.805   (26.2% logic, 73.8% route), 5 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_5:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C3A.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 7.017ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i12  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               7.739ns  (31.2% logic, 68.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.739ns physical path delay SLICE_8 to SLICE_85 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 7.017ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_8 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C4C.CLK to       R8C4C.Q0 SLICE_8 (from RCLK_c)
    +ROUTE         3     0.724       R8C4C.Q0 to R8C5D.D1       FS_12
    +CTOF_DEL    ---     0.371       R8C5D.D1 to       R8C5D.F1 SLICE_78
    +ROUTE         3     1.117       R8C5D.F1 to R9C5A.B1       n10
    +CTOF_DEL    ---     0.371       R9C5A.B1 to       R9C5A.F1 SLICE_75
    +ROUTE         4     0.712       R9C5A.F1 to R9C5A.B0       n2298
    +CTOF_DEL    ---     0.371       R9C5A.B0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     1.042       R7C5C.F1 to R5C5A.A1       n2111
    +CTOF_DEL    ---     0.371       R5C5A.A1 to       R5C5A.F1 SLICE_100
    +ROUTE         1     0.703       R5C5A.F1 to R7C5D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    7.739   (31.2% logic, 68.8% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_8:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C4C.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C5D.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 7.050ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i2  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               7.706ns  (26.5% logic, 73.5% route), 5 logic levels.
    +
    + Constraint Details:
    +
    +      7.706ns physical path delay SLICE_4 to SLICE_56 meets
    +     15.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 14.756ns) by 7.050ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_4 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C3B.CLK to       R8C3B.Q0 SLICE_4 (from RCLK_c)
    +ROUTE         2     1.563       R8C3B.Q0 to R7C4C.B1       FS_2
    +CTOF_DEL    ---     0.371       R7C4C.B1 to       R7C4C.F1 SLICE_68
    +ROUTE         1     1.487       R7C4C.F1 to R9C5A.A0       n2164
    +CTOF_DEL    ---     0.371       R9C5A.A0 to       R9C5A.F0 SLICE_75
    +ROUTE         1     1.026       R9C5A.F0 to R7C5C.A1       n11
    +CTOF_DEL    ---     0.371       R7C5C.A1 to       R7C5C.F1 SLICE_77
    +ROUTE         2     0.513       R7C5C.F1 to R7C5C.C0       n2111
    +CTOF_DEL    ---     0.371       R7C5C.C0 to       R7C5C.F0 SLICE_77
    +ROUTE         1     1.073       R7C5C.F0 to R7C4B.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    7.706   (26.5% logic, 73.5% route), 5 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_4:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R8C3B.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.353       86.PADDI to R7C4B.CLK      RCLK_c
    +                  --------
    +                    1.353   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +Report:    8.725ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 3.904ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA10_368  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[10]
    +
    +   Data Path Delay:     6.180ns  (67.9% logic, 32.1% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_55 and
    +      6.180ns delay SLICE_55 to RA[10] (totaling 8.596ns) meets
    +     12.500ns offset RCLK to RA[10] by 3.904ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_55:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R2C4B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_55 to RA[10]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C4B.CLK to       R2C4B.Q0 SLICE_55 (from RCLK_c)
    +ROUTE         1     1.984       R2C4B.Q0 to 87.PADDO       n974
    +DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    +                  --------
    +                    6.180   (67.9% logic, 32.1% route), 2 logic levels.
    +
    +Report:    8.596ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 7.280ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA10_368  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[10]
    +
    +   Data Path Delay:     5.683ns  (73.0% logic, 27.0% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_55 and
    +      5.683ns delay SLICE_55 to RA[10] (totaling 7.280ns) meets
    +      0.000ns hold offset RCLK to RA[10] by 7.280ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_55:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R2C4B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_55 to RA[10]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C4B.CLK to       R2C4B.Q0 SLICE_55 (from RCLK_c)
    +ROUTE         1     1.532       R2C4B.Q0 to 87.PADDO       n974
    +DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    +                  --------
    +                    5.683   (73.0% logic, 27.0% route), 2 logic levels.
    +
    +Report:    7.280ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.684ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[9]
    +
    +   Data Path Delay:     8.400ns  (54.4% logic, 45.6% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      8.400ns delay SLICE_64 to RA[9] (totaling 10.816ns) meets
    +     12.500ns offset RCLK to RA[9] by 1.684ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[9]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.781       R7C2B.Q0 to R3C5A.D1       nRowColSel
    +CTOF_DEL    ---     0.371       R3C5A.D1 to       R3C5A.F1 SLICE_87
    +ROUTE         1     2.052       R3C5A.F1 to 85.PADDO       RA_c_9
    +DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    +                  --------
    +                    8.400   (54.4% logic, 45.6% route), 3 logic levels.
    +
    +Report:   10.816ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 9.193ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[9]
    +
    +   Data Path Delay:     7.596ns  (58.6% logic, 41.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      7.596ns delay SLICE_64 to RA[9] (totaling 9.193ns) meets
    +      0.000ns hold offset RCLK to RA[9] by 9.193ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[9]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.534       R7C2B.Q0 to R3C5A.D1       nRowColSel
    +CTOF_DEL    ---     0.301       R3C5A.D1 to       R3C5A.F1 SLICE_87
    +ROUTE         1     1.610       R3C5A.F1 to 85.PADDO       RA_c_9
    +DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    +                  --------
    +                    7.596   (58.6% logic, 41.4% route), 3 logic levels.
    +
    +Report:    9.193ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.988ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[8]
    +
    +   Data Path Delay:     7.096ns  (64.4% logic, 35.6% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.096ns delay SLICE_64 to RA[8] (totaling 9.512ns) meets
    +     12.500ns offset RCLK to RA[8] by 2.988ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[8]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.712       R7C2B.Q0 to R2C2C.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2C.D0 to       R2C2C.F0 SLICE_98
    +ROUTE         1     0.817       R2C2C.F0 to 96.PADDO       RA_c_8
    +DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    +                  --------
    +                    7.096   (64.4% logic, 35.6% route), 3 logic levels.
    +
    +Report:    9.512ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.119ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[8]
    +
    +   Data Path Delay:     6.522ns  (68.3% logic, 31.7% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.522ns delay SLICE_64 to RA[8] (totaling 8.119ns) meets
    +      0.000ns hold offset RCLK to RA[8] by 8.119ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[8]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.424       R7C2B.Q0 to R2C2C.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2C.D0 to       R2C2C.F0 SLICE_98
    +ROUTE         1     0.646       R2C2C.F0 to 96.PADDO       RA_c_8
    +DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    +                  --------
    +                    6.522   (68.3% logic, 31.7% route), 3 logic levels.
    +
    +Report:    8.119ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.977ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[7]
    +
    +   Data Path Delay:     7.107ns  (64.3% logic, 35.7% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.107ns delay SLICE_64 to RA[7] (totaling 9.523ns) meets
    +     12.500ns offset RCLK to RA[7] by 2.977ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[7]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.880       R7C2B.Q0 to R7C2B.C1       nRowColSel
    +CTOF_DEL    ---     0.371       R7C2B.C1 to       R7C2B.F1 SLICE_64
    +ROUTE         1     1.660       R7C2B.F1 to 100.PADDO      RA_c_7
    +DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    +                  --------
    +                    7.107   (64.3% logic, 35.7% route), 3 logic levels.
    +
    +Report:    9.523ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.063ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[7]
    +
    +   Data Path Delay:     6.466ns  (68.9% logic, 31.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.466ns delay SLICE_64 to RA[7] (totaling 8.063ns) meets
    +      0.000ns hold offset RCLK to RA[7] by 8.063ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[7]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.726       R7C2B.Q0 to R7C2B.C1       nRowColSel
    +CTOF_DEL    ---     0.301       R7C2B.C1 to       R7C2B.F1 SLICE_64
    +ROUTE         1     1.288       R7C2B.F1 to 100.PADDO      RA_c_7
    +DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    +                  --------
    +                    6.466   (68.9% logic, 31.1% route), 3 logic levels.
    +
    +Report:    8.063ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.822ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[6]
    +
    +   Data Path Delay:     8.262ns  (55.3% logic, 44.7% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      8.262ns delay SLICE_64 to RA[6] (totaling 10.678ns) meets
    +     12.500ns offset RCLK to RA[6] by 1.822ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[6]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.712       R7C2B.Q0 to R2C2C.D1       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2C.D1 to       R2C2C.F1 SLICE_98
    +ROUTE         1     1.983       R2C2C.F1 to 91.PADDO       RA_c_6
    +DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    +                  --------
    +                    8.262   (55.3% logic, 44.7% route), 3 logic levels.
    +
    +Report:   10.678ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 9.044ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[6]
    +
    +   Data Path Delay:     7.447ns  (59.8% logic, 40.2% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      7.447ns delay SLICE_64 to RA[6] (totaling 9.044ns) meets
    +      0.000ns hold offset RCLK to RA[6] by 9.044ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[6]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.424       R7C2B.Q0 to R2C2C.D1       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2C.D1 to       R2C2C.F1 SLICE_98
    +ROUTE         1     1.571       R2C2C.F1 to 91.PADDO       RA_c_6
    +DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    +                  --------
    +                    7.447   (59.8% logic, 40.2% route), 3 logic levels.
    +
    +Report:    9.044ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.738ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[5]
    +
    +   Data Path Delay:     7.346ns  (62.2% logic, 37.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.346ns delay SLICE_64 to RA[5] (totaling 9.762ns) meets
    +     12.500ns offset RCLK to RA[5] by 2.738ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[5]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.119       R7C2B.Q0 to R6C2A.A1       nRowColSel
    +CTOF_DEL    ---     0.371       R6C2A.A1 to       R6C2A.F1 SLICE_95
    +ROUTE         1     1.660       R6C2A.F1 to 95.PADDO       RA_c_5
    +DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    +                  --------
    +                    7.346   (62.2% logic, 37.8% route), 3 logic levels.
    +
    +Report:    9.762ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.252ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[5]
    +
    +   Data Path Delay:     6.655ns  (66.9% logic, 33.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.655ns delay SLICE_64 to RA[5] (totaling 8.252ns) meets
    +      0.000ns hold offset RCLK to RA[5] by 8.252ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[5]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.915       R7C2B.Q0 to R6C2A.A1       nRowColSel
    +CTOF_DEL    ---     0.301       R6C2A.A1 to       R6C2A.F1 SLICE_95
    +ROUTE         1     1.288       R6C2A.F1 to 95.PADDO       RA_c_5
    +DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    +                  --------
    +                    6.655   (66.9% logic, 33.1% route), 3 logic levels.
    +
    +Report:    8.252ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.279ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[4]
    +
    +   Data Path Delay:     7.805ns  (58.5% logic, 41.5% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.805ns delay SLICE_64 to RA[4] (totaling 10.221ns) meets
    +     12.500ns offset RCLK to RA[4] by 2.279ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[4]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.712       R7C2B.Q0 to R2C2B.D1       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2B.D1 to       R2C2B.F1 SLICE_93
    +ROUTE         1     1.526       R2C2B.F1 to 99.PADDO       RA_c_4
    +DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    +                  --------
    +                    7.805   (58.5% logic, 41.5% route), 3 logic levels.
    +
    +Report:   10.221ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.638ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[4]
    +
    +   Data Path Delay:     7.041ns  (63.2% logic, 36.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      7.041ns delay SLICE_64 to RA[4] (totaling 8.638ns) meets
    +      0.000ns hold offset RCLK to RA[4] by 8.638ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[4]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.424       R7C2B.Q0 to R2C2B.D1       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2B.D1 to       R2C2B.F1 SLICE_93
    +ROUTE         1     1.165       R2C2B.F1 to 99.PADDO       RA_c_4
    +DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    +                  --------
    +                    7.041   (63.2% logic, 36.8% route), 3 logic levels.
    +
    +Report:    8.638ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.800ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[3]
    +
    +   Data Path Delay:     8.284ns  (55.1% logic, 44.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      8.284ns delay SLICE_64 to RA[3] (totaling 10.700ns) meets
    +     12.500ns offset RCLK to RA[3] by 1.800ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[3]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.733       R7C2B.Q0 to R2C3B.D1       nRowColSel
    +CTOF_DEL    ---     0.371       R2C3B.D1 to       R2C3B.F1 SLICE_92
    +ROUTE         1     1.984       R2C3B.F1 to 97.PADDO       RA_c_3
    +DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    +                  --------
    +                    8.284   (55.1% logic, 44.9% route), 3 logic levels.
    +
    +Report:   10.700ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 9.042ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[3]
    +
    +   Data Path Delay:     7.445ns  (59.8% logic, 40.2% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      7.445ns delay SLICE_64 to RA[3] (totaling 9.042ns) meets
    +      0.000ns hold offset RCLK to RA[3] by 9.042ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[3]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.461       R7C2B.Q0 to R2C3B.D1       nRowColSel
    +CTOF_DEL    ---     0.301       R2C3B.D1 to       R2C3B.F1 SLICE_92
    +ROUTE         1     1.532       R2C3B.F1 to 97.PADDO       RA_c_3
    +DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    +                  --------
    +                    7.445   (59.8% logic, 40.2% route), 3 logic levels.
    +
    +Report:    9.042ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.967ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[2]
    +
    +   Data Path Delay:     7.117ns  (64.2% logic, 35.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.117ns delay SLICE_64 to RA[2] (totaling 9.533ns) meets
    +     12.500ns offset RCLK to RA[2] by 2.967ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[2]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.733       R7C2B.Q0 to R2C3A.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C3A.D0 to       R2C3A.F0 SLICE_90
    +ROUTE         1     0.817       R2C3A.F0 to 94.PADDO       RA_c_2
    +DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    +                  --------
    +                    7.117   (64.2% logic, 35.8% route), 3 logic levels.
    +
    +Report:    9.533ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.156ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[2]
    +
    +   Data Path Delay:     6.559ns  (67.9% logic, 32.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.559ns delay SLICE_64 to RA[2] (totaling 8.156ns) meets
    +      0.000ns hold offset RCLK to RA[2] by 8.156ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[2]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.461       R7C2B.Q0 to R2C3A.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C3A.D0 to       R2C3A.F0 SLICE_90
    +ROUTE         1     0.646       R2C3A.F0 to 94.PADDO       RA_c_2
    +DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    +                  --------
    +                    6.559   (67.9% logic, 32.1% route), 3 logic levels.
    +
    +Report:    8.156ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.967ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[1]
    +
    +   Data Path Delay:     7.117ns  (64.2% logic, 35.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.117ns delay SLICE_64 to RA[1] (totaling 9.533ns) meets
    +     12.500ns offset RCLK to RA[1] by 2.967ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[1]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.733       R7C2B.Q0 to R2C3B.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C3B.D0 to       R2C3B.F0 SLICE_92
    +ROUTE         1     0.817       R2C3B.F0 to 89.PADDO       RA_c_1
    +DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    +                  --------
    +                    7.117   (64.2% logic, 35.8% route), 3 logic levels.
    +
    +Report:    9.533ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.156ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[1]
    +
    +   Data Path Delay:     6.559ns  (67.9% logic, 32.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.559ns delay SLICE_64 to RA[1] (totaling 8.156ns) meets
    +      0.000ns hold offset RCLK to RA[1] by 8.156ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[1]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.461       R7C2B.Q0 to R2C3B.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C3B.D0 to       R2C3B.F0 SLICE_92
    +ROUTE         1     0.646       R2C3B.F0 to 89.PADDO       RA_c_1
    +DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    +                  --------
    +                    6.559   (67.9% logic, 32.1% route), 3 logic levels.
    +
    +Report:    8.156ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.988ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[0]
    +
    +   Data Path Delay:     7.096ns  (64.4% logic, 35.6% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.096ns delay SLICE_64 to RA[0] (totaling 9.512ns) meets
    +     12.500ns offset RCLK to RA[0] by 2.988ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[0]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.712       R7C2B.Q0 to R2C2B.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2B.D0 to       R2C2B.F0 SLICE_93
    +ROUTE         1     0.817       R2C2B.F0 to 98.PADDO       RA_c_0
    +DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    +                  --------
    +                    7.096   (64.4% logic, 35.6% route), 3 logic levels.
    +
    +Report:    9.512ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.119ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[0]
    +
    +   Data Path Delay:     6.522ns  (68.3% logic, 31.7% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.522ns delay SLICE_64 to RA[0] (totaling 8.119ns) meets
    +      0.000ns hold offset RCLK to RA[0] by 8.119ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[0]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.424       R7C2B.Q0 to R2C2B.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2B.D0 to       R2C2B.F0 SLICE_93
    +ROUTE         1     0.646       R2C2B.F0 to 98.PADDO       RA_c_0
    +DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    +                  --------
    +                    6.522   (68.3% logic, 31.7% route), 3 logic levels.
    +
    +Report:    8.119ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 5.071ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCS
    +
    +   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_60 and
    +      5.013ns delay SLICE_60 to nRCS (totaling 7.429ns) meets
    +     12.500ns offset RCLK to nRCS by 5.071ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_60:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R2C5B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_60 to nRCS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C5B.CLK to       R2C5B.Q0 SLICE_60 (from RCLK_c)
    +ROUTE         1     0.817       R2C5B.Q0 to 77.PADDO       nRCS_c
    +DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    +                  --------
    +                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    +
    +Report:    7.429ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 6.394ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCS
    +
    +   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_60 and
    +      4.797ns delay SLICE_60 to nRCS (totaling 6.394ns) meets
    +      0.000ns hold offset RCLK to nRCS by 6.394ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_60:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R2C5B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_60 to nRCS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C5B.CLK to       R2C5B.Q0 SLICE_60 (from RCLK_c)
    +ROUTE         1     0.646       R2C5B.Q0 to 77.PADDO       nRCS_c
    +DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    +                  --------
    +                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    6.394ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 3.806ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    +   Destination:    Port       Pad            RCKE
    +
    +   Data Path Delay:     6.278ns  (66.8% logic, 33.2% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_34 and
    +      6.278ns delay SLICE_34 to RCKE (totaling 8.694ns) meets
    +     12.500ns offset RCLK to RCKE by 3.806ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R6C5B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_34 to RCKE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R6C5B.CLK to       R6C5B.Q0 SLICE_34 (from RCLK_c)
    +ROUTE         4     2.082       R6C5B.Q0 to 82.PADDO       RCKE_c
    +DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    +                  --------
    +                    6.278   (66.8% logic, 33.2% route), 2 logic levels.
    +
    +Report:    8.694ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 7.385ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    +   Destination:    Port       Pad            RCKE
    +
    +   Data Path Delay:     5.788ns  (71.7% logic, 28.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_34 and
    +      5.788ns delay SLICE_34 to RCKE (totaling 7.385ns) meets
    +      0.000ns hold offset RCLK to RCKE by 7.385ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R6C5B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_34 to RCKE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R6C5B.CLK to       R6C5B.Q0 SLICE_34 (from RCLK_c)
    +ROUTE         4     1.637       R6C5B.Q0 to 82.PADDO       RCKE_c
    +DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    +                  --------
    +                    5.788   (71.7% logic, 28.3% route), 2 logic levels.
    +
    +Report:    7.385ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 5.071ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    +   Destination:    Port       Pad            nRWE
    +
    +   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_63 and
    +      5.013ns delay SLICE_63 to nRWE (totaling 7.429ns) meets
    +     12.500ns offset RCLK to nRWE by 5.071ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_63:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R3C5B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_63 to nRWE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R3C5B.CLK to       R3C5B.Q0 SLICE_63 (from RCLK_c)
    +ROUTE         1     0.817       R3C5B.Q0 to 72.PADDO       nRWE_c
    +DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    +                  --------
    +                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    +
    +Report:    7.429ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 6.394ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    +   Destination:    Port       Pad            nRWE
    +
    +   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_63 and
    +      4.797ns delay SLICE_63 to nRWE (totaling 6.394ns) meets
    +      0.000ns hold offset RCLK to nRWE by 6.394ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_63:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R3C5B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_63 to nRWE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R3C5B.CLK to       R3C5B.Q0 SLICE_63 (from RCLK_c)
    +ROUTE         1     0.646       R3C5B.Q0 to 72.PADDO       nRWE_c
    +DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    +                  --------
    +                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    6.394ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 4.360ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    +   Destination:    Port       Pad            nRRAS
    +
    +   Data Path Delay:     5.724ns  (73.3% logic, 26.7% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_61 and
    +      5.724ns delay SLICE_61 to nRRAS (totaling 8.140ns) meets
    +     12.500ns offset RCLK to nRRAS by 4.360ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_61:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R2C4C.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_61 to nRRAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C4C.CLK to       R2C4C.Q0 SLICE_61 (from RCLK_c)
    +ROUTE         2     1.528       R2C4C.Q0 to 73.PADDO       nRRAS_c
    +DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    +                  --------
    +                    5.724   (73.3% logic, 26.7% route), 2 logic levels.
    +
    +Report:    8.140ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 6.920ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    +   Destination:    Port       Pad            nRRAS
    +
    +   Data Path Delay:     5.323ns  (78.0% logic, 22.0% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_61 and
    +      5.323ns delay SLICE_61 to nRRAS (totaling 6.920ns) meets
    +      0.000ns hold offset RCLK to nRRAS by 6.920ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_61:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R2C4C.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_61 to nRRAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C4C.CLK to       R2C4C.Q0 SLICE_61 (from RCLK_c)
    +ROUTE         2     1.172       R2C4C.Q0 to 73.PADDO       nRRAS_c
    +DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    +                  --------
    +                    5.323   (78.0% logic, 22.0% route), 2 logic levels.
    +
    +Report:    6.920ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 3.904ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCAS
    +
    +   Data Path Delay:     6.180ns  (67.9% logic, 32.1% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_58 and
    +      6.180ns delay SLICE_58 to nRCAS (totaling 8.596ns) meets
    +     12.500ns offset RCLK to nRCAS by 3.904ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_58:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R2C4A.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_58 to nRCAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C4A.CLK to       R2C4A.Q0 SLICE_58 (from RCLK_c)
    +ROUTE         1     1.984       R2C4A.Q0 to 78.PADDO       nRCAS_c
    +DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    +                  --------
    +                    6.180   (67.9% logic, 32.1% route), 2 logic levels.
    +
    +Report:    8.596ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 7.280ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCAS
    +
    +   Data Path Delay:     5.683ns  (73.0% logic, 27.0% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_58 and
    +      5.683ns delay SLICE_58 to nRCAS (totaling 7.280ns) meets
    +      0.000ns hold offset RCLK to nRCAS by 7.280ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_58:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R2C4A.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_58 to nRCAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C4A.CLK to       R2C4A.Q0 SLICE_58 (from RCLK_c)
    +ROUTE         1     1.532       R2C4A.Q0 to 78.PADDO       nRCAS_c
    +DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    +                  --------
    +                    5.683   (73.0% logic, 27.0% route), 2 logic levels.
    +
    +Report:    7.280ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.919ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQMH
    +
    +   Data Path Delay:     7.165ns  (63.7% logic, 36.3% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.165ns delay SLICE_64 to RDQMH (totaling 9.581ns) meets
    +     12.500ns offset RCLK to RDQMH by 2.919ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQMH:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.781       R7C2B.Q0 to R3C5A.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R3C5A.D0 to       R3C5A.F0 SLICE_87
    +ROUTE         1     0.817       R3C5A.F0 to 76.PADDO       RDQMH_c
    +DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    +                  --------
    +                    7.165   (63.7% logic, 36.3% route), 3 logic levels.
    +
    +Report:    9.581ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.229ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQMH
    +
    +   Data Path Delay:     6.632ns  (67.1% logic, 32.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.632ns delay SLICE_64 to RDQMH (totaling 8.229ns) meets
    +      0.000ns hold offset RCLK to RDQMH by 8.229ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQMH:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.534       R7C2B.Q0 to R3C5A.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R3C5A.D0 to       R3C5A.F0 SLICE_87
    +ROUTE         1     0.646       R3C5A.F0 to 76.PADDO       RDQMH_c
    +DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    +                  --------
    +                    6.632   (67.1% logic, 32.9% route), 3 logic levels.
    +
    +Report:    8.229ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.415ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQML
    +
    +   Data Path Delay:     7.669ns  (59.6% logic, 40.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.416ns  (44.0% logic, 56.0% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.416ns delay RCLK to SLICE_64 and
    +      7.669ns delay SLICE_64 to RDQML (totaling 10.085ns) meets
    +     12.500ns offset RCLK to RDQML by 2.415ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.353       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    2.416   (44.0% logic, 56.0% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQML:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.119       R7C2B.Q0 to R6C2A.A0       nRowColSel
    +CTOF_DEL    ---     0.371       R6C2A.A0 to       R6C2A.F0 SLICE_95
    +ROUTE         1     1.983       R6C2A.F0 to 61.PADDO       RDQML_c
    +DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    +                  --------
    +                    7.669   (59.6% logic, 40.4% route), 3 logic levels.
    +
    +Report:   10.085ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.535ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQML
    +
    +   Data Path Delay:     6.938ns  (64.2% logic, 35.8% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.597ns  (54.3% logic, 45.7% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.597ns delay RCLK to SLICE_64 and
    +      6.938ns delay SLICE_64 to RDQML (totaling 8.535ns) meets
    +      0.000ns hold offset RCLK to RDQML by 8.535ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.730       86.PADDI to R7C2B.CLK      RCLK_c
    +                  --------
    +                    1.597   (54.3% logic, 45.7% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQML:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R7C2B.CLK to       R7C2B.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.915       R7C2B.Q0 to R6C2A.A0       nRowColSel
    +CTOF_DEL    ---     0.301       R6C2A.A0 to       R6C2A.F0 SLICE_95
    +ROUTE         1     1.571       R6C2A.F0 to 61.PADDO       RDQML_c
    +DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    +                  --------
    +                    6.938   (64.2% logic, 35.8% route), 3 logic levels.
    +
    +Report:    8.535ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +Report Summary
    +--------------
    +----------------------------------------------------------------------------
    +Preference                              |   Constraint|       Actual|Levels
    +----------------------------------------------------------------------------
    +                                        |             |             |
    +PERIOD NET "PHI2_c" 350.000000 ns  ;    |   350.000 ns|    24.062 ns|   7  
    +                                        |             |             |
    +PERIOD NET "nCCAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    +                                        |             |             |
    +PERIOD NET "nCRAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    +                                        |             |             |
    +PERIOD NET "RCLK_c" 15.000000 ns  ;     |    15.000 ns|     8.725 ns|   6  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.596 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.280 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.816 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.193 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.512 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.119 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.523 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.063 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.678 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.044 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.762 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.252 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.221 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.638 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.700 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.042 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.533 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.156 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.533 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.156 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.512 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.119 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.429 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.394 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.694 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.385 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.429 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.394 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.140 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.920 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.596 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.280 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.581 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.229 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.085 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.535 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +----------------------------------------------------------------------------
    +
    +
    +All preferences were met.
    +
    +
    +Clock Domains Analysis
    +------------------------
    +
    +Found 4 clocks:
    +
    +Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    +   No transfer within this clock domain is found
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    +   No transfer within this clock domain is found
    +
    +Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    +   Covered under: PERIOD NET "RCLK_c" 15.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +   Clock Domain: PHI2_c   Source: PHI2.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    +   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +
    +Timing summary (Setup):
    +---------------
    +
    +Timing errors: 0  Score: 0
    +Cumulative negative slack: 0
    +
    +Constraints cover 538 paths, 6 nets, and 436 connections (70.89% coverage)
    +
    diff --git a/CPLD/LCMXO/LCMXO256C/impl1/automake.log b/CPLD/LCMXO/LCMXO256C/impl1/automake.log
    new file mode 100644
    index 0000000..e197df1
    --- /dev/null
    +++ b/CPLD/LCMXO/LCMXO256C/impl1/automake.log
    @@ -0,0 +1,528 @@
    +
    +ibisgen "RAM2GS_LCMXO256C_impl1.pad" "C:/lscc/diamond/3.12/cae_library/ibis/machxo.ibs"   
    +IBIS Models Generator: Lattice Diamond (64-bit) 3.12.0.240.2
    +
    +Mon Aug 16 21:36:25 2021
    +
    +Comp: CROW[0]
    + Site: 32
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: CROW[1]
    + Site: 34
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[0]
    + Site: 21
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[1]
    + Site: 15
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[2]
    + Site: 14
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[3]
    + Site: 16
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[4]
    + Site: 18
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[5]
    + Site: 17
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[6]
    + Site: 20
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[7]
    + Site: 19
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Dout[0]
    + Site: 1
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[1]
    + Site: 7
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[2]
    + Site: 8
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[3]
    + Site: 6
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[4]
    + Site: 4
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[5]
    + Site: 5
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[6]
    + Site: 2
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[7]
    + Site: 3
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: LED
    + Site: 57
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=16mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: MAin[0]
    + Site: 23
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[1]
    + Site: 38
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[2]
    + Site: 37
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[3]
    + Site: 47
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[4]
    + Site: 46
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[5]
    + Site: 45
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[6]
    + Site: 49
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[7]
    + Site: 44
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[8]
    + Site: 50
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[9]
    + Site: 51
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: PHI2
    + Site: 39
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: RA[0]
    + Site: 98
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[10]
    + Site: 87
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[11]
    + Site: 79
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[1]
    + Site: 89
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[2]
    + Site: 94
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[3]
    + Site: 97
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[4]
    + Site: 99
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[5]
    + Site: 95
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[6]
    + Site: 91
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[7]
    + Site: 100
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[8]
    + Site: 96
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[9]
    + Site: 85
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RBA[0]
    + Site: 63
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RBA[1]
    + Site: 83
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RCKE
    + Site: 82
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RCLK
    + Site: 86
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: RDQMH
    + Site: 76
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RDQML
    + Site: 61
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RD[0]
    + Site: 64
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[1]
    + Site: 65
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[2]
    + Site: 66
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[3]
    + Site: 67
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[4]
    + Site: 68
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[5]
    + Site: 69
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[6]
    + Site: 70
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[7]
    + Site: 71
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: UFMCLK
    + Site: 58
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: UFMSDI
    + Site: 56
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: UFMSDO
    + Site: 55
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    + PULL=KEEPER 
    +-----------------------
    +Comp: nCCAS
    + Site: 27
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: nCRAS
    + Site: 43
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: nFWE
    + Site: 22
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: nRCAS
    + Site: 78
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nRCS
    + Site: 77
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nRRAS
    + Site: 73
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nRWE
    + Site: 72
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nUFMCS
    + Site: 53
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Created design models.
    +
    +
    +Generating: C:\Users\Dog\Documents\GitHub\RAM2GS\CPLD\LCMXO\LCMXO256C\impl1\IBIS\RAM2GS_LCMXO256C_im~.ibs
    +
    +
    +    
    +
    +tmcheck -par "RAM2GS_LCMXO256C_impl1.par" 
    +
    +bitgen -w "RAM2GS_LCMXO256C_impl1.ncd" -f "RAM2GS_LCMXO256C_impl1.t2b" "RAM2GS_LCMXO256C_impl1.prf"
    +
    +
    +BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +
    +Loading design for application Bitgen from file RAM2GS_LCMXO256C_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO256C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application Bitgen from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.19.
    +Performance Hardware Data Status: Version 1.124.
    +
    +Running DRC.
    +DRC detected 0 errors and 0 warnings.
    +Reading Preference File from RAM2GS_LCMXO256C_impl1.prf.
    +
    +Preference Summary:
    ++---------------------------------+---------------------------------+
    +|  Preference                     |  Current Setting                |
    ++---------------------------------+---------------------------------+
    +|                  CONFIG_SECURE  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    +|                          INBUF  |                           ON**  |
    ++---------------------------------+---------------------------------+
    +|                             ES  |                           No**  |
    ++---------------------------------+---------------------------------+
    + *  Default setting.
    + ** The specified setting matches the default setting.
    +
    +
    +Creating bit map...
    +Saving bit stream in "RAM2GS_LCMXO256C_impl1.bit".
    +Total CPU Time: 0 secs 
    +Total REAL Time: 0 secs 
    +Peak Memory Usage: 44 MB
    +
    +ddtcmd -dev LCMXO256C-XXT100 -if "RAM2GS_LCMXO256C_impl1.bit" -oft -jed -of "RAM2GS_LCMXO256C_impl1.jed"  -comment "RAM2GS_LCMXO256C_impl1.alt" 
    +Lattice Diamond Deployment Tool 3.12 Command Line
    +
    +Loading Programmer Device Database...
    +
    +Generating JED.....
    +Device Name: LCMXO256C-XXT100
    +Reading Input File: RAM2GS_LCMXO256C_impl1.bit
    +Output File: RAM2GS_LCMXO256C_impl1.jed
    +Comment file RAM2GS_LCMXO256C_impl1.alt.
    +Generating JEDEC.....
    +File RAM2GS_LCMXO256C_impl1.jed generated successfully.
    +Lattice Diamond Deployment Tool has exited successfully.
    +
    diff --git a/CPLD/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html
    new file mode 100644
    index 0000000..0b86833
    --- /dev/null
    +++ b/CPLD/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html
    @@ -0,0 +1,9 @@
    +         	                                   	                                                	                                                 	                                                  	
    Setting log file to 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1/hdla_gen_hierarchy.html'.
    +Starting: parse design source files
    +(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v'
    +(VERI-1482) Analyzing Verilog file 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v'
    +INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
    +INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,1-397,10) (VERI-9000) elaborating module 'RAM2GS'
    +Done: design load finished with (0) errors, and (0) warnings
    +
    +
    \ No newline at end of file diff --git a/CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior b/CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior new file mode 100644 index 0000000..68dddcc --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1.ior @@ -0,0 +1,137 @@ +Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO256C +Package: TQFP100 +Performance: 4 +Package Status: Final Version 1.19. +Performance Hardware Data Status: Version 1.124. +Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO256C +Package: TQFP100 +Performance: 5 +Package Status: Final Version 1.19. +Performance Hardware Data Status: Version 1.124. +Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO256C +Package: TQFP100 +Performance: M +Package Status: Final Version 1.19. +Performance Hardware Data Status: Version 1.124. +// Design: RAM2GS +// Package: TQFP100 +// ncd File: ram2gs_lcmxo256c_impl1.ncd +// Version: Diamond (64-bit) 3.12.0.240.2 +// Written on Mon Aug 16 21:32:34 2021 +// M: Minimum Performance Grade +// iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml + +I/O Timing Report (All units are in ns) + +Worst Case Results across Performance Grades (M, 5, 4, 3): + +// Input Setup and Hold Times + +Port Clock Edge Setup Performance_Grade Hold Performance_Grade +---------------------------------------------------------------------- +CROW[0] nCRAS F 0.215 3 1.805 3 +CROW[1] nCRAS F -0.050 M 2.105 3 +Din[0] PHI2 F 5.083 3 2.097 3 +Din[0] nCCAS F -0.020 M 2.133 3 +Din[1] PHI2 F 3.519 3 2.454 3 +Din[1] nCCAS F -0.146 M 2.462 3 +Din[2] PHI2 F 4.416 3 2.660 3 +Din[2] nCCAS F 0.272 3 1.853 3 +Din[3] PHI2 F 5.627 3 2.084 3 +Din[3] nCCAS F -0.024 M 2.144 3 +Din[4] PHI2 F 4.808 3 2.117 3 +Din[4] nCCAS F 0.350 3 1.766 3 +Din[5] PHI2 F 5.446 3 2.212 3 +Din[5] nCCAS F 0.435 3 1.708 3 +Din[6] PHI2 F 5.339 3 1.487 3 +Din[6] nCCAS F -0.140 M 2.452 3 +Din[7] PHI2 F 4.546 3 1.555 3 +Din[7] nCCAS F -0.016 M 2.122 3 +MAin[0] PHI2 F 4.027 3 0.711 3 +MAin[0] nCRAS F 1.132 3 0.987 3 +MAin[1] PHI2 F 4.032 3 1.734 3 +MAin[1] nCRAS F 0.704 3 1.373 3 +MAin[2] PHI2 F 10.358 3 -0.773 M +MAin[2] nCRAS F -0.202 M 2.529 3 +MAin[3] PHI2 F 10.442 3 -0.829 M +MAin[3] nCRAS F 0.186 3 1.819 3 +MAin[4] PHI2 F 10.311 3 -0.765 M +MAin[4] nCRAS F 0.569 3 1.506 3 +MAin[5] PHI2 F 7.007 3 0.178 3 +MAin[5] nCRAS F 0.186 3 1.819 3 +MAin[6] PHI2 F 9.786 3 -0.641 M +MAin[6] nCRAS F 0.177 3 1.829 3 +MAin[7] PHI2 F 10.008 3 -0.718 M +MAin[7] nCRAS F -0.092 M 2.222 3 +MAin[8] nCRAS F -0.202 M 2.532 3 +MAin[9] nCRAS F 0.228 3 1.797 3 +PHI2 RCLK R 5.091 3 -0.759 M +UFMSDO RCLK R 2.219 3 -0.104 M +nCCAS RCLK R 3.820 3 -0.611 M +nCCAS nCRAS F 1.538 3 0.708 3 +nCRAS RCLK R 4.749 3 -0.670 M +nFWE PHI2 F 5.301 3 1.647 3 +nFWE nCRAS F 1.049 3 1.128 3 + + +// Clock to Output Delay + +Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade +------------------------------------------------------------------------ +LED RCLK R 11.669 3 3.051 M +RA[0] RCLK R 9.674 3 2.492 M +RA[0] nCRAS F 12.127 3 3.067 M +RA[10] RCLK R 8.596 3 2.220 M +RA[11] PHI2 R 9.987 3 2.559 M +RA[1] RCLK R 8.766 3 2.284 M +RA[1] nCRAS F 11.652 3 2.982 M +RA[2] RCLK R 10.062 3 2.599 M +RA[2] nCRAS F 12.947 3 3.306 M +RA[3] RCLK R 9.933 3 2.555 M +RA[3] nCRAS F 12.783 3 3.240 M +RA[4] RCLK R 8.504 3 2.219 M +RA[4] nCRAS F 11.513 3 2.948 M +RA[5] RCLK R 9.609 3 2.481 M +RA[5] nCRAS F 11.870 3 3.010 M +RA[6] RCLK R 10.001 3 2.579 M +RA[6] nCRAS F 12.947 3 3.292 M +RA[7] RCLK R 10.255 3 2.652 M +RA[7] nCRAS F 12.177 3 3.089 M +RA[8] RCLK R 8.896 3 2.316 M +RA[8] nCRAS F 11.417 3 2.920 M +RA[9] RCLK R 8.766 3 2.284 M +RA[9] nCRAS F 11.617 3 2.957 M +RBA[0] nCRAS F 9.698 3 2.483 M +RBA[1] nCRAS F 11.425 3 2.916 M +RCKE RCLK R 9.080 3 2.363 M +RDQMH RCLK R 9.475 3 2.443 M +RDQML RCLK R 10.477 3 2.713 M +RD[0] nCCAS F 11.252 3 2.942 M +RD[1] nCCAS F 11.963 3 3.100 M +RD[2] nCCAS F 12.880 3 3.336 M +RD[3] nCCAS F 12.422 3 3.224 M +RD[4] nCCAS F 11.252 3 2.942 M +RD[5] nCCAS F 12.423 3 3.212 M +RD[6] nCCAS F 12.979 3 3.375 M +RD[7] nCCAS F 12.914 3 3.350 M +UFMCLK RCLK R 8.007 3 2.126 M +UFMSDI RCLK R 8.007 3 2.126 M +nRCAS RCLK R 8.595 3 2.232 M +nRCS RCLK R 7.429 3 1.949 M +nRRAS RCLK R 8.615 3 2.236 M +nRWE RCLK R 7.429 3 1.949 M +nUFMCS RCLK R 9.193 3 2.413 M +WARNING: you must also run trce with hold speed: 3 +WARNING: you must also run trce with setup speed: M diff --git a/CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd b/CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd new file mode 100644 index 0000000..7b7fee7 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/ram2gs_lcmxo256c_impl1_trce.asd @@ -0,0 +1,91 @@ +[ActiveSupport TRCE] +; Setup Analysis +Period_0 = 26.150 ns (350.000 ns); +Period_1 = 2.000 ns (350.000 ns); +Period_2 = 2.000 ns (350.000 ns); +Period_3 = 8.434 ns (16.000 ns); +Tco_4 = - (-); +Tco_5 = - (-); +Tco_6 = - (-); +Tco_7 = - (-); +Tco_8 = - (-); +Tco_9 = - (-); +Tco_10 = - (-); +Tco_11 = - (-); +Tco_12 = - (-); +Tco_13 = - (-); +Tco_14 = - (-); +Tco_15 = - (-); +Tco_16 = 8.596 ns (12.500 ns); +Tco_17 = 8.766 ns (12.500 ns); +Tco_18 = 8.896 ns (12.500 ns); +Tco_19 = 10.255 ns (12.500 ns); +Tco_20 = 10.001 ns (12.500 ns); +Tco_21 = 9.609 ns (12.500 ns); +Tco_22 = 8.504 ns (12.500 ns); +Tco_23 = 9.933 ns (12.500 ns); +Tco_24 = 10.062 ns (12.500 ns); +Tco_25 = 8.766 ns (12.500 ns); +Tco_26 = 9.674 ns (12.500 ns); +Tco_27 = 7.429 ns (12.500 ns); +Tco_28 = 9.080 ns (12.500 ns); +Tco_29 = 7.429 ns (12.500 ns); +Tco_30 = 8.615 ns (12.500 ns); +Tco_31 = 8.595 ns (12.500 ns); +Tco_32 = 9.475 ns (12.500 ns); +Tco_33 = 10.477 ns (12.500 ns); +Tco_34 = - (-); +Tco_35 = - (-); +Tco_36 = - (-); +Tco_37 = - (-); +Tco_38 = - (-); +Tco_39 = - (-); +Tco_40 = - (-); +Failed = 0 (Total 41); +Clock_ports = 4; +Clock_nets = 4; +; Hold Analysis +Period_0 = - (-); +Period_1 = - (-); +Period_2 = - (-); +Period_3 = - (-); +Tco_4 = - (-); +Tco_5 = - (-); +Tco_6 = - (-); +Tco_7 = - (-); +Tco_8 = - (-); +Tco_9 = - (-); +Tco_10 = - (-); +Tco_11 = - (-); +Tco_12 = - (-); +Tco_13 = - (-); +Tco_14 = - (-); +Tco_15 = - (-); +Tco_16 = 2.220 ns (0.000 ns); +Tco_17 = 2.284 ns (0.000 ns); +Tco_18 = 2.316 ns (0.000 ns); +Tco_19 = 2.652 ns (0.000 ns); +Tco_20 = 2.579 ns (0.000 ns); +Tco_21 = 2.481 ns (0.000 ns); +Tco_22 = 2.219 ns (0.000 ns); +Tco_23 = 2.555 ns (0.000 ns); +Tco_24 = 2.599 ns (0.000 ns); +Tco_25 = 2.284 ns (0.000 ns); +Tco_26 = 2.492 ns (0.000 ns); +Tco_27 = 1.949 ns (0.000 ns); +Tco_28 = 2.363 ns (0.000 ns); +Tco_29 = 1.949 ns (0.000 ns); +Tco_30 = 2.236 ns (0.000 ns); +Tco_31 = 2.232 ns (0.000 ns); +Tco_32 = 2.443 ns (0.000 ns); +Tco_33 = 2.713 ns (0.000 ns); +Tco_34 = - (-); +Tco_35 = - (-); +Tco_36 = - (-); +Tco_37 = - (-); +Tco_38 = - (-); +Tco_39 = - (-); +Tco_40 = - (-); +Failed = 0 (Total 41); +Clock_ports = 4; +Clock_nets = 4; diff --git a/CPLD/LCMXO/LCMXO256C/impl1/synthesis.log b/CPLD/LCMXO/LCMXO256C/impl1/synthesis.log new file mode 100644 index 0000000..70a7a9b --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/synthesis.log @@ -0,0 +1,239 @@ +synthesis: version Diamond (64-bit) 3.12.0.240.2 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Mon Aug 16 21:32:25 2021 + + +Command Line: synthesis -f RAM2GS_LCMXO256C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml + +Synthesis options: +The -a option is MachXO. +The -s option is 3. +The -t option is TQFP100. +The -d option is LCMXO256C. +Using package TQFP100. +Using performance grade 3. + + +########################################################## + +### Lattice Family : MachXO + +### Device : LCMXO256C + +### Package : TQFP100 + +### Speed : 3 + +########################################################## + + + +INFO - synthesis: User-Selected Strategy Settings +Optimization goal = Balanced +Top-level module name = RAM2GS. +Target frequency = 200.000000 MHz. +Maximum fanout = 1000. +Timing path count = 3 +BRAM utilization = 100.000000 % +DSP usage = true +DSP utilization = 100.000000 % +fsm_encoding_style = auto +resolve_mixed_drivers = 0 +fix_gated_clocks = 1 + +Mux style = Auto +Use Carry Chain = true +carry_chain_length = 0 +Loop Limit = 1950. +Use IO Insertion = TRUE +Use IO Reg = AUTO + +Resource Sharing = TRUE +Propagate Constants = TRUE +Remove Duplicate Registers = TRUE +force_gsr = auto +ROM style = auto +RAM style = auto +The -comp option is FALSE. +The -syn option is FALSE. +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added) +-p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added) +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1 (searchpath added) +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added) +Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v +NGD file = RAM2GS_LCMXO256C_impl1.ngd +-sdc option: SDC file input not used. +-lpf option: Output file option is ON. +Hardtimer checking is enabled (default). The -dt option is not used. +The -r option is OFF. [ Remove LOC Properties is OFF. ] +Technology check ok... + +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 +Compile design. +Compile Design Begin +Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482 +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 +Top module name (Verilog): RAM2GS +INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209 +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... +Loading device for application map from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.19. +Top-level module name = RAM2GS. +INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding +original encoding -> new encoding (one-hot encoding) + + 0000 -> 0000000000000001 + + 0001 -> 0000000000000010 + + 0010 -> 0000000000000100 + + 0011 -> 0000000000001000 + + 0100 -> 0000000000010000 + + 0101 -> 0000000000100000 + + 0110 -> 0000000001000000 + + 0111 -> 0000000010000000 + + 1000 -> 0000000100000000 + + 1001 -> 0000001000000000 + + 1010 -> 0000010000000000 + + 1011 -> 0000100000000000 + + 1100 -> 0001000000000000 + + 1101 -> 0010000000000000 + + 1110 -> 0100000000000000 + + 1111 -> 1000000000000000 + +INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding +original encoding -> new encoding (one-hot encoding) + + 00 -> 0001 + + 01 -> 0010 + + 10 -> 0100 + + 11 -> 1000 + + + + +GSR will not be inferred because no asynchronous signal was found in the netlist. +WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored. +Applying 200.000000 MHz constraint to all clocks + +WARNING - synthesis: No user .sdc file. +Results of NGD DRC are available in RAM2GS_drc.log. +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... +All blocks are expanded and NGD expansion is successful. +Writing NGD file RAM2GS_LCMXO256C_impl1.ngd. + +################### Begin Area Report (RAM2GS)###################### +Number of register bits => 102 of 490 (20 % ) +BB => 8 +CCU2 => 9 +FD1P3AX => 28 +FD1P3AY => 3 +FD1P3IX => 2 +FD1P3JX => 1 +FD1S3AX => 47 +FD1S3AY => 1 +FD1S3IX => 16 +FD1S3JX => 4 +GSR => 1 +IB => 26 +INV => 3 +OB => 33 +ORCALUT4 => 116 +PFUMX => 3 +################### End Area Report ################## + +################### Begin BlackBox Report ###################### +TSALL => 1 +################### End BlackBox Report ################## + +################### Begin Clock Report ###################### +Clock Nets +Number of Clocks: 4 + Net : RCLK_c, loads : 62 + Net : PHI2_c, loads : 11 + Net : nCCAS_c, loads : 2 + Net : nCRAS_c, loads : 2 +Clock Enable Nets +Number of Clock Enables: 13 +Top 10 highest fanout Clock Enables: + Net : RCLK_c_enable_23, loads : 16 + Net : RCLK_c_enable_4, loads : 3 + Net : PHI2_N_114_enable_7, loads : 3 + Net : RCLK_c_enable_24, loads : 2 + Net : PHI2_N_114_enable_6, loads : 2 + Net : RCLK_c_enable_7, loads : 1 + Net : RCLK_c_enable_6, loads : 1 + Net : RCLK_c_enable_3, loads : 1 + Net : PHI2_N_114_enable_2, loads : 1 + Net : PHI2_N_114_enable_1, loads : 1 +Highest fanout non-clock nets +Top 10 highest fanout non-clock nets: + Net : InitReady, loads : 17 + Net : RCLK_c_enable_23, loads : 16 + Net : RASr2, loads : 15 + Net : nCRAS_N_9, loads : 15 + Net : nRowColSel_N_35, loads : 14 + Net : nRowColSel, loads : 13 + Net : Ready, loads : 13 + Net : n2307, loads : 13 + Net : nCCAS_N_3, loads : 10 + Net : Din_c_6, loads : 9 +################### End Clock Report ################## + +Timing Report Summary +-------------- +-------------------------------------------------------------------------------- +Constraint | Constraint| Actual|Levels +-------------------------------------------------------------------------------- + | | | +create_clock -period 5.000000 -name | | | +clk3 [get_nets nCCAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk2 [get_nets nCRAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk1 [get_nets PHI2_c] | 200.000 MHz| 38.826 MHz| 7 * + | | | +create_clock -period 5.000000 -name | | | +clk0 [get_nets RCLK_c] | 200.000 MHz| 88.566 MHz| 6 * + | | | +-------------------------------------------------------------------------------- + + +2 constraints not met. + + +Peak Memory Usage: 50.406 MB + +-------------------------------------------------------------- +Elapsed CPU time for LSE flow : 0.530 secs +-------------------------------------------------------------- diff --git a/CPLD/LCMXO/LCMXO256C/impl1/synthesis_lse.html b/CPLD/LCMXO/LCMXO256C/impl1/synthesis_lse.html new file mode 100644 index 0000000..8faa0b2 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/synthesis_lse.html @@ -0,0 +1,304 @@ + +Synthesis and Ngdbuild Report + + +
    Synthesis and Ngdbuild  Report
    +synthesis:  version Diamond (64-bit) 3.12.0.240.2
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Mon Aug 16 21:32:25 2021
    +
    +
    +Command Line:  synthesis -f RAM2GS_LCMXO256C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml 
    +
    +Synthesis options:
    +The -a option is MachXO.
    +The -s option is 3.
    +The -t option is TQFP100.
    +The -d option is LCMXO256C.
    +Using package TQFP100.
    +Using performance grade 3.
    +                                                          
    +
    +##########################################################
    +
    +### Lattice Family : MachXO
    +
    +### Device  : LCMXO256C
    +
    +### Package : TQFP100
    +
    +### Speed   : 3
    +
    +##########################################################
    +
    +                                                          
    +
    +INFO - synthesis: User-Selected Strategy Settings
    +Optimization goal = Balanced
    +Top-level module name = RAM2GS.
    +Target frequency = 200.000000 MHz.
    +Maximum fanout = 1000.
    +Timing path count = 3
    +BRAM utilization = 100.000000 %
    +DSP usage = true
    +DSP utilization = 100.000000 %
    +fsm_encoding_style = auto
    +resolve_mixed_drivers = 0
    +fix_gated_clocks = 1
    +
    +Mux style = Auto
    +Use Carry Chain = true
    +carry_chain_length = 0
    +Loop Limit = 1950.
    +Use IO Insertion = TRUE
    +Use IO Reg = AUTO
    +
    +Resource Sharing = TRUE
    +Propagate Constants = TRUE
    +Remove Duplicate Registers = TRUE
    +force_gsr = auto
    +ROM style = auto
    +RAM style = auto
    +The -comp option is FALSE.
    +The -syn option is FALSE.
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added)
    +-p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added)
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/impl1 (searchpath added)
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C (searchpath added)
    +Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v
    +NGD file = RAM2GS_LCMXO256C_impl1.ngd
    +-sdc option: SDC file input not used.
    +-lpf option: Output file option is ON.
    +Hardtimer checking is enabled (default). The -dt option is not used.
    +The -r option is OFF. [ Remove LOC Properties is OFF. ]
    +Technology check ok...
    +
    +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    +Compile design.
    +Compile Design Begin
    +Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482
    +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    +Top module name (Verilog): RAM2GS
    +INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    +Loading device for application map from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.19.
    +Top-level module name = RAM2GS.
    +INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding
    +original encoding -> new encoding (one-hot encoding)
    +
    + 0000 -> 0000000000000001
    +
    + 0001 -> 0000000000000010
    +
    + 0010 -> 0000000000000100
    +
    + 0011 -> 0000000000001000
    +
    + 0100 -> 0000000000010000
    +
    + 0101 -> 0000000000100000
    +
    + 0110 -> 0000000001000000
    +
    + 0111 -> 0000000010000000
    +
    + 1000 -> 0000000100000000
    +
    + 1001 -> 0000001000000000
    +
    + 1010 -> 0000010000000000
    +
    + 1011 -> 0000100000000000
    +
    + 1100 -> 0001000000000000
    +
    + 1101 -> 0010000000000000
    +
    + 1110 -> 0100000000000000
    +
    + 1111 -> 1000000000000000
    +
    +INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding
    +original encoding -> new encoding (one-hot encoding)
    +
    + 00 -> 0001
    +
    + 01 -> 0010
    +
    + 10 -> 0100
    +
    + 11 -> 1000
    +
    +
    +
    +
    +GSR will not be inferred because no asynchronous signal was found in the netlist.
    +WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored.
    +Applying 200.000000 MHz constraint to all clocks
    +
    +WARNING - synthesis: No user .sdc file.
    +Results of NGD DRC are available in RAM2GS_drc.log.
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    +All blocks are expanded and NGD expansion is successful.
    +Writing NGD file RAM2GS_LCMXO256C_impl1.ngd.
    +
    +################### Begin Area Report (RAM2GS)######################
    +Number of register bits => 102 of 490 (20 % )
    +BB => 8
    +CCU2 => 9
    +FD1P3AX => 28
    +FD1P3AY => 3
    +FD1P3IX => 2
    +FD1P3JX => 1
    +FD1S3AX => 47
    +FD1S3AY => 1
    +FD1S3IX => 16
    +FD1S3JX => 4
    +GSR => 1
    +IB => 26
    +INV => 3
    +OB => 33
    +ORCALUT4 => 116
    +PFUMX => 3
    +################### End Area Report ##################
    +
    +################### Begin BlackBox Report ######################
    +TSALL => 1
    +################### End BlackBox Report ##################
    +
    +################### Begin Clock Report ######################
    +Clock Nets
    +Number of Clocks: 4
    +  Net : RCLK_c, loads : 62
    +  Net : PHI2_c, loads : 11
    +  Net : nCCAS_c, loads : 2
    +  Net : nCRAS_c, loads : 2
    +Clock Enable Nets
    +Number of Clock Enables: 13
    +Top 10 highest fanout Clock Enables:
    +  Net : RCLK_c_enable_23, loads : 16
    +  Net : RCLK_c_enable_4, loads : 3
    +  Net : PHI2_N_114_enable_7, loads : 3
    +  Net : RCLK_c_enable_24, loads : 2
    +  Net : PHI2_N_114_enable_6, loads : 2
    +  Net : RCLK_c_enable_7, loads : 1
    +  Net : RCLK_c_enable_6, loads : 1
    +  Net : RCLK_c_enable_3, loads : 1
    +  Net : PHI2_N_114_enable_2, loads : 1
    +  Net : PHI2_N_114_enable_1, loads : 1
    +Highest fanout non-clock nets
    +Top 10 highest fanout non-clock nets:
    +  Net : InitReady, loads : 17
    +  Net : RCLK_c_enable_23, loads : 16
    +  Net : RASr2, loads : 15
    +  Net : nCRAS_N_9, loads : 15
    +  Net : nRowColSel_N_35, loads : 14
    +  Net : nRowColSel, loads : 13
    +  Net : Ready, loads : 13
    +  Net : n2307, loads : 13
    +  Net : nCCAS_N_3, loads : 10
    +  Net : Din_c_6, loads : 9
    +################### End Clock Report ##################
    +
    +Timing Report Summary
    +--------------
    +--------------------------------------------------------------------------------
    +Constraint                              |   Constraint|       Actual|Levels
    +--------------------------------------------------------------------------------
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk1 [get_nets PHI2_c]                  |  200.000 MHz|   38.826 MHz|     7 *
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk0 [get_nets RCLK_c]                  |  200.000 MHz|   88.566 MHz|     6 *
    +                                        |             |             |
    +--------------------------------------------------------------------------------
    +
    +
    +2 constraints not met.
    +
    +
    +Peak Memory Usage: 50.406  MB
    +
    +--------------------------------------------------------------
    +Elapsed CPU time for LSE flow : 0.530  secs
    +--------------------------------------------------------------
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list b/CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list new file mode 100644 index 0000000..29f9161 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_cp_file_list @@ -0,0 +1,252 @@ +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v diff --git a/CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file b/CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file new file mode 100644 index 0000000..47217b1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO256C/impl1/xxx_lse_sign_file @@ -0,0 +1,252 @@ +LSE_CPS_ID_1 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" +LSE_CPS_ID_2 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_3 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_4 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_5 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_6 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_7 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_8 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_9 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_10 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_11 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_12 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_13 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_14 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_15 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_16 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_17 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_18 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_19 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:141[9] 144[5]" +LSE_CPS_ID_20 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_21 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_22 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_23 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_24 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_25 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_26 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_27 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_28 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:230[16:37]" +LSE_CPS_ID_29 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_30 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_31 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_32 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_33 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_34 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_35 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_36 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_37 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_38 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_39 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_40 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_41 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_42 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_43 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_44 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_45 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:58[17:46]" +LSE_CPS_ID_46 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_47 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" +LSE_CPS_ID_48 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_49 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_50 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_51 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_52 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:378[53:60]" +LSE_CPS_ID_53 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_54 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_55 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_56 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_57 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_58 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_59 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_60 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_61 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_62 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_63 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_64 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_65 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_66 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_67 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_68 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:316[13] 322[7]" +LSE_CPS_ID_69 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_70 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[40:46]" +LSE_CPS_ID_71 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:310[8:22]" +LSE_CPS_ID_72 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" +LSE_CPS_ID_73 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:48[6:16]" +LSE_CPS_ID_74 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_75 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_76 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_77 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:232[12] 284[6]" +LSE_CPS_ID_78 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_79 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[11:55]" +LSE_CPS_ID_80 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:134[9] 138[5]" +LSE_CPS_ID_81 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_82 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_83 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_84 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:384[16:26]" +LSE_CPS_ID_85 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" +LSE_CPS_ID_86 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_87 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:339[4] 372[11]" +LSE_CPS_ID_88 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_89 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_90 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_91 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_92 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_93 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_94 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_95 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_96 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_97 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_98 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_99 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:13[15:34]" +LSE_CPS_ID_100 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_101 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_102 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:99[22:51]" +LSE_CPS_ID_103 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_104 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_105 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_106 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_107 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_108 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_109 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_110 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_111 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_112 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_113 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_114 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_115 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_116 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_117 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_118 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_119 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_120 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_121 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_122 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_123 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_124 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_125 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_126 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_127 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_128 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_129 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_130 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_131 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_132 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_133 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_134 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_135 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_136 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_137 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_138 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_139 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_140 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_141 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_142 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_143 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_144 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_145 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_146 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_147 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_148 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_149 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" +LSE_CPS_ID_150 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_151 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_152 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_153 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_154 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_155 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_156 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_157 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_158 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_159 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_160 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_161 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_162 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_163 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_164 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:255[14] 262[8]" +LSE_CPS_ID_165 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_166 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_167 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_168 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_169 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_170 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_171 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_172 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_173 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_174 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:12[9:12]" +LSE_CPS_ID_175 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" +LSE_CPS_ID_176 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" +LSE_CPS_ID_177 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_178 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_179 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_180 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_181 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_182 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_183 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_184 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_185 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_186 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_187 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_188 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_189 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[13:17]" +LSE_CPS_ID_190 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:45[13:17]" +LSE_CPS_ID_191 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[45:49]" +LSE_CPS_ID_192 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[23:28]" +LSE_CPS_ID_193 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[34:39]" +LSE_CPS_ID_194 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[16:21]" +LSE_CPS_ID_195 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[9:14]" +LSE_CPS_ID_196 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:63[13:19]" +LSE_CPS_ID_197 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:64[13:19]" +LSE_CPS_ID_198 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:65[13:19]" +LSE_CPS_ID_199 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" +LSE_CPS_ID_200 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_201 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_202 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_203 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_204 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_205 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_206 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_207 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_208 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_209 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_210 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" +LSE_CPS_ID_211 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" +LSE_CPS_ID_212 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_213 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_214 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_215 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_216 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_217 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_218 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_219 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_220 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" +LSE_CPS_ID_221 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" +LSE_CPS_ID_222 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:36[8:12]" +LSE_CPS_ID_223 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:41[8:12]" +LSE_CPS_ID_224 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:66[8:14]" +LSE_CPS_ID_225 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_226 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_227 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_228 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_229 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_230 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_231 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_232 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_233 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_234 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:214[26:30]" +LSE_CPS_ID_235 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_236 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_237 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_238 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_239 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_240 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_241 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_242 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_243 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_244 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_245 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_246 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_247 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_248 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_249 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_250 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" +LSE_CPS_ID_251 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" +LSE_CPS_ID_252 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" diff --git a/CPLD/LCMXO/LCMXO640C/.run_manager.ini b/CPLD/LCMXO/LCMXO640C/.run_manager.ini new file mode 100644 index 0000000..8c0aa7b --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/.run_manager.ini @@ -0,0 +1,9 @@ +[Runmanager] +Geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x1\x1c\0\0\0\xd8\0\0\0\0\0\0\0\0\xff\xff\xff\xff\xff\xff\xff\xff\0\0\0\0\0\0) +windowState=@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x1\0\0\0\0\0\0\0\x1\xff\xff\xff\xff\x3\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0) +headerState=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x1\0\0\0\x1\0\0\0\0\x1\0\0\0\0\0\0\0\0\0\0\0\x16\0\xe0?\0\0\0\t\0\0\0\x10\0\0\0\x64\0\0\0\xf\0\0\0\x64\0\0\0\xe\0\0\0\x64\0\0\0\r\0\0\0\x64\0\0\0\x15\0\0\0\x64\0\0\0\x14\0\0\0\x64\0\0\0\x13\0\0\0\x64\0\0\0\x12\0\0\0\x64\0\0\0\x11\0\0\0\x64\0\0\x4\xd3\0\0\0\x16\x1\x1\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x64\xff\xff\xff\xff\0\0\0\x81\0\0\0\0\0\0\0\x3\0\0\0#\0\0\0\x1\0\0\0\x2\0\0\x4\xb0\0\0\0\f\0\0\0\0\0\0\0\0\0\0\0\t\0\0\0\0) + +[impl1%3CStrategy1%3E] +isChecked=false +isHidden=false +isExpanded=false diff --git a/CPLD/LCMXO/LCMXO640C/.setting.ini b/CPLD/LCMXO/LCMXO640C/.setting.ini new file mode 100644 index 0000000..c145fb8 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/.setting.ini @@ -0,0 +1,4 @@ +[General] +Export.auto_tasks=IBIS, Bitgen +Map.auto_tasks=MapEqu, MapTrace +PAR.auto_tasks=PARTrace, IOTiming diff --git a/CPLD/LCMXO/LCMXO640C/.spread_sheet.ini b/CPLD/LCMXO/LCMXO640C/.spread_sheet.ini new file mode 100644 index 0000000..6c511f4 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/.spread_sheet.ini @@ -0,0 +1,3 @@ +[General] +COLUMN_POS_INFO_NAME_-1_0=Prioritize +COLUMN_POS_INFO_NAME_-1_1=PIO Register diff --git a/CPLD/LCMXO/LCMXO640C/.spreadsheet_view.ini b/CPLD/LCMXO/LCMXO640C/.spreadsheet_view.ini new file mode 100644 index 0000000..54adf6f --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/.spreadsheet_view.ini @@ -0,0 +1,65 @@ +[General] +pin_sort_type=0 +pin_sort_ascending=true +sig_sort_type=0 +sig_sort_ascending=true +active_Sheet=Port Assignments + +[Port%20Assignments] +Name="166,0" +Group%20By="84,1" +Pin="56,2" +BANK="62,3" +IO_TYPE="131,4" +PULLMODE="92,5" +DRIVE="67,6" +SLEWRATE="92,7" +OPENDRAIN="97,8" +Outload%20%28pF%29="103,9" +MaxSkew="87,10" +Clock%20Load%20Only="121,11" +sort_columns="Name,Ascending" + +[Pin%20Assignments] +Pin="90,0" +Pad%20Name="89,1" +Dual%20Function="109,2" +Polarity="77,3" +BANK="0,4" +IO_TYPE="131,5" +Signal%20Name="113,6" +Signal%20Type="115,7" +sort_columns="Pin,Ascending" + +[Clock%20Resource] +Clock%20Type="100,ELLIPSIS" +Clock%20Name="100,ELLIPSIS" +Selection="100,ELLIPSIS" + +[Global%20Preferences] +Preference%20Name="222,ELLIPSIS" +Preference%20Value="236,ELLIPSIS" + +[Cell%20Mapping] +Type="100,ELLIPSIS" +Name="100,ELLIPSIS" +Din\Dout="100,ELLIPSIS" +PIO%20Register="100,ELLIPSIS" + +[Route%20Priority] +Type="100,ELLIPSIS" +Name="100,ELLIPSIS" +Prioritize="100,ELLIPSIS" + +[Timing%20Preferences] +Preference%20Name="246,ELLIPSIS" +Preference%20Value="104,ELLIPSIS" +Preference%20Unit="98,ELLIPSIS" + +[Group] +Group%20Type\Name="134,ELLIPSIS" +Value="38,ELLIPSIS" + +[Misc%20Preferences] +Preference%20Name="117,ELLIPSIS" +Preference%20Value="104,ELLIPSIS" diff --git a/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl new file mode 100644 index 0000000..dcf391b --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ccl @@ -0,0 +1 @@ +VERSION=20110520 diff --git a/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf new file mode 100644 index 0000000..c625cb6 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf @@ -0,0 +1,14 @@ + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf new file mode 100644 index 0000000..9fa278f --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.lpf @@ -0,0 +1,226 @@ +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +LOCATE COMP "Dout[0]" SITE "1" ; +LOCATE COMP "Dout[6]" SITE "2" ; +LOCATE COMP "Dout[7]" SITE "3" ; +LOCATE COMP "Dout[4]" SITE "4" ; +LOCATE COMP "Dout[5]" SITE "5" ; +LOCATE COMP "Dout[3]" SITE "6" ; +LOCATE COMP "Dout[1]" SITE "7" ; +LOCATE COMP "Dout[2]" SITE "8" ; +LOCATE COMP "Din[2]" SITE "14" ; +LOCATE COMP "Din[1]" SITE "15" ; +LOCATE COMP "Din[3]" SITE "16" ; +LOCATE COMP "Din[5]" SITE "17" ; +LOCATE COMP "Din[4]" SITE "18" ; +LOCATE COMP "Din[7]" SITE "19" ; +LOCATE COMP "Din[6]" SITE "20" ; +LOCATE COMP "Din[0]" SITE "21" ; +LOCATE COMP "LED" SITE "57" ; +LOCATE COMP "RA[0]" SITE "98" ; +LOCATE COMP "RA[1]" SITE "89" ; +LOCATE COMP "RA[2]" SITE "94" ; +LOCATE COMP "RA[3]" SITE "97" ; +LOCATE COMP "RA[4]" SITE "99" ; +LOCATE COMP "RA[5]" SITE "95" ; +LOCATE COMP "RA[6]" SITE "91" ; +LOCATE COMP "RA[7]" SITE "100" ; +LOCATE COMP "RA[8]" SITE "96" ; +LOCATE COMP "RA[9]" SITE "85" ; +LOCATE COMP "RA[10]" SITE "87" ; +LOCATE COMP "RA[11]" SITE "79" ; +LOCATE COMP "RBA[1]" SITE "83" ; +LOCATE COMP "RBA[0]" SITE "63" ; +LOCATE COMP "RCKE" SITE "82" ; +LOCATE COMP "RDQMH" SITE "76" ; +LOCATE COMP "RDQML" SITE "61" ; +LOCATE COMP "UFMCLK" SITE "58" ; +LOCATE COMP "UFMSDI" SITE "56" ; +LOCATE COMP "nUFMCS" SITE "53" ; +LOCATE COMP "nRCAS" SITE "78" ; +LOCATE COMP "nRCS" SITE "77" ; +LOCATE COMP "nRRAS" SITE "73" ; +LOCATE COMP "nRWE" SITE "72" ; +LOCATE COMP "RD[0]" SITE "64" ; +LOCATE COMP "RD[1]" SITE "65" ; +LOCATE COMP "RD[2]" SITE "66" ; +LOCATE COMP "RD[3]" SITE "67" ; +LOCATE COMP "RD[4]" SITE "68" ; +LOCATE COMP "RD[5]" SITE "69" ; +LOCATE COMP "RD[6]" SITE "70" ; +LOCATE COMP "RD[7]" SITE "71" ; +LOCATE COMP "PHI2" SITE "39" ; +LOCATE COMP "RCLK" SITE "86" ; +LOCATE COMP "nCCAS" SITE "27" ; +LOCATE COMP "nCRAS" SITE "43" ; +LOCATE COMP "CROW[0]" SITE "32" ; +LOCATE COMP "CROW[1]" SITE "34" ; +LOCATE COMP "UFMSDO" SITE "55" ; +LOCATE COMP "nFWE" SITE "22" ; +LOCATE COMP "MAin[0]" SITE "23" ; +LOCATE COMP "MAin[1]" SITE "38" ; +LOCATE COMP "MAin[2]" SITE "37" ; +LOCATE COMP "MAin[3]" SITE "47" ; +LOCATE COMP "MAin[4]" SITE "46" ; +LOCATE COMP "MAin[5]" SITE "45" ; +LOCATE COMP "MAin[6]" SITE "49" ; +LOCATE COMP "MAin[7]" SITE "44" ; +LOCATE COMP "MAin[8]" SITE "50" ; +LOCATE COMP "MAin[9]" SITE "51" ; +IOBUF PORT "CROW[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "CROW[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "nCRAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "nCCAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "RCLK" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "PHI2" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[8]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[9]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "UFMSDO" PULLMODE=KEEPER IO_TYPE=LVTTL33 ; +IOBUF PORT "nFWE" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Dout[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "LED" PULLMODE=NONE IO_TYPE=LVTTL33 DRIVE=16 SLEWRATE=SLOW ; +IOBUF PORT "RA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[8]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[9]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[10]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[11]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RBA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RBA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RCKE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RDQMH" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RDQML" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "UFMCLK" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "UFMSDI" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRCAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRRAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRWE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nUFMCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RD[0]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[1]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[2]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[3]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[4]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[5]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[6]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[7]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +USE PRIMARY NET "PHI2_c" ; +USE PRIMARY NET "RCLK_c" ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 16.000000 ns ; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +USE PRIMARY NET "nCCAS_c" ; +USE PRIMARY NET "nCRAS_c" ; diff --git a/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty new file mode 100644 index 0000000..feec63c --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C1.sty @@ -0,0 +1,205 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html new file mode 100644 index 0000000..c0aa950 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcl.html @@ -0,0 +1,75 @@ + +Lattice TCL Log + + +
    pn210816203903
    +#Start recording tcl command: 8/16/2021 20:34:20
    +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C; Project name: RAM2GS_LCMXO640C
    +prj_project new -name "RAM2GS_LCMXO640C" -impl "impl1" -dev LCMXO640C-3T100C -synthesis "lse"
    +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v"
    +prj_project save
    +prj_src add -exclude "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf"
    +prj_run Export -impl impl1 -forceAll
    +prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf"
    +prj_run Export -impl impl1 -forceAll
    +#Stop recording: 8/16/2021 20:39:03
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr new file mode 100644 index 0000000..aada37a --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816203903.tcr @@ -0,0 +1,10 @@ +#Start recording tcl command: 8/16/2021 20:34:20 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C; Project name: RAM2GS_LCMXO640C +prj_project new -name "RAM2GS_LCMXO640C" -impl "impl1" -dev LCMXO640C-3T100C -synthesis "lse" +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" +prj_project save +prj_src add -exclude "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf" +prj_run Export -impl impl1 -forceAll +prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS_LCMXO.lpf" +prj_run Export -impl impl1 -forceAll +#Stop recording: 8/16/2021 20:39:03 diff --git a/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr new file mode 100644 index 0000000..3c252b4 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C_tcr.dir/pn210816214112.tcr @@ -0,0 +1,6 @@ +#Start recording tcl command: 8/16/2021 21:33:25 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C; Project name: RAM2GS_LCMXO640C +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceOne +#Stop recording: 8/16/2021 21:41:12 diff --git a/CPLD/LCMXO/LCMXO640C/impl1/.build_status b/CPLD/LCMXO/LCMXO640C/impl1/.build_status new file mode 100644 index 0000000..45432b8 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/.build_status @@ -0,0 +1,46 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb b/CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_LCMXO640C_impl1_map.vdb new file mode 100644 index 0000000000000000000000000000000000000000..983b4febc9f9c5d92715f68391a9eaed258ea38e GIT binary patch literal 65077 zcmd6Q33OaXc3q>Xp4DHbrPW5KVdCvd3E%Cyw_$wqrZqm&v`gzWV=TPR>Dh zPWl|6>b-iu>eZ`P@4c#D|NnbGaCUMglX)$JU-VO7eReYU3k#q1#S;^6J3E(q&y!Dl z3Gta|Av4TO9lYGSy1j1_VCS3i_tKyN+{A4C^wQb+`aKJEUI;`c#7q?Tu5MqCuI*jE zdG*@0z1`f+om<o(VLxQ4~$8vdHe z(`R*Opj}HQ3l2`32K=}jt1B^|k`pA3i;v8d8p1#s87t$eXM?cqd56(^dYISYj-F^MLWxfFgb-4D%0xA(Vi$Lwl=idURJw>5w6P`e682IHkF zP#r+4`Uf9z@cQLYcLgHe%H-zT7Y|c`nau3T(`Pa0TZ`66-nz0gG}N@a28w@xgF!zD3^;o9E6w0GEWMIT_bQ=O=}9c`-?pe5Y^Y6s9T zfcgP62%ug7wF0OSK+OQ^M2&zK3AKZ=VF2|5Xb?cX0BQwLBY>I`nqDi{ON;e+Bbe#+ z5UhpZN(fd$uo{Bp5G(~C$K!G^9spx$UuUSfdnA~0YU~1&joZ+LeK+I20aiE^guw+0|7w~1Oz=05cEJm z&;t)mV|4C6;q{;tg5WzWm0-Sn*Mhz>oX+{uA^7s9p@;F#mjrOETt8Dkx4gN$-I(7Z8-*LrUpAYm`A* zfK++`Qt1Uqr57NTUVv130aEEbNTv5&Q0WB(r56yCUO-TK0YT{n1f>@clwLqkdI3S{ zJ;Ycix^&=1L>WQle@-O)kHjSW&!zuM|8ptuKMqp<$3e>fI7s;)2PyyKAmx7?r2LPA zl>c#%@;?qz{>MQWg6+sb%Ktb>`5y-<|KlL#e;lOzkAsx|agg#q4pRQdLdO4`2>p)( zwf}LT_CF5P{>OpZ|2R`5y-<|KlL# ze;lOzkA;l?v8<`7xL9|f_CF5P{>OpZ|2Rc#%@;?qz{>MSe|2Rnb9}5}(V_BQl{>OnZA@DyAECrzQKdLOn|D@sRe{5aK z|5!lyABXb($D-(eG~e3)*u3&Tn#lMc3mE_7K<$4V$e`=(h9h_OpZ z|2Rc#%@;?qz{>MSe|5(WQAIqBY zKMvIX$AQ}aI8gf^2WtQ0K<$4VsQr%xwg0hPX#e9N<$oNc{Evf_|8bD=KMqp<$3e>f zI7s;)2Pyw!A?trE?EOz|^Bu9x0*OiZpZ>uo4-Q@bd<7Trv$cv^dER5U7-v`Fh)Nt$ zjU&o&L@AC~t;Sl}h$GhGi1j$47Duea5tTTi8b_4lh*BJ}T8?uXN36vW>v2Raj#!B! zDsekT9)h(HTnWKS2v$R|9D=0)#Il9@ zh5eK*yA=fIl0a3Hs7eyGl0?;#sP!ajEs5GlqE-{ASKgq?Oj zV8v!Cw$n#j$#g z(T-t_d5>X@BZy&*%ZOo(a|y8p?S<-F_lvnx(B3MB)Lt!y)ZQ)ZRWsV&1>w+|t(k*%-Q-jxML8OR4B%xSjj!iH=IZK;9 z4k`s;{>;|>zD$`h2j<_gxaEMUS9fn-bHQ95jkuyb?%!x2TxY9WUdAS-lx%4h!xGk| zy?ltDHT%D=Q6g|}cFCDsgTMmGbeuf8fFZvHk=W=Vf7imi1E$}xb#B=^EZdMP&!4Gd zE85gKi7f(?wK0j|2sg0x%E^ih2osZd3KNrfgo#N!!o(yVVPX=GFfoZon3%*POibbt zCMNL+6O(v^iAg-d#3UYJViJ!qF^NZ*n8YJYOyUtHCh-UplX!%QNj$>DBpzX65|1!3 ziAR{2#3M{h;t(b#G0L1ZE2n)A>zKsDIwtY3j!8VMV-gSRn8d?6Ch@S2Nj$7$5(nEg zxluu9#hxMA=!+K5bVZA2zuwIjUSg)}?tk>8c)@y7J z>ovBA^%~p3dX4S6uh-ZfRBLPxsx`I;)f(G_YK`qdwZ`_KT4Q@qt+73**4PeoVIkJ3 zHZ06$Tv!-lZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ zZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs$ZCDs!ZCHq%6uaumW^7m(B28Er zB28ErB28ErB28ErB28ErB28ErB28ErB28ErAdferm*2d!huclqv{f-iHgmj@f@`JV zdMUU;3a+1m8>ZmeDY$M5u9L(Su&;jieJ7T2r^?1+7#ZxnCz05nPa?60pG0EqmqcQX zm_%YNnM7jEnM7`+A+d%{Qev%}L}E>xL}KlnL}HDdK+as+*^O|U`4uzL`goYx6NJZC zo6v5P5T~i2{dUg{5RaCb9k^`99ToE!dsNJ0>`^g~u}8%`#vT>(7<*LAW9(5ehhZ@7 zLMt6iJCISg1E$qN#98TSwGeS27a|_O%EJN2c?$LQ{herk@8C@%uBmOmAp>ct5MCI9 z>G|bz0-VA2O*3j;zGbc^+>6={p4~iG->BEhi}rBg90*|g8a+qi7j40$g=UzwT!?my zwh-+WZ2=m4!eHR+qTm>N!U7EEb{ze@VJA-B<>tt z<`IZPj+y5CAxqro+&{RsXXFZ1IJ5LN0^#C~G@np3^4#eDmHqJCs6fQ|ncOyf~2sm6GA&JW)XmNRjH7<|9$K??k zxjgpNTpqh_F5eRQp2!bGzAy64=#6bBH<53Nd{5*DBHtJJp~$yIzAN$_pU=Z7-ER*w z=5VxDFyZsCkq!^*=<=|OE)R?7^00+2-xm3<$aj36Ol(;urm<6VW`1GQ2xI!pasV!G zN^tW2yB0_oPOHhSRP6n3ivo`|sLODiZrbdhnC50^Q?GCD+CU?F#m?bL9F|i=c*5k0 zeVSwvhvp0t^X%<5TlU$i&sKbP#b;|iyY91VKD*(wt1ioV_PS|~^UUQj&s-k!%;ho9 zTpshxQbd{^W$NEoc!Os$7PomZ8l#% zy?9RfB+P<*RRFo2%eS>x;yLzRm(N$1&TZ){*arbj-M+H(%7MLRdKouz&)#P#b9nZ{ z7Uf!dyDs1G`AIZyM#aiwCPLGp9~6kVG}zt4gu!PYj4=FzTr&}R8CEY4iRvM@&rrRU zs;vItYOH#JNK}sz`V7_E@sri>+`1a$-Xjv#V>9?ORPVQnt?Z9Sw-5GiGZGh1Y$lNL zW~R?=E}uKGv~XBcwM=$s{bP2xYqT>vyySA*HrI1>JxAAbbUjDca&#?6*K%|%OP6aP zYO{5Z_&LbbCmu`73#PeT6EU94dp7XQq}6ylN$IkfVu~2gAy#~L#b;|iyY91VKD*(w zt1b(7v2)v040qx3`SQu-P2D0-mJ2`;!z|53*RE|N>KBR-L&en~fAZw~=4VjnsU20L zk%?^w&jaI;6wyk6h_^9`gyPh7vj;9B(+vx%9bb0{0oF2dq zrw6dR>402kd&eqat}F6wkspeDU*rcO-xK+k$Tvj3>GSB{3C9HbCxDs+RwPi7Kve=| z36uno>s%836Zy8t4@JH&@&l3YiF`}s8zSHI`8>qGXk$V+OaVart_0%0>}GjasE{R%1zA5sTd@Z?cWA(xyJ$ES; zJw1>0_||E4UL?F=`ov)+x#p#tdJZCBX^4DFuI zuvAbd0H}vA!L;)LCZq>2RXu=7>;X(~2jrUDqJJXa68WCU4@AB%@eEc55S;$0H)RhFv1>yd3Hc{Pfua4tn6|td&T4IYD-C4h02waCu2{i zN=)2aDpzHCkUOd|%jLO6Ixb87!n;?n86=T9!pn@4uB z&|F?TeUAsHFhOo#x3h8T>g~M)|4irDw= zx0BQkB%YDpy&O7yfrt;|grvaQLePrtpRd zJ8t4t8veBzBEWpzbU)j)Ip6i0@%4v5#ChWS=reTPuAY3?@!fbTdM{YZK8=^W+?muq$&h5RrYx&IWz2Wfr&H1gZ z7Yz}B1rL1IJ#Y6zd{O{Y2fJ6VylHFZTXO(f`RrUi@KCO`^NLl{X~^Tni}UvQ>GT5| zA-EQT>mgVR!IcoKgkUuU%OO|_!Seb0Pj6|14cG8MNrF>vweLKB!Fr&nw}t`K51>H+ z^#Z6BK#c%u3Wx)+php8P21DZjjM)_}alAAF64zsiS$)&Xdmo-uKkw4?p_;hn{%kwRhp~eNR68zW2X&ebD&3-#sAlXIO5QPght zqk}8O?iichh&9`F%@%OS6bu=DM%vjT?|1A(En_iD;9;fy?6XhT*VbzF%~OAb($3&n zKq30h7-g^;lR?|bpxETyo-vJh2^*$z#D}ig0&XmiOZ2>TDhd289*emm5>dgSKq>*jo8w-6&t7HojK-VXN!DRU`O{5PY#Q zM%^pNbg%Dpuh<%6v(;F$1J`U}9k*M@G}yzA9PHvSP32dlXmp)aj#OJ==VagZkanv{Kij6$fzgwXIp=<_!Em<)XlM}nZ+P6(|) z2!$1#PZ*Qt4+c{6=bEm+#@?(;$v4L6V#}e6Jy!OnuQ(iIyX&!bOQPM*7>9f{9P$#* zzDd_+#J?7ZpNBWbq=98T3nnzMgky7(ox=L~eBLnhc{M)(g$fSaj7jl(U8(rTR(z{Q z^czz2%`s{qgIgi`V^z;eu{Fjauf~QPXDWjs-x#02?FRn#SjG3)2!GWJKU;t<3N3gK z*HryGgI4c@Z*}f;yR9RxtBO2w<>TE_X`@nq_W4%p;>SPO+gzO249(yiiF%zW*B#kH zH898{=cpAJ<^J zLM>3AQ8QHR2P!l_==P#V9)9Yn3y;3@{RfXcx@&|41MXOwDwJ`e&zqBnF_aIKEBCII z&sUKQiZ2X5{>*d78y~5D{OM*v0flFud+C{i!F;gyvDU?p6dJ7;pZ|F8nHQcdd<1`u z=JSnS;ofJ8jiJ<{<)_~N7><-eBJX|p$%sHJYW2E}3x$n(MjfZimhWD^XX8bTS7BwmV>1ZGrr5*ST;F?n?`rpPKQcHJXG#{uy!+D91?3Zw)YD) z9ETm(+~9#vZ(+~i?3$ky*wbhs2yI3?*v*Qt|7T}zFdn@y68l0mb<6jzpI_NHqCiTO zFc3SYhhu#mPN`4{gksdP-)&JX+k>O-=oNWD*2`sX(B?eh-f;m6Dn>(fx5n;Pj@sSg zFlbZtcBQ_tf}q0)9w!LhM3^by`3J#>k-%V}CT8R465vs0FM*jZxKsTv1rGKR3^!bU zzeBk>%eL1 zn>~e%U^0x-7<7Y~Fsp-wYSo&8o{+#)u^0NpkxQTw`o+0sr-#F;yupQNQ(B>sN+6h# z#`v$*U=9oGK}<5rTRFvH5RY(M`@^`mVZ?8x-|tKPYla?B*`@XJy$Bl1m806FQYrL- zci}N6&yaSA)r*B{;FLyf5{i6uMEHUpykQfOiJ6TxgPN0m(%BvN-R4}6N3eh7} z4@59>E#QMiUJGW+Fv{vix#6Wb6qBL=Jr%<-dR~G%VKW^>C%nsFCWB?0@U^D5d+jx72D7vEK_F;t{z;84t9#|)E%bL1wd=z4wG@kRqW5h0tPl` zq+Ko|$`FdC?tthXn<>{5($5v}-nMaSJs+j?T0hf*59Ilw!degjsa+$keXE~-po-nA z%2CI?*bc%VvoPt}g$Xz?!Wal)Ocn4Ly%2_qkOuu5oyMJh|A^smkkVK%oHxK|1gbe&ZqRSR%~DHnqa&o&g+ev3lA~=OCQ!6LgHr)&juy&6Xf)b3 z8$n#eJ7U&0SG{e<*-bG7+GW}UQKNJe+SA+fP&0a=j3qL*4acT}y&xEw!8rsi^wlx8 z*$LWIPM}&}-`H@@uq_0hE8wM;W9vR2kM}ch2InD6_k&#tZS{IL`i(nB->2>bI})b% zwKd;+?Cv{t%kj-BqW7bXg>bM@NSWjKg_61PJ{{Tn;vfu2 zMz|O&t)#{xwGFPuD#31y@i-$3gNoR55fYf|VjD=G0M;@nHrrYQUTZb5EWpNSCZkJE zmd#-S?Afl=OX`Nt@?8t+P;|C9NLebBD)+8e&##n^8a{W(OXpTD|`$ zw#PXDYq0^~Nlr8H-Ep?N5o?#nCw03<`Ekb9$zZ0~A15!^M-G&b2e^$4hU08^J=QJ{ z?;7QYZ-`rY6zBCHQ^2qE4wto(Wz>)4}i+7<^vtS)wKduZ8=JIiU)Mdh)^JRP#b~dH;DvmA%`Zk65 z3C$MPf-zSSYePk>&F)eA*$#$$sqKc`Z<-tNV~fFwKUeJY1y~75z%TO_Z@+m|`Il-q z&4%%{PI$U7;ToXH)tta_> zSfMgT7lf@cq4Z{vI=FCLP0lfOR2dc9(V4#9vfV9Ilg4IbX08<&8xv?@FU(i?NLl~}WgcGzquG`XX6 zKc&^s+;Zt9XJMfl?3?Son%0f>olf(p2R4dW%F1yEBX-=ytsCqix^d6pRzuqSADz=% z4F_O))i}LoI07c@7+t%ia1^FD0;dOa>19yRk*QsLjhYoan> z#Cx|zzN*zPIaKr|RzH0y_~R;Sx3giyp{H7G*obVdfrzZ9L(lbK^J}!N7I8jE^nP}A zrBZKJmpw|6SC7^i3)mT_>0J#??;KVH)&kao={VAe3?@zt zLkTM~I}^p$cQ+9KAUxBm*%{3dhSExSp7n065cEQLno8ZEsjt@gl9oa(xj!=;+-NuM zbdP+Fq95$fm^+HNLBtzbT&XWD;=5yvLrh$RlZS39WU)F9HbhKdG_pHu zSRDtOBC}O>&=Kz*GTh)exZ`F92T;a1G1p=fQ$2lZCT4e>4R6F6R!?4ZglT7u~&JtSLYNP!CGzJ?-aD6_MmGwh#Nuy zrV84ZjcuW#U9mgR(Zbo%C-K^$f_^SyY|ZM&I&8Dk6+CFPbz%YMPLtX`svVM2DSXzW z;{D@_xiJX>xokHR5-S-uA@TUZn1dG_wU$x)bj<`!c-V=$YlCHDmPWUDUWv84V%qJ5 z#~ViKnpVT}4JK;BIwf|z&I|-hxgUt*YARcCj5dldC=+DY4zm^Wh|*SJJ!zXpww;S3 zT*5Y)?OdGpk{Wqj8IUn`TG>6<=@oO(#%>m0v`YIEMP^m5v(nZ97eaZilw8NugA(*(eRB5Tc4!b@-{}A6dmlxL8(?y306NER7-qM)p`sf0nPd60c~* zn_Nh2!)U|H=*~Z^gyNsVu{Yj;?vJ_ITC7=|bVRd-O0b`yj;F&PuAkpnIqIDr9N!g= zh|6oc(dmz#_?L!f3#-9hCp8_1jT?i;o#ybUJ1h8BnPg=HuQ#f%)t`O(C9LdUSY)U9 z{&M@u@@%min#ED_QrZY@VriAktS6YUuT0MtJ7Z*KHO5S770lEU%-C19XNyh!9x^*Q zMk*OTyd>^Omda!XFMEp(lYM1;7H_i(X6i_pDaV+pk{P_=EXIs|Wqr2T8Y45+7&8?z zQ%x{qUzwjR_QuFeCC1DOnJFijv9IjU7W-plrWRwSMrKMeX5=RWu!En%PN%^~quNJ( zOJ8X{WM{4&J@Vjt;pLvVtc{HPT0s_vvXc5cDo)m7oD373_zxw(;Ej=!jTk5W1SkF* z4X}1&7};12 z*_i35nXe7uxT8F6`HYU_r;oMJ2|C_W7O*`xzrx&@pnc65~Sqd(VaaeiBUR7`dp$ zxX`}db74Q+1OqxoF4kjQXg}|{uwRUV`5Yq`IAIpdRPEzE7xq(CFrH)N0xxO^xX}LH za^b#_1=E?rM7wdL*SLd|Pe(iLfpve#1Oq_6w@aH&_i-**PW}4Pz;bFP`bTDh2SKIf zBolnYr)9!?6%2+mg^AAKMt?8@NtDx=K+DF7xX+QnaHep9SF870BjBPMaxqJHs+x9e zm=B@Ba;C7+>D?IgM!-fTl?^m)*l?d*nX+7j)PR~_-@y;;fKX?cG zc_<@;BQvs=!ia9$FygKrua534D1;B zsKoftH!}qv?yI;kuVdr`?+BBNnOS`wQ}E%w#|z^+Mm}mWKJ+b2!H4@2Fih(h`B;zf zq3>P_KHN8mVOYn=$6AaJedAK_;l6$hvpPmTHe!6}EmOgV`|dJ~>KOT0jq#!PvK=4( zi_fsA;h{;II(&iY-VJ<%>8NWfyhS;fEqc9+Z%u1^>OVCNYZ`K)V&`UycT90W0=1#YuI7Ds(L7NQ7bp%xPSy0+oLeq0-7^e`UEM?EfsN2`-O zuwl)E_^3CGXbKltaYGX8N7agzkPEfa)!!4>d_4!}EVUs>*(icqnl1abT zq}wL_I+I>9>B}bFG3hHN{e($(O}b~&%O<^I(pOD-)uh)D4t~T$5Fg#Qr1TD%yg~( z3?3L=M3%ofCTme5|Vq%27CX<05c_?Y*Cx;nDkqaW)|1U?e< zX#OT6{9|RGi}dSFy3dR9Al*EL41cqf95w3gBBe&KO^zT%!;zy%C}F~{BPBgk;?;=c z`7shJsyt=E%h&~0N0AX`%FBpnnwf3e@3{fs@5F=n$FXD0OxYrJnjA4Dej6#JN1bL$ zoo1EPY0|MtUPoPKIeWsU8%W7Hb&tbD!LZ!+gel=~M9O-YX0n6F@t;4X0j!(XGR;is zS0u0yze(~=ePbKcL#FIQCdcm)Amwk8GUx0fhn%I^LVc>DXc7nLdD&law9jBxN(4oTQ^5cpX#L*F;J>3WNe?$Ej|bExte4vZZVr z(Ubw%QGMG*%3qdDQ%`uYD$T2JRj~{|HBpIXF?nIzocL^8sdQews~8Y}N>U_aG0Dgp z)65)io?cg9zyrizHp0IbQT90|rOoBm7eM|>oOM5sa@v;XP5Kd&(g+aGF=NVE$&~dm zrC7-FO#^cx5&x!1Z=3X+Ov+ix^0$y?at}pr?3H|2E1$pn0hZ1*qleTh@sa0GS%+Uo z2}MIb*=O>};Ub?Lh#N?$Jw2q9%@8Sv_g^9<-KUUdrkc?&QqY6{cL0-q&1e_ zL6|AI<**WFN|<_0m?>fEHB;&}@k+nZE2-f${cMlp|HDY39>U?MF{HyGd)D#Lj8n}o zA!YT}W6(^q8JcA{TWm+Yhzx(qb()rerd6eBbVMb@vevf7uBCz7}OvU8WfrIo_Q_j=wzlC!XS9N=`muQrdbB z%8wz<%<)}z*VXM+K>TGtAOA?px|wG1wu>|oD%sPqP=qg;l+%&0x)4n9zAZrhltmz( zjsGZ8^gBKssW5DpX(qoa!>S0FZvvWAkHzd7ThC-0wgnY>*@=JI&|D==ehmkO?Et9R zJTS#1;}l?()G^{Aahwc-#LZ1!V3fX4lBD4^nG&A!JyU<~`OW8ESiq~oY~>}2{yGTA zAKf!GlTu;I-V@K1L&A#KAI@c#TQ|&@g+nL-@%pMAi(}7c#DLZB@0As~Wt}UoJ*DMuNitQ#+GS8IuAvV`O2s9@X$)U`t z!=~&Jhk@fuwIUZ(9S#puw!`5dmsWR_*z+qwNJ>q<1!Sy7X&$~$o2DkJJrb~;U8EdV zw#(tRDa(mxdu*2#LzS`3YPC&?*pgAp*shv;GYt#|x1)jJZ;n3X*Gxs$8_|W4fsYzI z{WUwux75o$PoQPX4ZW_8~}f6g0m`;GKI+(GgwVKTLU>@S4qYi*&^+M z?^-qjh7*YlDLt4hyD6*+iKG(JJ!JBDipLdCM!--kv?WYAnK-(c65imJGHbID%4j)Q zA5B4~gs&y05YA9rIX>(o$Bo?a0*;T>8>WQWGWBv`V1|#G$x7u#B>ZI~{9`3#lWAu9 z8GW(>S^m`2WC3eoO4IohBwXM(8$_tGI1eO$s_Ps#GDazr5j_}qp2>%2oJS;Mjo-=| zbFE?Laisjs(s@(%eA11jOux;f-)_?HFzI)i^t(*@-6qvRU$5 z;h~9Agmk3B>~pw@XJbFk#`1VSy_)nLSqIb1l>Ue`a{Q_O63=Nu50uGQ1q*7tZvoADY{$E!$ZBHpsg4rOT2%3L zH5vB*9tb!CNI(VWtmM?8gm@vtf7$~oGVyOA&E(6t%@L@yH6yB>87^#i4+y0EDJ}R7 zQ-QLeY5{@+%eq{5m<1Hu_p^oR^?+4n%6@rsl13iCBQ=jvp_*<|@5Sti%_ z9xczANEb!!ZOZXxV>a^Do^4fH{>T)Q2a=-JoQ|OG2vp4${obLy_LVM4rD% zb~}shNXmy4l;0bqoV&jF^4`_%<9H7!ApVqAQZ($ks_i&lbVAiugo*}K5i%^LZY!!| zReX2yjQ&nDaQrDF$6=xL7*J3Vz8-029?v1C1s2LYF}7k?cm-3A3sZUprc^$rlnK*s zFezIi{7IylIX+t&guiNK$~xIGdQu93*Ao6lq#PETGXBcsSK{-m4>V<{hGVko+)_3I zl0!+`tP1mZy{p#~7SknDT1+#GWoxw(8+&R(b8y%fMeLWLZr!RziG@@SMU>xIsf7Hz zW*TIQz_+Ug@$%j^K>Vq8xbR{iq-dZv`in-Y+>9Sf*kwwN^Y&+0TOKcs3wy_CkiE0v zr8gWZlChJNtg<%FF<4yOYY*lUi#ZH5Pnq1n&n2~P;~e6}HvKxl96U9La!pUI6z`&; zW=bl0J;W_IXb?0>%gPC=nw;stq>0!n&x!L3pr8iR9a)WMXKR8!#tS62Y=r#9+X%DR|R(X~Wt@SC=0 zYFn62f&ynsIaxzZohGad&#Zg(F);k8zR)=EQjToqI1dtBH{V((34YlK|5!?0Q&f4p z5XbFyD>eBriYXAA_6>Z%O7nOg&XG^C@6VWGrtDqYq`Zv0@G|1{U(k$Oh=`u+6*+|(B zrfh+!W~hvV;C8gseg&n(H54z;4w;)mVr3~DJ)ueN`xt`_#Kme3sSXVg8P`r@uxODGjM&R zr^0e5=|MOMc1BYpiD$~0PA`zjs~Z={@V7)wp<|*9=<(TE`dnT{JlT2~DXV=2DcNUA z_ULR_zKfLCG3+G1ft2-8Xsn097-6!-lrx%ab5;*|L$8;mhtsYiS zGB%6dGPSHotn4C`aU3|G)OakqZMB4FQVKztbMQW9QjQU0XTJ-Rjey}wiFGo~Ojm+2 zR#x?QQ9xO<)8z6NQVNYJ@j3#ZTxDl5TFiupn!3Y&wd3iB0FhjOT_noX&Bq85EPUI3Us6@C682-pGY zBJUvFKuTpGZ-glirnH*GQx^#<-JU+P%oiKk3YDMR0Rg$?K#(zNXJ(%7g>ylhi0&do z$+6KxhhcVlqjvtNe(VBg31^?3+r(!UtB22RipQ#l3ZSr6!4FOO+iMXhSPl8qA;4(pmxDr7Z6rAF3kTPGv z2j&9_G2HrWl&FqPn-^^X=1<9oV^8@gO+2=Nk1>bq0@VnO8XKYU%uF>Rc_)h#oyLz6 zV0goFc?Tjgc!otk!t&{I(!l&a3Mf)eFsd{4juVOv6R#@H^BbOs*g+wW%D0Nahz{5k8e%TKXCf69=fs2R6htT*-v^^ zmaD*WriBp@k+HgS^{b$uNUepi4(qn6aTwG{2TDAro~kjA7e{!-iVT_~rHZH8!R2I# zm(z5rj_2{dGk-Zv57E?1T{~W0>5C(vDP5YgSCDdr9L`v>M}?-?evTAVorFqq+}QrU zDVHiq28ieNKg9A}r!#yUDSzsgIxQoG#VL;6q-NWdvTc@9XP6?27p3eu10Y_jOIxCp z*Rqt?GR@?AqLkOtEbv-g+K-jeHL_GGb8aX~Su;ynGgIu=i&EB1JHcypX;+l;T9)!! zrjUjx<+XG!yjGVs8=*8<%4?ZI8lsffQi8lzm8$LV_%77{HrJ~eq>O{ug<=&f;81-Z z8_44wwtoMVywmxx_}f%5K2YF`c{Lpni@#kJciv zJFH2~@Bax34g(DoYobNq1YnxM1dZEl(y>NWJ~K?I@s~isNys@$9&ODk+M5~%HIheL zqbi^2r_}h%rbfzzywZ5mi0B&oL5-9j%h?BAV=tw~|7B{TRbx$b6ijumdx;uJ#~PJG znQ8^)?1L@W<1h%!8p~NP?Ut=yF7U}QIc5FqAvKinZtZu~KR{OaHYh9mYzj;4J+&sTAXtd#1_(toE)JF!w~H%tFL zOR;m$*9jrVpW0^r9#j4wR5@pXKY|tD|3#Hk z?|eB;y)FN*s+?LBmQ&`u{=cbm`p&T2^7r3WIXBY7a%w5B{~s)ev%<|+JbH;de<}+2 zIz#cZq{y$t!4oyr;J@uI@eS2Dz*$}z>=`gr9Z0-BXSr;1DQ zEd`=k{9%1{{3yU4(6lCMKVx#;w@krOi8VD0=OrG($a z1E84TixIyyoz%Wb4^(was@zAiR{Neikba&eRjwpSYTrT!($BY~%B>_x?K|Q?8d*~1 zVv?lx4Q?QP(2^>5lO(n8R|Dx6SW@MBlBD+SXCQs2CH)>`GAC2y%9jvQt{{GwCH~%Y z;`Q@__<|)?_9|Jkf5R7Qezzr7<||3;U&00AFSNwUnk9+-d$K_MkR?{eElKQOWd-7g zEwQq7Nn-!DDG)zmiIwR~68jfIf%uCov9g1UaXGt%!^C?mp;{8W?Wrf9cyIL3g)h4B z;qn7sjkjW%z-RqCQz+;y7`_pOND~dq-xTXDpblRqUjSy)6 zq^12q(k69n)x2Z}q<_ki{!lupeIE}<|Fk7lZXsE#eQ6Fz|BNM79wJF<-)sZYKWj;q zvq+NK*Uy0T&skFCHYAecK91|Dq*TjweZK zUx@b*B*~{)Q#}$y8EtvuEN7OZro(q~eaw#1~uApC)Ny zZpqtk6YsUOKa)x;LxPDXE$z>y(#o~&#FtpwpCfHz4E&Mmi7zE3zhLn5q)ghP)${yH z7K-qj_%ciU3+crEeE=Z-o0j+&(~12f`yhUwCFXi0g$@5yJ&50LiGL}b*gyCV;-@U} zFQ*gxXVXFa<(BwY(uw`!;~@TkCFW`(g=hbSH;Dh1CH~cPV*d~}i2t@F{G}@1?}Ir zw7->3>mIBH5C6c@{&qU8d-xT!|IpI@PCBi7KozuKX=#5qoz^`>3fix-w7-{5>mKw3 z?LV@#zn@O)9>xUiKen`gK-v_m=I$#@e6=P0!$U~j{^Z0zv810SX=3j40YKpKoA{@e nk~hzil>Rj6#6Po?eBdpq3GyV$#6P#RJdL7$(Y+ryJNbVAbLKz{ literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb b/CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_rtl.vdb new file mode 100644 index 0000000000000000000000000000000000000000..8564832e1c74306dff568f43cdf226db8dbc489c GIT binary patch literal 72408 zcmeFa37B6+neShxf4wj1?j(?~rk8--i6R71w#W}53Sm>napum_Z@d!#|2mJ_w&|U=hW%^!tnRb%yXarbNhMT^F3AH zx9U{YTidBqUBCUGxvbG0!sejA=G`w})@c9n@Lo?Uirts>wx9Tvbx*}VG>5~E(0Sd( zGgn-AO=HQyt3vU}XIyag`>we3%JZVFHy) zJ?5m%M<4s-6P|qPn$0s)7tC#5d&;_#j$X5N^Kr+Wda_d8b-}qa=be52Ss@f&7=OJ_ ze=3W0Pdn+jlg~T#*qM`#e#&NZY+ifvlaJf%KF6H)=+oC6Tb9LImBm^si_u3omz~9B4Cn+%=EWMk{h@vhI*C`5AC^X>B0Lh#`-n$7a>qV?!&(kiVdKW zP`ozXoM$&}b`7ET)Z?GA=JYiut$E6O`WCM`c=hn&Jr}Q9dU(-1UJ4K3G2Nj%42Sj` zwp_o)AJBfoj_iJ0cE3HlpUduNviqs*e!9FbQ_q9e*@N2j&>h+Rw(Nd;c0ZTh&t&&g z+5L37Z!62ItGic^>67$J1|$n4gOVZ1u%t)@+10&BPAsvTWOvCD$x_K4l07Bep=~M_ zPlsF~w9V99b2ZoYnrmClwWH?RQghAbuG}P{AS*?uF3Wj(WuQ=yL1V@vlgt$gvTe** zWRmehL17p(8kuCuP*6n1j6^0GHWU<`G0P&8%p3}e)0llDlZ+k;D`Zl=4~$oVP%Mn0)j*hjyRG$+++YGi=Y=mGI}U5QK3FIKB)bMR5e0@0gds*r3w@Z%xjEiC{?pi zV0>dnbfdyfl`#}l4P(6EQk4w_6~`Da>{PKsLA5f*4V0>XD5!MCSbkI{JyX-?&8(l^ zc)>m$D_3uro++9qN#*|9aM5vpY}S#qWgSVX_KI77dH-?vzKhHLqvrv}+z4&cM_WvV z8cDh<+m4@bag*UcRc4< z2|dW8C6AFDCt(aI&d@~=y1Lg2Pmr7_p=zBhIYshV$>Su$WjFUoxvFl?UEa;P%ey&u zc{k@S@8;a)-JH9;n{(IJ*y$Z^&ua{hY_J=5j?|rFb?0c^IbL^8)SWBb`H1+S$(nQ2 z#=lq@LP3ERN9pn}=vLJv6cn>D{~VcAX+lBa8}m<*NmVEmLV=--`Nznl z>J zOh=a7T#e)Tg!@-XXxm=nNHyUix2?vJSHi86gti?ujuIl=I!S2TQsXFB!ad2j*&2s6 z2p74nCAV6BT*2{rXm}K5PBl=8dao&O5(o zQWYP-IMEw=HQQsfrh+JL-KVz3eQI6Yr}o5sYC+upXLii(9UGO3vnA(9-XJ+wQg+7e z9Sp3Qz0YJau#&2w*==iV{Ty=|U*`#ksDJon5z_tZT1bj{s$(0@-bFA(hsXGUAXR2;(jk7jRdD7ZDKA^h~NY5E}zW1%O~^h^2xlrd@OO7 zk0tK%u_U_6$-Fz$WZs==GVjhbnRjQJ%)2v9=G~bl^X^QOd3Ub#xxG7=*PVl7@fE|_ zk-BrN?i{T<$Lr3Cx^qR{IaznEtUH(2or9zGRMni#W3gM_hlPUrSG-@B`yyBMXrZ89 zw!!^E0{&H^@Krw-3hHe;+z%z-&mDi~_!ptVRJ~y+sOR12eoq4a!0{)JKXd%G<8K}R z;P_{u;;j1AP|$9Mi0eBN@Vkz8JAU8sM~**s{HfzTj=yyLmE*rV{>Jh5j`uqL(eY2h zQ1978LEA0fr;D?BKOYKOb@ASc&3pY&d<2%TJV7z%V?ZeA2`sF$`G^n-`Une4Dit;# zA3{OzVPT!kM~hH=EQKYf3Y(7|p`gdHu+HWqNhs)x?75Vd!sg>jDCnguth4zj6AJn- z3rmSBY(D0Mf}YL7I-8F`p`g#RuvkrDXS4Aj6!eA`*4cb?3I+Y7g~jp;n~znYpa-?E z&gLUmDCk=)EVV~r^KmQ`^tu+-*?d$B1^uywr3NZ&KE{QDp4!4Xn~!**pbxjO)MACr z$G=d}yIWXeYolQ(?o2^x2%$DMhJx|HLOPj^l%Zgtu!qu=6fzq(L-C~)(#dQT4FyAs zg`_bmWHzRTf-%RQ>0~y-hT^L!B+XeNv+*_*j8YcT$!zou1;dv;lMX>4v#~f7jB6Is z$ZTSMoe2DBmGn*;?M?yo*9OOvXp@nvCgyPX5sscPpP0juH#mB_&{j>%;V3cUj9fJ_ zhr2z~M)n^v%;iwhFXBd7NTNHq#E<(~j z*A@jJspLc($!t*o5>Ln&ZMG-?i6^9Gq>(KOK;p?WZG6fX1t4jZkPH-|Q7#HZThZEy zHj*pTia{jtB;@ZTp|QM9(#Jsl*2uxJ^FjSt6`=DX+DMMnNjxEAv}1J=PolM9v@u#I z=}#dU<3eM+PTng&qK)K4oy3!nHqtg$)JcX1NE%DKJdhzQ$ZDy`{+P3D?PP^g(FVyhCu_s$Tc%X|j z|EDYEjrKK#R>vieksK!}7h@Xj$IH1^a)RVUN%g_+k;=JhkWZf7^#E4F50Bw|^6ajb z3o(x{&7rJFv}&w0*y_mN=|+s+<4jAd#))}+WHe$rL$)l;<04b7s90u?lG!}h9jmUORnmk;dnmb z{$7}O&v2xgaFMIJ=hgD#IfQ$ng{!(}IP?h@xvG1H!|zbxKUlcbJr^g*Y0DqxFPl`Y zpljThKbntMxcgh=C>Gxzn=OHKgyKxarALOw>&|+u-xgEpW;)$$wwpG)*49D>4qCP6 zo{Q$KhO~!v`wBL9{aTNJs#V=jW%twNJ?kZ>XR9YOHP=+lHJ!P-W4Pm=;?L4t2{v z`3rY+@nJHVBorjKI9->sh^8`7D9D5{ua8VJS18DuF|UhEGF~XiurV88a#Mzaycf^Z z#h%0qVls0mC==uCS-c2V9A#ykJ&hN-ilYpTv**>TKqx5A;#s=b6YCWs6cn_v_DsE$ zWz{7Vn7~+jswU4h){H~U_S~%MgyI$QZGVPSAQ6B(2K0hXl_wOKvv{^H78xH5o`gl^ ziLs6Gf=(4N6jTLc+&HPahJuP>j6J8;b@XpghVWXZudtO~HNIbHV-e z^{&%sn@*ieqkWT#;d04aC09t=rYi1Axv!FJmP|>~qFZRR&&at&vQ;uGshZifF(D6l zU8`1Pp&&i>GVu=Gs5Hw03g|y#i!&6Y-l!dsDhoFhWXh=Rkt&Ni6y(p|YRYGM z!H0ru8&y85q$mxe%4e076%vy*dsbzlP)sY3t?aS70w=>^ZgC-Z8#<$K1IOc&6BF5}{v@=Dy>|5xr(| zr-8BK$idvPT<@4Avod!~=8hG)VroqSgse@Dh0V?GIy-V9TT}@ zJa>%djy!`LsqFA zvP$KURVs(9QaNOm$|0*%4q2sg$SRdXR;e5-bBC-_F|tbKkX0&&tWr5-mC7NjR1R6C za>y#lfmM$2!oe!lecGadwf;Ny3N0JCUTyOR$%iF3N$|sklZQxqU0{gmn2`7d`0qA$=4+18`rXtugm!j z$u}k6l6+h89m#hk-;>-e`M%@_k{?QbB>A!ACz78^?veaV@^i^AB)^pWO7d&Tze|22 z`K{!4lHW`2mHa{SN6DWg%_cTUGq%6=EziUKf8OsD!MB>i$iNu}h6Nx+1ClYt2PbBV4pGe%9 zK9RUHeIjvZ`b6T+^ohisBmRlRonv+9s5|3(cVoQnoTxij)SZ)c=gPWsdEGfUzQNkI zKWL=x9IHD=>(24IbE58CQFl((oh$3k<#p%aL_Jk?=UCl2T6d1uofCEEin?>M?p#@S zF0VTWSJYEgcaGJaqjl%FJL6(Wb3KKg%?3h2*Ji69`?eWX&JFa9DN*}Gs+=k4LrqV7NJi(iP|$#<(wiE^rn`KJt9@kF!XUJQA;CL&No6q-)o_kM5>&9 z=(A3uc8^p!7YPMDwT0R(QsvAf6m;N5Esj(1WFRnA)UDJM}2Bc;*O3R(de z1uWEXq{R`!8j4goztI<*L=8r&obBlIO`;Y=s+{|Tf)U0d9Eemo6AA?bk5T=R zD(6L^U_3IaFH+?!NuO*I)f=gD&ZO@(iRyu>W>ET8lbG(vr1_M-(rhF)*rXRf%H0U{uwr62&yasH#;ZirIxxRjW!AlMbV*R+T8`B1ToMDp5>PjH+5y zqL{fDRkf-_F`+T4YE_A1o?}$isuIQY$Ed1RC5l;*QB|u-6q6;Rs#cXK=1@jettwGW zt&FN#Ric=28CA8aL@^OFs%%xqP@Uw-^vsyDRV9W=n=xgpN(@suW6D;Q7$$hel&vZ; zO#6%}TUBD13>s6ms>CowG^T7-iDBYsOxdau!*tS^vQ;I9Nv1K@s^%?*vu~AT`5Bq!=5o{%xx6?GC%$e5MMI*BLGTrCWZm35NV1$m&6 z%j+Z!h-f1@I8iGhJPG+Q3pr9JX+V$%8#z`dX(L1%$AzvQFYj$RjM|$~s9Kfjr#E<#m!~K(vt@Tv00_JRxJWBXtr_$e5L} zI*BJ_G}&mK#FI~~j}&UpL?Vkn7aB4TON2(U>KkjfHX59K^l48zzW5J6vifJ#g<)Z^ ze}dMGR&Oh3Dq6)Zhu%*rhu%mkhu%Rdhu%6Whu$+Phu$nIhu$TUgSUtDNrRQX>V8Xh zzazWfmfdg9?$gJ>xHqDTCw=h4KYilUKlYKo>YJu^c-5WCW6mmaRCk6iaIyjr%Z^`a=WcS;$`|a6%`luSe zK2~w2547>?qx)BT0EQQSL|x5Il8;JmmV8Wdi{#^yTP2^6+$Q;?om`KIJsl5b1CBl)i6dy?9o zgW-jD%j^4+A4q;E`H|$ulAlO^D!E7UGs({-zmWV=@+--&CI2q@jpVnIB9;H}!r#kz zujCJsKT7^2`LpCNlD|sslZ1wXNZKR~NxP&&(kbbZbW0YNE9R%EujbWd4G`RQKYJY7 z{GSS3(^t&h<@=)C<^NRRF8`;3=qgvt-I-R*-I-R*-I-R*-I-R*-I-R*-I-R*-I-R* z-I-R*qjRTmMQeg8LEC4suZk%jWwa)ksC^<;jyYNrOw`_yDo3DDP)jYsy&_ePM_Ln1 z)Si(lM<=ZbCTfpJm1C9G1QWG1Qsu~{HNiwJfvUzaEeR%O_sFDCO)G+l*)1|@jMIW( zVirdxjd)rQOw6Llr14M7fr(idnKT+|H83&5kx65t76TJA6q&S>No#?L8H`LCH?DD^d9!Y9gw=XrBF(;CM`q)#mIM=N7SY6R7)U?R=N zT}NhPvG#2z(yXjK)Okp{8B37ax$DSmoDK#3kCA3+?V-*?(lHrnw$8_JZQD+qSvw!m zwQDq zI#A=x^7V~Nbgagi?duzt=x~iQ>(@6f(GeSG_MbPO2nC(8?LM*^FmHAd3i@lKtq$~f z9q?&EDCo+Kwp!5RwVq!|E=wwlo6HKC*#8;rKP(BpNXq!~7hw%X9+ zwV|XLQH-|wP@lhqg0aR}s}c3tOelVqSgRA=u}=8hBovHK7S?Ko{zD_1359}@%UG)y z-LYPjVHwkmwVI*-(5QuFv@_P~#{5lYjDyBn?U=vGj1kgUs~__>nK5=6Yc*v4CNmpV zpQUcV>PT&q+3yr^;WL~9i2&p=Ac+ArJu^xz#LFxiXl>w<) zAQcd_ddh3UlhmE*uVDPPZD^MGd{Y~vyD{D=R-4)w`;GCAG|j(4!OXxI?|joNEfmZf zjPVXT&E+(?NQ`&#X~q`{<{TEr2Zl5+3_7{X86Qm2>`||BiSYp_%`rp4Y{p`4(2=gid`&)l%B*!uzO zBIJ1;p>h6(*V>cykJanb%^B(DJiF1X`t;L$51^7@f7!V~{hKyjczJoK&wdZ!(IcVR zB$norxn@boKI#6y4PG*~CX%YW>nSBUs?U?$rw@|cr%#aFr;m=@r_YSsrw@zVr%#F8 zr;mr+r_Y7lrw@cALfh_Aov>|pmE-?y@WLv`lotB$+u&tZNe$GjQaNOm%ArjSl|$PY zDu*^MR1R%bs2tjyP&u?6p>k*gLgmnwgUX>z29-nG3Mz*-5>yT?R#gsle3e5TWaUst zS~=9=Rt|N{l|vnP}ytPT8~*8>Hty4DZrrCc-?&d(Te<%acRc4k zWuxp+myJAEgVpmS&zHPF@pL1izSyx-Yj{G;4#_(u?~;^zyO)hz zE$6!>?~z<1xmNOC$@?Vlms}_LfaHUc4@s_<+#va|p9g>l9Ipb8hs^tuK`ErK4{9iWQ<;xlF^5qP7`ErK4d^y8i zzMK(V<#L8Q({hG8({hG8({hG8({hG8({hG8({hG8({hG8({hG8(*{6yrVW7ZOd9~* znKl5rGi?BLXW9Vh&a?s0ooNH0JJSY0ccu-1?o1m1-I+E3x-)G6bZ6QC7@bX<(`2&= z{qu}0T%4}Uzhi3aFV|yp`O+|r`gNqT4Pvxcqka{sY=aoh*r;DdD%&7N>o)2ak;*oR z(ddo(d8D!pV)O+@{VY=11~Iw_qwa}Rwn2=Z!>FG|D%&7Nhho%EB9(0rqn|PA$C1i5 zh|&ER^`l5-8^q|9jQU}uvJGN%R!03GQrQME`Y@xuA1U?u>#gARk2ZjR%RMBQ)x}k<#dpDEddEz7r{p6^WwTH0s-t(#Vl0dQ+pm6{&L06$(08qrM4M z&A>uI*K5o-B9rE0p`b%H=IfD3vooEcm6)$ZCe77!hE`&}8ksb6(-~Tc`ATHcJWgk5 zCFaYKNwYegp_P~~MJCPh)cGdnuE?YrpU%)q%oih*=6|8E0feS=CrmaQ3+s#PV5Va=$jRV9ki&Zw$Y zC5l1NsH#;Zim}nCs#PV5A=9X;RV9j%)TpXeC5i#osH#;ZigDMds#PV5;n=9ERV9j1 z+Ni2kC5pk@sH#;ZiZR`&s#PUwI#E@tO4LlEs#cY#Es3gHRid^gs%%xqsAlP}m`zOC zsuJ_I#FVWnF>{G2TUBDVC8lguiP@f*vQ;H!M`FrWm6*3DrfgM-c}HT(R+X4{CZ=pv ziFsFItW}jS!TJYKh|_d2f)}xMRyJDKhIwXUvVCLEaz8@(gVI0bX`MWUGA29rl2G^& z$`hU@xLS8lp^V86y(AQVgz|z&Q)I2-+S}{D1|esk!=qn z9G-;p7FoVL>6=mtXHx`{oHz3F4NRY@QaGdXV=M@VC*i!`C|~3B|qZ-+!Fv8(UIPWmaw^IEZKniD+ z{*$EKAVWAj3Fm!q`D&~GEJ)#uYGfP22!|)(yjw5dkM++ADV$M_Y&#g?@Fbj%6y-~H zD6UW8jA~??!3c*Z;e7BZ-_&&wSPExUBijl_I6MjWV+jL)dc)TtWAd@C$f!oP5sYwn z63&Ona?L;om!)tLYGj+g2!|)(d{R`d=!D{$6watdw)Kl}coNR%S>>`&DBhF88P&)(ei05&!uixq z|4ftmmv^UdMm4f+UxdSxa6TKZTMlaT!CXs({-w)NFa{Ex8#HTtLfv@TH{u3oggxLwOLl5+W^XnU!gFOyXNhaUe0 zEp3Hxm#-c8!7sjc5dV9rJ4;$9c!d35#;bKBrkZx!gZaOVS4AczTL&c&rYWWj6EmSh z5)xx!=IuJikBxw@A--((aJ0w7hP}vDyUy84iXZ#+)?2u=>%4fmoVH!h{1s#MIihQ9 zwH@L7fOqS0eDkEeURAr@(q041ly(*2tB=5%Ihl0X33OfS~4TqBH1dLmAp+-Z1ytg>D?yhcF7LO+a>Ri zyi@Wn$<>l~OWq@?j{c5Yj8b>vuK(_xRe4*~5`+?Hdyzh(8yO|FOf5kq=ElgRcB&?8w6zaQUs7%ILIn;N@P|1w5lBn;Fq4F7LWl`T9 zL!~s%N~69zhRSN3l}CMd43*e8E0Ox{7%I1MRwnh`F;sfvtW@f|V`vP?JC z%f1LJnR<_<6HXFqWix-5$mYaa>CE3HLMyYFt$gP15~2MWYb7*)mk2G>SSzFXyF_TS z##$-O-z7q8HrC2%{w@*Pxv^GK^LL3@4?t;HS=Dxl%qd_myEC9bA^>>|NMb;e43aUB z?1K~%NKt~`Agf&-^d+`Oz#d-ppP`_qF~$;I^|GO$A2P-=TlK}EpqDbn3aRR`LqQ*A zj1_LxuZMyj&KNUF)%%Bn{?8aQW;I5Hg5J>>s|3|Z5(@fGW32L2<4q{&S&gwWP`Osq zkAcCFd^f}cJy)qsqgWTOIr)J}UFN z+V7E6XCM`AKa>0Cdd2Bl0!kv!)oS^^cI6b{*@=JM`jT0qP|2}_iR~=o(Os_hujJZ{Q#2D`UP%#<2egfTp zFc&G>?o*!h=8?}einc-?ZIaqtLOY)1)h;PETY=~oy4pJB?2=UGZZ#Lkv#PyL6yxdY z2?i8Ug-{J;B*d70n0i-FnyfpwP(-zxaNc!MFveJzT3zX%shyzq0ILj#kdCUf(sYF?lO`zKrzr{d zX%fPHns#uXCK}xDs_IpnWT|@9wpd)%Mp#_c)>mAA+dsk7hgPa{zU314f8#%wRNXt% z`2WIJfUfSPsvdht_LS@;*;}%YWM9cLNjCNG>K>8DsANnsE?F+wPqM${L6TZC?dqP8 z*Q8{HWToW6l7~nRkUUgUHs7x9gXCN#Iau;A$sv-5OCBLPR8k!(+p1Z&{TEE}M^*Bv ziid(?vJT-`-Iz_{yS9ENF)_zPCRKm^v|?f&6`9l+LP0@WY)3~XHH}bE{KhmRlNw4W zFp4opMJ6?yP+%%!9vPXc8^eIctcI!2tg)qaW0s@5frSDa8)tdSn_DQby>XVSyzzyC zI$@mUD{qRSpspBaIjhgCsYAwD-s;^gb;~%*UA?=d&KYOvk z{`^|qh^e-uP_D+Tk4&{Ch0-?WHIb>dq+o-%njM*HOA3}T=2elYwxnP$V_q4Vv}~_V zBr&guOtm&{wqF z>SIiqpA?2lWlWi$#8B0YDf5#UDxfizpLy%{__1z(hH(D6Ju$IvAGvDX{%HB}e8Qb+ z;nKQ&XoDlwgo|ALv>5VAxYt>@`e`wg5aA+MKP`rGCEV*RT>Z2dtU^%XqU8fV;hE1N%k=KPJYR`enL8$P$BNuBkvqn7$KP^Z z^zv$vqeDN)=8FQXg-qs-6}e*~cZ}zb@FIs1-0zdTUviz~1CkF)J|wwba)aca#(sm7(-b;oSB$Rxs}JLCak`YTTBZYqsXvn!Cy!%rTl;oz=2lhcd;_ znB1is*|n^}S_@3n7b8{HXDuKm>dr`&wOgx>iTXmM%DS$l%0zuWQf1B8`evd&7pbxx zXi+p#pN&*@2sqZX#NQFAa#c_t-4pehNM%cG*xo|j9;veZ$QOzwwz4$JB{ph@QDteA z6qUxPvNTGHx@1&Y8YM*)Gpa0&lA`7rRhC9cQ6Y^gOQWQyr$&{fQBqW2qsr1KDXZ0F z*-9f{I=oSVdRa1r0SOEeKS&ZlQVEg~ki3Ev2S|y5R;X30)iy5?w!j#lUAFB|(0Gio z1Xn$P{t-SgmdmQ2(0;7MSkY9yiFRBi#>%tmbF`-_F=hm&R_l~d{S`E6OMzLg>c6xv zDlum6s+S7|-GPO%I>Ji$I*Uwun-XJXP+JM_oSxFJ^PE(4J%G0aVrzu9X{HByl)A8V zS9VOsgzSI+`WEdwlzwESC?4QL?)NubR~@#jjIixLBf>@d?vWx4g)JC2IkGe~U)#pj zW?=0zm%RBsf4%R%`?~wPyBo?ozrJ?FPzoOHMM@IzIoh$0jiMn&V z?i{T<$Lh|Jx^uAZ9698$eO3*}q>YWmqz#&1e@q&yB&V#|WE#VJ?uiDABk~$d3fpR~ z?KRh2%{5bVP1Rh}nTzt6nLBS0PaRye*IuehD#jJ&x0J`?oTxj;>(0@-bFA*vDF%wZ zjA}4IkWbRmzJ0^0+cs;BzFwvj>$t7ts)BxhK@Y4p<>sGSMoWG1mc*;9pkxUG z(ShLu4xlvJ-+JYxRy^JPlamy2`(>6Nr! zd-XM!ddn=@`s6bp(T49u-Wt2Q7sz8sGAvmrStMC3*-f&$g#B`xQqAvPBA-1ZdrJ0_ z>@C?xvae*Bq%pK`mDkv!Z9*O^ByD9S?dxAD_d_HHNFFLVP;!uDmE>T_!z71D9xi!= zq;1nRUVpl}50(3H$q|wxC95TmlpG~#B8%x<)A!0hYiN>dW(^SjXs({Y)r(h`t#f$E zz(6BB!uSE0<*WCt*r74l-&wdWSZ}g}<{v{Xm~LLuJo)(RPF{EEQ`g1HwP@c*JR(Ip zI5;@InxKj~b?J*94!_-^9UL3oiQoT#!wyT~ExBcN8#X4+cKhbVI()$4hd2BOmOYc+ zDJ|ZUwiuJ!O>$FLw9i;Y#ONkhr%rUyI9$y8;OK-Wa+ zt|VV^sXguRJSJC}-Zs~qc}kiY^mH`;nFReWOHllR(>o=VIa4`LRo{=n>YkDBQ?9%4 zn#`|fbYgV%*tBYW?l~^c(RrTT+hXWTbHx=NdN}u-^w7Cy_pCxsO~o-vNq#a(ep;4f zE5m)4?y+?5mDcHwOf;W@4wqCzdogNrN7JVeyHDmSZqU&Q)uNG+AscFTKRDNjOPyBD zQ%bsbYd)2ya7its{lrs_e$$&Nwk1||>9w$hQhge_FE!o2>if3N_O+HavO3Ls`a7G? zLgz)M^Apn<9I3bC8KRC&y!}FT3{$wzHr4K#9kP(xp!r2xc8#tWUA=1IaOTy}u<0p! z1y6gGJuRlTILMjxFdK}CY3<%N+q9!9QRrXPJOc$TjqoCSdgqSW=GwJKLk|o!&xGdE z2+wW^Lg$uQrtHw&!#N$Z*}VnhOf|1_1&cn0j|y$qT@IyUd_7U0V^QDOM$`-Drelk3 zZczkLZJ@akH7+Ry3%2c~*0o3TY}B}<<~Tg=9qX1e*Kb@kv*G;3Jh$6TCqckm2j^0Fq z_tB8pNLjY--)BV&x|>&{aGm8Srlv<_rSZ|HK+>42G`u*;(Ztw@21-@f&rqC^h@IcI zDdmJTW=!7-ifP}a6jbKjKf86#y6{w?hIeazkjHUpgby*4hyE=)E8UC|m!xFPohD^< zZ$a~8C~!%gijD0fqe}Di(!=&Nt=)31SjIz?&XyT+aMfk0oK*&&BD&9K(e+JK86vX5 zJ)3u;!zB%x{X2F}_!m*)k`itMHJz{xO~Xr?ccH;0rQ9^AK&H`C(}=0InTbq6LiXIZ zMGWexE0gxrG`y0I*EIIa<8Y19Tth|j4bpjcmd*t`RCe`w&~9VR@1w>gwU`Clc2ZkB z*+OmmPHKy4YO%Hs501r&NzJsw`!;{TbGfAF_KnvqHnd+0ozc3^U`;2RKcyBn&}GJK z@}t^mVDYJ!-YWi3HH|bMt9e?b<%J|uG{0$$pqbyotzMC;(#=>KE@N%Ye0%gyE79he zXYcHsN>$xi?UB9PDeLPl)XP+++cU-xyY#SHihGvhIjC_r(_BELEtA$aR+VQKphw24 z>Z8ignsZ);y<5tJc6X_4WB<}SS0*&`?HNf4%{^D9gl3)#W@-t|n7)xJp_zBj;Jk!d z=aeUOVBG7Uy+#gYX;o+Kg_`;H45qZ^o|7r9ndgG7Q?a*?md%-|S}roi>Q3rPyZf8` zWV>BbXS2w9ZaTrUHXd}=dBfYzi!Zv}i*w!B;0Go=XRAk7A7n#o&w}Pph>=T*abR-3 z*1{#tU!ldN)LNOQ^eHZB-HR5Nq}8*0+-n>inYx(!(CD1@iBo1+PsQ#Xg?s2B<8YbWnK=NHZLL} zds{-h9MrXWIUJFdgX&A{d~(n6R1TSE*Yf374(1ihVPMj0n(5_$=DBey)6pEEIvi`&A)9cgciz4w zQ30(q8;UQ7Thhznf_<7}#K9%K7+5!W&9zhZYTZ>+@UD$|TT4oNS96?b_OocbE7`7b z5$iR}r!CpPIe{9Nc~6Ur4?{JD__X1b<8g{I|A~`CwK98R|C~QDC4OkH<^d>iN%NTD z$yU+zPc~Pf$t6uh7EI47^~axl%+aS@w)Uh;|E;RYgA~A-8)s%VoO{OHK_kPvq!tZj z)Pk+N`<3z0=Ji-hVE)*lS=81ZfBNZbPCDka>o#3@diCI;Ej4cH(u^Bkv5SmZk>^iX zG~$|B7M@)_WYNTYdeKluTi2&0s=cieb}ellLcwuKo#TRS-fk<)ckkYOIBHxPff}pV z*_oXx$ndh}p{Q|5YO%&nry9Fx&*tH%a7mSV!H%6CsF;pKjZ2Ejx~8ixyZEvzW>1kp zF@S~^Q+t%D#fm$$#bdK2X(_(4DjVy1c3U#M_nwEU70xFXFFI%^!d{6=Yt~~M9A`1l zmvidz4Ua*U2C9<-D^`d+Xy;Tc(AvZ$&A4t}f7F6mn7C|SXKZnX1*KK{9N|S`Hpq)) zaI~J#v#Jsq9+{uej2xKA6PghVw91ktG-tGak|i`_1}8^iN0249(HX1XF`4}qPW!@U zW$N>*^e*1&wJx_w#t&_uXZ?&D9`TW}tN}SW=44q5GIDUadhgPjBg213f?}6FIJh#S z77b-o--syBZRS0&A|Ie>O1_%1U`{V6Rj#ujL)*pVInKELZQ@d0&-@2g=;>unb7E3N znahmmolP;>ha9b+Jcc%St{ErhcEOy_%d0i4-S%r9hZ>hOD(pVvYU+cpinFNG*PL<* z^|Py{9><6U(>ukw?*YvdiIqzk>sDVG+d0;un!3k2yVF9NVtp*Jaw%ioa(}UA>M_AAgAyvba3*`~{`q+FDPOeH>RV9>jA zeLRWJQ%C%ABI42rXW8>&ipE`I&xxHi`losI^P0uOx@$`DVb)PsU*8TnGLI*|fDA78 zDOk3I-M%{4i(T-*3UBIpx?e%MxukUWYIj6d07_G)k`?y8OZ~G``w_WDnx?d>Ijki8?xBaaP@+Uqw?T>)ENO1!aa_{l z1}8l2nZfneV99!e=|wA8%Ht>CJFC9heB)>~-#3nAnQz~CIn?!5x< z*oS4_{X4RZXa0SoRpewot+v!PGb81go zj!w+ece5vrS5L~k`?qIL%KQh$eEr{=M@ICG?34uSSZYaFSta2|SrSI8BxK(GdL1k? zoB8+fSWv|z21asYWJK>)4XN=NSMrQFiuO+P+HV^j zGT*+D??#LiuWqvW%>xWRd}i6TO8l)*e<=z;v0@_j)f!tUp+1U9!GYvZjt*Pj_-85+L0}$ z@a!iA**pqf>v)Mjm*XW!*MsCgC*0q0KgZ>c&hhn*XE|v*2y8yzon zyvXrQju$&#;`nCAw>Vzvc$woS$IBhx>Uf3Ym5x_AZg!kH|o90I3fk^#Q~lAoc*U2Z%jD>;YmA5PN{w1H>L6_5iU5h&@2;0b&mj zdw|#j#2z5_0I>&%JwWUMVh<2|fY<}X9w7Dru?L7fKjwd^wbsi zj{HJAdOvl%$MI*5KX>FO+|m1`BR|fL``3>A@H+0_IR4i0caHpEI{dwke{lSxBX+)1 zJaz`LGl-o*>F6#Lggg2C*}Uok8pjVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IB!9O`-=Woi5ok8pjVrLLL zgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^Gl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^ zGl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w&LDOMu``IBLF^1-XAnDs*crsmAa(|^ zGl-o*>F6#Lggg2C*}Uok8pjVrLLLgV-6w z&LDOMu``IBLF^1-XAnDs*crsmApJH-y9TlMUP9~*Vs8+8gV-Cy-XQh{u{VglLF^4; zZxDNf*c-&&Aod2aH;BDK>5D~Me|>=u`7sOLF@`*R}i~`*cHUCAa(_@D~Me|>#OLE0@yy9H^tAng{U-Ga1Rkai2wZb8~D zNV^4Tw;=5nq}_tFTab1O(r!W8El9fsX}2Kl7Np&Rv|EsN3({^u+AT=C1!=b+?G~in zg0x$Zb_>#OLE0@yy9H^tAng{U-Ga1Rkai2wZb8~DNV^4Tw;=5nq}_tFTab1O(r!W8 zEl9fsSx-Jr_)NLiI*U~bh5zDuApRiz$x|Jl=J<5SQyrh__*~)fO3w>~YaLH;Jkb&UMb3lp zApS3Ne~|o=Kah1Q@Xd~wI$q|u$?w>n-Se2(&Qm5}^_lm|$8fRqPFd4S{_97s5ja3IeEKdkcJA-vJ?BaSyYe$?@1$B#MQ z;`njLTOB{)c$*{t0zv$rcD&v3GmdvSe%A4Gj{MgI;lAK_rz8Iaf&Z5szvB2+$FDhl z-I4z{K%eq?hmi6CDIbvX0VyAl@&V6NxOWTr;S}%!NB+$R_l1t=eaQ7d^g#5$#|du| zQm?<__*KWRJATXYJC1id{?PGfj=ymHrQ>fMe9ev*TZdwBLk$r^3y5 zDv<9~Am6D#zEgo0Ir5zf_r;EUr{X&f->3Ml1M-~*INzT*1{~zbZ}3XTs~k5wax^zQhj4>4j&>Nn+#I2eKZj+5 zZ*wHQe1{^vAn653FGzYp(hHJako1CI_U{f~ar~;|*Brm@_zlNzI)2OX+m7FH{I28o z9Pf7gzT*!Zf9Uul#~(ZX#PO$&_c;E{@#l{G;Qh5qKR;~`@+0;jKUWX(!}K6OK@aj{ z^C0zv{u8AC1nECP`cLq3NBU3P^q(O07^EJ9)MJo(92(QxXk+}@zwG@<1^gsEkOA)5 zj?Wd+;9n}F!Gkn-kOmLZ;6WNZNJk3NF@l7DwUF>2;X%TKga-)^5*{Sn1|i`l~lq_#EMhN>7>yz0BPp`s*Ff5E4(CU@%emq<99>yBxpdNPS?yL_ZCj z*T~Jl3DQ_W21Jm7Ga&=yTDch@PjKXLP~0aup6qyv<6|8OcZ-Ju2?r7mBpgUMkZ>Ra zGDv>E?D+4(V5jNar(9^$z+VOJ&rnG*#2qLHL)M{Uo*>QB<veSl`dAkQ99rcggosY$6q_%D}1)%`m-=_s>_2EP!Hcd8jgZWi`zb@nY;HY7qCQJY6WE%=931 z#sdS0aksrZMlyT5E1Ob#`bXr>-o=Y~XoHgsZ&Y|5&h&!#-bj2M<^MMK4@cb|&Mrik zG~6tFzmQL|AUz96&jQl3@c2Fa@x)2oOj$yQolZbf7cGr(x1oEBIMQJ{B-OUUclPeK zTUkELbclwTPE4Zh_U|8#I_&@Fjl1B_AvK&7}IS} z|9ITlyZi1Wp`D!HB^6Tpb;lohkXd19_`mCR_AFUahPYb{3HrI?Z#)FsXLLG{9jY|Q zeJB0x zkT@Uhae~AN5-0i|XLY7iD25e9*Pb5NX&x6yT#xa%K;i<43w@8PIuR-q3yEtlkLz@g z3nZ@NJT8#9K;lB*-Brg0tOm*s1C>ASB$37nBnH~lt4E@2Y zgzz4=I-@ESyAgI@5Bn?+3#PEIR#IJDhO z(<^K!RE5Y+aSO!&B$G@hgIN%vev?4R!IELfXP}h3}RB7NKIyj;!MsMpUwI z_dxHfl(K{DLeY>?$2(jJJH1pM;Hw>(E5E-AoE>|o9{?fnJ3TNqBrr%|kigdoJ$cz7 zdD>t|U}oRs3HuTlBrr%|PPX*G*^zpo=oHs+wMYVEdjf+51_{jBmmWAffKMlTiR*Z` zNCHzY2n-S!BrvCAdf@E1zEE_F>v)ey0#j!Q3=$Y5Fz07_;Oua}P;f|C$2B4eOno9S zNMMk_oUrMEv!eh*(JQXwT9E{%t`Qg{Fi2p|;IzPY{@s4^QMFnwu<6eWad%~#>pKVb z+m8uYFO}*oVyRTGP=Cb)>;sNp5aRze$8S6S)bUr2zZWu5;Jq_+W&d{7Ik5l!Jf*!U z;&MHO*y%pO^E}j>31vsh9ZM=hag1OuCX-C4K;}vy6C#kw3&_L-WEKK4vjCYbbf`SG z3xDVD*Z8xK4P+l1$UZiZ^v{3 z#nEUG$GxsW2AL4+UzXQKmE)5NuaG>3yrZIjU_LRboRC!hHMkA-h&QO(1L^S?3WdI8wu_F)3wFiyJ{8mL*#44^cUR_D|S{V zszV)hQUjX(LkAyB8rtY$X?6Sx*;XQ>t3~S{eE_3-MS&kMa|N9MnJLhPqQGyTxq?oG z%oOM*QFwc$ppzmq1-e2M-cc#&^vFzs?hb`_Rth>%GE<;SLxJCU^EBv`$xMN63k7~6 z&J}d>WTrsZgaW@V=L$NFGE<=YL4hBpGllGo%upO4#?G%rC2&HtAD(DQU&iH>YPmDT$pLD+DI`rA0GyYrRKcW+ge-PN{`F^;*?B8;& zHyLiOk+)qK;~MlwEFjTeJ>6ryxE?Z|*VsASd^91slp$HFA|&7J$k!!=?5Uk)&^g$A z4B@yCt^@rPE{3R=Jc5We3W?|fcQcX^9k~>3(p!P?kl9j zFHTMiIX<3AEpZ*{aS}IrM>`(lc&y_Z$45Jo2K0{;lE&kOq~&o!iu^4?`%MTtYO#Ny z`S)_$g(P*oSV?)il5oCy-**bXs-T~DywmZUj!P63Q=aW|+fPee_hx!!#qtu5xqQQ3v zAEkh-6oahj{@$m?tW)uj%VJ`C*cwELuYbe~5-&)+An}64yT;=MiT96HymNWH zY=t6T-VBKsBwmnsLE;68_t73NNW6cl;+@IkWos4j^3q7WAn}643lc9#ypQpCLE`;$ z6|W8|uk6NFE#l>Ul6XPl1&J3VUXXa{{HYHh@&2WXcRG)ktzX2;t0wV+#0wHHNW38N z9`Esj#QWD2FLT0!_VjAj!A>%w{eaYVNNuC=-Hsm;>K9+_%c#v4t6*$ABN%7I5$tRa z1`huiT34kun5ogFp+?qF>#o$4HapR-e?jvf#M;Grt}m;b+e^COK90+T zWPopno8*6x`|~Xj_ff(th4kOA6!H?Ts-cW6etWlW)ggXG-b4!$El9Lvm}oDTn`rST zTHHi?m5^v(CG==j5M{J<`9up6El9K!5YfI>ZlcAXXmJzmW+BnOTIkWL;L2#}>xmX5 zT99ZdP@=s;ZlcAXXmJzml#pm&BeZC{r?=|x6CLjnzlVi3hW4k*Bn-Vz6NW*ZyOL8})>z>)g zi-mmPxyX_4Dtr@US|7T%*_nZtpW-1q3Hd@X%rsNTS$8u(!JoMeXw#xTOJO`f-6OFa z6L_H_`-{pZ^v>)^re?NDZ4q6l8<5xra(dZ%~Nq2%DmhCQrzyX#C{dVUrUD{mxd zy~Q)PlMeB~5syvGdaJ|AJw5HDXM^i(bWC9^eSIr*oUac5RN z%-%xp)-Chn;dK{|dSRhzNcGB>|GJ}sJ`&PH8ogDuqf>2lP9#~KDTNa=^=tr6ra93PPa zbJ24=c~aC5UA0hTF$2XnREql9s}_nMb;WZlMg0g?3q=+>i1EBiQ9p^*LXl+;6wj{| z^#fTg6j=m8@q$WGKbO@)ktGon-&iT?$Fo`}-s*}MR*L#5trm)(aK(!%Mg6c=3&q=9 z@l8pweP;Um-DJ{wJ@}A+fuQgQ6I`|ol_hlyCY-oaF(+jjP2Lb-;=nER15MH)PEMN7pSUGb($aiXQ-M_lovmEw3y#hYC5 z=1Ot2rQ%0j@ne>& zF@D_jK2hn-w$i)R^=_;5wzSgwgzJ5>(%aEW?>5)_RHe7AmEI>^@6(mu_EvhIa=qIt zy}4F;pLV^^RC+V5^lo>(J1V`YR(iBDs^MoVz3EnZv^VrVSL)5wPRP0_8{Dpw9x zIfMmME%g{o;28mINuhUo&WSVpc_GF-yVJ8t9~^nOK^O*gdVD@ddweXE zo0B>@U$&~eytq2nUQ#g4lFmMig{5=G>sa2}G6eYI#vXBb+YsY(p`Z0!`i=sibLi$}3BGxO)wL!0Wu5z!CO>6u8sk>Pd5UMcSO zXiyc>)ADbm_e;L(^Q=%DVMYXTips*Ardt*KpT z!Sc}Gnbvd*EuIL=6EVKt9_AO2brlp0eGm0i{mDe^PtsRw?<=TfDAD$K?P=NRP^2hX zP4C^(veTjX(V@}GtXnAqz7an$>vE{N=+L0UpuJ*MXZd?Gwxm;#DAMAC&T8DbK(7-) z=FzgI)w|V3jI49Dn8-XjbY99|>p~#&DABV8on_dv5Xd}AbbFy!GhbzJe$Pwh(VK#U?k~vJl8TI*c&NU+Y33^C-pPXS8)8 zka?8i@S~T57KK3O(Xru2hvl`Z31l7}##RkJyDkJWk0OJvI`CZ-0+~n4#xni>29KOy z6VmW{G%@v!wk`xRPrEwCl*U>Y0+~mNk0a_#TNVPDM`w-cY_-?>`OSgMqw{EVbowQ! zt`8to1M-B{W6&BL-E~n>L@4r2Miq2^A#^etF=t^gd9dRFjt_M_P{{Y3gM^`X+x$2> zm=CbLMjZP)PB=~qc|LImontw_6}1zXH8}#0JMJgMazqw-=XNSf!mxfvmpE!VGxK$9 z!Uab>DL&#?3!JZOeF7Z1BwTZ-mbOXpkSYCy+D@6Vh)6U!rWDl+w|p26L5C6!y<1v7 zER`IkAKLXZbma>!&HYh{e$fh{#T5?5wjCgt2`iHq>w71MbB>deL^6U@>ud zIFIie+gXXa%9=7Wqo_2vvl1~u(g^tasxq~Ku=Y6GJtSq(M5#CXQ4fuJ`fH$rQK-rE zX<+QOR<<@0#z84|TeB^VgmKV`-PX32M#4DgShqEBz178Ey1YlWe2vURaj+$f!ZQty_Q#ZGafbE4^NZ&~aVH##SwqZ5o`h+?5t|ZL51X;=J*W9XA)FD z08AZfnVfY}AUOmK!z*|742<<;kMn7cPj@_(po7b;v|2vnsZyY`Az;vtHS97mD4-Y< zGr5bz*pSAw} zs8U1p!nEth^H>@~tf&+B7bkI{N}CA7qxY9mQiv+eCJc;?Fr)L0=-$e@sL#(6O$9p7 z@dC#;I$r2_k>i_$VPK?HbSLR)FL1TjJ8pD5+wmO7H#nXv3=6hS^Jy||FFPmNu#@;+ zXL}Ho(_sm6;@%5a^6s=Yb47RAG_FyU})qy%6hPK>Kw#hD(+hiAAhT*Va`!2IhcF|*)*5qc_*(SRvGLEa?+;z6eE{Y8FdU4^y zi2bHZ!)rJr`&Hr?N~cUmKjl={vG-~?y1;*T{H^2f9Dnb4uaLLEd2!e_iWzY{XRGP# zn6G2cf#W$pbNq$lFCBm7_-mow-{;3+&v~0Tp0mw#w$InG=fLrtA3FZn@h6Tyb-YIy z`nS(eQM0Y!dIk8Vh#g|7RD{wi%={-=YThA^)V#}dX1B~M8A}ZusrioM_Z;tb{J!H4 zgkfN%RbKzMtYh7f7m0bdXkOsni<*`(=RK-{&Nbpl4m#is=nhP_ijtuZHB$Bg)cW)r zAp5S~$9{**E0C>Cyk8{6fD(8k$^$D}#Yw-P)W{VJjq{S(MlwGnj;vVndbjPQL)zd- zn?0^~W+xq<1xJ!%$&I&4(&I(nBsEIoW})`Iv{K`V(4^{CQ=4d|Mr^luY*B5jg<1!( zL6iQkJATvgTaMor27V_n`;96ucANa)=6YXt{EFjO9ls_dwJFuqGThXCkhTU|yYHWx z=7np<4l78Nih04OTeaQvdaFv?x6mCwXS}4J>R^*z&?+C{oZ4_fqG%HuB5MS9S(9zee{-#T5S2QB(& zmHjT#MS9Sp2UpqeB3+~hE$gZKx9=idqz5f}e->A*erbzj8ZEIkJqbE-WZ1Hcw3BAE z7{_GAU8J2fqxB2Z+G<+$QHCdyX0#XsRSvsIJ84FX5t6mqR%s{A=-B9~TGP7RNi$lE z^=d!6NIPjp>$j#gyNk4wX0(_ms2p~YcG8R%vj~<~Tcw>eqhm7+)vVTOC(X=vt{|rV z>0P9qG^6zg)7r9&w3BAE{%BfLyGT1}M(a;#_0G0TJ84Ge&%y^a&flOqcG%b?G`nm(C+~=~%5x`y+K}9HmQJQ$fxIj=+ga9x^(@sE}j3POUM7zrTt%ZY5Xr; z+Sch(JV}?(cHuP-CPjUr_sMeiJVlr8r|Q!6Z@P3oO_z?R>(YLzE{)T4X**q);u*T= zB%oD?*?&K(Y@RKTuIK8~`8-`Zp07*$3v_9`P?xqB=~BE{m(aH9n!}% zfqsk8zDI00EWA|i;Z3>>U9QXjuIfB;8U~^;?AVjyRNw-U5JCt<*-)0DY$*rfI_zkP zW0S-J?m(}i_g>Gz_r^{ki%)*~|C!9_*&EOEOl}cmmq2D2q*p*{6(ozmE`fvt;$;wX zLDU0k4OkUmRzai&3=VVFFQF}Vco2DAyVV1Vl~&WtGLStdhH4 zv9#M5mEv`D5HKu7p+*qo4f9M|pG#|-L)v&%OYNc_0Ry}c?NV#KQeo1nU5R!rnyPrs ze@(SiK{pO@1Mznd`vB2TpuT|h4a^@9 z`2}oi+l1IA#WtmFzSPD4vrUU_Mr^ZUn-iOIOSNEdtcj^wwc1QT#Sa6?lV1;Lq}+0g Y>ApMK=ueREyyqN7wQzbkFClKg>q1qyPW_ literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb b/CPLD/LCMXO/LCMXO640C/impl1/.vdbs/RAM2GS_tech.vdb new file mode 100644 index 0000000000000000000000000000000000000000..96000204fc2ab7ef63f20a9438e4b8a7012e3b45 GIT binary patch literal 67067 zcmdU&2b^6;b^q@x+soV4N|r3QEwa7E21~YaUHb_mP_ZRCBqYJu*w`{PG}B#VTLw!; z*apc}TePfJ6k{2XO-l%!6nX-ocS1<`Cv*t@|GsBt-ktmQ?enTY!hSyQ&Yg44oS8Z2 z%$YND=iWW;xyKZmlVoS2zw&oqc}$`BZ{0^cE0;U$nAYO?7hm{n;7PeV*^@NxyLIBO zZF`Gv-oE3(BzMjgH{bi7ySCrG#X)ykX6Nm9-?x3Ihn#o$n>Vhyb?0RpH>|nf^3@mK zzVk8qU3T%B%O2SI*6m5xc>H(s%vkY^?$W^UQD+_5y|}|&dlnzl-Ld@e{v*3qbX+ri z#KfkMcDTH|jSwzIp8Qj^nz|?Y-gVn>KYEaQVTN8&|H~IX?cF-H*Fq z=gP-iwC|DG4qSZS+xk903 z^Nm+c-?;Uv8y;%9amx*x#y8KjuDM|4hVuILYgS+5Yg@2(&4yL$)~qeBS%3N33oj^d zxa6{2Z<0$)Cj}|$z`4XK&gIJ2h*{^lb?eT1Ymbc$_ z$8CFeyf4=<&pPr+Zj-NKZpLkA9=&k1d*RZBE0&y@Bv|@GjY(_LkmR~T*#+UIJKXey zn@8DAK50}ZR@r^4gG^*{)0y0i%QZU6-I?5;IM*t!8;mN+btug>>9QC=ej>m-fw$>m zt;|mccqzcGt@)V%r&mUA`pNJ1@Fd^vc~Hk;`X%{28614TEi&9>1_v*>43C?t;95Kk zoa4DGg98T6`cx?OZq>d1atJy{VMFAK8&_>u)r@y|?4~_qu~}3ZB(`(~95VhX=k*Fa zmlRfp&FBquukS{j!8dy zYmUhwE7uZ@$rXa+PSE9SQ0bV&GV+Yc)AS=fL%$>)o2QUFNte_0124}bNEoATEK>H7 z`azRK<8--)4J&o^X2&UYF;36YFUe=eDfKYk94{Z()C94^Jzqak^LMH0*MLVo7kK>Rm8PoCttE$wz+)m$&@Wa$ZW`Y3Sg&8~rtz4R zqrAhV>IVl5vvF-s)eleF3C}0$m*j)`ohi~rOwrnsy6Gg3Edr!MD_H0aK0L`&BS4JG zYAo~zWNw{cW>to$XH{7P@>ZppU}7FtRaC?Fb0U{xtT~Z;>+n|PbKa_44tq3Zt7p%m zdr0hZ{UYnQ2sl=8slh9g^~J!Ue~!U}BgheJ=dc0?&ra6xpv-0Z#a4h)pr@R}rB(nA z7&zCqUOocs-S5rC(9W#P~c^(va-8A8(jLC4TtUQAytWai^fzbHA9*B0T2k zNI@?Fj)JZG8v!3Ufxm#U0$0Sun5Tu@o=4FZ|y4PICD87T+R&+Ohk zFVP<|SC*Y1htzzDbWT^co$ev}yNqsP1BpO8xSXKNJ!I1f?sni!y4-E>Ao|=LP&Iov zlaMQy6+d+#{+;^8F0Dmb_>Ji2$_4Q!`4c@1)$G(S$%he>yHhz+jlt`xCZh$fn#sxW zb@Nb7ljiyT92MBMi!A&&@XN)lYCNvya?!c8ypLVH5`FCAa&6K0nL!XJ>)rZA<7ZER z-*52B_<5VM1(bNNexhhp~X7ey;?SFV6@yi-5Ycj<>B99>qq&|m=YtX3{CjKZ}q zRc^ar@T44VNR{j23t*IU?GNtIxk}oA!5A)%TCf;^Ag#hQYRSDrFbhl!QO{(u*5OTN ztu{#JVKRp>Fv*&X&t*&|4op5w_fXWw^@|MQ?|`G|Pa3>p2pGI+&!z8c`) zG0fj7LqtzT?B%)sB&k8-Y_)Of%F1Lw+BjOXhD*%V;#dd8!uHj;iA z&uiDNorg;DNpXLtfV|xE+*1I{kPT`&t^ao}i2eVOO~w8b;Eb35GI$WX3v!@k3_4sz z^gk8I#*)G7i9;QadY&s2>CSU3X)^2)wQ5T8_w5UJw-ZSi?9Om!MxZV^L}A79!&~w_ zOBOHaI(kVy-sNjLYhm9py**34QueIgvw9v%DQH)AKj&p_RMk|9n^D7-k;}2=8&vdr zI>!9kkkchq(L>~b7X@dYa`>Ps`5NI-Z9z99o-UnVohrdm79R0V0Mi&S$~nFvUd`nN zwpq;J_0*h|r5Etb%)FKZhj1;IbwsV|k-F+oRyfC1&*%W|IkRm)ChzlemW+hfs-LZFOdoxfkSpbQZx5>n0jO{lHPi zaR#p_aUjqS8oZ*!Wr6;9gJ+co59$~)T&g@21U*V{{8HsHw*Uj@%B9K!2MnBRcSU)} z3T(LJUxTVo5j@Xb@Oqahj2qS zJGQeCYIbaU13I7$+0zj?qx~_ui45orWz7Ybb;FE!p5ZHobf&VlgcdymvFe$&~Wv@DChbY49!gu zw3Yg-E%8zZ3k67nt>cBN4O)QIXk4QW+Oq|>8N?9v>^Eyr-pY2*&&vKtOJ{F^b)M?v z=Uh5J5kX*Af2o_uuAZc9>kk_HE`7QT7##e zWds02w607Lt&7)yfphhwBL|TK1LxWnJaO(d0-H(&%I1;rTXh3|#_$z=PXzpw zZm^SS!&me^sjLN1;F0-mr6UzSsU4t~Kto;ega-~76PIgW)()tXHp07l!UIM**Y4B~ znA3pK7ULP&!7jmVPBTP(JBU^8?O^xn)$_4~Ll_2m&DUIm`Ov#&8076|&M@e`2m-Bt zST~VX>{HglpbzN=$^Wh4D^~FUc&y?5x*`1e8^c$u;=zFbfNltJ96q%MMmJ^Q{Xr|6 z^$L{3nj9{*1}p^_JZW2O4TLzrum;zk)EdCi26$RuQfqMT1R$nj1q+pMI`=-o%~T9g z&s4Hj;Z0@Tx;6i&pB(%X-9v%@teeOHJ`> zS0+_7N&yDW)sre3958UMZNdHLJ}w|E8NrLEi?>$o-MQD+>CKvgXgfuN%t!(C`&SeHT2V06Yr&f#FkyF~Yzx ziX87$VT@YnQHtZ6RTwY^kt-Jz=C;FtQO@-vjLh8k1U6+Fyq+?%dJD>&-}uUF%XmLG zjnkccudvL+Tu!fSP;c~?`#D`e)W6kDq@rI0_-_neQPD4fBMJu(s&S7#fq`?pf?9IF zrVL}k;C026kw|buW9#N~5~M*@@28mNcZ?@%^L{+|8EZ(rqR**7^V;h&+iqjiE8TZ5 z0LNyV3|f83wPXtaTZ^cx7qTH=pIi|Jt#gMRX4_FV#(?p2L7Mp&x1Rih33Y`XdaU zRSP^QbClt-YJnbC<@lv)!9@WB=gI}OxXc+!jB9e z*|}W2TX7s6!fsF0O(g8&1N;PoSA;zX9OaA{JS%Kq*tsi{3L85I2F}$Jgq<6PA9#b; z6R7-7Bs(uqJ${{^%1nnFV{K1VHE?BjTP~innhYQZe;edg2JS&sy83NmAFnB%XW%U$B!+Gnb z=P?>ul8*hIHHdI(JtnUgDP?C3pY!WopR0Mw+mEnau0A*0bVRew8iaI$g!fe4L`q+) ztj#Lxb%Rkn!SEI3uM7AM9{)tcSB&6%WzCqLWbmvJz>`t^c*A9l0Dg=_hf9rsQ3z~U zscUO$1Q-_WgXdF>XD|Y1ShNk?lflJn9}3byDs;%o+Q$VX06`kp^ihIC0vr+2np5cO z-Ku;2!&sJUY#JZJ^BFE+kI!dZLKjf`M%_eaaVc=d z+7$*5=HSE(E#ueWDyDEbWtg=LUQZnAc+~S;`E@FkeQcQTy6>)S5ANG>*KH4$cRaXl z$KLI&<5Lq86VrRf_l!kv5;mN5S<%`d!4 zHyFt43?B?3cV)o8+T*V>e8m`Ep{yCuYYd+C3-H8%UTe6lUw|JtS1$Dn7#1*$(v?g7 z0ytp!4A+mWU!d*qyjtljzd*xb>X4CNfGa?TzHv?cLhhA<+t4>eJzLKjj<=>U%|!E< zbN*m^Yu;k~9;?sQ*-HsYkN`niW1>B@ zTLm}wXNYRXth)2F~#es?WVmU{jL8>nkbNhgZ^W{mog?eD#)`bTn~4>p*zJ8Z8e#v9ZX#iS2spNE@Oquew%d0`$GxLdl46t8d}w`84|=>+ z9r8EIKN3Xp$*f2|3Y-w|9}HfxzmEm_PZ>PeU+&`p{%M0}Z4ch?`bWcMZ4W-+{@!q@ z?V%2M5llKCov^RLR< zk*e?LhB5VB!&eODtKflu!{fha_=>@NO<6k{^G%Qcwo)9;_l+)VK=8mwzGb+q0Z|@h zJ6vi&7y__irL-}A_JeUz-$(_Q8W2wcp{JbnIW-{XW$7rM^an6a=Pie%mmsYdX`JKM z-2myLD_L3pI3z&YpQusYJk9oWx3Ge5V zg7g%A*1CKyvzm+F5?!E<+9uoKx{2(fC%~5&ykZXv1AMW;EBapq9344$R`0;TIbNyW z(H}5yuKu9+++pwoZ}578&dSsadQyM$67+sQxk?4?hhxoQ>;`B29IKxWF@TQOO(f`n z03S4X5VUiT&@$MD3>Sp$aL{Ai4i^NTJ5FGmv<+TQa9J4z|F<%Bieg_oIQxT5+TLF0 z;s3n&Ywk*VTxM6Y&ZK=3GQ;_uV{)F@VEvZ;DQiycRNa6dHGI%fZbiU9N;l~C48sRK zn594@sX>;xD%*M^FteWJkTXboOZ^jRr;(VzE!{N>$e(ml-lIl75Ne<5&0|7?R- zME|Tne~H0^=yMkVXGA!7R_ySgj!UgfDs~17^ptbBAa=JX0|w5uEeze<#R8iPH+Vgj zWTo#^lHJg*URqc7sP{9zP%D!uOsJ@SIOYD@A5@Y3U9@k@{A>2Dr>;SfIQ zaPxlrq~kRx6XUp6H<1Cp7B~iYjlqKfx-kw6!*FFPMtC)4Fe-!BQAcOC=YFiN)*-ri zh~p6M{$``kdJA%otN9w!kb2Dgnx0{~5kW8^-)h3EOxUki)=pNwQ8zfrTMQqBn|lLz z;5X|A;cqj1MZhz!-6~ zqk1&Li-F^C>1ZTO1x7j7uXHpr;AkV|4400^+`9$00cVJMc92zh7;tOWMCW_NzxKLI zudMFx&Oo}u?}iu)STDic$7wVg_om6+C{`gMn^`7~} z=?8TNkgI9#}dEiVQUov>bjK2`z z|7`HA`9ez_|6;h*-QTkE*C#}{TbGSv;UoLB3JSA z0ROGQE2H5T%33J)Yu(^teq;E`sQ9I_d@?-&PYNEV>-eOj1a|`sI9GQ%O2APLhwRFw zqXb6|44f+$MoI2h0-LWkc)gJ@p3%yFjf6rp5-Qd>ZyP+N%iG{JYJTUMHUF1BK;T_$ z%~L?$#A@!!Iirfd2-{lpMs=8OBBN?k){LiHHyF<%!&i)}9XzhKq#M!&hOZb`2Y6gy zm&f-QJ~b-bD`j!L&{Rhbz%WYcuZ|pmVT`WL!Khp`LOW>hLMscp5H^9Nbm_ zK#&&jfS9q>SV+GJ+bOu&u_5Z&an^vm%h7ZA`M8`v*mUe|#k(Az^H$|@@pQb5 z&Z6rf-9)x>EO2Cgg25{`a$JCq7`$Q|#{-AYu)(u70dIJnXt>nTU@y?4BF8UvG&mt( z;9MJ0n*aw4oZ}g6A~z_o#Zm^Zr@XA5!hA9FrxZ(_PG=GNsBR+VodKLdST=Y?d1nUr zxdyK&?=0Z(Imh5x<-r?XD-D-b9`rZ_$1hbL@e(j_t_`X3zySm2cvh5mw!juk8N8nI z^twqD^LpjY%h8H zHl=E3tkVwsz*DVUP`mTvw1M$#xN1KRa3nB|{W#$WHPIVuY2?QrFSuEgA?jIE)^I9* zd}=<{bO`tLyv6usmCt!Up0y_L)iZC-hf|%-n&N%EOA!Qi@*>?t_Vb(of1$xE_H!9< z?B;m}uh`Ay%GwUY6}kccT%SJQ=(6?$4R+w_%-Rp-z`1%;w}FjNCpPi|cK`F zF0~)#17Mhl@r>;U69EX)8k5>jZlmBf)f=LoiL6@}TZ1=|sqq*n{A$;{JyUpZs z@vB#_r9%wO>vR*T`gH-m$>0@LUj-ahUTg56YBwZ-F|b^jRJ{yyVBlOmLA|+a;0NB| z^#q!gofqiZwg0={Iric`1Y>Rsf?&*T*G;5|tpWZPgI5%Bv$FP`<6Crt0&i8yIC!(s z1;ymv2ptN0lWtG|bmVU{x>QMwC1@Bg@Qh<|#tSgUple?`22mb7!MQeO#~}5<)3qx* z27ximtX%aN1PIb9jK<(L!EFp0qMpfQtz*BIjqxT%`XSH*T0pwbe_o}Y%ySb%FK&%* z6S=ynyYT)>`p!yzoG+CdcC11-R-qdU@3!Q}0$t(gh26eLL+Rwxk8&BEQtpj1`SE>5 z!%N}e4nR>w79PE@@=uIZD9*N&Mte{0UUJyc3mfvwmzCNWjub~zv9@xG;_`<2F zsd?pqN!;qn39M8oU>;0zB3uMD&I179BC^^8s3iTdL^xpH;=V-r?TSsJ7w(&-N$uuPE*v7rV>!~6u^#dOFmC>HBd;oaf z8%O%=uiLz|jia^mTjC$WdEYBO^Bgap_x}Z9qPVZ=CK?A{29CnNZt#l2zXBYEIe4mQ zrVV&d<{MTfRW#EE^ptbBpy=FJ;RoK}^^}>FogY^^z&W2-`=GXITh%&5;~ru7QC8;> zM!Ze?1G*e*HcMW`ujD$4sJX4tgs#XA~k=Wv#CCh-z94G zEvfU5>h!M^bLH2}pKD!a3y(N6$=MN~@-f(c`RQ1C`8-{c;>2xlzK?&j+1%dTENLW7 zXD>T->!z+Ffpsnz7;vx=gB2T80+OL@b~u|I%w`9&*}iPHKb!5%W=q*@@2O`TwW8ZK zt-s$jtz@v0Yg*$6Cf~nd)$K;ny>uxQjUU(pXh9&@oypB)a?_dIL?$*wvT2aZ2#F?QuGaP3I5DV{ai%xUl;X_LsXZ&`LTA_6XX{x` z(jXo5tT>wnk{OIM197G=&h*Eb-Z)cAGsS(|_nJgIx*zcfq*1){?(HU?=Jp|-vDW+D zJMMIVj_wmrG>RSfyCNqpcSX?C3e();BP)<@2kAfFLykD|B-g2~MW>$*n})>!($eW5 zjr+FWb;kp)H9hB^Yr0Wu1Vb1dJ>{~xZx=(|cKf~*wGtE;L)}|JHFuUD4T_6;(^TBB zYTI3Y__wqcB+>@`8ue?^uUWqq{aW>F)305>4*iPv-@A9aADOv)ryyPW6}E2b@uRV+ zxm$o9{TAxCNWa7MTddy_{SMc!xbgA}Zx4g0d8r^r>UWfWN9%Wte!cqj>DRAcp=-ek zZ?U=jhyW+*m#-LUTl*uFKS{sk`mNCKWc^Oj?^OLBrQd1#ovz;*`kkp?{`S4zewv!k zQhrpwbM!k`zp{QS^?S5_=aFBo4@Olc=!Z0YNlJag%X&906E^vs0b0j*PnRby4qmsV zq5KTt%FooLko<}%&wYrzbK~Xf%QI!JqF*Jn_B1wJxN76-4VSM2yr@|0N*am_^egu0 z-zIgpwY4Q}rHM^jH;vwO)%aC6PmJ9#`jA#hicIvcUcV3PqW|x&4wP6O(^khsd2AKd zvgp|IYW2b{g=~fG*KND{rt7ZTe*I0iTz~zndMqqbJ^!566F^DpnEE_zeZCY)MBQwj z5bEN6+xAu?TKWe2M*GJ#L}p$gpSDJqt7Bna#p9Pl?&snDcp3 z(?x1{`BGg9$>&lvHI+7vcJ1C`Zbw9yLv&>|QRDck^6h)?N4}!r|IXRep3!&n#2&R> ze)=U<5^Cxj?i*dPpt~AgDvk7wUb}f>V$)66OfT>0^4xpT9$y+SbelAAKik@D zr6Vi&+tdmIC~2lr>`eWyvgM{?u{J+j#ERd0Q+@%IluEs$1Ebeod)4UB(BSCur6z!m z@@B-#rBGZrULJdJ`;NEVb6Z*eIeg_s8!ua5z9xU~JqyQb!@I^Gye&i9ymFfTv?u+++E&x$Ll2LKLtBPM{u-cg>vVLLuSH;73c0o$UcY7Zrm@$LZk-&<^+sc? zbFBQhwJUA9osk>dI;%~68fAW%IS0$|azkw{hifM|)W(*yjRBOjl!p37EyiTDS2>j4 z21>YCqni;p;K$+Mpnr`$D=*sC)21lHIRK4+KllOFcHVYMN^SdZ#o{6K;NX-%PWc2j z$gk_z^7oM+mnwrh@SvkKGBP}>h1s=N-K?H%>9H{GKUfwdExjffh1#_Q6FJT12{}!| z_J^aJ7TvgF1#_!EqQ6eEtusR0vjY!rsPCpIn+|7chITT4ypbPY8ftU6J{=*DEI*Tq z*G_06-lpD7qoaz2Eog{L@aT!@@DIKUhovbQh9d2?D>041%8CY|rO4SBYe%1!8tOSSWf%&l{}A_ihG;^6E6Z2JP#skDMkBQm(-^w|_fVL%xRL5j_n8G)uD5ILnvE-0ZQQVO<7Eo6H(YXA zuJ?thj6$KLb9Y8YRFM_^Ay~?JZ{m*0Ww|Seiabe81^e#(RoZxag%Hy=YfEF9T+h}` zSH0ot(aG`gtF9j1JT~oSxhOjA+*9do?S-pGnqNgQ>(*GZ+8d>+`+~GN0pvzn|LC$_ zjWn#k`w?fJS>;6z%#at1jqMuqb2Cx!xZB&#igE}i;XPDkBr6|cVP-|vMKwBQZz!u% z+FJ{gVE~0r^(`Ce-89=eS_y{ch(zsWeR1texdu?e()7BmS1tH!jhA@I9USst&Dy*l zv>w%5;@O84RAqNsWdJ1$CnMd5h#NJPjwwH0t*}d#x(odF=xo>fSH&sh;@wi$KKoX#iJis2!B>4s$X1hBc4f=owc5tG$LA&uv)K;+fWqvC{@!J7_DEi+err#DY;IeM zrfZuG`bUQm{p_f?O)h1D#(2#s&Ayu}IX^p_5YyzX0U01t}9e7o8Y+8wZ8eX8Jd1h`yh?a&6CMTj+%uLK2 zGSg(E_Ihp8ViL9Y`504dC+HbQZ6Vq}4p3(nru_?`#C9+GjJpEEeg%fJ%}o(@Y;Npg z)S4dcUqh&xTv|;4h3%?Zdr1f75=wos#~G~QT^WRRmZkbRh*Ri;|}%JQ1rp?9G3dhI_Se}r+7dv#rxS(ZTmbRmZfE><>-)O4QU)m z4B|C8FfzJu^ynprFFA5#>$Nx8k&BL|^4U^K`O&&m1v|$$J0ix8*F|%O+4hgSq|*1K zS`HxR(0fOh4a=d=mi~eCsT9pdBc=Wt^U?9qK=50felWO2Ls;3(^1S2YI#H>P>`Xfn zK#5h`J6e(??MWspp}|L#ZGaeGMQt+WSU_2DLvtd++p+JbCtAoeo{~2Xop^n{z0h=-dILq_SxcoLRKa zcFu10^4I>6xB7cp+TQ?5I)?N-fAZR^Hm}f8jfEJqwkWShB?A>=OER$2DM;%XM z7SS3w+bb~HUBUxiG_@&q-9Kj(o#3fFOj}yMO3k%Pm5V$mpHz}IgY^-`f1~!w_&{AA zyF!8efY{@Vvtsp660Y0s9ttabthK$OZ$8>g!a11m(9wgE(z3V?HYh}!1*{%2h6d%F*D;ZA^V)QY3ds`c<98scre%lbmp^;V{% zsxv$hY0Hovi#D;(ubw61L*i{ahSl9gi@T3pdb$F~hv{&~kcb!d zET0!~=d>Q}+_U4>9d}J_SbM?thhg0{BWgXN*|_S0^Df`_@br3c!2y^*v6CC^yTjwI zhwouq-~Rd+ziJs|+mETL)ZfujHA)UJ?$T_(pRR7#n2S{0<8<7v-|6xXG=^(WV1ACN z>@Lj;PQufRS`ReZdiS@PUSb0SHMcTtHm!AVE}UwsdC<*mob(N99nss{WoHc!JGp$Z z1Ynmcg~)BCo21Thg|kev`o3rN9$UTqk9c`+89;h)KH;B;X&C8=*d=RMuGx#!vu^po zH>O(0cTJbwY2;eI?w7R5J<~nhNkHqt(Nbx^7F46lMtMu&59GtTOX<-xJ*_AWZ^FrD zJANR`wKlr2U(1cwU3%KTKhIxVr}nqNt^?=qW|h&>ZmLIpBj4 zJmx+l6T*x}4GYxw(TO)Jgr>|d={EY_~IC+I(B zVsx)^2;v^9_qiR;^x8M7ZL_$h**mER`5ZqwUL7_d$5zdrCc}=S3&7^u4Aatt&9E=0 zT~kAtcmIcHBb}P z52~*wst;6uP1FFW-kK=PKpW@-3K*B1;a(`~Y%V_};g%a`uX7J1kSPsPd#P6KZPB4y zdvWVas#ouYMIy_9Q*mX$si-pGR7@FgDxwTH6;B47wmSkhaZ*_CMGoUEU7K6=TIAZ5 z7nQ?%kO4Yg6RI~Pjo7^sS)r`~ZPCk;)aGB9bfhh3kJJLX6U!S=={fJDtV*S4FpfU2sfOu9^|}<+f+*~q`USIqI-|Xz3TSUc zKA{R|FGW5n1-82Oa0>J(RZ#b8CS_s+X>7L3h`L&eViX*Mv^ggt|di-3B*KvrunsdS}|+%5=>QCfL38xK!KDe)Z<` zU=@s4eIJ*?%+}nQuxF&uaJJ)*we6;p*nfiCS4-VfsPvjXQ=1)1bXjPW9yFW%ph>Tn z*b#-l)nE-Fk_RQNyR=j02LHosH~5YI{`B#BzjmZ&x97k@wMC`=Xs6rlZ1mT7(0x!3 zM@l-!7Piybt)CtJ9q_3VZ`j&q_~Yy+M-T69yBkNG-HGAhebw8E?u0Lo>ip@JnrE3O zC-u2Dg`K-oa|xjETyl6=Ywpnz3=a^yo5ChFxaw z7ur*f^d$j3<={n-8n0W9&*(o6OJHwF1r|W=@mQaBC^O5BIlAT!iqt;rr6Z|!VvdKR z;Sr7pC7lz02rs7txc1O!ey{lk>iNIsTaqgYqj6w#j&n=)0;+$eKG(x7{-c@1|JRXZ zJSbT>z9;hn!yMbS;VGJbt~F;x;}cYLVO)^|xl=9udhz<2(ZPZKT8C*4=9#fu!NOc` zjMZYkOwZ&}Q`M8XSZ;H^vO7JwZjuf?HtkRVB`y8-&`qI#t$88ZsPmprdn$h%&*$sY zX3Za`J~k)fH|qaHPUd%v=t=128?L!(>yn3m;K(70Kfyk7?CMXj+1`S+Q~1ZRV0-$e zt*?=qFJc~&N!upZ3I7Idt+%)j`1pqpI~5+*-?uxku+xjCpHr3ixoik!XB~9TLGwX) zzhX{bx^jBuG`@5&^`!w6PPA*s_>ZG-Z(!P7{^Qiw(98FHY?0``=hM&+!1f3nAp8&d z<0Kt@5y{RG-F^4AeGgiGnOzs`PLD2)mB%N?YuV9(Y|Hs$OAokzZqsj-`PbwW#*)pd z{UEo1!y9^$sw^D+8CMaTB0@Aw>V`fAtN zBCPD2zNPwa`i4SD!$4p5C7klJwB4FCO>Eve+H>^bc5<~;ew;vd(HoS>8OQ7L_QoW6 zS20P(mA=!bZ}Tbe2@l^aQSDLwwMv1%L2&YUrO=bU-KX#&f3HuWCl4Hc$Ex0UD5d;% zPe%$L>LG7^S9i+f% zKYXEsFDdnd2Tlr{6nLN~rThcFK2rFSLJuDLai#Df1x^YaI^cnx6gd5GTJG*XlP8|~ z<3F+`7wgBV=>Mm5K)`#&C}~_>4*QDv=JQuyxPEo{vQ@d>&O7(sd;fzw?kVrvR(^2D zK2N2Osr_0t{EZlVLFtQ>{zmE9V)RAvdZAMCt9<$xpPuK_NBeZ8Ps=`im-zgT%Dqkb zq~Yi#{(FFp`iNLTALGpbP_}uOaQr{g256eD))uYbG|NS;R!3>ab)tdav&ENxANK{N zu8clKh`X#R1MMD_q3rca`G2TZPlLUjXCtMpr$^8v|69@0f8=lpd>hm6r*-r=q9Q~f z`S$+}o_M2DJ`jJs6>=XSgY%*zT%iL0SO8)QL(JFbjkw4s$*d8r3m4Le0-wY9kte-E zDUErq(xjnsw7Z@~n9*y?*EOBkhpB1%* zIup9M-F0!%BD!dDe!ft2?FGh$uGy;}?cI*12=0Z#VHe+2isYc>KeK$!%22hH=~?2+ z6uvCnn}j>d(@+K;YJ$FZ=9+NWVKkxfjELmrBH@GKx2ebf;#pu?pB6mtd!7{ZWi;c^ zvUo8Zn)omy7@2;;b4;3sMz7Y9BKKuNy*^1#8D*p=D1Dg< z>`;ouzDDWsV)RK>@F=C9Pzs|vl#VO?Tcvt#nPJ!pBZl8`z99J%l|M}RzgGbmzgGEE zJ;ROC*e?XPMb|F6q-iiyK?@8S=U4cG$4WEh($a3mDGO6g$KgGVZVx^MBMXYf+dAu7JPL4`?EUxr}=EyF{> z@M@*xPg43^-||JiMM+bCreG61QgsPg7>N>gi8UOD(Op=Lido;7kkD@ zLvLSi|5l#&>o3puruXXp3!#C9zP_z&GlKk{cz#CrjUsv@u%3RmzTG@JpzXDzM|pqQ ztiI#8ecy`uo0h=|A&K3TKN8L-PWqH!9tt^g6im*;*cO!>PUNm}86+;|8L@Mmd?K zEJC@>Q@&U!J)+B$IMqt*sZru?U*aW7`5ZM;q{O4F#O@j;?(rpFs+0*1#nArKti()> z60h<-eVHg85O2(hI!?C|(=|%$7KR?bTq))g`f-Mpn5Zg&{a|2}xKb&Sm{dv~XIcq# z8tJ1I%2#-bvwVsFQ7icj`fjC6GmOfgiSst4Od4-cdZWs{MfCr!bX4gp)c+3&?rb8e zp#`4`C)zS2N@{$iQa%vzb-`)diQPbm9)7zAup*%IcEO1u%$48q71=C;CC4&E# z?%{WX_%S));FxuYwQdlc7BWeESb0K(FDgx%{5zb^T^xHX(OqGmO5?N=rA#G6d%rbm z|C+)#1SAaP$Vec->k+$#r=R)R0V}9XY5%eo75*CKiHSEUC4ZYw->me0+NA=F>$!UFg#upDyret52Jh(myPMdj8v| z-}C8refn*s$Z?BL-|yjs;Yp)Arf+7~e!>Z2m*mfox&25;%nhYrO_lQ@CnXI`Ehzn; zg?f$}u|jmj!33Mc!T&0ww)j@%DrZfWC;4fW!x$)csml3<$BL~N2$(ES3cparZxo_g zglHGS%PWN8jEU!j89}fS0vm?@w2H@LUf?e?2E-NNqtoi*msA6@1q4qw0^)}%0wxOx z{tSZF^rNib5?p_s^p|PkBVvYof+nHor6?^C9s zR^@N@^f=6P2@oX&Hup5?XkIlz&?Qrk z+MsXOSG57uVUON`)sW1r;#B+rpVkRhqAU#Vaf~$pK*g<%O)u5wII*Mo1y*H+Ha$(b^WnBoxA^F*;i>9nVG$7`O3TogV0 zP6?AYl+X7R(K$O$!SoBo3p_=1l1hs?0+|QJkBRn1QQWB7cf!9d`Xr>yGTr4>0^7y5 zu=pP~gkrB!iCx(e?rZ6d-Q~wpf{TSk8$?Mk#y=@1{9`D-f|4zjr;G2Uk!tvvLJ_Yq zm5l*wkKZ)3_ADr~Z(|qZ4rxxLuTxPrnVzGR&8MU_eWlR~c9qvskc)NX^(si0VUSQ) zOXclKqc4@$LC?kLp|drtME7ngXsNtl>FLjho=b(Er7AJ&520^1h3kDnBkwG;S8f+0 zKUq2SO*I8;PUUU(yD5EAvH+ici%@IT0yetXpgKo+CL(Ge-Q`&j)iI&{ROz!-{C2@_ z5&Z|1pHcb&rF(_HOX=k*hseI7^fIMi6aBfOXG5Y>DN*sy1ZUyMhS+bEngklGiMGBz zDe0ton)el792@Ia{>du-eHEi;1b3fMdWYcO75p>G&xrm_%CAv+r_vXz+}{b#vS6$7 z?0?+s;qO-ZVZm=wDjzX9_Wpgg)zwidKUEOBAkN0miuq^7Z&jgR3jR;Z z<4}mS*q!-Lr8pdzor}X!`Ja2b8DF05BIsB@y~md)V*4jwkIL_k$``43T=h{85gwiR zN2RPIX@}@%VtVQc^hAcxQ4jS}PW00;J^Uv;|DsY>ZP2q%=IJM5diV!=B2nZ4J^lCe zI%r+#e+&F*=NqLAY=(ZcD*rj(U;2YQXva>$r$vWNEmQs`rL6g|CAE7z;@k8&m75g& z4N4h(%f#=OO5sn$OgrKCCSNZbCa-}H{|RULQm>xkGgW*>V5)zu(k`+2m1jc$F7lCx z9IlXcL}Uv^_AJjvYKC+|zi3n=(L&+QE=Mx$8D zh--gDMrqLK8*<24`G~AHA_L6Ah_-E4ig`jVvQiZp>peyZXt+bJ75%RAJ>}bX+&xSo zHJGXCX~Ga4G(gd!H}u`JkRwErYj;m@uGp~3p5ka?`n`BPTPW5}Or@lR3~UWv>GMp% zz?rH^nbJv_`bi(*Q$h*KJzwSYE#9dyHI9Eu(&)pDyj0OH8uk^4*Y$xzYn`6DoS^LN z)^)-C<-QfPh7}wO5?Z}SoX8V@l8*R10V{bTTT((`QetIRyad(ciM~k*(@9V9cmjWV zafSHmA?dI-LUqGm{?jV{9|Av79l`e|4TJg&?pBT-fj(AXMjbQC4-GxAOvl15_vl?J z&EWp5QU+_1pV<&_Y_T%1ZxW6Hob(LO-bMX}TBg6k8M4(+h=>hT=2%iDYi--=%ZQcc z+%KLuKOTDGtdrL1J<`ZTcwE%pQGSY`c7apjL*n}t5z_mQDE*>R_8pSesR?vhS^lc& zd|Zp=F|=1fQ_}yYIePSl&C74t(yf)kR=++hVdF4kh4oIQZ&dy|rOZdoYTesamU-%Y z<(YojJepFTdG4J`nXlfelxdP_`6tRVe|=Ob^VPp9Wm;vMk7@L4O5dwgjh?hdhxa$s zXodxA^P7DelJ-5;F}M8xow(>P-zX0MAgaGsN(=QFshVADBIMd4LcIMwNV|rAeu8ZiZ}3Fqi*C=>}hrJdD|r{iaf;2`XedeWSIozb3r|ESVuiH?|tp3ne&|F*xDR_&3%n?%s=86*w*7Y13= zV_wAcFhPCb(>_ue{x@Tif4*A6p5UvLew~^chDZDnN@eo91pczXSE^wj0^L42hBmXB zx>E=Q{321Art~iis&&3iO~BsxaEguD_B}N?y~J}MtR&h>T6a&wsj_pUfkvdow%kWW zi=~lbkvv=@pu;k;RGy{`!=tTN=l9J0`WJ)LOPGMfW(dEI^Mxriq&$+x#b~frccn<# ze$ikK&|pvJD^J-zrAg~Vb(uV48k&C>&0FBxpii;%Zr#eQN@$a$@d9}(B1{IZDhE*BJ;1rusAeg zT6L&e3;A7YDf?$=?A^v_NH33OrEEmEiRc~TVNGeB+*55BPxS@?!#7h|`|cWs!A=GS zLEpDqlV*&HLlOAn*^^c)+mXK!DJjb>Cabq8eWmid1ZT2gr3N1NO^W*_WsO4mdyjue zsm2!5h;H~RG6{WH;GZjH;dhqUvATP?1Y$#_VHsMvpOVt>Pj%rjz^f|*tygae1aA3X zc`nS!G%9IW2KueO^rHk}{lvIn5tMWf^r5!OdbX$QBsaSkUgInHrRVr@r5}e)Y1oQn zm!)bM9f82i%6Jdz=y}AuxBC%}ckzoN-67IfC`E*f0E%L^*6NOad)62~5s;{OnuutA zp!7LPPm_q4IJc^)Usakkj4bnCSX3teW0CC^cwFc&gYM~_a}v(KLHM_*)mQo^iB~uS z4zFQVg;QW*%%DiRrt}Fg99Z}w(5XHudY@|hl4?T?m_t$;3bkI%r-@cPj;4s^yG1}m z%jozmu!aF@e7#3AAXwmiOZ->>YIOFdu}k6c>Kv2M9$^>~UlF;VC#S4k3M1b~^{VMs z;XWu@oW>NaN|k6N+obo4DeDND^kWFy28N`;qwE_=fg3)CT@gCSV+Wo1h$VG_&`kU| zaoV4BNl^$40$?1WLpJ1BdWxfSmxfZJWfLH2tOX?`A%^m(8JAd0^Q3fG9o_2PAk*f6`? zS7~%_G}9H{rqUk}*>6O~cqetEZz$r{iGN{7jLCq-ASugBQWk}zEE@4D9{ds8GO1MQ5vKrS@oNQ$K7W?yoVA(y2{N(LmGNJ*izEF+=3fYiCzki99A zk^#BIko_r=I@#x;q-_Hg`cfn%19GXA97vIr-0n*rVaUN0Ny&iRgH{w z37lxL>A9ePTi{1};n#8mEb-RJikMbU5)pF~fq&I-Mj#AlM4EI>*PeB|zb@ptVrXMp zl%z}#q)Y^)xO`HaJ1K6Q6t_;=?^9efd7LpRZkH4XONuKc#c7h_L`iKqB|ll!0rWx# z=!gbYH5%cAhDLX!#kr6k8U3QDF4?a?tvao5io2^?*Ez$%?zw zxO+q}B|=suq%1sWv@I7J^eNJcr7C#^CsA;LSo~D{lR#e?8H^_19vpzkIj)|0~@OROB!a%YhL6(?YKo zbAm@!>8T&jzf|b^#r)+;3ClG%RqKF(jt+1Y3RtVrSUG4^rCm?{DZ2BTxwFJC_y5Eg zHhSM`Qa_v;cLu`BVGtpSTORqpn&;)lC^yyKhxYxUe{;0uXDjjDo=^97&a3a0;t zJ$Fth2#MmGJ-aq2<*x-XeL?7-Dg{eQvq`CjbhS^{_;jsL*ZFk4QpGk^&7lHn0^l>=W$G^pY@_Yy(E_Rt-m zi&Is6=@opR?D(eto<6rS@P#C4=*LKP!(ZWW>GAJ{U$1r(`LaX0L#ZOND*i|d{!elI z)Bkv%dvxFrNur}L{4e%+21dXXeX1*3N=12IC~7+3NC*FelMQp3;8KwPqC18tW5%TDOG|h5^BSIb%kft7vZ$!x6fHWC%bR$Cc1*8dxV;d2& zKOjv6h4A^HBsUZgPczx+Lqg#rLP>5U;GXVq;}Pz}fcrCFP6gcug_7JO0`AX!xd?Ys zz&!&T&!GJGd6L}nfcguE()pVej}%NwSP@Wv=}a>8m$f0z$CZJ9asEZv+r)mP~jDUK!L+LzCK%E&- zmw+m!TaLNM1k9y|N%!A#s{`ga4pZ6W&aDlY%dDdGQ$s>iizN5tfP0?d;#GIlP8vnkbI zE(hidx_coQ4sh`;AW(uA0`f%;IT9lq0`jjNawtYN2IPw!ayUjd1>{Q{axg|V2joi~ zav(;w1mw#cvM)xq2IR{fvOh+)1>}_u*&8F<1M(FPS&ESz0r|>MlJ6gR33UeKt3t^L z*%gql2B{+e@7ITje8KL3dyT{GiEupu_gaVB9pM%R-0K`}Cc-TWxT_p)I>H?ma92Cr zM1)%$aMw88ScF>=aMwEAc!WDV;5LD4u(!i}_XYy$I*-!hNRK);psoj19(;@{{jw_EEAq|CzeRa=X+NyIqPM6K4XjZ9N#JcN{z0X?m9oq~ z)<-L_xy*`<^be<77z9~A5twE2rwlz5p>13I^8&XC%}&QwrPnF_i0UUkR%{yYEwC-V zRbb@Fh6oZNkk_I+t&)}al!(5g7Jmk0!yw|ilUoJPwCE1qzd`7)8hRQ13{fiL*(Wd? zn--;Nw^{qOp)`^C-wMrnes=H_6M+s2r}R0h`zd1neM1jbxBsm|f7>^WCwfk!1B0nu z*^>QX4_lJC+b*R4xZ2&bsU^gn6fPpyI5o_*n7J4-C4ErPVP>C)V;OH+p~jh(tQ zlyoU}=~C#{CBHzIT#qhE-UnB0N$YQXpPm;CX%*I83S*=U+8eN*!>e9GQ zmxl9oDPEvUVZAQ-4Z7qWuZt?N{}*wb}8rpV3ObCJaCJO40`t``Ud?giZs{C zF^BRPU&2&jYjpcKkN?WM%4ooV;!xBBKgUkpDo%wA7(I7%7%?x-%=pYP>V_VL96hQJ zmM#yhTl6n-vXG+wD`JIjoBn5n(E5iY%a>XaF)2<=OgI8f&c$65(=G#Ma*xlbv#m4M z5&eho+pHv@J-g{g4AQQ(f2=NiQQy2u8$P|qXXxLakfDFOCL^+`sfcWBm(N(!#=N{? zJw4+yaGMMn$R_v1WRp>5DrBsT9yVA+QNU-=Ry> zow_vMrAx!zx)krxrLa?%y!1917@?{tvuh^G%>eBE&U5fA5rSJh=@*mVC_aR+W eDGPj7iiO)2-%ZqC6Ew6l)X{%^)^Hlw7=8L4t?~t$?U4Eg z>v!*bcYV~}Yp?a6wbx#2Kh8N-jSRVJ){-UjX3wdcK4-za*$oT7dFSjU4U6g~H7vTl zVbQE5^BNY`T{dsY;w6jb%vvzyx;cv$Lo%T*rpxEeT7YZnzA*0m^KP2A1t^^YIyS`JBb`<}R#TIBUV233byhoigT%>Dj9$P5FBLxbsI%%FbJG`)#8~ES&w# zA(t+ieapNhb7n8Oa}j_GZoOdcs8K_v&RVo&LR|tsZ|v8u`O4JMP+UH12~bnu*rj!M z&aE3gu5Qfe31i1i7(2FZ%&0M=hfHf|Ts)!ff(u3unbdF_xG`hL4dD*e&ZPJ9gQFo6Tf7yjYL}c@`C=9(g zB&7YQF8%iLpRYM8O}S;)&QIV2`fc_i}xsNEa`vNh_oY7z01Lvi;@yCK>hb z1{2%aWbI4CiD{J8&He0D#QeE4!mz4B+xJibe~KanaPT)Gxb1}OwAHMEiO7!`4lSZH z7m+DpVtENGGWCmYhei`KoJAS=8962`S;u$J z{Y$FK?U=C<)7AuSb4w*mH-te3PW53bd&_@Y2}7A|%=T>2g=Uf0{=N#v2~Jqk+tT=$ z-sg?`QWcEIL@Ca7nx^>ftlw0@SizHavDNV$@sA%?1tWoy-g@bB+ugOd5>qKY7*}tL zbiVGoGft~!M>0}u6hCJN#|~G+(2gUv)?WKLl`xnem^N`%&-q9t407Q}CRePrEX4V$ z8I>@UF{h4`741HSSP#r8Wpau1m|7amdLJ4#(xruo9dtU%5_i|zRGg63hP;KHvgd+6 zEFJge1r(LfABY^;ErFxJ1xx-0rBvt(*Gr#nfYO_PJ256{WJ+XR+s zC6BhPr=6y4e^&)VaS{IbvSGy3K`@)1R)VSReRdFxC(VM`T=hp{D$O5=du3JTmyE0FT+_a9uBtD8Q%nOZN05iVv z-YZ15_EH9n%{oP%602vu9kh$=I7)e9rv$>xkl;TpX#*x7VXR2aEiWNYl-=$&nY^d$ z#BLMkh?jM`+%@sOp_7YKjK2)S560e0QOXXMO+sFa>J-nr<8zdxFfqWyKae9fI$vD{ z(;)}7w5a{s_s%@A3Wj3rzTP(5-9Mo!<~8vi5O2IE56&;cn7mO2>hl2ZUQqNr56R#87i^UG6$cIZYHW1z8+5&7tzK`{n4b^D+gX5892Xneu|?fpdB4hB&o@Cf%m zPa`i?_Ge>s7w&&PW47;#cF>cn3SA-(HPTLHjDp4%`PL7N#mX297;cZ}OhHk_j)vx4 ztv9Y3S{*};2dBP!+2EMITFHL$;lVMTwsybs05K*2WCjq97We9xD6Tv%YP^FI9C!NQ z7})Wi|HI%IYLn+3HYyOFfxO4IQBmC=9Hs7KgYB7--9h>?^Cv)3*P_G0;uEZrV2Z(1 z_6Mm_K62iEjCLwxvQ%l2Ykp3qGRCJ0v+ShTSy#qTLmFgUTos_A{Nw}U zHhea7tor~QBQkz#zBKTbi)P8hfn3f$d;-PdvJ z5MO@wf%|HYyi*Nhnf=F-!!kJ#zJFlvn7BVo;z(et#X9Di{lzASd#>51Kz(WZJa=r|tC17oN~; zdHsi%mtp$l3k}>OegBR!44WltKzuUu%ym`Ar4Z-eEWjqz&!5}>WUPp;feK?R>JhPY2*?DEqMRWJ~15k>8LpJZ9V4t%a92C=#P zCsi<*Kbj99SYPj~se+-H1Nh3Tt^2{f;a=}hc* z740;~2$8Q<+vi((Sh$MF-c2kk%pdq;_AG2T7abs`g3lBa2D+`Y{r(L z;|FXE(ig@M-XB)$3jmDo)N1o5?hEyw_IyBJQ2&>&(^mG0^D8FPHt}&!Gt(XOC(KU? zW7=k1?&4Zri1;9$=KNw+A_X=$-t6-gVrZ^0bIg0L+VhvegcIdhwR`oFfB!}IKmFp2 zmEDi9zQXzQJ+rjTxGG@6YYtt>y298YH3uc?6F*fQgLP`+zxK=3G1P#pYp!Kgxi4x! znVr|M8Wi(k=9r%P@x+dsC0l_WIfue9KDtaFW>n<32NR4~Wtg}*<=Z7pEQ@P9Vx~S@ z9TQ`#tQ{TeSwCjx(*I3-FfCGa&8ut-wA0l}xhHs?>Ar2oK5&1YKNpZ5Uv@d-K2_yC z(n6XEMD0Q#=d5F6U|$^yV!Y}G#@l4h%7K_Gx}88v*4qW(@-u<2U}r_+Y~-e;`zfWp zvZCiO(ir{C|F15@-o(tK_Sm5Lv5`SP8BmKhS5J zVth^JYmsgLM7|u;E%P}GO~ypNxw;a@`PM_4Xw@Gw+ss< z>C&;no*Nlx1Q~ZTE%P#HrhNVCZ9W?60rg8^ww4-4N{uDqJ9a*U62pc!&ZA;*^ylPY0w z{)OG(`=(AAQC|sz0VyjSlrPd*Wgpw8MRL5{78zexR5L{b}FsD{8DA9FqGi|9>qr+Nmy*fz7f+I zse1_>3sL7)CTVT>4OyNkrT9>?u{2rS;hGYjUk9)PN@S^)xZ(adnLo+cjc$*MArk!d zf0D0oeknT!d0#R6VR(M&Sc)27^&*xbU7cZFlV_YY*@z0}yf6*##nr4C!vp;=jY`FB z_j7$Q#>R|Fkt*?*TuL53#|IHwO0Y1!5@z&B@+U|j`%f!LyF>w_LqTu{!x!Z}6ag+i z^eSqKoNM0Yn)oCE;TUuLJxN3lnR76VPc9a+-coAJyp#OEu|Jhj3FwsBF{aRO?9L1W zF~IYZeYJm1BbN>4=|9JIIDZ^-F$*g-u5rop1?Nv`XjW>S{2Mf7@u5I$SdB?lS?|rB ziXpNpSv%q;LNZ>CrRjXabh3<3!Su=&$qQR%5ccmVA?5&?gq9|p$fX}=gP63JDFE2( zma!ea?^R^8EJ&6q>Cp|zxc1j-N=+e%U^IAmG{mI9_)J7{dHlN!ik{xel9>KvrJD5@ zurcucGfzUCl?HjvM-2u=|FJ(8?(>S;O{sm1?U+0jb9mqT3GykQBb8PWgX+7dk|!p; z|8&REp;9h+n5Dsl8DbOZeIzyC{^AA72KLoXaYSdeks+o(St&btvqz=!6+^9D%*keR zA5KjF{YPt^V19o+S>tmeBa?MXYvk>xC?N)>(o}-T&%Z)NA7fRHvGFUC5~lsR7{k26aD2UkFN6t?$*b{KQjxjAE z1L(|-7!$?EiZQ^Gy2N@W*;mUG)*50^K2Ul-?Z5w+OFV=7sPW&7i-Ak1VAfYCdbqT& zCVDc*RH=DJl8dtaCxyAooL}fG-b^&FhaWAg$dKF<%{v*~|EOsGSQO)#D4$?npV&rO z4R(nB^T$rDomW2+V!~0956LQptMB|}lyU6b!lKxUuX^c|Az!A~lOcv@HmyN11 z<}Vs@A6a73YtYl8C@0zOj5}DDV{Gx1q5|Zp7tCu5(-$1TB*;-Noex+NWXUzalF1i= zoMQ<_mS-f;km5Hm!Q3B%iTWZJk)Malnhjhz)g0~Ys~rTOmhE&-=u1(myw}AC=Z_uwZYh)Mb=D= zwj?VQykB}}g%N;Oad2)rozy&RKAL# zDBwG|G@Y25Kam_iNX@1850P1Gsi8m7`K2)=ZhKo43XrYjPO~S(^CuLCTcZjafeHrn zh52WeS~zShe7rxb^i0j=*cRDAhMu^GCd2rk8Isq9sind{+c_+9R`SlFAiW8O`BK7H zF~xl%hpwT*jEhW2coAGw+zK(BYqf~UJ25ss5g}h5){Bv}Dk-*84vd*8U^PBIw3Y&c ztRC9u{)c&9MttJ^M?(?7eLJ=?8`FJxQJFj zo5&b5L<5YKrrv;jkvQ5%r;tlwG}{a_XHYnjv!A6T?2DYqsbM+{%hB#io|mH-S_S|c zA*0cp`2=OOW0OJT`xEUfpH7+a2j0i4+2?XF6yQvr_99tgxc`Kfe zX(?g$JRjLH@rh%I(KyXXUtQ6elFC!a<`al~?W?gJzQHO{Pm(*#jbe-`d;U_T^XtvV z7!&QQXexZV@qSFBCap-`ZzTR2Ojv}Nx=*v_z7h1!*=D!M?xN@nT>E7vTs^q-Gv;!$ z|Lom1$>~;49+PzniFBGI7n%v?j}Pr27c>35dQ!Y&m}wqT)*2!Z$``XS#(#9>14?(< z#qhBlu$Y#$MVL-Gv}K#`Ufg#~w1NX>Hif{|izAGzb@$#Ucb&WR%8sK79i(Xgo(SVC zmiGL3wR!K}2%(_BJOrJ;g=Gzz1xMp|=VSe1>ghuiwYN91@{Vj#V zY#f`1bly=nuMvyEF_%X8C&IngUL{9&?0ZG2kvHH=CIx>~6h`1?zCv&fLb!ks==Rn*%`}TD9S>UxlpJhI>kJr8K1jv*xFjq+j6#x@#~cl0D0@d znTq%zLHka7ZL-sydG;mnuGGgV^KhDl&tEO(sw79oI0KsacPwj)>(yZ;D`xD^=)PJfgY`mj zG`jCTPPV3qKQGeV`4(BGS2&DIo=!!yCcK|7;`X*JSYgXD@u*R`6z0@_r)Ve?Z*7td zoqcw2HwAHDhX2MeRwwjf{=8P)c%-K*y6cGip)&a4>8xt-DeTjB6W-pMO@hLNitm$U zE;(}8-L()iF!=;=rCAxti$@R;KQX%^T+X0v2pV_p~Uz}&7PFqsqv zldby>gFyy&*0wJlztomrQ9x7CeT9}!e~%L5v%XRGN;ll$JWcy^%V$DNt2+8?yb#1+ zTFUW>fZ4vb&p>s4IC-cp0noUpA!$k)hSQpoz^FGXMQtxrpn zqM}8R`f*<%TZ1Q*1D7#0O^zJLbdeuu&CkhhBLJ`sOFO#W3G~Rq2&t*!qZ7E1RjUu8` z&m_bBxlk*Pr(ivtrYZuU`poCZ(+*=hGQeS4RNz8Sz<`QBV_Ac+99N~29=(7t zJ;FThL!J9Wj5NNbWurXX6)5DwLjaqoK;T7wWKIY3e4!y}>Ds8JO@WI~Oqkqq7ux8{ zoP(CUazwHenBQ)gi2=;PA5dW8d`RAPvi7RHrv%{xDHdSYo=655tU-}w7XI!KGuWn3 zGz(yQFDFAgyL@R<-NC`5CRM>s)D{`YRmf1c1p-;ycyP=3|vn8FE6xVs{#n1DuXsa~*k;38l1lmW^*phd( z+bsKPC1>tX!X*)i!m+6ieN_tEsL}1>J`>LQ2hnUd%O;ygU+|f2V(__t z61kzTVSMY+V zTEQa|(2yFA)7;i{)q^V(bsp=DeWR3bzlcK67j94BqWEp#>>gRJ!hQ;fz_h)PAwDQJ z>%)#52B(gW%;pQ0eGo#1=G2d)z}PX=cIj-84qtK7Xmrzo&dvO(4fi_UwJK{Vrbkv| z(rEn7YB7Cm+*vDfb{#mW0mk1-uB%gf$dZ*`kcGdVQ_%Rkn;WUAL0s|D5PQ)jxZiLJ z8F^2kz|$(@{B8{~!?CGp>~-R2aF^7vUCZRAZepCBS#iI5D={@l`7V)qB^d?B&_TUY z797gsZ_?$H(V2o%xDzo8GPojR*4JTTWLM7i&Wq0i*?CCRx?BbxE3ZQ@Xkl_h?ZiR} ze*WXwc2k7QmLtdK`NOncRZ_*{=(F@NxR zD~BziaGtB-T6;T?6X_b}oO|t5V$hd;6bIKb1lxj5PTSTDhA2T6zhO9o81%*E7N|e% zAZG`%6}JPp$H#IM@1}YRhrvD17r&LWGxurc|8a?ZnFy{q6J z{1(FWg!GB`{pf5GneKVW=|nte;ECA3Lfy%WTU+7tzfC6#J8l8BLJ%#~PKVuqO1OzC zS@jL_>naTQId?N23dkA4yo)O5lbTM>#H27r^QJIw|D+kBBwd@-D%5ze-%| zX8e9^FJuUhb^OP`0E0Lb_424MDdz0CIrPpLNoMn@tZG;U**~1JCDtA4xG(s*+CnH=IG!yJ#++HA;y9~kK1TEpEiTWG6T(!ZRWf% z7}w8Rg-p9(U%jWe)#5hhW&FUEYbO$GFxXEuUU1^R?tR=LD~`<11j{hU|_-WW_yF1)yeX(k(z#UXiS2$uYK zGKDpeq=+qIR5;A#;k^XRRwG+RwNY2@PlQ*jZTb5>tby@fuFr6wDL-QNHmsEpvvqvq zIT!%{6SP0o&mVp9rWbpjJ^2m(KW2}FV>kahT4D#7w8@iJ8aw#^(6;$@N-=ukFA_WB b%^pr%Ii35;3(^13M$NarJ!HsbW{>$lb_ljh literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1.ncd new file mode 100644 index 0000000000000000000000000000000000000000..fa3d1271d2b8a91aaa5c3455186366cb54bf9687 GIT binary patch literal 156017 zcmeFa37lO;l|TNP>`Ny=fRKbGtl3!lz1{230T3_E_sqW|$X(6-0 z1!-`)5e3O1-H3uTINgYXG&tRef;2eYh=MdY-H3uTIL-8eG*~;xH!YLr)q+iDP%RZp z_i(jTY+Q;t)l#vsX{=N%EjiUvu{3+Dr6-h;zPg#hP$NB&=5CGjM4G!b(i3U!)<}cX z+^vz)(%h|)2B(=*BMnY7r$!o_mWCQ>a8Z4w!CGJ6;7mEMl?JC9Q7a8jH=3C`Dn3k^2l{52M>7~`XiI?_|Zbk9+S;>VFrK6=+N=FOFN=FOtN=K_Yl&qTYGfu5m zO&F)`F3C4FT5;-t?P|Tov~JkEDU&a1$95AhY~4+~@O3xw!r0x!3uku|uLh=c{e`!w z^a1y@`X#xic5!r9b5o|!sevpVty0s4#91P%CUbPjXqB3h(JD11qg866=%C%&EhXEn z2DW6?)Y6uY7MUy^t*RJREf7gH!lhI1o~de#bP%n|RWe$2t7NpWD`nC5?dWdnX<6Fc zv$$z#&w}=jHhN$Cz3z3d>sf#4+MY|-UC`Ha{<`&RWptR-xpD0!y_?qcT`;|6L*JTp zo7QdUBl*~t&c%z{x?0+sI)rY_()J}{3U!cNdRluS#>>z#p7mUXp=b5XMjd^|TDrU> z3<%utX{FjrRmaIY=jsgN;b-;C`g8`;O+LOZ9WUbL<7?CL z(vv>ECLJ$b>Eo-@@zR$*zL1WW&h+t)0SW81{hq}Qsq{Jw`SfZ2=r}ZHrtm7P81=HHU5CAKNqo8yIzt%W zh2*L&X*TG{7Z4QXpyHb3kk(k8L5xe{)8eLc2_Ns6m58foTfoe;FzNW`(-*}HOMQG= z)^yV0(nG(cooFEUQObKWkI~_R>m(sYiZkDVaz`5OOjFrD@-vg+pXA$*rQ8O3;&8U z5#|-6g>l7bVOlX-7*>oHZWW`2NyTX4jiD2cWLH~LBdFbdOp0^Sp(F!eLImnc*a96xGV&?Ggu1AjN#{kjFu_KqFu_JvU|e_mLYWmV zUD3r^C=BVIso52>T)Ik1z@00E7b(xOX!d;b4R*2vZTJAsm8mD8gX~ zha*f!I0E5Fgrg9SMmPpxCc-R)*$8tGjzc&e;RFOSq6#68P(a{jQ4K;ZLLEXqLIXl0 z!d!%T2&W*NiZCCc385LG1)&w84PgPoLWI*0+7V7iScK4luoz(p!cv4~2we!>2+I*x zAe@1)65&jQvk+c|unOU9gw+V=AoL)-8le~AT!ixw)*!4!I3M8xgx4UjgIYta4Etjgv|*5gm4+c>kwX#@CJm-5#EUKCWI>xu0+^^a23Lv5w1pf3&J%B z*CJep@K%JkA^bDK+Y#P@a6Q615pFG+YgpVNHhVW5@+Yvs7@NtAsAl!lQNrXEQK80`>!lw~FgYa2|yAeKz@OgwU zAbb(wO9=NMd>P>@2wz3`8p78R?nU?p!hHzeMEDlMw-N3~_zuDY2;W8c9>RkN42tP;o1;Q^8 zo<#T+!cz#pM%a$91L0|e-yr-a!v8_|Ey6Ph&m#N|;r9sth4380PJ~?u&m;T+;r}B1 zH^LtgUO@OCgg+ttFT$S@{(|r#!b=GKs@@e9_`eUrFofXU*!Vw5ZA{>Qq zG{P|m$0E!?n29h8VK%}XgyRs7M>qlDM1(4YJVF7X8leWE7NHKI9-#rD5n(RENeCw+ z%tJT@;Z%hA2u%ph2rUS$2yF-p5EdewhR}|1I>I7^4ur)BOAtB{mLe=e=tAg5SdOp) z;S7Y82xlUkh43nbRS0JztVTEop$FmB2)zjBBAkb?24OA2`3M&vye0?xx}dwKw!Xfn zXB}FjD$D-?9xV*;6CHi;nl(K&J$bMwi1e9(MVWZ8Ex=ERGK(uPE~!{P&Mb(Cb4yh% z&dyg2y%|&;+vWvMEq$*iX%(ql_aV7R!m#?a=?Wyirzj+fR5r1Pott+^=-Zii7iEA>k zskM9axfiY5v}x^{p6Z5}S0gW~%FIlP=wW85Hn-%1%<5xp8HY+%*Yp&MavZ&`I-}B+ zEf=k6>+3yt{o0=D`dEI)^cAWb>d&si85MhAT5~XEx#7(#7O-1k+VBtyR1x?MbSY!8e(Q2h}gb{#cd1Qmh`muG}L6&{or-^hU$VgwTA`d8?^&i z_7*+UmNvZey_ObqO?}HkSNEilp;WJaN5uteL`jY`JZj#!s(td`bD@$7PV z9l7BPo0h{%$t~G}Kk|&`5-+qX?uv=%IM23xoVT|%l-NQXiTYqU7xjr*4OVtv0+Ee% zk0!Lv*>cuqi&P1J#xSHrk9ao3e#}_#Bvsw73Ti89nSXELDM6 zpvgk7gT-;`9TuBfUrwxb9v_dJe$dk#Y1Cp?jDa(d!Pb#0yIOnJ#fC_6u@F-kGTo|) zeTAxqsuG526zoS+&$_sm9WrL%XmowC(5HGNYrq;!?SgWp_{4J~;$Or~o7HmR3>W271v7n6E{k6aek=*DDHh<6A`wo+~O|Q65>uxcYCoQO*B7V%yc0x#`Q$3 z8x9$dSz-&b%o4;Z%V~0%#LRcdSg;OA`3`W)>*8dPutH6(NLcYag)@@G6^j`*-bG;` zZ-}LX>OT;x)TV9`!+<|t@#5+Swk)poXxOV_(MgF!%;d2mv>YkoGR6qLr@AI4=Y*!Q z-ifmak+Ytx^A`T$GZ|F%&XkojuC8Zd{yAhUak1Z&T3$&-#wi0%#l7KK8%rk#NX*fS z`+Q1~^Fj}|Xlmv}L;UK7xGr>>tKA5$bHP}1Q+5YatD@Y;;Ghj>N{w-)vpk=;+xA`8 zP-DGTEFb}w;wl9Zu^|Z*NNNcMN~!q~iCq&ZiPj`CWOP(Za~GWHxcJdHZv-=27q^yX zp|Luni;DfrEFL-ui`(Onv5ZVGZ4xsU8?01kWm+kE?$G(#xKU$>roP2BD6V{#xhST} zQom8ny?qy^2Uv%UwY)gLto4avD%8J7I9&lLeb%bPnm|8J2SmySCcwq&7V|gL@f~+& zXBSsrU}*|#1#;WxF{(!IiB(aon@&FT|`>{O?Bzn z1rL>B$vzlRJbfMMW8;RSNvrBg(bs}LwZK98+EVm2q>tG|`kGSo)ufL(Nc!qh^aaw# z9ZLE_Df&F=V}_DGUy8np^f9wZUlr4j_56?cJW7wxmLg8+wh*T{?{khTp*g46?up|T zDshVEo;YTo5~mpMiQ~Re;uN<%aoq7roMN>nj(smBPVspZ25gFX#AG=x8%p;WDkqg8 zE`FpOkB$2xA&mIMzKSPHCSfj`dH8QyS=rW0op$N(()4tcOaR(nL=j>!K2;v~euI=S+B1$_lAJV=BFMmOZo`)aq?r3jm>#54gp~D68mg~w_ zwbVBWY8c4B+|{;lac67W+yx7!%m0>^6@}?Q=I0*Oxr9KK0L$`omrY+*g+B|syXLmG zcQ-Y|-P8f(ncshy`#H}K-F~oXU0w4XDw+VwsQ&b8a;hMOXu8{PW)>p z691;Rw&w|U=K)m-R5hKInLD?;YF^dr&hPE*J+3?NAf9I&<*KgD8_vQM+-FhV0N3{6 zY!I2VPmZ7nNJVa+Wk$Em(d~nC1j4L+7C6i>G*?k07PD3gk6KisqA&|A4YO!prLLAz77!(OI%8=eSGAs(!1REvx#u zimE~^tMB!)DYE(=|1Pq6h{|d~H7k(S25(pIcE;(Ix2vzLK^^UdbkvZL%87jy($FmG zXCf+UX_HwmUw?S{4b#=j*AFVbv52noA}JzzfqxefZB-GiE)vnYmVZ=pI?hY#*da-k z?@2PuXclI#YWUEw5o?cYAT+RuyazC&UwpXOzWZ8ECoizQQU!^n0O^gG!scc8&bsYvb3 z{#~T@0F~OhBB`zahc&SWcv+n^B&+i2Xojp-?am#j(ji|KRMN`?1-(=y%f!CR3#a7% zHvcZd>ZVuq#lrdzyA&|l3+q8c!Ybc37Yl1a?<2^zo%M;Vs?iOnSq+IFQRPKZR;@Ps zcM;Xs`gal4!_=-e6pQLV>@;ht7u6|4qAH)>7mF%xTIR8MKa&clSp|0qt*oM^mkSz` z)z`e2Mak@1|1Prn7XL1?dbrAJV=Sw+Ew$zXOpyfp;M1p3SZo^(-()n_Gsfcff$_L` zU;@1GeR1Q!{#SMR z7gYgOHE-rjqFU#)%$hZ)c~-E$z^#P^`MC?GFQ~$w5}OQj=W?rI-cjvK&PeY!V7c6O z902xop3&CT)6!P7?=axT0lMYT+&+tBi{KFHA-5*2orUPb{?WzS-C;oqNiF{;MmB9o zkMhDO!rAEGDV(|eRXFphMF2>r8PX}B;x)w;BAFv0qkpq>wn7pijV-gAXL$jwQkk4P z7n0rGwtOCf?tA?e!m0Ady{tVbozqP^56_g&BZj0?ZdfUnPG?rdU5!>#ao0ieF49@& z-$gpBoph$gc}S;C`Z3f&J}I3v_M;%9154+}2C$tivuP_^XI~MD=gj6gt$V<1ddVDM zpLQ_z@+gzYBQquP=pl&=Br+c912-TMLBTEaA}Atxn17ei{7@&7so}h_rNIy99Lkw1 zRbg-j^MeD6WGk(ujpq5keE{F1k~q^OaYm*j&KisfA%t2oMW;$ zJ5v^q8C{$-usVj<$06Ks!X{o49R6+PD3X%UnN4uC5^Pz^qc*~ak>#j zD;(R?xBGVy=HvXklvC-1IprTTv^0b>`C;NC(Dn}>)J*=4-3v3lfhLG9`~XhruV|UW zw@AL5Alom0=2Q*~TVs-3ohiw+Ly}xVk_&o`a+V}(j9*U;4YOXFTqMc3T``etk>un3 zyGZgZC&{TbsX|MAEXg=XEVKT>N%H*zNHXL!klSX+Gi-C~>?Yb~7-&Jjbc>b7Dl1M$ zx6X5GRy?rn&uI_1UX`}n1FQtd0pz)h^V0{jBv5ZMUgu;SgIA+~vas4PB;z9Eql-;) za-6l;BAY^lRAQpNt<8&qPNV22=$i-BaC&!D zo&5xE5AGApHwis8Q$m}DBvd4HgqYw^LJRu4OWDqZ`!NAn+QfMfsh#iNMM4ko?=+_s zW1YmN++s+qcP5ylN-Q@JMh;>N;S;-;Sh^0$4s0O1kX6WS(_SU6Ehetbnc~_yB(5dA zVqCBrpCt%=-};g(W(~4eTjUkv?)yZrMUY?T-^DMuUIiKVp`{ipg1s=BUV6p46E+w@ z{?Y(~+%)Hk!1&Ibi3uJid;SQ)U$I@Ac7I`d%dl**z{I*OQ>+&biFGL}?0$JCW7(ow z1X1mCBCNE+xIjO77va6cze}2#PIyxXVX-*jSK4%)jZ->W;lUh&xqbJ-ixV&^t+Dga zG`kh66cB584|~VLM7zoAX_>Nm`jD&!CODjAi2=@Wi41VBoni=Cy_pkpg;L7 zU(SjQU+!c$br=Dw#oqT-&zCA?nHFq!GOXk%y9<~J2QoI>5y;+1~_zc^_L2>XKe1L z+tM?(ea`Y?D!xLCe;2WB_U~ffCp$4t?b21Z6uj?1!B@wZ^S8mQIs9<In_ul z$HA>Omju5rRzlF-v2ZL^aEA^)1MPBZW5aeig3XPhL*jphrB0jZ!S8T{wt9sZ)oSZYfQxZ9$}oDeI}jT>oHM2CsUMPJtWE{M7g@8DA$SbWs7oy*xX`K z-rz-7C{FP2lG}18%BiJfh_XL7%w;$gWqwgP7@y(`CA?(&o)W8XaFX3QAgmtK3Y&q( zI>*aD1fOA(%diV_uE}(7rc9qVB-15ix=?ayS$|AETc&H1<3RDeuG7n~$n>H9U1VCX zvg^UGNJcAlHL-ct4U)n8$pfs^#ojR;W^;x0Xq#L*TEYu1J?TsCAuZ3g-dYprHJJi^ z{*XYIaF7ehazyd@w#E`-dyN-U5!-+E?;^I-oheT3h~Qf|?^WoAG(X|cCFX&5M83a! zQ;cxSgHgn{-qj23&)waHx#=>@h^yabCxpX1*}qL=u0834vR2~U0Pna}$bHvQ_3s~Cgd zxc>C+MO>T>IeQ#<8Q2Nr>H5EYTQ9WGdukfE-o*IAOtXB^kQfJMIbL^@59qSi-D*Sv z)zOIDiHg*0uVOzhwIane^3$Ows_f#oZX>6nA#{}KB-2?Q{C>4wT3)o`2Im;_Jf4{k ztTRLwt8lVSJ>4wqbhk}6;A&X7)VrZrsx*`&yNJh0@ejtD zoTT>G>Owi8>&f*2olXtrE4Wse zHC`{|azdg93nJ4~otU0je!k@8h4NFezke6`S?LT*YS*dO|GWXeNbn~S2lv_J0B)Z< zddO9M@BZ<;i@1P$%Kt0A`*YCC`!CDwyVSL-!{nvZZf8CJii|7|0L&)oz6dl4W z(GMQ{`mRx)9KT;dK5AmJd zD+PRp*xBTfGc41}nMiU8v-#jFO?wFrGh|~j>Ou~ z9&1DHT>ma(!dLyfXv0^WHl%h0apE&@m2_^*kE90sx%H2BuMRVDMZ3c_UpZ{zhg+)1E(oGcrTKfZT0I!O3f<@69tonv@6vh85V!B zeWn+FahNXl?~-Dyf0ts1Ips)gCgS9hoz~a8wz(xU_(OOP52PEos*~Tk#tWz1L`TBE zRVji+qgTjNy1}&Kon9+$^jh(*A*~3sBKC$7E1xly&@+75epErfa>@G6w$3f8ruW%b zd(js!YK(su)wtWgi)wsURih!bSBX8Sa8XsgsKIZu|6up3As-1O;|14_;6TZBGJmJK z@gCEScYED&99y`!a0Y>)$2C zUH)AP{*=>=)c$OBcnLl=gL7@YIbkptfBb&;y1}I;`I=l;Q~gm_-Kk9!1i82$YuH08 zt-BdU$~?Ka$+YMFUVCo#+Vg=S?I|_62)_`c^Au^1UY@7g6HhK);{{y?i39z+D8d)~ zyC}lvog$?6o$(>CKW=CXPMli|Zr}MocdrP^f)jV(f(0iTDzHLZhNam5cPj8fQ-Oc+ zDsYQefe#I-K%fGltxx|zZ>#~qE=8;XZ}k!`8j!vTb%Ku<4fvYVfYjbI?t%`G>uf-{z(KBSTVNLdqKxFDc^; zc_|^U2re`gA)oApTJpQXzl)Gx?SwqFmyEf9H}m$RKZD;(9$>c(60P2UbmOTUv5?90 z9-b_}+?!Ef)+*`kCf^_R^8Ily-ya*2Z;|he!$tnn%d9D~><{>9KxqH<=E~xa+0tLg zpY3H`WdD=?U1a}OC;O?LX0D$W3;*Chsd#Jv9|yuOKX)aUo5&_}N)0d>@QX;uY!9v* zr9Xt*liO-{m?nI}Yr>sg6Fxbl34tbrtD(C&OjMg%MhexS!7T@+j}z5;1c^ z!ASouYH+$!gVfeC?ltk7YBmJ$d>yB~18=Gg9r!6EnXF!2A*)4$6! z<5ONUKI1jx(?gmenvrn|&;8gjjbQQV)bOVc)7uEOB~Rhiy6b?_YO~O*g{aMG{$122 zIH)aZ^F^mNMSIb8etN)TsXWa;_%A*NIE9CmBDwI*&k=Y=Np2KMR*Ck|+E7`&rn^le zKI=8&^Iju9H>454SP{){^T~)%e6LWxkUFm&4HqTk{tPeYBKMd1cai&l@$Vw{cR0CE z?K@FMa-(?%v{>4>%j`!Q4XcMQDie~;d8E+Uy<*3`gf7< zE1f(SZ4}pq@~mtAoCFN+6N3RhI^~%nxyiyC^1tp*00?o(gA#jGoWE@1d{3r0e`QFV zMVzy~{0b~~ydv4*MUkRek-A8zVv34pV``%o-+uY|DRb20ZanNZn9Znv-My(b#~k7* zXZwTQD2-AyU9!aT#%6f1-~R`zk!5u@zGkBPRqt%v>z$3S4~cFm_gnAHqE*dszw^5} z#ZxECH(7?dUW{<@`JS#=7jkR-yO`+@`FAn(A9T8r+Ni~40l@(u9)6=IqT5r0IT8Kz z?v+Ds0_Uk{uFwPr^APb&Ie=+*yU&Mw?V`d}uu-!t+5t{4A@y!byjB>sW;hwJ>oJRm)>t&=!A z^cf~9wbPqx@{%p1!YcnRl0VwNi{y`SlAqe?ErbVp>|=q!|3JmJ2NHZ)w~4-`$1eie z4{-1K8AMqmeyd6R_r1jbz)SqYLlR$V0#O@?Z+e}lV81e0>?VcZ$fQ-J(M=%ICq3Wf zKbN|vgnnPHlq%`s)Bk|MN{gEQC{LjBc}UzDe0U3 zT{PnBP9stq!L_k##Kk84WQMcqfnB2k?yZ)Yw4XEZOXIzHRsT^F{U15ek5~17JS6%e z`o*jI1>Kv<7U0PH3Fp!c!5UNK_*abc!Yjgklz$iDeuER{)b=dg9lxv}uAL6<{PF-l zAcqA~c=Y_G!*Fc~9{%5($Mf$d=8t&`|Ae>jj}M7?;NyqJIPY()&Y0rrse}?1UVreD z3KC0ta4uOS{RAH`lKyS~E@u2&PV!S5w1t*xFZpzAcsN1#3#d)gp8U+~$uGU0{9;H?N(~SGr<00@hk_k%D4r1O?V&nwM59A)qnCBjg(LmD=)xTT zF1m21>O#IMwW*8~|9;-9Tgtp-n*+pPhKB*pt#P|7Q3Y%asFVN7=)xek#|E|b`70Cr zC%xeR+6(?uLxNvY@RQa3V!_W>r?$nyDL}o5ZIN4)JKqa71wXgKzl-31#=lDrpYCd1 zRliy;>#bPY-kDq4Rc!*F%iXZFskOCzuKb}p!xHm|#*bLI5brsYkGSDYz%bxvR2 z)ow4#nI(!@KJTdR_JxdTUvkD=C79m6q_wSslDyYL996Iz+uo*M={ zp&hwVt}LY)sag3KWUnuyEq8q#yP*BdwpNtabe1C27tH0x9d!)yK4xkAlJ-`z^h7zE z6?TSB;LuI&1 z7+Q!K`u8ZIzfTzYUu9=#O$I|{yiXXKj~JSKjq&$1C_R@jbZ6NaTARU8ahMW@R>cgp zLqva14BeG5^!c(gv@V08;@>JmTQ_Xp6g#3F$&O<#x1q@ST++FuZO^%$RVlx-w4?cl zgk}G?>?}JlgJrU26tFDvH9L}9NcJX{{ddB$KbDz$$qm;dvuvutVx%K|4^M$E{o>!|il$lfDm{l+q^ zos@fNW?BZz0ykJ#7Wr)*$-ehqWd18~ltfpvD)uQm%MQt4S>Wh)w5b}p#ld`)pW1GG zIZj!=?&U8C4%#cLz|YS)hGAX4%*%h%GZ-eTxN6C|nwwTdTDiP_FZ3`fMkE}=w+?0I z*bx~V3tWG4EK!8|Z-+Pu+qditJGPi%4S~-OhDAG%%lArO44)A?hV5T= zhRw)eSm5!4VbOBW^6I@&!tlAiW7q*@XV}aPh6VmU7#1z|EZ-X!dn$0}uw&RkWoOu| z42A{XJ{T4)^(@~T7ZfXSd#7XA!DVOI>z|-&RYUviErE5IP8}~*Nc0|IjBg@XO`V4-Bj(*D`<&fi7 z{ocqgeE8$!>*%uctFf40je(!v*R?X_SJ&RSeN=((VjaJZEjz#FX7DR;^ZUBGnnH!_ z+A9s%jD%q`%g(TqG8h)P`7mG+!J zfiwC}C66mR!{%i$EOhh1u#SLXUCCDgdy|CWr#l?OPAEIWPRU?c;O6(OSg<(qD!On5 z*dMXmUM!xv zJGU`#-xoKn>x;KGV4M6|lX7wazd_(sr=jdzs3_t>p(=37g$vPsMRTKf0?NsR#)JuT z%g%&-GMEr}+QNir5288wjgwrioJ=?=VZzB}X9B-xkM*HY6?o6WglJ!(x$%`z2i!g6 z)Zvt}Ga=&!;;O(S7A8b{1a|Pv82i)4` zB%!(NOvt!|r7G}Tg$dD`eseILEGH9M5+<~koe9N1JXNR)d{JRSv`*h#`^wmawuA`_ z%Fcx1Usfqp1>U7FAv$K$9GrnDrz9*)m~dLznJ^~9CItSXFdW$p!iCez z&V{iVTnK!|mafi~@u|M%8o%mWP9`i$n9xynCX6d)LOyUAg$a=;G}pW`vxmhA6PA>n z3F9-E5V(x3m^?%tW5+8rI&>yHSXy=-RA%rX@EFO1$YJaVS1QV>4a*WHbd{Y66Ec_( zc#LF1@T#)&VE+sr1l}Tf5IKt-!727~ z@?cfMgR{%dgGm`Y2%JUoAaW2eFuXDz!s>(x=aiiZ2V^iI@D=-7;@!yR;0#?k<)9~F z!K=&8fAgx9lu9EQ1AspV+q`I`n`^li$lJrxcu* zuwYHuS#Wp;3j#N>E8fmp{tAr=YZDHfUv>^OWN;wx5oLd>Gv1%_%P1{yVM_0}WZ$ke z_#KxE5;nZ1>}+VxU_;<0KKw9uoi4#5bZ$WopEUAftz0gj%bov|{{C&X%K+&!8>sgK z^{vGTYPiNIWiEHYDgFIVT5!{sxr&z5s_7vFZ^WzWflnLjyXrhqxy`9^kM% zFSxLbk-40d8`6zZTvYC8hYQP?kjrg8y1#$N-9Z`qYW;RC3vl}}Z7$c`7U1?*x)(1G zaFaB@?cWM;2e>lo1KfeR+}z*6!mkK$2Wfsgdjs5LrUl&(1Kh!ii|R5Zmz&s}%hj9| z&`ovu{WQQ$)4FU6d4RrW8B<3EbcZ_J3jyvh#ZB7~;0{;Z%>4q~bS-yB*xn-)xApA- z9m*t6qjHZ?y6p=Cx?|brpbP6V!<7;Co2f)7YgJmm%3lQeQJbhbArGou8CM2$wGJ2Z zrOwqktV^Tj7s=^TmH(3#<9d{Nx!lTKly}7$thy4@wVy{_QJlf5KQSHk)ABP|btX0@-tXs~w2xn8%CX^!X8H zelK1g)3Hw5|55k69YU5J*A#U1SPe)kjUP#eR4Cow8x@j9ybjWY!a-Sbz(#^#9!N=;y zJ}@~onC;z>>R;;i*0*a~gGpyN%WviHeR~a7+p1}ej%Atr8O;2)FO2EhdwhEhRvR4C zvEPz*I9S?ocZ!bwgtKdG2Vv3~&g#d0n=oC|8chCG{vsnkCkK)rV9C$nEWh@(o-YPV zJFblLV;fCg3>G^05qvB^^48?VVBvF0URWoyrv|frhEwkeKiGQ*9K2Js41=vK{7T9Q z#7tb;)Ya3{(b=*HcfKxZ>VQX;tH_I&SYX1_)GZqhkOLsy#Lsv)alGHy{5$&FZDq}KAIn#$+J=UPdgktu*s4r&f?d;v%milx~zB%mJR|vAEP_F zslWfRKPk@O&KVHIS&B2b7v=u^e#IHg{B|53<1YPOfB$bWIP)=>2IA=h6=!MKOiOF= zG$2ZMW@lH!;g_XA(_U`ibRy9mFbc@TenLj@7uo|D`s-M{3y| z=bNsyTogwiq4EaHM$=lF*>CCZfA$kfXK?2<_)hSv`4}wBg}n@MOP)oC2q$wngQY`S zl+JLSJ)_5?bGa7GEhIk&FL^qbI~E+^W3c4c8{^twYA1o8d<@<0 zWBDzHP)&CE8O$=KEh3fivcLb2Fn!O6kLuxRJHRVfhQSB@80G$)X>&P)hoQ`q-l;f) zE0+TIeZ?7k@Fy`3-Ksc)t^bHM-uG_FloS=VNJl z&xZV5r8t9E--Kd5vDGwoK`B|b(++_v8;&hjJf`EePzqZDU# zCjBEqBS$zt1LO?geE7ll zE=w$+O$vs2zV3_apvYa>)K(kEN9)Rbe7-hOVJMJe;dqU@%K*k3}!oaKBQ@_ zjC0RK8JD~K9L(Xc`8|rW{P_Rzc8?>>{I&EZ&_kX8N(;6&va4Y!`X1!#l>*~kyC_Ad-N+&qT zj^LaO5l5L&Emu0`$NpC}4{+#z!Qnq2>qA+RbbZPE*f-42`pEi$u9Ec!>DW%vS$kP7 z`KHRQWsr_-Bc1V{IP#o0<2`XqOPujuaNxP%jQ5VeEF&y;D|jh5;b|ZI!(48e+ElK0 z&~{<0+Iea5SarJ}n2h&Ai4+)8cFt!`9%h|(-tKS?X8jZ=>ptR4c4^tnF|TEBo8|4f zt@}*zUX+>miQce{a>a1b2+hBs059rF#ToqS4|(-Bm{r^{Md=K;;TgYBW3Y%F)SZu| zVN)!v#gna13mwivTte#QzHumL;#=U4cYCK4y-0_@`6@pGG@=CL{KQtjg5}(G7W~9k zi)QAQA^yJ>8Dne`oP&{5?!-G3XK>fAa=GL0R-D0|Gce7?Fu=!PPGy>}Qk-|7zsE_+@-(R`$ z;?F$FM&o-tYBJ>=?K$3~Y%~tCH&l@Qp_*{&i}(#e)}4>-9e&>H!gQ_0j#a+PY#a~# zHbWii-Grkw{|Wc{vG+vH>!p)?yKRJi+G_wAMj?5`uVV;LffhbO0}ep6t2B+$)EBKS z(h8O0RaSA2d*TtxT5*<7Pah1BTi-Q!^_^Iq`IFKa+&vt|@;=2GEJ6SS%_qV+!)*Co z+S=d$!dsQj;0s3pcZuQ*?%jd`|F?=Wm_qmB&lG26)czk|7lXx+yFu3Kyb%Uv?gN_E zU@_#!XW(2rB0AR&tIK-y*!a6Nt--GaUnj3noWa&F#D=(OpI16-Z|4y(nt8<;%(8y& z+F>x4sm&=fSgaNLnNL!`c|O1O4weB4ioz$sjfF$Y^e5`G3$Ao(oXJxS5 zmrhcg<;VYzLk{^E%>1@KtvHi4Iw6la88TRm3V6uJkq-99|Y(6!(@W0VzOcV zF9W&bpY^pG2`xi#$Xjr(48b99!MQSsV}B86{bszB!QJuH_$D~VKc*$$nAZ4bd}E!B zf5syjw4Y}EXhdb~Jj0LMW<-NE53`upd0Q0>luv-g7fknN!eIKIkNrW}VDQp+pgPXP z7`)^$sQ(d4XE2umPk~wBW3Y71b&4}sx=C<2H!149(zk@mD;&sgD;^Fuc!L+TWZP|M z$x^h$?dwP>8=NrUKOc+lnd)0&@akUQ5`(+35IJ|VrZxBsY=*adR&fSfS%|Tb?M!V7 zEMbm4a5{#b)ytgz=5o`W(e3q3!Q@qBAn%8U`HBj61SV?1l#m^$FeQZl`DhvV|2Yih zcQ~AbFZ?rBOEKT&WAG(Q(73-S&fp%bcB~XROJ=Z@Ps)Vun*RR3{7}=b zcJM}=UKj~W$j4xjc*hA#%eYC)JRRZnQ(!?I&S0jkgn03>e3WY*$9UqDjvZ3X$g{LV z=wVJ*+1k`^}0oc;km*f3H!T!Rtq1qIZMh z3|9Y4)*3QLQQ$-vE1oW)Cv9i8A1FF2v=YRc!iX54@V{vlm-P7eOmp+8FDjwSP; zv5Rru3T&Ob4f()=@G)4~rnrReQD`sf$7imCh3*B#g>*@Npd&1F&YT(@&qE~VD;!J~ zMdy1^zm;FW3cvNFx`AL$Nf{jA26DD>>Fw9b^|TCkOp z%>TB_%lO&v>hJ&VaHTW&=wBmiOsx4BT!%qp5~P%m!Su?fKBl;Y4vyBO;}uuuVA4%< z5*6a6UKFQ2stLQ_Qomfz;3@ELrk|yB2D3dgGV+^tUtI3|MOglQT5$$b*sD9ncmsLX{~=M$dnyp`KM>QGkO>Y^s#@&DDP zNY}Ti37CQZeAvx|WdL?K(lxJBI{xQ#J`DfV+pRcaeBLw}j~+&xni9fG9>eql?c!rF z|NjLB+i-+A2dlh|m>|DPke_qVXFK?qS0jF@B+VJj!y?n)r#OSHjl>#VE!sc*YNazc z{|C$)VUqY5Of{&Hx@etQKknF=Sups*=W@A&f3Il`<|5G1n-yoU@ir`D#ueymr^W`4 zJ=fz5o`BvqlVY&-3-hzOaL#LWG5G8zf4IkB>p!M7o~vXMgR)%PX#$i7E4x}%vwOW} zQ+A=*GmiI~eOfMe?T>JB?Q7AnJz8_U_QQzzlu;;7GeAabkkLqobMVLuaGV8`UOomN z40oI`AA`?01TMB45DdQhgODV&oR7iR+=}wQtT=b~w#oX%dD=kM1qO$Er(19L zbIhYuIVRlXS7Hoxw_nzUIiLznnB#|RL$!FVp08AuU-N#$zFIpi715tX>9Cf33|{|2 zfB$`7P@KW*f7ajsBR7;7y#8?L7xamb!IZ_ZZU{4&ayj89rHgQPD=tdAIG_V8X<;Au zNLs_S6yqx4AoH>Egh8%c!J$0CxpFOUWn_>Rc?-^!K|1D5oR-nj+(q&=-W*#;-sB~9 zvZiRR_WfZUdAsj5Lj^|ytRoNi5@4u$F9Gvig}&$Au>)NjC{bwl=8pz_>);Jl{t%79 z7yTHv&dGqm>t|phafjw-@Oo&49Ue583l#IOc61IVznZHRXJv3f~>L4=U>SE;y&Xp@T zlqWb>uHYyPR8CheaV(EGEw?XUU6*GC)_Pkv1`LNMGxZw3?gEs!Qg;`xyC^A=tU(g^ z?|j7lf^2IV#aXSX70W7Q&TvKbpCX&2H88i%_b=2Fvs#{0h>)kFx{nTr$8+@ee z-j1$&t?xR6r5^WcoeX#CF&JK<&U_3Ox}Pe}(n#H1T8kH)(23^^FE5u{=Vgv=GK|Hp z)xIeh=_sdDFYso?)mteM{cX5=U(~V<-T-&WK1DFN50X^*9i=mPeLDu>0~Kd5)nh75 z3m=2E3dFd1vef*wUP?D&evQqC)Iy01}Y)L$h@wApZs5E#C=EC`u{S;@gG^@85H}f*ZS=#keFrq%GID@TxVl5wGkIRoZ z=0lw3*N47t*|5HQ?RuuUP?^Rl4B2x&m_4buyMu%E#>8M5!Da^={J3SP>x%h$tEVzn zf5lVTv?AC~H`HC83ND1K98~zc3^m15%~PtTTl{+bDQ=-O7-9_-?48ddXN(*8zW{v( zPgHOY-T+RSX)*Z1|MnAVgQb7Il1e)}mplBAire7e1s}-ej(nZs3_f6+pA{G^OM6a@ zBb<#yu6`WNCjU_LGq?c`^8vq7oWUbuK5T)*V0!koQ?L2*&P-Kw~-+@@O;XYG(OS{x2}PX#Rc7vfL{!MVCf9Z()|GhDe=FTuI`iR_>b zVvby0Or8YitZ|?oZ~%lyn9Mj$J4Q|1?T#lbZjSa)?Sf2$e1iC<3Ash zMZt+oa&5IojPZIujF?>sJ`+Pn@epKcbrpjmABj2DC=@4#3Dw(-5yHL;Gnk@s;5kZX zFuQEh<%%{!XWKmWBt!e*FhcYcT0{Jgc}c?em8!&f2Lxpg5~PmC4#+ zbrqbev(-U6LDO1!#96t-u{`3m+&dt8c_ciPKnvH=cnFX2(>6rQsFYxCrO0g5}3XZhcJY zER8VBr4<}il}vSMEsa*6Y4s8n`yfeJw85JUHXfem_D4O7;Gsy4_xrDpoNFZo-fz*a zVv-uTPa+p_bUZ9l`KY6#gaWoaM3);Fx6yYP-b8~My<$X)U^04JkEXSF!Cj^}%Udh2I7_3o z4{%5$I7y4IqZ%1^NikpA{PGkCBoSepD1))^XB3; z2(IfkV`ilB%os3MV0o;_;shsI`Z!mC^BDI!Eqnw(D}0$(LM!}cFKh?X44~WqbtF-+ z$H8Y#y}8^OV~gAmEI#m&7`Puwp*U&wGSu;7T7O~5q!bzg@-+JK5}jATyUoNN{qMe$`BtV zMxrlymP-|6vW<-JpO3{`TzY5`Z}bgX59;8dT90XC{Lq4qr;hJB&krq=K?ON#deWn; zt}8uiywm`@QA})^D2~->deU<7xMt|LaM0;Fn>h`G!sZ7tcR0(@IrySy(8HXW<1x7R zB}l@@6=(3;HwSK$gRM@aw7j_*YjZt=dGxjk!z~|!t?p?Y>+X73wDa=f-VL<#)i9IC z7AYB38IQz3$&^BI(&G?f7H>jqu>5yIZ1ANJF%x2gEnk|(>beGI{6=3(n~4P9IoonZ zeX#*!Ia9$Nb{GHi;Q=*%;cRh⋘g3t;L~iT-MOYs5fcZtoX^0kDq`rSirTiD}#ko ziYLko4{kV@K~uxWgGAVxu2h3Xvx@l7$Ko$-#cUsw2|fm22=-5UNpS}EZUN3Ilfj!{ zG;MXmU@MEML!52zGoG+kpHzK${=LHU0D8|(u6)kx-ZUn_@EN!Qhq*i+De?|9F`}U$ zm5?4j2Cs)X{L8(HGnoH>eVxYM6gqTXQ(qXS#ivy?71R+=%XF_&rus}S{6)gE7`a^*nIJgfVYdr7z++gmX zng4IFH0UyypG(UR;wRtea1NF}Uy(s~Q$Pn;=zi*OWH9m;W?31K4c_=6O|txmLmF)+ z)AFZYId-T^v&HM}er|+YSu8gf`>}tdmM>%BN~HLdA2@OrDI z;w%kM0zUUe#Tm?@@I}#3pLY+8V!$ z?>Bx2D`t>cJ_cJq6KCz<|0x#|qd3B(oBFikj7R)5`1?+-3}(4opHw<4clD)kiRLNJ zU^$#}ZwBtMxD4j^#CsHHGNvl6IO%K12@Radi{Kz9f^+gBILL(HoNO@fZRl4qFs^@v zZ|Dc&tUm+?UJB0f)c7HW)$xUNY&+?U2i8tiT5AV*iFOLkwU=qxPNvoNCWcy^>oEWqqS0;#j}x0$Ll}DfqhGH>wyjyw+C`p}EF4ik$&b*m1uXnn@~c z+aL9FEqFEL&5m}Da*fi&h~9xlJ*X8UJGY~uOv3+sh}+R_**Kg_!*i|n#iPNN2Ps1w z^N@8yS+%@Y8$xHVf+rauqrvB9M8TwPd(;oP){tAgI6{8Nt?gHPDmS&%{BX(v3jp>} zn!#K)+UDA3xHX@{81Vtt59f~@eBpEOf{$06!NQAM6lZj=|1r|OS#buRdn9m94-H<8 zd)e%;j=}8o?aoeFy|m$)pOq(F=*qP;+H}%kjj^8puPf$haDk)oG`KV=uMFIFq2CWS zRNxc2HAdm-XTa0>0Z$z)b^k5v;N!a=1`oOwXE5u&?ZOOP$OGgfJaGA08n)Nc3Jz%m zCuzB)CxZ1>Wx_rvWEe8y8soLWk{-E0+`EgH^pw`(S;^Qt6$N~|W>2$+ahH>nnTL9t zc_`Hc6P(}{Je!t1?&a1{n*%5FC`~!xMlZ{A6csv#rxFGBU+1kBmZbP_^qRI=Q}Dmw zq;|l48#{QHI-G;K`JQa1J6Q5q6w|GT_mdo1bMShM^2w1k2d@WX%_A^aHk5lcKZB)= z$1`xQ&X#sP1aZ9cW({WA2{&q5qa$uA>cGe7*5|SJ1-#-ECeAKOFqnhUW7jL4!PK}X z+}zjrpBvf!kV)n|iv`bs>&*ATFtkD~~uWx37@T%gFLhm4aa? z*rebp?{-Yqe3}pRj+4!{Z}t7_JVgCE&K-iwGtCX!K&;H8Nn&9SuWvS-qs(d6{}qA7w=BcgtaUDH?g%G8Ns&T5ZPR%Y7VX#K%@CkWNKGf zCW_OBAs2pe_!Gq$+&mM0-f+bk%oCv_g+cgM%)$Ae!K|F6bOy`G$R8Htcn>fiqre|0G+0Cc>cYohVTltFgEebXak~O5;JMt5S{>>RHS`;(POw#C zmg6CB9j%7JabU9vU-49l-_87x@6D(*`jwBtwcqvUwG5VuKBROJ&dFs%k<|4r88KjLW1kdi?w=>uMA7(%En?}nHf59*zuyD_5_vL4!JxZS0rvPxI!Gv zt5|+@o8k;+1$MmXD?^x-Q5-6xOWN<%>V(7RW?vnSs7HgJw+4G@hbwMotzS(ZrNzkj zxf#(g--+h~@tEeuHvZ>BRhtQMWY+vIIG2WT37y07RQ0iQl+Ix8Z5-`H#9+%eH9OK% z5AXMUw@s_VeV_MWtp33*{Y=QdtM)^=p#rzSdN@#Sa9S$& zq#y9H?n>Et=|rE`fv!1HH>CJBn&XCEgBN@b$2h?PJ_gg|+oj?LkDTKB*I=62n)hj1 z%kR?v@DoFWg|(eAoybtN;zBwb@*|v+p%6FqNlj~Yp1%Peu=7|A=A{LH{GQSoOqF{H ztm0$!7q8gb!LCPLg}YW~$~)?+U8QNI{wp00|M>_Gbrm{SXK6d?s=cLjRtN1a;`*wE z6(8{O4|ek-$(klF|3q=NbYYZaAgUKn_Btv6h%|OLR6XSmiGJ$y&oP*!+ zXf8MEbj2Bb9xUCcI>i}0|0-BLH`*A?`$Q(8&-fTDqxAh5IA;khUaIQo1c!L7KGX6u z;d%le^aAX-P&s0mn*gATR2mBRM)KnP6!uNw(?-F}loOS(@tZrq3D=hf^VrN3r`!hf zzujnP@D(3|zkH|WXE0@?=4!KEEc9cF3;wHff68oUoBP5+3dD%`7d#N|&^T>f;AJLX!&9dnz<9gVSv^*CBwT);?s z@Os4^?9`XIgWxxiZZcL$_z*X)OL5~a^0=|UlWy$S6lZni!rN2eB_D$?`Xtp$5dKG7|bp6{DXRvfV#E_4{tW)75g33i{U3(=hVAAJLQaXc~mOSHQby<(`O|PSOG<{kU@!XE4)J4ypR}GC1GjZCev$5vD@*9F|a@b}SVS$Ds2k zYF?*dX)5q2z4ESRDlwo&+4J|aRK)rC4S#{W-mN%;8^+>BVAuBs^Ma`P&ncb4{r!J> zBaHLsi8B~J5BgBQDl!;*jB}9|{wC=ZwmK1qGo|nha4@J=O#6p@^CzoiZhFiQa6}!A z5?(C!P3QqNy=d{w88D1;(;M*L#R=|w;2!gFRs$KaZY?hTr`|VNlgnNF(^7{XCFWG4 zkm6;CZ9)o`zeo!3Fmb29;OHED@zeg$qrsLh>!~NG>V#1r@l~CMT)EwTlxGxC*n-)% zIEzulTfD2l*Rh{)B`c?K$|zpESOf?%(t zlK8I$GBt3ptH~Ki2d_T{L-S^(GnilVJ$IJk3|@Z>Hc;*{I)!;O<*~0Q&R{FwaaH=} zqrNT1(cp&hbD>;6!nLJqBDEW>*;0*9f!R_DR}{Ns7Mk;IDxJYJCoG?j!B!_UvEYvT-|ky@psq-TS3LqnUn}dXNBUyC z>QUphoRi>MHq8gl#SzP;tEN@>@8VE)quw(4@#3O`3)xn{Rh&^uvm^$Zr4+7k7KE{l zh1-Q}2J^pN$YyXIq;(l8%g12Lmx7I-)Lud(l&>YlCwyi1RrhPxO0Qc)VFtYGs$$)u zi?eH`E)Cgt@|=e4y2{cpdmnFcRt3i0QCxWNjsAY_+v@kenD<3La-pU*n5Wn5 zCuj|}@-eP7^Q(OkqtEy@vNdo|o(MjA?WXqvw*NWuZ(OHci*o-A8Bhu>Uo${P3y{(N z4(H&$V_`d-ZW(;V>!5G{t#k%o{Xv}Gc6x5`HMgQHXCn-@^3mOLb*d~weOB9p*-8#s z^Xk;c|0Ob?5O@w=mEZD<;}h8@uus7iA%+TW;Q|E{h8N)+rwJ?f^ZgGYqA*Pjw#*C_ zte2yz%qtxFPIAk9+k9RqQSB}pLAwi{9<02g-d65s#Vbx$4!2o0n%2_rH$@-4MClA( z`YYrKbI-@%&Lf~O$b*l;9P=x`r8uMO{XUe<*;s>l+@bpON@pP*TP*sNW5)3JR(@L{rS*?jBRjVAI?bbF%kqj(6TquqkF*7>q}zg}?9#4`WrW zda8xn4T8RHlOD$xY+5g<%|4Ymn`53$emn+)$D+Vul!H?bs zOAr1)(;BW5l4@7#7=3p?-gH*4S?Br|+VeuZ`r#LG^q(p{a;}u2)+<)*3SW@!OVE)?gFTbd14d$=? z+O1*+^Vfb)*rap@^Vfb)_^jd#K6odz<|f4%Z2d>9@m#dV@!ohT8sd0ryc7*_JhgU; zm*m=O?G$a0_Tm&}LoJK?oF9iN;^)I`Uf|bEOj3i(y+<;YQR06)QlRSv=it7RV0ivj zaR&Foo1!Di$KaL7%T5UzELDX;;$yH>TW~nGEw%o<)_MephC%Up6ux#@UJ^Of8-NPF z*n^3ZX>xF9IAo5f@XHM3KvbA;f@Z2kV2X95Uq3NePI6zYbcUOcPpRzWy20IV#>xL{ zl+IwDYPa9oFqnS7-FR*Jk=}eQgGsl|m23HN?qFXR7)(0*AjIk_r^Q`bD^D4u^|Lg} zA&+Bu$|B<6f9J(v|AO`h`XWcRV&P%OJ>LH|<_DLr8S{hR!*hbAiLr8UI&9a2TC%~b zF9Nrm$7!(C{wpz^)E?r@$Ks`Rj?UtxbwU?^I8x7qU-X*625>RuJg*rCDIa!T>Q@to zGnG-I0Sz$T&SP5&pAA_ZCcPq|dsi)vvC9(Y1C|32$zNV}eq;Tg2UbC#GH)gN??Ysa1Sh{*%w7Ka0tvq2r4B=fERh8_j!xCwb`A56%ZZA5sn(xLAH$3+ zG5%vlWsB-8cNYwY=qC*b>0EsCYyC&&26Ogl;$<)`U2>b3gDqd?XLS74XS?XvVA8!L zg9_^4VAe%(v0W(0*!fju2-^e^V3)jjy*CVwAv>S-hQS=gDIRr{yRaz}6zRh9Glp7T`f6(pQ`qes=bd0vp$fn3^P$%9RuQeb;z+mSex>7oKH|DPXO&l+Xe>ldYp*yN^O2v7`A%%C zuB-1xJ@ZOuFw3$!Cv z*Cz%u4?9Y2Y5DD^-Q{jD=|{F`eg<#C!sBpMmyf|#C*mx>bFjemC}fn6!5b&wM}DqS zoWWeAvb*LYoYM!Z%WCwSeIaD9eBSIPp4KM1>DD&OTRTC^6&&&woGZif(QY6ew+3Vw z__`kkFyCQ^Y`eqT9J3QI_;DZN&POT1jx*r5ep+z`ukQ6KOSAU!AeK z>lfY`4wNh+&nO-ub&)#2E=v9I zpO3}!2V>2~8Eo`e&hhuHGtoDFr5xA7qr6tB;HykmDKc%^Ffi?{o@oX*e%9|M7%UZ7 z#1c{I$B`DWR9>y6&eAqrBs_1@x6q>yaA*W#HK1Plt!%PB36 zIEv}k8+}|Z*U{E0`>YL8fp7Z?K*&kG>t|lWtO7R%-=B_RwnnNdw)0nl(t=T#R2u*J zQ0*AcA3*x`se(%2)eqo@sGNl}m=4O;UpqPnGwtK>9rze5jg&I_Fj>HzXkzW|*XE$3 zl3PH7pM>C00)Fl~&~M3IpbP2`J{c{!kCUQsLao35!5F*_T9JAJ-|_vAVO+lS52mn0VF=yvWU&A$DdHhAHesc8-+>W9(=dAeO2rv0 znz}5eTk|<=dO>pd7`%QST%Y?CXE2ArN*FpmmXBz>%g^Gqs-(i*wT+^L-}RkfMk&|< zpXDq^@C7X88;=+zSi_Uv85ku8OBJ>%oxxHa!C^&72H5Xu$ux4vQWB zFYt}nyW9WlQF+y>kjm+g=7;5@gZ#*6uq?^A(Z_IdQNr)E&IVujXV?wLLW3zw+y5NX zz5a156+NLigL!}7BNr>qVCurQ#EVy08>w`bx3*GomWKMHX~92;PcHY6msQM_+1_wJ zk0|gjyu^08GHb`Bo`24uYGS1L5K>@<#m8VW>xp$St_#;{*^RXZug0u*`z)n1n3dmg zsY~nf(ON0a;@LQ*gIwx?q3?US9HY_}o-9K-B2d9Ka)DgZ(?4mWS384679Y`~4c2Uk zb6?sv65gt%gNgVN1zGob@W^Q0=Xk9^&00SRhfq_$!XI2T)Mo!R1C);4yX$6eFdW0V zI`rU?YFWSk@2rI?bIn>SOL4TkjKBJKxJC-Cipk$|=Fz+`#{u;#@%&VL1=kpAv(V5{^ks{n?O>6b*`GBvV z!5f~zDD^X?Gnm@3>t@AS-pcR*hr9*n%CI!ba?*9RE?az>4%iQS5o1T4kLm_T7{G~3 zzX$sGM?#&#NDMp*rEuj-2FR!d8I5;12d~HfnnM+5@H$BBLb1X4VHO9oU7fWqt%F&{ zviXWL*vf|?uN7nP18)q_74l8bV(&{qs>p%o$N33@p^oyKjcwH!y!sX_8#^m$FujQ1KA>q0X8%0zEOvxDO6e?KYaiecFF2uNpLS@U z{?PX+HSK(eOmK7$J;WmnPdyZQTq87JdM!_V)hJw9l7Z^v@8>9v`bU`mfA`-WN0=Va zQ=e9x!Im#(r@ejuUteDXre$%R{l5NyfJovG2xvqF7JmpXyU3r)?t-8aA&5qe;)+K7 ztRNa=t?@Hxty(WHukW+g{Mpo>m>TPq7@MYzv1v^jt!P?JRH&&|;%;JWK9!9%i7~); z-{+qDJ+m%$u4}LN-ZN)r&Y3xLW}bQGnYl>5``=Q`d8+ZA>U7l)gVclfeV3(=D31bc zn;wivyu#8~xEu5HZyyb3%vW5qiUT%Q)|3$=Njra^PUAsc#9&Yz`%Mx@lIqy+No6Ei zp90n+$+1Xr4^j+c=80n@DT@G(@45w}Mri>8>@2l%4Vs3Y#c)UbTDlt<{rLk!I-_l zS1lNa@K=_!943*Eq!P{Xp!br8Kh{joHr z$xKtvY3oQwM-qBY+qv0xhtpGFdb}hZ9UN>dfL0&HnN*#Lfd>$V*szAmraNpCsfF34 z+Rhcx@pJL-LAQuzyz0wXPWYy1#+Sf4{qn*%=IKiYr)@`_IIZ!bKgILIh2k@grQPFq zKwB-EWmWx%=JHfQqB$ScP(?#NL5uwGa)*z;A6ZIna*AlijN5!i@)2{?=pQbcF-Hs0Bsbr-Xl?De zl%Ar(mWt?zBhO97>Z*0-jsIyWw;zOXdb@DVq3JxGUMcUG3979o>$rEs13-= z3li!B=1AHNr0RgVL)Cvby_A&+BV#|=_*Qo5GLkDlWb%DPmr==>_YL@fIn#LhybmEY z=DX6?>+OQsJvY5X`LUh0q#PZp`jG0|v}>-*m!7Xuk?*%r)Sg9+f%Yt@brl4!ftju5v;WzKGoYWPJ$2IYs}Z=mwaz;7J( zb!p)%@!U4;?Ha}*{Ex&}(>T5|I3Ecrn$v{BC2dp;(nQ5XT2?~LF8+OJB~*(Tu7qk4 z!Pc&bKqw%4u$$eb3P}26PEgpXvSRh zc&1A<<15kco`J*+#;bpViY|`XI4bB>@ma2@)FPi{h)ON8I89V)q{SHDyZRGFPrfG7S*Vj8oW9$zh*F-6-jAeu47 z6pd{k>z6MM^-b8He2eSr9+w`Mf&bb?_&#jH+5qT(BD-PxiLjNs(UP={}I0) zRtt_AzP9ic8eh6TQCh$_RQ%a{_^|x#c{!KGiOJV@-(Wsg*|Rr_W=y_K@9u!F%!+~! zIOHo<7#OmKnwFW(0J);-Enks=w3aNTJkna_g0>NPM}AQT&fc8UPN7~(%NmZZH42I9 z`+eAM*#EMl`+dYKzv26RXzs*kcA+B5lCE(m)mAEk0s$*;()gw^1bRgR?F<@x3Qc|B z=`?juKpv~!gY2zINB_|kt@uqPhy7zNv1K#MDU*@Ml%@~Aru84~d_;2^&Z*uwS2SbJ zxBBLbW*l>`+mmlIa7=tk8IF%R7|IHr^#-vo8v*_*7L%*9`ap_xxrfpta*Bi?PW)mz zoNU9g{w|N8YST-RC`Nm;Vy@8ccpMFl)E}u zD1R9oKexXUxM1^p`*chbe+4Bzy!kXRkA zg2`6w`=~xKGpTyZfwtpgLwEJ1SQkwPCEk>q9^yrX#Vgx;V~|8@JSg#_Fy+EJprtUm zvmqtZ9QuE;rW9?#kH&kr`f$&sxkL<=&o(7rImQnCahIj=QsHk z@ZBq#@sa0aZ4+`b7_&t>|Bq;OemvEZVGwPFXwL6oSh8Hb@DSe&Y7&54x_+IVluiPNm| zL|PqnWm0u$9mZ-t{B8JFF4d2v)Rsk7_rx~RXq9Aj5>hgrpLG1-G}2&|1ux$j?}xuE zn(^ux`+bCkI~ZYx%i+Kr3Oe ztYKM2X3G`J3`M@6L9U>Me6d-QS^PqVg~713EAYl#b{Hei(tSoXMt(q+_MVq76m{$K zX?*+0O?H1SN1t)ZtY^$nQd=h6w{TsUK5@K!UgvfBz&TOIRll=5NTh++Ga(#Dj8paT z+i;@vxf7}zSKKSQD=ocz++NXUNb_w=^6{8+4QM{_bj1dj%f2#jYDM!dwdz!<1!CLS zQL%NgNsuL`FI#WK@3--Lc96o;^H=~1&tC?5qn-;zdEdQ4e8xPfk{3!d7_(k@84H8UWxhTn&N%#Jv2oYSh%WHm9!YhW9I)-5)Y=ZV zEfJq(Su-B9s4_n{W}I!!*!_g5ZTGQT@_Wk9Y56R$@xVeWn0a_1%$WJPZw)G=^mE&G zxFp+nv12)Cm0|m&Kd_yKjln+YUu>sAgM9|A*s1M_vryZW?Sy^b_TsjYvsJm2k9A2| zT&J#&*gYEcf@iwUP9}IQA0g=6u>w4>p}ri`<3e>p!*CTOUZnXkoWkZpE11VsO~3?z z!I+1~9|f1sU_A2Q(6Mh3&3G6(Q^!@J8ApW_V>OP-30mtkW3H8V9Lc1=OtnVoVXQtx z7$i2!gdNw-m-) zOL_j6;xl$xq&mN4TQTgc5TEgh-^8T;MA3{b6CSN`Lt#8Iy`F8fKTU6N&5(p{GI0l+ zb7}SyOPAp<1JAl;oj5LNk!8mDQ8h-+H&ptrV7y8*>^D{nn(ZGZ0Vdp^({Ut>>0LF$ zFsZsC@tAU^$ofnO-|cz)8!I$V-%`3l^x^wm7Wt6;VfYNJCGY=?em%Em8h)hv%l|Dt z<7F>nXZ-b|883&Q@sXFt{PxJNLA(+gJqclllBol z#^bL+=ebsV#`|Hfvky2mw%+7)d8%-w4I0V|T9nH*&RLAyqUPKM5dJCFqpRC`Na7-Y zbz9GY>NEA-frpB;X;V&~TRjhnzlKb(pRDzQs^C~heoX>8o{zk~t;d*~a-V=#VK8Qo z@=a*w;}=UlC&QS0n>Hz}%acN)IUo8G=jSw}DecAWu?1%!tBM+99=z#}T*jMHe97JU zxZrwuJJ){aifiNcw8eblet{!3>N(_xB zAd|x-q4AvkaGrDww2g*wJ0zO=viOYo>l=`bV+Qdh@j30Vqw{InctjUwS$BxfI0nh9 zMRPte6Dj#QJ_ga?!?H8k-%`Eo1h@cB3G;!C3v%0nE1_E3K-XdrCw@7#f(r;*!HYkS z4{iQLG~=js&F%NOe7wY)_;(Ni^e2K8DVDooL2u4#>?U#+sz}xl-(TpXPXy&^d0D%53Y@r}SLXZRP86UeZXpo!1c=f%YT`roj%c}Xf55j4U>5HEawtucm*TUhW!)cXg4S%WzOQ~e9q(B6wJmFNF$^=in6-W_9WUCL z?OXfeGK#XJZdr>=zK!3-6f`k+cKg$C^SNvhJ~jWoXvP=q z3L1tm2ICbYVLC60X3XMrEU0HKwC$VH(<5?b@BH z8CiW#-ys@6Csz0LAt6Vg&Y=US@b`QFYr)&h_RTDLQHF|-rElTx~Y ztE(2us4@DG{2*f(Qx#L_#xJ@gZ&wIf!JU{~c@MVnqAqm73&dy4z4^Wp%9veZG>nMB zIJ|t&FvQQnkltwgF2$yd=VN5so{Fh{PaH{2q@KKPi zny9syVZ58gcPZ1+RhK+i>Gr55kyY`dFGBQRWREmv@p}{>Ys}(BLytT%dgRtD+VixI z^adEKW1XlsHD}*NhzkDGkH~SV^!LbB(t!Ty^LN@glzJirCX`E|?m2XRNIGw<> zal@CgAuLXHGioKy255WvSCJwnpo!NVnh!$8Ar5Me!8pVT8s@NbXEADfio`GeWokpOjL|{&7F{ve`qWkTif&;<6M08 zc~j~0;zWwYNHL6sC%$<8_U+rdg48fxgNE~`OvWMFW#TgqF@qLo#vC7eO4)&$&6Ly? zRoydXNiP^Ws6^K2$dPsF&^&>gwdObq5p{nweoGu|#s{e%Nza3din?MAVD`rv82wt- z)js7xtU3n~#v9N7)w+ylurK5*S9S_CPQCN841KYPaKAI5j4l?xkD_=aWiVcY9`WHD zMKk{NQy7)Dh-S>YMy9_gnsL_(yjCy0$M{HedM}0;b2RapgsrseFnV3D{CI^AahxuE zifG2xhg7Fs`(JPvUlgA)7sCBQ!k8_!;RW&4G=E2Dx#H3B%i?nyR zxGbQ#vl^M5egcLs&f9)Aw2|vKptJ>gz2Uit<|bX2PVIvdNg3ja4c{316p9f2jAB0c zWe9&d+&PQpG<+BF2F5-HOPb#yg4l9M6jN2|~ubpNBD&zHZEym0mP8 zKJJq_0W)@496j5QfH!M2ho>s2bPBj>Mvk8L!Z+tD@iI1u6RONX_gi1YF8Hi*d2WF5 zs;%g?;&3-6>m&?=)YNf#_+S7V&O=EUD0FzAgBI&iFDEzL0p142CE z-H}V*v=tb}wsE;!=C)av31L25#Zf6-WrBTtTtc$W+m^a4(P$6b#yRKY>w!0pw!ts+ zQ$83m&cp%hUl+}o$B+K@Ri!l^`(u>#Ytf8HPQWtj?V=f5CQ{AUHW_?(h|iecTl@Q9 zW4i0k!sl}N72&U6Qd(o{NUGDe;hA{ehEhhsytil`rjZQBVW&|Ch%4o(VaSDf|7_aV$QMpqnPMIy^Pae_TIaRN&#!3oN62l~VHFK-Iz-!0(PpyPL#zj*b>?lt`Ug4|gE3otVzGi2AFW}2;VU>SqtMJp3z~&L z24m?Yn)%Mh-p_$Q7tL6D4(8*yxZ!5ejHUZ~_(o)YmlsUFffeuJLw*}k_YBOBSal>C z*RGqt%KDKvz~jo@h%a%Z@wTgBc=5t@ZQVPMH&3vW-4;1c`SApU{{0*$8qJRvnLCbD z*%sQm#F57O;|UD1Fj7*k^YOSe?PSr6xwCi5{-POksGi2oh2Zw(WN_TSie`N9i5U7X z6U}%$Y|58@8}9)dZ5=mWu;pZGm&fTql>0xeSI7BJNYvabJ3bst9F?jD5W`!*WwXoI|fe%b<2TQ3vPN{b*VGT6;>Ycd|z~LT& z6|l!C_{D%YdQG7fyrzk%08Ed;_?*R<_1_|zad_uDMKe}$q{X2LFp@@d-;@$_7*3qJ z*XC$pU%EEk@G#{mPNet)qyQU(@o>1a+ErN41i zUy$qYY$^nC;`dRcIGdEjd%;ZFpAyYDWGMO>hde>!DszZ4F~woo5NB3?{77OPBCMB)#vxA7qIzZ{wz+@Gz@+>6k&m5IR?;Im2|QUE5W;L#5b- zIFSO%b>fe~coll^hwl~5m`8a`{ntV(m`f{@t`p6emD&bx!C)*ANQsq!ko%yNn?jzC zRMpugAH${uOw!+TAk_$FQDPRDLo{W8jE+Y}BDL^3ECk!Z8q?fo93w{KE=)0d=(7-v zyP?iepA*fPt8dG`E1EH-UC||)F@H~joan_z&JCs8QfZVEuV=?(V|8GD_h~FU_hES5iSK_&rwb%DWGydc<(7rC3@ybg;TPm9IahTco%Ti;P1x;oj zaRm6A(}rrYbM_7@w;M*{19LHB7nKs4?2Krbmo+(cfUHhZ_)7f8p3I4^vH3$9xTZ$) zkd$UJ@;L!{ACUEGX(r}`qmpIgrB)jfR0KM1-Ifuf-^)jHh)M1gK9JnOKG4b`^o6H zSFqM1SeohrhvFb2r^8Cg_+?OP{9@c|z2ho)9i+D17_a_2*cW;WgYn1yJNb-VR!AN5 z-@{YtDOv;R>rJUAXpX*RYqn_VDO@e->qRuq0O*?(1;=COmaR@wXeFL|b6)zYXvW-| z^U|M*X1sh%9`a_)EmE)jv-pfTr)OEA2@J2%oIX^OmDy9M9M*mME*)YGp~*@_e;d(< zs}$zmcd3&UT8ZZir_FbWW^DeDCT2e$PHEsKSw{cAWW8FNi?UuzPZ1|pp|EJ^Hy)Au zMMWC?GC2OcKhCpTjLjd?;PJcBoH10IN3w1xAnK<7g&o#S|05_3Pl&ZN{VzC42-Au` z@6Rh~3Z^vV4{5?XACb~bgnw|th;_A7okRk}b(n}h7>mVE zye*pXtiQn`#(kn0uRII1D?~Hqdt37vyDUs2;%dv#r!)1T51=f{scm_|XCk$GOD$_+?4&FZB94bi} zAfv^|=*NXtFyEH@_8;T52V-_zTG4ndI*TtcH2%yVVXFBp#0%!}rz52-86C;xt}&cHVel z%=Y!0A7g4Y2^ujNQ>!*S5iuB3t0|Cy!PqiMLrnV{&DldWyfoW&k>sCz#2^iaN2a0S zWH>8oIGL^xAvC-czxPxc7R;Bv{+h}7GmqvIjB#jiZDc2HfT_V`JYO*wQyZ2qZQ$)1 z%{i$J3ZpQ!_d8ay?t9}PZA$-QZu_Ecsm;DOGFNAyIvv*(jnWbGckJy+Bc^2gt`W`H z`AW)}h-}UsDrNP?9ld}uj2k;h%3;ctxs5~pi$k6y*WFX55;=mdfv@93nQ7Y)1$@kj_47{$`Efvd!37Dj^`pv ze@Sb+_K@7tX1w_Gc&LWYVKC-fUwcGjmsRtP#GKyOzZ!F0sq@{)PVb9=r4nhe>mh3A zs5A@IL#%8pNhjiZc)O=KiAH>7fE>h$Urfe2Co#{&e0MancpBsJSSOhdOJ^_+8Q&Jo zIAjc3Ss2F{*JvIsDKWumti?9F=|kEOoiknqypf|>0j zkBde;@Rl=98smHM?9)$i5R5s`^m`0r=TY;`IS2<8oe)VI#>2P3#Q$0}<6)>quc;Uh zdp_4xjE9ZK5+fWWgE6bqJ8?{7S3Krr@fio-BceHtYOSInK4`%grW1{FOqvd>AJ0Pr zKTVI~x~{g47LyRCo_DrvRyln3A?601H&%&ey!h|%$?ZJRjK`h~NBEDT8IQtj+y^EZ zhfZG>pK<6Cv|?g0OIna7hAG)6*9p*;SVVd2gjmD*q3^6-QTjC}07t+S& z$r5|Mk}uED7U5wlv-^OGSC*&>&YjR}7~(DQ7o4$Zj=y*+%noM8V9cdZzF224=H=oX zt< z{0&U(2FYX0xADF>-gp|uHxH=BBazPg?~Oy};`NO~m!O5*$f?mhHoK7JzA8QPd{w}# z(L0tKu4(>XRdN^1cASd3WH6qFIjKiAV}8)?T?WRKb=GL5HKyF6#cS_)&|aK!)42Zx zEw?)5w!K$fzf26pi4^GK-DnmD+hb@PUuND~#j<&pBG~;O3poNU>h;1I9GP2X4 zU3*q#KXSW%D_vXFu05-e;=dqc@g2saQMW#!)0i@Jm;P@Y?HaU;=gtl*J0Yb(ecteG z*mJDO9WO<&ev1JF5-d1&htKH2ueFVi-!log(WMrwaw=uR=V!)W@(uKd%S1Dtb5Oq6 zHKt$nHh*E9Bey$zz_ltvSoDqY((;7?Hj+lKLOYe?H$p^h-e)^Hrdy(|kT3t(TtSVFQWB&C0^ybPP+jHtG%vWU` zbC*+*mhqRsE+=)1W;_S3;=tdDW;_i3u;W0{gwft8N8^BTY2!!8XDnThxl1&*cgQlI zzobF$+4yOmn0g;8y|t>>()&bdFmv#Imm`>m`K6a`UX|W6f8$i*W4$o`6x8kBn?*C` z3Ag&A7DjP2@9{-ZOtA8uboYptoqydk*57%Tn*f(nITnExmEl z1>3uQ@J(Ws-s8p=y`NB7?BDG-I2CCb&py4^0?~}wr}u%aGZ=GElK1xt!?u5bx>!#x zdJW+gE4jGq6&lYFw9N-BrS|KhF{aX!MNysJ5w5$_J*$_E!vy1 zgiNF@T6g)U;&7SfM^p3Tr1{8)sbfoXK2<`8uI~@hytEKUfRPUu&Ay}3SW4GVoQkxJ zzvQ``1sk(h^O=;vn6)#Wo)^KGza1AQt>Z$p{4db!Zz0WCHK1iBW7R;{PsGRC2>C)z z(qh+A*#C;G4a9P^?R+>lGh&)Cx)1DwjlXo#h=DPSgV{O*{Gw_)&kj-x5Bp`ca#ry0 zLvrQJn1k_hO!gU!M{mlPYQ`?Vs52Yv&683c&J>_d_Zg|qX=uBvhp4k_l%yD_BM#A& zfy7u^{4Yf!=I;qmgTZ*@O(~{v#Ue&?m=#!*I!9utF;hl+l|Vouy5d>mFh>itBI z7-T07B?!?kfaq^Sbk4XCj6e7rh<>kV#uV3YT#fhLo}wGOtWxVSLq8=&M~{e^<*6z9 znXu$V?N;ncs@8AVuE9E~|(h6Zem$ z=l*I^!}3N# z<7koZDy=cgwTi};si=sVOrv>fstC^%3pD4ZioJM=1fky3Cs4}_5d#&)A(}EkMvucW zf3wgEjy3Ey(Tsa1HkH&kslyd?Uwp=}nK-r#s;+Hqt^dRAtN zE<@F~yquMUfsxv6s7@Uzwkl3llFy@HB@v&ET>Lh|coiz^`L!$>XRQ^?%JlIX#ww0n z7tf5rwKoOiiUwTWtfSMYrh(Lhm67UJ=VDaiM07u#;w{WDG0$9{x>_`2_Vl*PMKe~` zq~SY<+2PmDPH{XXsRm4ob8Q+`B~CZ`Hm|1i$Y{)W@tvcJI0c6Y*H+>Hhd4pQ*%fnU zpLxU)N6hFs1(mTKA?3mho2^sdoJbPdaYr{Nid7BC+Y%Yr7`!o2X+&e=GTw2GW@9JB zj5+z#-s4yHE?mBB<;lG`53kv@Z0$Re4eMwuaO<^cVPCk!lq S>jO?xXeHip?: 1.302ns/0.000ns; real time: 5 secs +Level 2, iteration 1 +0(0.00%) conflict; 523(84.63%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.256ns/0.000ns; real time: 5 secs +Level 3, iteration 1 +0(0.00%) conflict; 511(82.69%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.228ns/0.000ns; real time: 5 secs +Level 4, iteration 1 +16(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs + +Info: Initial congestion level at 75% usage is 0 +Info: Initial congestion area at 75% usage is 0 (0.00%) + +Start NBR section for normal routing at 21:33:37 08/16/21 +Level 1, iteration 1 +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 2, iteration 1 +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 3, iteration 1 +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 4, iteration 1 +5(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 4, iteration 2 +2(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 4, iteration 3 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs + +Start NBR section for setup/hold timing optimization with effort level 3 at 21:33:37 08/16/21 + +Start NBR section for re-routing at 21:33:37 08/16/21 +Level 4, iteration 1 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs + +Start NBR section for post-routing at 21:33:37 08/16/21 + +End NBR router with 0 unrouted connection + +NBR Summary +----------- + Number of unrouted connections : 0 (0.00%) + Number of connections with timing violations : 0 (0.00%) + Estimated worst slack : 1.213ns + Timing score : 0 +----------- +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. + + + +Total CPU time 6 secs +Total REAL time: 6 secs +Completely routed. +End of route. 618 routed (100.00%); 0 unrouted. + +Hold time timing score: 0, hold timing errors: 0 + +Timing score: 0 + +Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd. + + +All signals are completely routed. + + +PAR_SUMMARY::Run status = Completed +PAR_SUMMARY::Number of unrouted conns = 0 +PAR_SUMMARY::Worst slack> = 1.213 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Worst slack> = 0.339 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Number of errors = 0 + +Total CPU time to completion: 6 secs +Total REAL time to completion: 6 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd new file mode 100644 index 0000000..878d798 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/5_1_par.asd @@ -0,0 +1,45 @@ +[ActiveSupport PAR] +; Global primary clocks +GLOBAL_PRIMARY_USED = 4; +; Global primary clock #0 +GLOBAL_PRIMARY_0_SIGNALNAME = RCLK_c; +GLOBAL_PRIMARY_0_DRIVERTYPE = CLK_PIN; +GLOBAL_PRIMARY_0_LOADNUM = 39; +; Global primary clock #1 +GLOBAL_PRIMARY_1_SIGNALNAME = PHI2_c; +GLOBAL_PRIMARY_1_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_1_LOADNUM = 13; +; Global primary clock #2 +GLOBAL_PRIMARY_2_SIGNALNAME = nCCAS_c; +GLOBAL_PRIMARY_2_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_2_LOADNUM = 4; +; Global primary clock #3 +GLOBAL_PRIMARY_3_SIGNALNAME = nCRAS_c; +GLOBAL_PRIMARY_3_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_3_LOADNUM = 7; +; # of global secondary clocks +GLOBAL_SECONDARY_USED = 0; +; I/O Bank 0 Usage +BANK_0_USED = 18; +BANK_0_AVAIL = 18; +BANK_0_VCCIO = 3.3V; +BANK_0_VREF1 = NA; +BANK_0_VREF2 = NA; +; I/O Bank 1 Usage +BANK_1_USED = 18; +BANK_1_AVAIL = 21; +BANK_1_VCCIO = 3.3V; +BANK_1_VREF1 = NA; +BANK_1_VREF2 = NA; +; I/O Bank 2 Usage +BANK_2_USED = 13; +BANK_2_AVAIL = 14; +BANK_2_VCCIO = NA; +BANK_2_VREF1 = NA; +BANK_2_VREF2 = NA; +; I/O Bank 3 Usage +BANK_3_USED = 18; +BANK_3_AVAIL = 21; +BANK_3_VCCIO = 3.3V; +BANK_3_VREF1 = NA; +BANK_3_VREF2 = NA; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par new file mode 100644 index 0000000..8bf94bf --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.dir/RAM2GS_LCMXO640C_impl1.par @@ -0,0 +1,28 @@ +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Mon Aug 16 21:33:31 2021 + +C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO640C_impl1.p2t +RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir +RAM2GS_LCMXO640C_impl1.prf -gui -msgset +C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml + + +Preference file: RAM2GS_LCMXO640C_impl1.prf. + +Level/ Number Worst Timing Worst Timing Run NCD +Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status +---------- -------- ----- ------ ----------- ----------- ---- ------ +5_1 * 0 1.213 0 0.339 0 06 Completed + +* : Design saved. + +Total (real) run time for 1-seed: 6 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc new file mode 100644 index 0000000..ec074a2 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.drc @@ -0,0 +1 @@ +DRC detected 0 errors and 0 warnings. diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed new file mode 100644 index 0000000..149b5cf --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.jed @@ -0,0 +1,1745 @@ + +* +NOTE JEDEC CREATED BY: Lattice Semiconductor Diamond Deployment Tool 3.12* +NOTE Version: Diamond (64-bit) 3.12.0.240.2* +NOTE Readback: Off* +NOTE Security: Off* +NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * +NOTE All Rights Reserved * +NOTE DATE CREATED: Mon Aug 16 21:36:33 2021 * +NOTE DESIGN NAME: RAM2GS * +NOTE DEVICE NAME: LCMXO640C-3TQFP100 * +NOTE PIN ASSIGNMENTS * +NOTE PINS RD[7] : 71 : inout * +NOTE PINS RD[6] : 70 : inout * +NOTE PINS RD[5] : 69 : inout * +NOTE PINS RD[4] : 68 : inout * +NOTE PINS RD[3] : 67 : inout * +NOTE PINS RD[2] : 66 : inout * +NOTE PINS RD[1] : 65 : inout * +NOTE PINS RD[0] : 64 : inout * +NOTE PINS Dout[7] : 3 : out * +NOTE PINS Dout[6] : 2 : out * +NOTE PINS Dout[5] : 5 : out * +NOTE PINS Dout[4] : 4 : out * +NOTE PINS Dout[3] : 6 : out * +NOTE PINS Dout[2] : 8 : out * +NOTE PINS Dout[1] : 7 : out * +NOTE PINS Dout[0] : 1 : out * +NOTE PINS LED : 57 : out * +NOTE PINS RBA[1] : 83 : out * +NOTE PINS RBA[0] : 63 : out * +NOTE PINS RA[11] : 79 : out * +NOTE PINS RA[10] : 87 : out * +NOTE PINS RA[9] : 85 : out * +NOTE PINS RA[8] : 96 : out * +NOTE PINS RA[7] : 100 : out * +NOTE PINS RA[6] : 91 : out * +NOTE PINS RA[5] : 95 : out * +NOTE PINS RA[4] : 99 : out * +NOTE PINS RA[3] : 97 : out * +NOTE PINS RA[2] : 94 : out * +NOTE PINS RA[1] : 89 : out * +NOTE PINS RA[0] : 98 : out * +NOTE PINS nRCS : 77 : out * +NOTE PINS RCKE : 82 : out * +NOTE PINS nRWE : 72 : out * +NOTE PINS nRRAS : 73 : out * +NOTE PINS nRCAS : 78 : out * +NOTE PINS RDQMH : 76 : out * +NOTE PINS RDQML : 61 : out * +NOTE PINS nUFMCS : 53 : out * +NOTE PINS UFMCLK : 58 : out * +NOTE PINS UFMSDI : 56 : out * +NOTE PINS PHI2 : 39 : in * +NOTE PINS MAin[9] : 51 : in * +NOTE PINS MAin[8] : 50 : in * +NOTE PINS MAin[7] : 44 : in * +NOTE PINS MAin[6] : 49 : in * +NOTE PINS MAin[5] : 45 : in * +NOTE PINS MAin[4] : 46 : in * +NOTE PINS MAin[3] : 47 : in * +NOTE PINS MAin[2] : 37 : in * +NOTE PINS MAin[1] : 38 : in * +NOTE PINS MAin[0] : 23 : in * +NOTE PINS CROW[1] : 34 : in * +NOTE PINS CROW[0] : 32 : in * +NOTE PINS Din[7] : 19 : in * +NOTE PINS Din[6] : 20 : in * +NOTE PINS Din[5] : 17 : in * +NOTE PINS Din[4] : 18 : in * +NOTE PINS Din[3] : 16 : in * +NOTE PINS Din[2] : 14 : in * +NOTE PINS Din[1] : 15 : in * +NOTE PINS Din[0] : 21 : in * +NOTE PINS nCCAS : 27 : in * +NOTE PINS nCRAS : 43 : in * +NOTE PINS nFWE : 22 : in * +NOTE PINS RCLK : 86 : in * +NOTE PINS UFMSDO : 55 : in * +NOTE CONFIGURATION MODE: NONE * +NOTE COMPRESSION: off * + + +QF130036* +G0* +F0* +L000000 +11111111001011111111011110111100101111111101111011110010111111110111101111111111 +11111111111011111111111111111111101111111111111111111110111111111111111111111011 +1111111111111111111011110010100100111101111011001010010011110111011111111111 +11111111111111111111111110111111111111111111111011111111111111111111101111111111 +11111111111011110010111111110111101111111111111111111110111100101111111101111011 +1111111111111111111011110010100100111101110111001010010011110111101111111111 +11111111001011111111011110111100101111111101111011110010111111110111101111001011 +11111101111011111111111111111111101111111111111111111110111111111111111111111011 +1111111111111111111011110010100100111101110111001010010011110111011111111111 +11111111111111111111111110111111111111111111111011111111111111111111101111001011 +11111101111011111111111111111111101111111111111111111110111111111111111111111011 +1111111111111111111011110010100100111101110111001010010011110111011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111001111111111111111111100111111111111111111111111111111 +10111111111111111111111111111111111111111111111111111111111111111111111111111110 +1111111111111111111111110111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11011111111111111111111111111111111111111111111111111111111111111111111111111110 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111101111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111010111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111001111111111111111111111111111110111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111101111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111110111111111111110011111111110111100011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111100011111110111111111110001111111001111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111011111111111011111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111011111111111011111111111111111111111111111 +1111111111111111111111111111110111111111001111111111011111111101111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111000111111110111111111111111111111111111111 +1111111111111111111111111111111111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111001111111111111111111011111 +11111111111111111101111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111110111111111111 +11111111111111111111111111111111100111111111111111111111111111111001111111011111 +11111110011111111011111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111110101111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111110111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111011111111111110111111111111101 +1111111111101111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111011111111111111111111111111111111 +1111001111111111111111111110111111111110111101111111111011111111111011111001 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1101001111111111111111110100111111111011011101011111111101111111110111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111011111111111111111111111111111 +1111001111111111111111110100111111111101101101111011111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111101011111111111111111111111111111 +1110001111111111111111110111111111111111101011101011111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111101110110101111111110110101111101101111111110011101011111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1110000001011001110111111000101101110111011110100000110111111101111011111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111001111011111111111111100011001111111011101111011010011011111110111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101111111111 +1110101011011110111111111100110101111111011111111011111101111111111111111101 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1110011111111111111111111000010011111111111111101101111110011101110111111001 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1110001111111111111111111000101101111111111111101011110110011111100111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111001111111111111111111100011101111111111111111101100111111110111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1110001111111111111111111000111101111111111111101111110111111101111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110111111111111111111111011111111 +1111001111111101111111111110111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111011111111111111111111101111111 +1111001111111001111111111100000111111011111110111111111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1101001111111111111111110100111101111111011101011111111111111101111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111001111101111111111110110111111111111111111111111111111111111111111111111 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101111111111 +1111111111011111111111111110111010111111011101111111101111111111111111111001 +01011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101111111111 +1111111011011111111111110110001101011111101001111111110111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111110101011111111111111110111101110111111111111111111111111111111111111110 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110111111111 +1111111110011111111111111010110111110110111111111111111111111111111111111011 +10111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111011111111 +1111111111110101111111011111010010110111011111111111111011111111111110011111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111001111011011011101101100110111111111111111111111111111101111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111100111101110111111111111111111111011111111110111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111110111100101100010111111111111111111101011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111011111111111010111111111111111001001111111111111111 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111000111111111001011100111111111101000111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111100111111111001111111111111111111000111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111011111111111011111111111111111110001111111100111111 +11111111111111111111111101111111111111111111111111111111111111111101111111111111 +11111111111111111111111111111111111111111111111110110111111111111111111010111111 +1111111111111101111111111110111110010111011000111111101011011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +11111111111111111111111111111111111111111111111111011101111111111111111101111111 +1111111111111101111111111110001101110111011101111100010001111111111111111111 +11111111111111111111111011111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111110110111 +1111111111111011111111110110101101101110111111111110110111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111011111 +1111111111101111111111111110110001011011111111111111110110111111111111111111 +11111111111111111111101111111111111111111111111111111111111111111111111111111111 +11111111100111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111110111111111101111111111011111101110111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111110111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111110111111111111111101101011111111101111110110111111001 +11111111111111111111110111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111010111111011111011111111111011111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111101111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111011101101110111111111101011111111111111101111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111111 +1111111111111111111111111111111111101111111111110001111011111111110111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111001111111 +1111111001011101111111111111101101110111111111100010110111111111110111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111110111111111111111111111011110011011110111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111110110001111111111111011100001111111111111111011001101111111101111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111011111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111000111110111111111111100011111011111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111110111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111110000001111111111101100001110101111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111100111100010111101111111110110111011111111111011111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111000011101111111111111101111100111011111111110111010 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111011111111 +1111111111111011111111111100111111110111101101111011111110111110111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111101111111111100111111110111100001111011111111011111111111111011 +11111010111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111110100111111101111111101011011111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111100111110111111101111111011111111111111011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111011111111111111111111111111111 +1111111111111111111111111111111110111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111101111011111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110111111111111111111111111111111 +1111111111111111111111111111101111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111110101111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111111 +1111111111101011111111111111111101111101101111111111111111101111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111001111111 +1111111111111100010111111111101001111011111101111111111111101111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111100001111111111010101111111101111111111111111010111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111110101111111111111111101111111111011111111111111001111111101111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111011111111111111111111111101111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111011111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111011111111111111111111111111110111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111101011111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111101011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111110111111101111111111111111111111011111111111111011111111111101111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111011111110111111111001111111110111111111100011111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111101111111111111111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111110111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111110111011111111111111111110111111111111111111111110101111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111101111111111111111111110111111111111111111111011111111111011111001 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111101111111111111111111111111111111111010011111101111111110111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111101111111111111111110010111111111110011111011011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111110111111111111111111111111111111111101111111111111111111101111010 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111011111111111111111111111111111111111001111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111101111111111111111111111111111111101010110111111101111110111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111011110111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111010000111111111111101011111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111101011111011111101111111111001 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111101011111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111011111001011111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111101011111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111110011111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111010011111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111101111111111111111111110111111111111111110111011111111111111111111 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111110100101111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111101111111111111111110010111111111111101010011011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111110101111111111111111111111111111111111111110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111110111111111111111111111111111111111111111111110111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111101111111111111111111111111111111111111111111111110011111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111011111111111111111111 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111101011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111011111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111111111110111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111110111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111101111111111111111111111111111110111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111011011111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101111111111 +1111111111101111111111111111111110111011111111111111111011101111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111011111111111111111111101111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111100101111111111111111110110011011111111111111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111111 +1111111111111111111111111111111111111101111111111111111111010111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111101111111111111111111111111111111111110011111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111011111111111111111111111111111111111110100111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111011111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111110111111011111111111111011111111111111011001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111110111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111011111111111111111111011111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110110111111111111111111011111111100111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111101111111111110111010 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111011111111 +1111111111111111111111111111111111101111111111111111111111111111110111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111110111111111111111111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111101111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101111111111 +1111111111101001111111111111111110100111111111111111111011111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111101111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111110001111111111111111111110111111111111111111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111111 +1111111111111101111111111111111111000111111111111111111101011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111010001111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111101101111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111110101111111111111111 +11111111111111111111111111111111111111111111011111111111111111111101011111111111 +11111111010111111111111111111101011111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111101111111 +11111111111111111111111111111111111111111111110111111111111111111111011111111111 +11111111110111111111111111111111011111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111110111111111111 +11111111101111111111111111111110111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111011111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111011111111111111111111001111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111101111111111111111110110111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111110101111111111111111110111111111111111111111111111111111111111111 +11011101111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111101111111111111111111111111111111111111111111111111111111111110 +11111110111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111101111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111011111111111111111111011111111111111111111111111111111111111111 +11111110111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111110 +11111110111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111110110111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111111111111111111111111111010111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111110111111111111111111111011111111111111111111 +01011111111111111111111111111111111111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111011111111111111111111111111111111111111110 +11111111111111111111111111111111111111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111101111111111111111111 +10111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111111111111111111111111110011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111111111111111111111111110011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111101101111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101011111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111111 +1111111111101111111111111111111110010111111111111111111111111111111111111111 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111110111111111111111111111010111111111111111111111111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111011111111 +1111111111111111111111111111111111100111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111010111111111111111111111111111111111111111111111111111111111110111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111110111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111101111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111110111111111111111111111011111111111111111111 +01011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111011111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111011111111111111111 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111011111111111111111111101111111111111111111 +10111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111110101111111111111111111111111111111111111111101011111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111111 +1111111111111111111111111111111110111111111111111111111011111111111111111001 +11011111111111111111111101111111111111111111111111111111111111111111011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111011111111111111111111101111111111111111001 +11111111111111111111111011111111111111111111111111111111111111111110111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111011111111 +1111111111111111111111111111111111110111111111111111111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111011111111 +1111111111111111111111111111111111011111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111110111111111111111111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111001111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111101111111111111111111110111111111111111111111011111111111111111111 +11111111111111111111111111111111111111111001111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111101111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111101111111111111111110110111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111110111111111111111111111011111111111111111111101111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111110111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111100111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111011111 +11111111111111111111111111111111111111111001010111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111001110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111110111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111110111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111101111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111101111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101101110101111111 +1111111111111111111111111111111110111111111111111011111011111111111111111001 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101101011011001111111 +1111111111111111111111110110111111011111111111010011111101111111111111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101101110111111111111 +1111111111111111111111111110111111110111111111010011111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111100111011111111111 +1111111111111111111111111011111111110111111111010011111111011111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101000111111101011111 +0111111111101101111111111111010111111111101111010011111111111111101111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110001111011101110110 +0011111111111011111111111111101101111111010110110000011111111101111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111001010011011110011 +0111111111110101111111111111101101111011111101110011110111111111110111111001 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110001111001111111111 +1111111111111101111111111011111001111111111111110011111111111101111111111101 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111111111110111 +1111111111111111111111111011111111111111111111100011111111111111111111111011 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111010 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111010110111111111111 +1111111111111111111111111111111111111111111111110011111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111110111 +1111111111111111111111110010111111111111111111110011111111111111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111111111111111110011111111111111111111111101 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110001111111110111 +1011111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111111011010110111 +0111111111111111111111110111111111111111111111010011111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111110011111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101110111111111111 +1111111111111111111111111111011110111111111111111111011111111111111111111111 +11011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101001111011111111111 +1111111111111111111111111111101101111111111111111110110111111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101001111111111111111 +1111111111111111111111111111101000110111111111111111101111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101111111111111111 +1111111111111111111111111111110101011111111111111101110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111101011111111101101011111111111111 +1111111111101111111111111111111111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110011111111111101011111111111111 +1111111111110111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111001111011111111111 +1111111111101101111111111111111111111111111100111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110100101011111111111 +1111111111111111111111111111111111111111110111111111111111111111111111111111 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110010111111111111111 +1111111111111111111111111111111110111111111111111111111111111111111111111111 +01011111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111110011111111111 +1111111111111111111111111111111111011111111111111111111111111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111011111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +10111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111011 +1111111111111111110111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111110111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111011111011111101 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111110011111111011 +1111111111111110101111111111111111111111111111111111111111111111111111111111 +11111111111111111111011111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111101111111111111111111110111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111001011111111111 +1111111111100111111111111111111111011111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111010111011111111 +1111111111111101111111111111111111110111111111111111111111111111111111111111 +11111111111111111110111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111111011111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111011111111111111111111111011111111101111111111001111111 +1011111111111111111111111111111111111111111111111111111011111110011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111111111111111111110001011100100111 +0111111111111111111111111111100101111111111111111100011111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110111111111111111111011110110111 +1111111111111111111111111111011110110111111111111111110101111111110111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110110110011010001111 +1111111111111111111111111111111001111111111111111111111111111111011111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110101111111111110111 +0111111111111111110111111111111111111111111111111111111011111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111011111101111 +1111111111111111110111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111010111111111111 +0111111111111111111111111111111111111111111111111111111101011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111010 +1111111111111110101111111111111111111111111111111111111111011111111111111111 +11101111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111110 +1111111111111111111111111111111111111011111111111111111111111111111111111111 +11101111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110000011111111111 +1111111111111111111111111111111111111011111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111111011111111111 +0111111111111111111111111111111111111111011111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111101011111111111111111111111111111 +1111111111111101111111111111111111100111111110111111111011111111110111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111011111111101011111111111111010 +1111111111111111111111111111111111100111111111111111111101111111110111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110111111111111111011111111111101 +1111111111111111111111111111111111111111101111111111111111011110101111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111011111111011 +1111111111111011111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111011110110111111111 +1111111111111001111111111111111111111111111111111111111011111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111010001011101111001 +0111111111111001111111111111111111111010011111111111111011111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111010 +1111111111111111111111111111111111111010111111111111111101011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111111111111001 +0111111111111111111111111111111111111001011111111111111111111111111111111111 +11111111111111111111110111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110001011111111111111 +0111111111111111111111111111111111111011111111111111111111111111111111111111 +11111111111111111111111101111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101011011111111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101101011111111110 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110110110011111111111 +0111111111111111111111111111111111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111111 +0111111111111111111111111111111110110111011111111110011111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111110011101111111 +0111111111111111111111111111111101100110111111111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101110111111011111010 +1111111111111111111111111111101111111111111111111101100111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111000011111111111111111110111111111111111111111 +11111111111111111111111111111110001111111011111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111011111111111101101111101111101111 +1111111111111111111111111111111111111111111111111111111011111111111111111111 +11011111111111111111111111111110111000011101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111011111111111101101111110111110111 +1111111111111111111111111111111111111111111111111111111101111111111111111110 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111011111111111001111111110110111 +1111111111111111111111111111111111111111111111111111111011011111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111101111111111111111111101111111111110001111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110111111111111101111111111101011 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110111111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111011111111110101110011111110101 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111001011111111110111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111001111011111110011 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111111111111110001111111011111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111011111111111111 +0111111111111111111111111111111111111111111111111111111111111111111111111001 +01011111111111111111111111111110001111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111011111111011 +0111111111111111111111111111111111111111111111111111111111111111111111111001 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111010110011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111110 +01111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111011111011111111110 +1111111111111111111111111111111111111111111111111111111111111111111111111011 +10111111111111111111111111111111111111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111100111111110111 +1111111111101111111111111111111111111111111111111111111111111111111111111111 +11111100111111111111111111111111001111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110011011111111111 +1111111111100111111111111111111111111111111111111111111111111111111111111011 +11111111111111111111111111111110001111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101110111011111110111 +1111111111111101111111111111111111111111111111111111111111111111111111111001 +11111111111111111111111111111110111111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111011111111111111111111101111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111001011111111111111111111110111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01011111111111111110111111111110001000011001111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111011011111111101111111111110111111 +1111111111111101111111111111111111111111111111111111111111111111111111111110 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111101111111111111111111111011111111111011111111111110111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111111111111111111111101111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111100111111111110011111111111011111 +1111111111101111111111111111111111111111111111111111111111111111111111111111 +10111111111111111111111111111111111111111111100111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101111101111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110110000001111110111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110111010101111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111110001111111011111111111111111111110111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111111111110111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11011111111111111111111111111110001111111101111111111111111111101111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111111111111111 +0011111111111111111111111111111111111111111111111111111111111111111111111110 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101111111110111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110101111111110110111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111001111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111110111001 +1111111111111111111111111111111111111110111111111111111111111111111111111111 +11111111111111111111111111111111001111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111011111111011111 +1111111111111111111111111111111111111111011111111111111111111111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101111101111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110111111111011111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110111111111111111111111111111111111111011111111111111111111111111111111111 +11110101111111111111111111111111111111111111111111111111111111111111111111111011 +1111111111111111111111111111111111111111111111111111111011111111111111111111 +11111111111111111111111111111110001000011111110111111111111111111111111111111111 +11111101111111111111111111111111111111111111111111111111111101111111111111111111 +1011111111111111111111111111111111111111111111111111111101111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111011111111111111111111111111111111111111111111111111111111111111111111111101 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111011111111111111 +1011111111111111111111111111111111101111111111111111111111111111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111000011111111111 +0111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111011011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111011111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111011111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111101011111111110111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110011111111110011011111111111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111011101111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111111111111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110111111111111111111111111111111111111011111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111101111111001 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110111111111111111111111111001111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111011111110111111101 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111101011111111101111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111101111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111011111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111101111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001000011101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110011011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110110011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111011111111111111111111111111111111111111111111011111111111111111111111110111 +1111111111101111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111101111111111111111111111111111111111111111110011111111111110000011111110111 +1111111111110111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111011111111111111111111011110101111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111101111111111111111111110111111111111111 +11110101111111111111111111111111111111111111111111111111111111111111111111111111 +0111111111111111111111111111111111111111111111111111111011111111111110111111 +11111111111111111111111111111110001111111001111111111111111111101111111111111111 +11111101111111111111111111111111111111111111111111111111111111111111111111111011 +0111111111111111111111111111111111111111111111111111111101111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111011111111111111111111111111111111111111111111111111111111111111111111111110 +1111111111111111111111111111111111111111111111111111111011011111111110111111 +11111111111111111111111111111111111111101111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111101 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111001111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111110 +1111111111111111111111111111111110111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110011011111111111 +0111111111111111111111111111111111011111111111111111111111111111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110100011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110111111111011111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111011111111111111111111011111111111111111111111111111111111111 +11111101111111111111111111111111111111111111111110111111111111111111101101111001 +0111111111111111111111111111111111111111111111111111111111111111111111111001 +11011111111111111111011111111110001000011101111111111111111111111111111111111111 +11111011111111111111111111111111111111111111111111011111111101111111110011111001 +0111111111111111111111111111111111111111111111111111111111111111111111011001 +11111111111111111110111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111101111111111111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111011111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111111111111111110 +1111111111111111111111111111111111111111111111111111111111111111111111111010 +11111111111111111111111111111111111111111111101111111111111111101111111111111111 +11111111111111111111111111111111111111111111111111010111111111111000111111111111 +1011111111111111111111111111111111111111111111111111111111111111110111111111 +11111111111111111111111111111110001111111111110111111111111111110111111111111111 +11111111111111111111111111111111111111111111111111011111111110111011011111110111 +0111111111111111111111111111111111111111111111111111111111111111110100111011 +11111111111111111111111111111111001111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111110111101111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111110111111111001 +11111111111111111111111111111111001111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111011111111111111111011011111110111 +1111111111111111111111111111111111111111111111111111111111111111101101111111 +11111111111111111111111111111110001111111011111111111111111111100111111111111111 +11111001111111111111111111111111111111111111111111111110101110000111101111111111 +1111111111100101111111111111111111111111111111111111011011111111111111111111 +11011111111111111111111111111110001111111101111111111111111111100111111111111111 +11111001111111111111111111111111111111111111111111111111111110001011001111111111 +1111111111101101111111111111111111111111111111111110111011111111111111111110 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111110111001111011101111111 +1111111111111101111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111011110001000010111111111 +1111111111110011111111111111111111111111111111111111111101111111111111111111 +11111111111111111111111111111111111111111001110111111111111111111111111111111111 +11111111111111111111111111110111111111111111101111011111111111001111111111110111 +0111111111111111111111111111111111111111111111111111111111111101111111111111 +11111111111111111111111111111111001111111101110111111111111111111111111111111111 +11111111111111111111111111110111111111111100010111111111111111001111111111111111 +0111111111101111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111111110111111111111111111111111111111111 +11111111111111111111111111101111111111111110110111111111111101001111111111110010 +1111111111111101111111111111111111111111111111111111111111111101111111111111 +11111111111111111111111111111110111111111011101111111111111111111111111111111111 +11111111111111111111111110111111111111111111110110111111111111101111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111101111111111111111111111111111111111111 +11111001100111111111111111111111111111110111111111011111111111111010111111111111 +1111111111111111111111111111111111110111111111111111111110111111111111111111 +11011111111111111111111111111110001000011101111111111111111111111111111111111111 +11111101110111111111111111111111111111011111111110011111111111111011011111111111 +1111111111111111111111111111111111100111111111111111111111011111111111111110 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111101111111111111111111111111111011011111111111111111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111101011111111111111111111111111111111111111 +11111011111111111111111111111111111111110011111011111111111111111001011111111111 +1111111111111111111111111111111110111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111011111111111111111111111111111111 +11111111111111111111111111110111111111011111011111111111111111111111101111111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111111111101111111111111111111111111111111 +11111111111111111111111111110111111111101110110111111111111111111111111111111110 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111001111111111111111111111111111 +11111111111111111111111111101111111111110010111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111110111111111111100011100111111111111111111111110111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111011111111111111111111111111111111111111 +11111101111111111111111111110111111111100011111111111111111111111111101111100111 +1111111111111111111111111111111111111111111111111111111011110111111111111111 +11011111111111111111111111111110001111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111100011111111111111111111111111111111110111 +1111111111111111111111111111111111111111111111111111111111100111111111111110 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111011111111111111111111101111111111110011111111111111111111111111111110111111 +1111111111111111111111111111111111111111111111111111111101001111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111110011111111111111111111111111110110110111 +1111111111111111111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111111111111111111111111011111111111111111111111111111 +11111111111111111111111111111111111111111011111011011111111111110111111111110111 +1111111111111110110111111111111111111111111111111111111011101111111111111111 +11111111111111111111111111111111001111111111111110001111111111111111111111111111 +11111111111111111111111111111111111111111011111110011111111111111011011111110111 +1111111111111111000111111111111111111111111111111100110111101111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111010011111101111111111111111100011111111111 +1111111111111110110111111111111111111111111111111101000111111101111111111111 +11111111111111111111111111111110111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111110011111011111111111111111011011110101111 +1111111111111110111111111111111111111111111111111111110101110111111111111111 +11111111111111111111011111111111111111111011111111111111111111111111111111111111 +11111101111111111111111111111111111111111101111011111111111111111111101111110111 +1111111111111111111111111111111111111111111111111011111010011101111011111001 +11011111111111111111011111111110001000011101111111111111111111111111111111111111 +11111101111111111111111111111111111111111110111101111111111101111111111111101111 +1111111111111111111111111111111111111111111111011011111111011011110111111001 +11111111111111111110111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111110011011111111111111111110111111111 +1111111111111111111111111111111111111111111111011111111100011111111111111111 +11111111111111111011111111111111111111111111111111111111111111111111111111111111 +11101011111111111111111111111111111111111111011111111111111110101111111111111111 +1111111111111111111111111111111111111111111111111111111111011111111111111011 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111111111111111111111111111111111111 +1011111111111111111111111111111111111111111111011011111111111111111011111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111001011111111111 +0111111111111111111111111111111111111111111111100011111111111111110111111011 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111101111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111110110111111111110011111111111111111111111001 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110110011111111111 +1111111111111111111111111111111111111111111111110011111111111111111111111101 +11111111111111111111111111111110001111111011100111111111111111111111111111111111 +11111111111111111111111111100111111111111111111110011111111110111111111111110001 +1111111111111111111111111111111111111111111111100011111110011111111111111111 +11011111111111111111111111111110001111111101110111111111111111111111111111111111 +11111111111111111111111111100111111111111111111110011111111110111011111111110101 +1111111111111111111111111111111111111111111111100000011111011111111111111110 +11111111111111111111111111111111001111111111101111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +0111111111111111111111111111111111111111111111111111110110111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111110111001011110101111 +1111111111111111111111111111111111111111111111100011111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111101111111111111111111111111111111111111111111111111111111011111111111111111 +0111111111110111111111111111111111111111111111110011111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111101111111111111111111111111111111111111111111111111111111111111111111111110 +0111111111111101111111111111111111111111111111110011111111111111111111111111 +11111111111111111111111111111110001111111111111111111111111111111111111111111111 +11111011111111111111111111111111111111111111111111111111111101111111111111111011 +1111111111111111111111111111111111111111111111011111111111111111111111111111 +11111111111111111111111111111110111111111111111111111111111111111111111111111111 +11101111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111110011111111111111111111111111 +11111111111111111111111111111111111111111011111111111111111111110111111111111111 +11111111111111111111111111111111111111111111111111011111111111110100111111111111 +1111111111111111111111111111111111111111111111111110011101011111111111111111 +11111111111111111111111111111110001000011101111111111111111111101111111111111111 +11111111111111111111111111111111111111111111111110111111111111111011011111111111 +1111111111111111111111111111111111111111111111111110111111011111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111111111111111111110110111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111110110111111111111111111 +11111111111111111111111111111111111111111101111111111111111111111111111111111111 +11111111111111111111111111110111111111111101001111111111111111111111111111101111 +1111111111111111111111111111111111010111111111111111111111011111111111111111 +11111111111111111111111111111110001111111101111111111111111111111111111111111111 +11111111111111111111111111110111111111111110110111111111111111111111111111110111 +1111111111111111111111111111111111100111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111111111111111111111 +11111111111111111111111111101111111111111111110111111111111111111111111110110111 +1111111111111111111111111111111111111111111111111111111110111111111111111111 +11111111111111111111111111111111001111101011111111111111111111111111111111111111 +11111111111111111111111110111111111111111101110111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111011111111110001111111011111111111111111111110111111111111111 +11111001011111111111111111100111111111111111111110011111011111111111101001111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111101111111111111111111111111111111111111 +11111001110111111111111111110111111111111111111110011110011111111111101101111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111111111111111111111111101111111111111111 +11111111111111111111111111111111111111111111111111111111110111111111111101111010 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111110111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111101111111111111111111111111111111111111111110011111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111110101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111110110111111111111 +0111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111101111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111011011111111111 +0111111111101111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110001111111011111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111111111111111111110101011111111010 +1111111111111101111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110111111111111111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111110111111111111111011111000011001011100110111101111101101111111 +11111101010111111100111111111101111100111111111101011111111111111111101011100011 +1111111111110101111111111111111111111111111111111111111011111111111111111111 +11011111111111111111011111111110001011011100110011010100001111110111111101010111 +11111010110111110100111111111010011101111111111111011111111111111111111101110111 +1011111111111001111111111111111111111111111111111111111111111111111111111110 +11111111111111111111111111111111001101011010111111011100111101111111101011011111 +11111111101111110100111111111110111111111111111110111111111111111111110111111101 +0111111111111111111111111111111111111111111111111111111101111111111111111111 +11111111111111111111111111111111110110011110100100111000011101111111110111111110 +11011110111111111100111111111011111011111111111111111111111111111111111110111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111010111111111111101001101111101100110111111101111110010011 +11001111101011110100111111111101111101111111111011111111111111111111111111011111 +1111111111111111111111111111111110111111111111111111111111111111111111111111 +11111111111111111111111111111010001111111001110111001000001111111111011101100010 +11000111110101001000111001111111001001111111111101111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111101110111001111111111110111011100111100110111011111111011 +11011101110011011100011111111111011111111111111111111111111111111111111111110111 +1111111111111111111111111111111111010111111111111111111111111111111111111111 +11111111111111111111111111011111001111110111110111111110111111111111011111110011 +00111111110111111100111101111111011111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +01111111111111111111111111111110001011111111111111111000100111100111101111100011 +11111111111111111011111111111111111111111111111110011111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111101011111111111111111 +01011111111111111111111111111110001111111111111111111000101101100111111110100011 +11011111111111111001111111111111111111111111111110011111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111011111111111111110 +11111111111111111111111111111111001011011111111111111100101001111111111101110010 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111111111111111111111011111111111111010111101111111110111110011 +10011111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111110111111111111111111 +10111110111111101111011111111111111111101001110111111100111111110111011111110110 +01101001110111111111101111111111111111111111111111011111111111111110111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111110111110010111111111111111001011010001110111101100111001111111011111110010 +11110101110111111111101101111111111101111111111110011111111111111111011111111111 +1111111111111111110111111111111111111111111111111111111111111111111111111111 +11111111111101111111111111111110001111101101110111010100101111111111011111010011 +11011110110111110111110001111111111111111111111111111111111111111011111111111111 +1111111111111110111111111111111111111111111111111111111111111111111111111111 +11111111111111110110111111111110111100111111101111111110111101101110111111110111 +11111011111111111111111111111111111101111111111011111111111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111111111111111111111110101010111011100101111010111111100111101 +11111100110110011111111011111111100111111111111110011111111111011111100100111111 +1111111111111111111111111111111111111111111111111111111111011111111111111111 +01011111011111111111111111111101111000011001110110000100101111110111111101010011 +11111011001111000111111101111111111111111111111110011111111101001111111101111111 +1111111111111111111111111111111111111111111111111111111110111111111111111110 +11111011011111111111111111111111111111111111111111110100011101111111111011110101 +11011111111111111111111111111111111101111111111111111111111111001111111001011111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111101010011111111111111111110111111111111101111111100110101101111111111100111 +11011111111111111011101101111111111110111111111111111111111110011111110101111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +10111111011111010110011111111111111011111101111011110100111010100111111101111111 +11111111111001011111111111111101011001111111111111101111111111011111111111111111 +1111111111111111111111111111111111011111111111111111111111111111111111111111 +11111111110010110110011111111110111001111111111111111000111101010111111000100011 +11111101111111111010000111111111011100111111111111111111111110001111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111110011110111111111111111101111011111111101011100111110110111111111110111 +11101101111110001111111101111110111111111111111111111111111111001111111111110111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111110011101111111111111111111011011011111111101000111111101111111111110111 +11101111111111111011111111111111111111111111111111110111111110011111111111110111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111101111111111111111111110000110111100111111111011100111101011111110101110 +11111111111001111000111111111111111111111111111111111111111110001111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01011111101011111111111111111110001111011000111111001011101111110001111101101110 +11011111111111111000111111111111111111111111111111111111111110001111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111110 +11111111111111111111111111111111001111011110110111111110111101111011111111111110 +00011111111111111100111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111111111111110101111101110011111111110101101111001111111101111 +11011111111111111011111111111111111111111111111111111111111111001111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +10111111111111111111111111100111001011110100111001111111011110100001011100111111 +10111111010111011100111111111111111101111111111111111111111111001111111111111111 +1111111111111111111111011111111111111111111111111111111111111111111111111111 +11111111111111111111111111110011001011011001111111111111101111100011011001111110 +11011111110011011100111111111111111100111111111111111111111111001111111111111111 +1111111111111111111111011111111111111111111111111111111111101111111111111111 +11111111011111111111111111111101001000011111110111010111110100110111011111011110 +11111111111110110100111111111111111111111111111111111111111101111111111111111111 +1111111111111111111010111111111111111111111111111111111111111101111111111111 +11111111111111111111111111111111001111011111010111101111111111011100111111111111 +01011111101111111111111111111111111011111111111111111111111111001111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111010111011111111111001010110101111111110111111111111011111101111111 +11111111110111011111011011111111111111111111111110001111111111111100011110111111 +1111111111111111111111111111111111111011111111111111111011011111111111111111 +11111111111110110111111111111101101011011101111111110111111111111011111111010000 +11111111110111011111100101111111111111111111111111010111111111111011011111011111 +1111111111111111111111111111111111111101111111111111111011111111111111111111 +11111111111101110110111111111111001101011111111111011111111111111101011111011111 +01011111101111111111111101111111111111111111111110101101111111111111011110110111 +1111111111111111111111111111111111111011011111111111111111011111111111111111 +11111111111111100111111111111110001111111011111011111011111111111111111011111111 +11111110111110011111111111111111111111111111111111111111111111110111011111111111 +1111111111111111111111111111111111111111111111111111111100111111111111111111 +11111111111111111111011111111111001111111101110101111111111110110011111111011111 +11101110111111111111111110111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111110111111111110001111111111110111111011100101111101011111100010 +01010110111111111111111100011111111111111111111011111111111111111111111111111111 +1111111111111111111111111111111110111111111111111111111111111111111111111111 +11111111111111111111111111111111001111111110111111011110101110010011111111110010 +10111101111111111111111111110111111111111111111111011111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111111001111111010100111111111111001101010111111110011 +11011100011111111111111011111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111110110111111110010111011000110011111111101100101111 +10111101100011111111111111111111111111111111111111011111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111011111111111111111111 +11111111111111111111111111111110111011011111010011001000101101111111111100101000 +01011111110111111111111111111111111111111111111111011111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111101111111111111111111 +11111111111111111111111111111111011100011110110111011100111111111111111001111010 +11011011101101011111111111111111111111111111111110111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111110011011011111101110111000101101111111110111110011 +11011111111011111111111111111111111111111111111011111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111111111011101101111011111110100111111111100111111111 +11101111111011001111111111111111101111111111111011011111111111111111111110111111 +0011111111110110111111111111111111111111111111111111111111101111111111111111 +11111100111111111111111111111111011111010111111111101110101100111111101101111000 +11011110111110011111111111111111111110111111111100111111111111111111111111111111 +0111111111111101011111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111101111110011111111111010110111001110111110101011001 +11011111110111011111111111111111110101111111111111001101111111111111111111010110 +1111111111111110110111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111011111101111110111111111111111111111111 +00010111111001111111111111111111111111111111111111011111111111111111111111110111 +1111111111111111111111111111111111111111111111111111111111110111111111111111 +11111111111111111111111111111101101111111101111111111111100111111111111111110110 +00111001111111111111111111111111111111111111111011011111111111111111111111111111 +1111111111111101111111111111111110111111111111111111111110011111111111111111 +11111111111111111111111110111101000001011101111111110111101111110111111111010110 +11011101111111111111111111110111111111111111111101011111111111111111111111111111 +1111111111111101111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111111001110011011111111111101111101111111111111111110 +11011011111111111111111111111111111111111111111110011111111111111111111111111111 +1111111111111011111111111111111111111111111111111111111110111111111111111111 +11111111111111111111111111011110001011001111111111111011111110111111111111101111 +11011111111111111111111110111111111111111111111011011111111111111111111111111111 +1111111111101111111111111111111111011111111111111111111111111111111111111111 +11111111111111101111111111111111001111111001111111111111111111110110111111111111 +11011001111111111111100110100111111111111111111111111111111111110111101111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111110111111111111110000011011001111111111011111111110111011111111111 +11111101111111101111101111110111111111111111111111111111111111111011110111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111101111111111111111111001100011111111111111111011111111011011111111111 +11011111111111111111111101110111111111111111111111111111111111111111011111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111110111111111111111001111111111111111111111111100101111111111101111 +11111011111011111111111111000111111111111111111111111111111111111101111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111101011011111110001110111111111111111010110111111111111101101110 +11111101110111011111111111111011111001111111111111011111111111111111101111110111 +1111111111111111111111111111111111110111111111111111111010011111111111111111 +11111111111111111111011101111110000111011111111111111011101111111111101100111110 +11011111111001111111111111111111110101111111111110111111111111111111110111110111 +1011111111111111111111111111111111111111111111111111111100011111111111111111 +11111111111111111110111111111111001111011111111111111111111111111111101101111110 +11011011101111011111111111111101101101111111111111111111111111111111111101111111 +0111111111111111111111111111111111111111111111111111111111011111111111111111 +11111111111111111111111111111110001111111111111111111011111111111111110011101111 +00011111111101011111111111111111111111111111111111111111111111111111111100101111 +1111111111111111111111111111111111101111111111111111111111011111111111111111 +11111111111111111111111111111111001111101001111111111110101011110111010111111110 +11111001011111001111111110110111111111111111111111101111111111111111111111111111 +1111111111110111111111111111111111111111111111111111111111101111111111111111 +11111111111111111111011111111111001111111101111111111111111101111110101111111110 +11111001110111111111111101111111111111111111111011111111111111111111111111111111 +1111111111111101111111111111111111111111111111111111111111101111111111111111 +11111111111111111111111111111101001111111101111111110111101101111111111101011111 +01011111111111111111101011111111111111111111111111011111111111111111111111111111 +1111111111111110110111111111111111111011011111111111111111110101111111111111 +11111111111111111011111111111111101111110001111111111111111101101111101111110111 +11111111111111111111111101001111111111111111111111110111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111 +01111111111111111111110111111111101111111111111111111111111111111111111111111110 +11101111111111111111111111111111111111111111001011110000110111111111111011111001 +1111001111111001111110111111111111111111111111111011111111111111111111111001 +01011111111111111111101001111101001111111111111111011111111111111111111111111110 +01010111111111111111111111111111111111011100110011101101110001001111011100101101 +1101001111111001111111111111111111111111111111011011111111111111111111111001 +11111111111111111111111011111111001111111111111011111111111111111111111111111111 +11011101111111111111111111111111111111111111110111011110010101011011101100011111 +0111001111111111111111011111111111111111111111011011111111111111111111111111 +01111111111111111111101111111110101111111111111111111111101101111111111111111111 +10111101111111111111111111111111111111101111111101101111101111011110011110110111 +1110001111111111111111111111111111111111111111111011111111111111111111111011 +10111111111111111111111111111111101111111001111011111111111110110110101101111111 +11111111111111111111111110111111111111111110111110011110010101001101101101111010 +1011111111111111111111011111111111111111111111010101011111111010011111111111 +11111111111111111111101111111111001110011001110101101111111111010111100000111111 +11111111111111111111111111011111111111101110111111011101110111001011011011110111 +0110000111111111110111011111111111111111111111110110100111111101111111111011 +11111111111111111111111101111111001011111110111111011111111110110111011101111111 +11111111111111011111111110110111111111110111010111111101010111001111100101110111 +0111000111011111111011111111111111111111111111111011110111111101010111111001 +11111111111111111111111111111110101111011111111111111111111110101111111111111111 +11111111111011111111111111111111111111101111111110101111100111001110111111110101 +1110111111011111111110111111111111111111111111111010110111111111111111111101 +11111111111111111111111111111110111101101111111111001111111111111111111101111111 +11111111111111111111111111111111111111101011001111101111110010100111111110111011 +0110111111111111110111001111111111111111111111100010111111111100101111111111 +11011111111111111111111111111111111011011111111111011111111101111111111100111111 +11111111111111111111111111111111111111101010110111111111100110101111111111111101 +1110000111111111111110011111111111111111111111110010110111111111111111111110 +11111111111111111111111111111111111011111101111110111111101111111111111101111111 +11111111111111111111111111111111111111110011111111111111111111101111011111111111 +0111001111011111111111111111111111111111111111110010010111111111110111111111 +11111111111111111111111111111110111110010101111111111111111001111111111001111111 +11111111111111111111111111111111111111100011111111111111111111101011011111111010 +1111111111111111111111111111111111111111111111110011100111111111011111111111 +11111111111110111111111111111111101111111101110111111111111110111111011111111111 +11110111111111111111111111111111111111111011111111011101110111001111100111110111 +0111101111101001110111001111111111110111111111111110011111111101111111111111 +11111100111110110111011111111111101111111011111111111111101101111111011111111111 +11111100111111011111111111111111111111111011110111111111110111001111111101110111 +1111101111110001111110011111111111110111111111110010110111111111111111111111 +11111111111110000111111111111101101111111111110111111111101110010111011111111111 +11111111110011111111111111111111111111010011111111111100111101111011111111110111 +1101101111111101110111111111111111101111111111010010100111111101111111111111 +11111111111111110011111111111111101111111111111111111111110001111110111111111111 +11111111111111111111111111111111111111110011101110111111101111111111010111101110 +1111101111111101111111111111111110111111111111111111110111111111111111111111 +01111111010011111111101101100011111101101111111111111111111111111111111111111111 +11101111111011111111111111111111111111110001111111111110010111101111111110111111 +1111111100111111111111111111111111111111111111110011101101011111111111111001 +01011111011111111111110111110111110011111111111111110101111111111111111111111111 +11101111111101111111111111111111111111010010011111111110100101001001111111111111 +0011110011011111111111111111111111111111111111011111110111011111111111111001 +11111000110011111111111111111111111111011101111111110101111111111111111111111111 +11110101111111001111111111111111111111110011110111111111110111001111111111111111 +1111110111011111111111111111111111111111111111011111111111111111111111111111 +01111101100011111111111011111111111111110101111111111111111111111111111111111111 +11111111111111111111111111111111111111100011111111111111111010101111111111011111 +1111111111011111111111111111111111111111111111010111111110111111111111111011 +10111111110011110111111111111111111111111001111111110111011111111111101111111111 +11111111111111111111111110010111111111111111111111011111111111101111101111111111 +1111111111101111111111111111111110111111111111010011001011011111111111111111 +11111111100010100111111111111111111111111101111111111111111111111111111111111111 +11111111111111111111111111110111111111100000111011111111111110001111010111111111 +1111111111111111111111111111111111011111111111100010110100011111111111111011 +11111111110010110111111111111111111111111111111111111100111100110111110100111111 +11111111111111111111111110100111111111110011110111011111111111000111111111111111 +1111111111100101111111111111111111110111111111110010111111111111111111111001 +11111111100011010111111111111111111111111011111111111100111111111111111111111111 +11111111111111111111111111011111111111101111011110111111111110101110011111111111 +1111111111111111111111111111111111110111111111110111110011111111111111111101 +01111111100011111111111111100111111111101111111111111010111111111111111111111110 +11101111111111111111111111111111111111100011001011101111110010001101111101111111 +1111111111110101101011101111111111111111111111101111111111111111111111111111 +01011111100011111111111111110011111111111111111111111010111001111111111111111110 +00011111010111111111111111111111111111100010110011111111100110001011111111111111 +1111111111111001100101011111111111111111111111100111111111111011111111111110 +11111111110111111111111111111111111111111101111111111111101111111111111111111110 +11010100111111111111111111111111111111110011111111001101111111001111111011111111 +0011111111111111111111001111111111111111111111110011111111111111111111111111 +01111111100011111111111111111111111111110101111111111111111101111111111111111111 +11011101101111111111111111111111111111101111111101101111111110001011011111111111 +1111111111111110111111111111111111111111111111110011111111101111111111111111 +10111111110011111111111111111111111110111101110111111110111011110111111111111110 +11101111110011111111111111110111111111110010001011110101111111001111111100111011 +1111111011111110010111111111111111111111111111111010111111111111111111111111 +11111111110011111111111111111111111011011101111111111110101101101111111111111110 +01111111110101111111111111110111111111110010110111111101111111001111111111111111 +1111111001111111110111111111111110110111111111110010110111111111111111111111 +11111111010011111111111111111111111101111111101111110111110111111111111111111111 +11000101111111011111111111101111111111010010110111111111111101101011111111110111 +0011111111011111111111111111111111110111011111010010000111111111111111111111 +11111111010011111111111111111111110111001011111111111111111111111111111111111110 +11011110101111011111111110111111111111111111110101111011111111001111011011010101 +1111111111111111001111111111111110111111011111110011110111111111111111111111 +11111111111111000111111111111111011111111101111111111111100111111111111111110011 +11101110111111111111111110111111011111110011001111111111111111101100011111111111 +0011001111111111111111111111111111111001011111110010111111111111111111111001 +11011111111110110111111111111101111111111101111111110111101111111111111111010011 +11111111011111111111111111011110011111010000110111111111111101001011011110111111 +0101001111111111111111111111111111111111011111010010010111111111111111111001 +11111111111101110111111111111101001111111111111111110100111101111111111111110011 +11110111111111111111111111110111111111110011110111111111111101001111011111110111 +1111101111111111110111111111111111111100111111100010110111111111110111111111 +11111111111111110111111111111101011111101011111111111100111111111111111111100011 +11111111111111111111111111110011111111100011111111111111111111101011011111111110 +1110101111111111110111111111111111111111111111010011100111111110111111111011 +11111111111111111111111111111101001011101001111111110111111110100111100111110011 +11111111101111111111111111110111111111111011111001111101111101101101101101111111 +1111001111111111111111111111111111110111111111010010111111111111110011111111 +11111111111111111111111111111110111001010101111111111100111111110111110111110011 +11111111110111111111111111110111111111101010000011011101111111001011011111111111 +1111001110011111111111111111111111100111111111100010111111111111100111111011 +11111111111111111111111111111111001111011011111111111100111111110111111101111111 +11111111111111111111111111111111111111110010111111011011111111001011111101111111 +1111101011111111111111111111111110110111111111111011110111111111110111111001 +11111111111111111111111111111111001110111111111111111111111111000111111111101111 +11111111111111111111111110101111111111110011110101101111111111101110010001111111 +1111101111011111111111111111111110111111111111110011011111111110111111111101 +01111111111111111111111101111110111111111111111111111011011111111111001100100011 +11011111100111001111111111111111111111101111001110011111111010001111111111111001 +1110111110111111110111111111111111010111111111101101111111111111111111111001 +01011111111111111111111111111110000110011111111111111011111101111111111100110010 +11011111100111011111111111111111111111101110110111011101110111001111111111111111 +1111001111011111110111111111111111110111111111101110111111111111111111111001 +11111111111111111111111011111111001011011111111111111101101101111110111101111111 +11011111111110111111111111111111111111110111111110111111111111001111111111111101 +0111001111111111101111111111111111111111111111111110010111111111111111111110 +01111111111111111111111111111111111111011111111111111111111001111111110011001101 +00011111111111111111111111111111111111101111111111101111111111001011011111111111 +1111111011011110111111111111111111101111111111110011110111111111111111111011 +10111111111111111111111110111111101111111001111111001111111111111111111111111110 +10111110111111001111111110100111111111111111111010011110111111001011111101111111 +1111111111111111111111111111111111110011111111110111111111101111111111111111 +11111111111111111111111111111111101111111101111111011111111001111111111101110010 +11011111111111011111111111000111111111111110011110011111111111001111111110111111 +1011111111111111111111111111111111100011111111110111111111111111111111111011 +11111111111111111111111111110101001111111011111110110111101111111111111111010011 +11011111011111111111111110110111111111011111111011011111110101000111011111110111 +0101111111111111111111111111111111111101011111010111111111111111111111111001 +11111111111111111111111110011111001111111111111111111111111101111111111101111111 +01111111111110111111111111111111111111111111111101111110011111101111011011111111 +1111111111111111111111111111111110111111111111110111111111110111111111111111 +11111111111111111111111111111111111011111111111111101100100111111111111111110011 +11111111111111111111111111100111111111111111111111111111111111101111101111111111 +1111111111111001111111111111111111110111111111111110111011111111110111111111 +11111111111111111111111111111111111000011111111111110100101001111111111110010011 +10011111111111111111111111110111111111010011100111111111111101101001101111111111 +0111111111011101111111111111111111110111111111111110000011111111111111111111 +11111011111111111111111111111111111111011111111011110100101101111111111111110011 +11111111111111111111111111101111111111110010111111111111111101111111110101111010 +1111110111111011111111111111111111111111111111111111110111011110111111111111 +11111101111111111111111111111111111011011111111111111100111101111111101111110010 +11011111111111111111111111111111111111111011110111111111111111110111011111111111 +0111111110011111111111111111111110101111111111111110110101111111111111111111 +11111111111111101111111111111111111111111111101111110100101110111111111110110011 +11101111111111101111111110110111111111111111111110011011111101100110111001111111 +1011111111111101110011111111111111111111111111111110111111111111111110111111 +11111111111111110111111111111111111111111100110111111000101101111111111111110011 +11111111111111111111111111101111111111111111111111001001111111101111011001111001 +1111111111111111111111011111111110111111111111111111111111111111111100111111 +11111111111111111111111111111111111111111110010111111100100000010111101111101111 +11101101111011111111111110110111111111101111111111100111111111111111011111111011 +1111111111111111111011111111111111110111111111111110110111111111111111111111 +11111111111101110111111111111111111111101111111111111100111101111111111111111011 +11110111111111111111111111011111111111111111111110101101111111111111111111111001 +1111111111111010111110111111111111111111111111111111110111111111111111011111 +11111111111111111111111111111111111111111111010111111000111111111110011111111111 +11111111110111111111111111111110011111110101111111011111111110111110111111010111 +1111111111111110110111011111111111110111111111111111111111101111111111111111 +11111111111111111111111111111111111111111111110111111011111111111111011110111100 +10011111100111111111111111111111011111111110110111111101111111111111011111110111 +1111111111111110110111111111111111101111111111111111111111111110101111111111 +11111111111111111111111111111111111111111111111111111111101111111110111101111011 +11011111111111111111111111111110111111111110110110111111111111111111111111111111 +1111111111111111110011111111111111111111111111111111111111111101110111111111 +11111111111111111111111111111111111111111111101111111100111101111111111111111010 +01011110111111111111111111111111111111110111000111101111111111111111111111101111 +1111111111111110001111111111111111111111111111111111111111110101011111111111 +11111111111111111111111111111111111111111001111111011100111110111111111100110011 +11111101110111111111111110100111111111110011011110011111110011001011101111111111 +1111111111111100111111111111111110110011111111111101111111101111100111111111 +11111111111111111111111111111111111111111101111111111110111111011111111111111111 +11111101100111111111111111000111111111110000100111011111110111011111011111111111 +1111111111111001111111111111111111010011111111111110011111101111110111111111 +11111111111111111111111111111111111111111111110011110111111110110111111101011011 +11111011111111111111111111110111111111010010110111111111101101111111010101110111 +1111111111111111011111111111111111101101011111111111110111110101111111111111 +11111111111111111111111111111111111111111011110111111100111111111111101111111011 +11101110111111111111111111110111111111110011110110111111111111100110011101110111 +1111111111101111111111111111111110111111111111111111111111111111110111111111 +11111111110111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111110111111111111111111111111111111111111111 +11111111111111111111111111111111111011111111111111111111111111111111111111111111 +11111111111111111111111111110111111111111111111111111111111111111111111111110111 +1111110111111101111111111111011111111111111111111111111111111111111111111111 +11111111011111111111111111111111111011111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111110101111011 +1111111111110101111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111101111111111111111111111111111111 +1111110111111111111111111111011111011111111111111111111111111111111111111111 +11111111101111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111011111111111111011111111 +1111111111111011111111111111111111001111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111001111111111111111111110111111101111111111111111111111 +1111111111110011111111111111111111101111111111111111111111111111111111111111 +11111111011111111111111111111111111111111111111111111111111111111111111111111110 +11111111111111111111111111111111111111010111111111111111111111111111110110111111 +1111111111110111111111111111111111011011111111111111111111111111111111111111 +11111111111111111111111111111111111111111111101111111111111111111111111111111111 +11111111111111111111111111011111111111111111111101010111111111111111111111101011 +1111111111110111101011111111111111010111111111111111111111111011111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111110101011 +1111111111111111101011111111111111111011111111111111111111111011111111111111 +11111111111111111111111111111111111111111111110111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111110101111111111111111111110111 +1111111111111111110111111111111111111111011111111111111111111101111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111011111111111111111111111111111110111 +1111111111111111110111111111111111111111011111111111111111111001111111111111 +11111111111111111111111111111111111111111111101011111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111011111111111111111111101111 +1111111111111111101111111111111111111110111111111111111111111011111111111111 +11111111111011111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111110111111111111111111111111111111101111 +1111111111111111101101111111111111111110111111111111111111111111111111111111 +11111111110111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111110111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +11111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111111101111111111111111111111111111111111111111110111111111111 +11111111111111111111111110111100101001001111001111111111111111111111101111111111 +11111111111011111111111111111111101111111111111111111110111111111111111111111011 +1111111111111111111011111111111111111111101111111111111111111110111111111111 +11111111111111111111111110111100101100110111011101110010100100111100111111111111 +11111111111011110010100100111101111011001100010011110110101100110001001111001011 +1100110001001111011010110011000100111101101011001010010011110111011111111111 +11111111001010010011110111101100101001001111001111111111111111111111101111111111 +11111111111011111111111111111111101111001100010011110110101111111111111111111011 +1111111111111111111011111111111111111111101111111111111111111110111111111111 +11111111001011111111011110111100110111111101111011111111111111111111101111111111 +11111111111011111111111111111111101111111111111111111110111100110001001111011010 +1100110001001111011010110011000100111101101011001010010011110111011111111111 +* +C489E* +N User Electronic Signature Data* +U00000000000000000000000000000000* +46DE diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log new file mode 100644 index 0000000..d0353b8 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.log @@ -0,0 +1,4 @@ +---- MParTrce Tool Log File ---- + +==== Par Standard Out ==== +==== End of Par Standard Out ==== diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf new file mode 100644 index 0000000..2743d95 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lpf @@ -0,0 +1,4 @@ +#BLOCK ASYNCPATHS; +#BLOCK RESETPATHS; + +#FREQUENCY 200.000000 MHz; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata new file mode 100644 index 0000000..f0b54bc --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.lsedata @@ -0,0 +1,5981 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp new file mode 100644 index 0000000..279152d --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mrp @@ -0,0 +1,402 @@ + + Lattice Mapping Report File for Design Module 'RAM2GS' + + +Design Information +------------------ + +Command line: map -a MachXO -p LCMXO640C -t TQFP100 -s 3 -oc Commercial + RAM2GS_LCMXO640C_impl1.ngd -o RAM2GS_LCMXO640C_impl1_map.ncd -pr + RAM2GS_LCMXO640C_impl1.prf -mp RAM2GS_LCMXO640C_impl1.mrp -lpf C:/Users/Dog + /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1. + lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_L + CMXO640C.lpf -c 0 -gui -msgset + C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml +Target Vendor: LATTICE +Target Device: LCMXO640CTQFP100 +Target Performance: 3 +Mapper: mj5g00, version: Diamond (64-bit) 3.12.0.240.2 +Mapped on: 08/16/21 21:33:30 + +Design Summary +-------------- + + Number of PFU registers: 102 out of 640 (16%) + Number of SLICEs: 65 out of 320 (20%) + SLICEs as Logic/ROM: 65 out of 320 (20%) + SLICEs as RAM: 0 out of 192 (0%) + SLICEs as Carry: 9 out of 320 (3%) + Number of LUT4s: 129 out of 640 (20%) + Number used as logic LUTs: 111 + Number used as distributed RAM: 0 + Number used as ripple logic: 18 + Number used as shift registers: 0 + Number of external PIOs: 67 out of 74 (91%) + Number of GSRs: 0 out of 1 (0%) + JTAG used : No + Readback used : No + Oscillator used : No + Startup used : No + Number of TSALL: 0 out of 1 (0%) + Notes:- + 1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of + distributed RAMs) + 2*(Number of ripple logic) + 2. Number of logic LUT4s does not include count of distributed RAM and + ripple logic. + Number of clocks: 4 + Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK ) + Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 ) + Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) + Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS ) + Number of Clock Enables: 13 + Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs + Net RCLK_c_enable_6: 1 loads, 1 LSLICEs + Net RCLK_c_enable_4: 3 loads, 3 LSLICEs + Net RCLK_c_enable_24: 2 loads, 2 LSLICEs + Net RCLK_c_enable_3: 1 loads, 1 LSLICEs + Net RCLK_c_enable_7: 1 loads, 1 LSLICEs + Net RCLK_c_enable_23: 8 loads, 8 LSLICEs + Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs + Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs + Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs + Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs + + Page 1 + + + + +Design: RAM2GS Date: 08/16/21 21:33:30 + +Design Summary (cont) +--------------------- + Net RCLK_c_enable_25: 1 loads, 1 LSLICEs + Net Ready_N_268: 1 loads, 1 LSLICEs + Number of LSRs: 9 + Net RASr2: 1 loads, 1 LSLICEs + Net C1Submitted_N_225: 2 loads, 2 LSLICEs + Net n2299: 1 loads, 1 LSLICEs + Net nRowColSel_N_35: 1 loads, 1 LSLICEs + Net nRowColSel_N_34: 1 loads, 1 LSLICEs + Net LEDEN_N_88: 1 loads, 1 LSLICEs + Net n2291: 2 loads, 2 LSLICEs + Net Ready: 7 loads, 7 LSLICEs + Net nRWE_N_173: 1 loads, 1 LSLICEs + Number of nets driven by tri-state buffers: 0 + Top 10 highest fanout non-clock nets: + Net Ready: 19 loads + Net InitReady: 17 loads + Net RASr2: 16 loads + Net nRowColSel_N_35: 14 loads + Net nRowColSel: 13 loads + Net Din_c_6: 9 loads + Net MAin_c_1: 9 loads + Net Din_c_5: 8 loads + Net FS_11: 8 loads + Net MAin_c_0: 8 loads + + + + + Number of warnings: 0 + Number of errors: 0 + + +Design Errors/Warnings +---------------------- + + No errors or warnings present. + +IO (PIO) Attributes +------------------- + ++---------------------+-----------+-----------+------------+------------+ +| IO Name | Direction | Levelmode | IO | FIXEDDELAY | +| | | IO_TYPE | Register | | ++---------------------+-----------+-----------+------------+------------+ +| RD[7] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[6] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[5] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[4] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[3] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[2] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + + Page 2 + + + + +Design: RAM2GS Date: 08/16/21 21:33:30 + +IO (PIO) Attributes (cont) +-------------------------- +| RD[1] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RD[0] | BIDIR | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[7] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[6] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[5] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[4] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[3] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[2] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[1] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Dout[0] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| LED | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RBA[1] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RBA[0] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[11] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[10] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[9] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[8] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[7] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[6] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[5] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[4] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[3] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[2] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[1] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RA[0] | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nRCS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RCKE | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nRWE | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + + Page 3 + + + + +Design: RAM2GS Date: 08/16/21 21:33:30 + +IO (PIO) Attributes (cont) +-------------------------- +| nRRAS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nRCAS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RDQMH | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RDQML | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nUFMCS | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| UFMCLK | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| UFMSDI | OUTPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| PHI2 | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[9] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[8] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[7] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[6] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[5] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[4] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[3] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[2] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[1] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| MAin[0] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| CROW[1] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| CROW[0] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[7] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[6] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[5] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[4] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[3] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[2] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[1] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| Din[0] | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + + Page 4 + + + + +Design: RAM2GS Date: 08/16/21 21:33:30 + +IO (PIO) Attributes (cont) +-------------------------- +| nCCAS | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nCRAS | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| nFWE | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| RCLK | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ +| UFMSDO | INPUT | LVTTL33 | | | ++---------------------+-----------+-----------+------------+------------+ + +Removed logic +------------- + +Block i2 undriven or does not drive anything - clipped. +Block GSR_INST undriven or does not drive anything - clipped. +Signal PHI2_N_114 was merged into signal PHI2_c +Signal nCRAS_N_9 was merged into signal nCRAS_c +Signal nCCAS_N_3 was merged into signal nCCAS_c +Signal n2302 was merged into signal nRowColSel_N_35 +Signal nRWE_N_172 was merged into signal nRWE_N_173 +Signal n2307 was merged into signal Ready +Signal RASr2_N_63 was merged into signal RASr2 +Signal n1377 was merged into signal nRowColSel_N_34 +Signal n2306 was merged into signal nFWE_c +Signal UFMSDO_N_74 was merged into signal UFMSDO_c +Signal GND_net undriven or does not drive anything - clipped. +Signal VCC_net undriven or does not drive anything - clipped. +Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped. +Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped. +Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped. +Block i1962 was optimized away. +Block i1961 was optimized away. +Block i1963 was optimized away. +Block i1070_1_lut_rep_25 was optimized away. +Block nRWE_I_49_1_lut was optimized away. +Block i604_1_lut_rep_30 was optimized away. +Block RASr2_I_0_1_lut was optimized away. +Block i1069_1_lut was optimized away. +Block i1_1_lut_rep_29 was optimized away. +Block UFMSDO_I_0_1_lut was optimized away. +Block i1 was optimized away. + +Run Time and Memory Usage +------------------------- + + Total CPU Time: 0 secs + Total REAL Time: 0 secs + Peak Memory Usage: 30 MB + + Page 5 + + + + +Design: RAM2GS Date: 08/16/21 21:33:30 + +Run Time and Memory Usage (cont) +-------------------------------- + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Page 6 + + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. + Copyright (c) 1995 AT&T Corp. All rights reserved. + Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. + Copyright (c) 2001 Agere Systems All rights reserved. + Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights + reserved. diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt new file mode 100644 index 0000000..2d70ad1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.mt @@ -0,0 +1,9 @@ +-v +1 + + +-gt + + +-mapchkpnt 0 +-sethld diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e new file mode 100644 index 0000000..c5da0e1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.n2e @@ -0,0 +1,574 @@ + +comp 0: SLICE_0 (FSLICE) + +comp 1: SLICE_1 (FSLICE) + +comp 2: SLICE_2 (FSLICE) + +comp 3: SLICE_3 (FSLICE) + +comp 4: SLICE_4 (FSLICE) + +comp 5: SLICE_5 (FSLICE) + +comp 6: SLICE_6 (FSLICE) + +comp 7: SLICE_7 (FSLICE) + +comp 8: SLICE_8 (FSLICE) + +comp 9: SLICE_9 (FSLICE) +n1361 = ((ADSubmitted*(~MAin_c_1+n2290))+ADSubmitted_N_234) +ADSubmitted.D = n1361 +ADSubmitted.CLK = ~PHI2_c +ADSubmitted.SP = VCC +ADSubmitted.LSR = C1Submitted_N_225 +n2080 = (~MAin_c_0*(~ADSubmitted*n2122)) + +comp 10: SLICE_14 (FSLICE) +n2386 = GND +C1Submitted.D = n2386 +C1Submitted.CLK = ~PHI2_c +C1Submitted.SP = PHI2_N_114_enable_1 +C1Submitted.LSR = C1Submitted_N_225 +n2098 = (MAin_c_0*(~C1Submitted*(MAin_c_1*n2108))) + +comp 11: SLICE_18 (FSLICE) +CmdEnable_N_236 = (ADSubmitted_N_234+C1Submitted_N_225) +CmdEnable.D = CmdEnable_N_236 +CmdEnable.CLK = ~PHI2_c +CmdEnable.SP = PHI2_N_114_enable_8 +CmdEnable.LSR = GND +XOR8MEG_N_112 = (~n2290*(CmdEnable*(MAin_c_0*~MAin_c_1))) + +comp 12: SLICE_19 (FSLICE) +n2387\000/BUF1 = VCC +CmdSubmitted.D = n2387\000/BUF1 +CmdSubmitted.CLK = ~PHI2_c +CmdSubmitted.SP = PHI2_N_114_enable_6 +CmdSubmitted.LSR = GND +n2308 = (~PHI2r2*(CmdSubmitted*PHI2r3)) + +comp 13: SLICE_23 (FSLICE) +Cmdn8MEGEN_N_248 = (~n2296*(~Din_c_5*~Din_c_0+Din_c_5*n8MEGEN)+n2296*n8MEGEN) +Cmdn8MEGEN.D = Cmdn8MEGEN_N_248 +Cmdn8MEGEN.CLK = ~PHI2_c +Cmdn8MEGEN.SP = PHI2_N_114_enable_6 +Cmdn8MEGEN.LSR = GND +n2296 = (~Din_c_4+(Din_c_6+Din_c_7)) + +comp 14: SLICE_25 (FSLICE) +n2387 = VCC +InitReady.D = n2387 +InitReady.CLK = RCLK_c +InitReady.SP = RCLK_c_enable_6 +InitReady.LSR = GND +RCLK_c_enable_24 = (~InitReady+(~PHI2r2*(CmdSubmitted*PHI2r3))) + +comp 15: SLICE_31 (FSLICE) +RA11_N_180 = (~n8MEGEN*(XOR8MEG@Din_c_6)+n8MEGEN*XOR8MEG) +RA_c.D = RA11_N_180 +RA_c.CLK = PHI2_c +RA_c.SP = VCC +RA_c.LSR = ~Ready +n2385 = (Din_c_6+Din_c_7) + +comp 16: SLICE_33 (FSLICE) +RCKEEN_N_115 = (~Ready*InitReady+Ready*RCKEEN_N_116) +RCKEEN.D = RCKEEN_N_115 +RCKEEN.CLK = RCLK_c +RCKEEN.SP = RCLK_c_enable_4 +RCKEEN.LSR = GND +RCLK_c_enable_7 = (~n2119*(InitReady*n2308)+n2119*(~InitReady*~FS_5+InitReady*n2308)) + +comp 17: SLICE_34 (FSLICE) +RCKE_N_128 = (~RASr3*(~RASr2*(RCKEEN*RASr)+RASr2*RCKEEN)+RASr3*(~RASr2+RCKEEN)) +RCKE_c.D = RCKE_N_128 +RCKE_c.CLK = RCLK_c +RCKE_c.SP = VCC +RCKE_c.LSR = GND +nRWE_N_178 = (~RCKE_c+RASr2) +CASr2.D = CASr +CASr2.CLK = RCLK_c +CASr2.SP = VCC +CASr2.LSR = GND + +comp 18: SLICE_35 (FSLICE) +n2387\001/BUF1 = VCC +Ready.D = n2387\001/BUF1 +Ready.CLK = RCLK_c +Ready.SP = Ready_N_268 +Ready.LSR = GND +RCLK_c_enable_23 = (InitReady*(RASr2*(nRowColSel_N_35*~Ready))) + +comp 19: SLICE_42 (FSLICE) +UFMCLK_N_212 = (~n2076*(~InitReady*FS_4+InitReady*CmdUFMCLK)+n2076*(InitReady*CmdUFMCLK)) +UFMCLK_c.D = UFMCLK_N_212 +UFMCLK_c.CLK = RCLK_c +UFMCLK_c.SP = RCLK_c_enable_24 +UFMCLK_c.LSR = n2291 +RCLK_c_enable_6 = (n2076*FS_10) + +comp 20: SLICE_43 (FSLICE) +UFMSDI_N_219 = (~InitReady*n1895+InitReady*CmdUFMSDI) +UFMSDI_c.D = UFMSDI_N_219 +UFMSDI_c.CLK = RCLK_c +UFMSDI_c.SP = RCLK_c_enable_24 +UFMSDI_c.LSR = n2291 +n1895 = (~FS_10*(n2103*(~n2293*FS_6))) + +comp 21: SLICE_55 (FSLICE) +n2128 = (((n2297+n2301)+nRCAS_N_161)+Ready) +n980.D = n2128 +n980.CLK = RCLK_c +n980.SP = VCC +n980.LSR = ~nRWE_N_173 +n2301 = (~InitReady+~RASr2) + +comp 22: SLICE_56 (FSLICE) +n8MEGEN_N_94 = (~n4*(~FS_10*Cmdn8MEGEN+FS_10*~UFMSDO_c)+n4*Cmdn8MEGEN) +n8MEGEN.D = n8MEGEN_N_94 +n8MEGEN.CLK = RCLK_c +n8MEGEN.SP = RCLK_c_enable_7 +n8MEGEN.LSR = GND +n4 = ((~FS_11+n2300)+InitReady) + +comp 23: SLICE_58 (FSLICE) +nRCAS_N_157 = (~nRowColSel_N_35*(~n2117+~Ready)+nRowColSel_N_35*n2287) +nRCAS_c.D = nRCAS_N_157 +nRCAS_c.CLK = RCLK_c +nRCAS_c.SP = RCLK_c_enable_4 +nRCAS_c.LSR = GND +n2287 = (~n2248*(~Ready*~RASr2+Ready*(~RASr2+~CBR))+n2248*(~Ready+(~RASr2+~CBR))) + +comp 24: SLICE_60 (FSLICE) +nRCS_N_132 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~n2117*~nRowColSel_N_35))*Ready)+((~InitReady+nRCS_N_135+~RASr2+~nRowColSel_N_35)*~Ready) +nRCS_c.D = nRCS_N_132 +nRCS_c.CLK = RCLK_c +nRCS_c.SP = RCLK_c_enable_4 +nRCS_c.LSR = GND + +comp 25: SLICE_61 (FSLICE) +n33 = (~Ready*(n2244+n2297)+Ready*(n2244+n18)) +nRRAS_c.D = n33 +nRRAS_c.CLK = RCLK_c +nRRAS_c.SP = VCC +nRRAS_c.LSR = GND +n50 = ((nRowColSel_N_33+nRRAS_c)+nRowColSel_N_32) +RASr.D = ~nCRAS_c +RASr.CLK = RCLK_c +RASr.SP = VCC +RASr.LSR = GND + +comp 26: SLICE_62 (FSLICE) +n1369 = (~n2308*nUFMCS_c+n2308*~CmdUFMCS) +nRWE_N_173.D = n705 +nRWE_N_173.CLK = RCLK_c +nRWE_N_173.SP = RCLK_c_enable_23 +nRWE_N_173.LSR = GND +nRCS_N_135.D = Ready_N_272 +nRCS_N_135.CLK = RCLK_c +nRCS_N_135.SP = RCLK_c_enable_23 +nRCS_N_135.LSR = GND + +comp 27: SLICE_63 (FSLICE) +nRWE_N_167 = (~n2292*(~Ready*~n2164+Ready*nRWE_N_174)+n2292*(~Ready+nRWE_N_174)) +nRWE_c.D = nRWE_N_167 +nRWE_c.CLK = RCLK_c +nRWE_c.SP = RCLK_c_enable_3 +nRWE_c.LSR = GND +nRWE_N_174 = (~nRowColSel_N_35*(~n1+n1627)+nRowColSel_N_35*nRWE_N_178) + +comp 28: SLICE_64 (FSLICE) +n1368 = (~nRowColSel_N_32*(nRowColSel+n1627)+nRowColSel_N_32*(~nRowColSel_N_28+n1627)) +nRowColSel.D = n1368 +nRowColSel.CLK = RCLK_c +nRowColSel.SP = VCC +nRowColSel.LSR = n2299 +RA_c_4 = (~nRowColSel*RowA_4+nRowColSel*MAin_c_4) + +comp 29: SLICE_65 (FSLICE) +n1628 = (nRowColSel_N_32+nRowColSel_N_33) +nRowColSel_N_32.D = n1628 +nRowColSel_N_32.CLK = RCLK_c +nRowColSel_N_32.SP = VCC +nRowColSel_N_32.LSR = ~RASr2 +RCLK_c_enable_4 = (((nRowColSel_N_32+n2299)+nRowColSel_N_34)+nRowColSel_N_33) + +comp 30: SLICE_66 (FSLICE) +n1135 = (RASr2*~nRowColSel_N_32) +nRowColSel_N_33.D = n1135 +nRowColSel_N_33.CLK = RCLK_c +nRowColSel_N_33.SP = VCC +nRowColSel_N_33.LSR = ~nRowColSel_N_34 +n2117 = (~nRowColSel_N_33*(n1*~nRowColSel_N_34)+nRowColSel_N_33*(~n2304*~nRowColSel_N_34)) + +comp 31: SLICE_67 (FSLICE) +LED_N_90 = (~LEDEN+nCRAS_c) +nRowColSel_N_34.D = n1135 +nRowColSel_N_34.CLK = RCLK_c +nRowColSel_N_34.SP = VCC +nRowColSel_N_34.LSR = ~nRowColSel_N_35 +n2154 = (MAin_c_4*Bank_7) + +comp 32: SLICE_68 (FSLICE) +n2168 = (FS_3*(FS_2*(FS_0*FS_1))) +nRowColSel_N_35.D = ~RASr2 +nRowColSel_N_35.CLK = RCLK_c +nRowColSel_N_35.SP = VCC +nRowColSel_N_35.LSR = GND +n962 = (nCCAS_c+nFWE_c) +CASr3.D = CASr2 +CASr3.CLK = RCLK_c +CASr3.SP = VCC +CASr3.LSR = GND + +comp 33: SLICE_69 (FSLICE) +n1348 = (~InitReady*n2076+InitReady*n1369) +nUFMCS_c.D = n1348 +nUFMCS_c.CLK = RCLK_c +nUFMCS_c.SP = VCC +nUFMCS_c.LSR = LEDEN_N_88 +n2076 = (FS_17*(FS_11*(n12_adj_2*FS_15))) + +comp 34: i1912/SLICE_70 (FSLICE) +n2244 = (((~RCKE_c*nRowColSel_N_35*~RASr2)+(~nRowColSel_N_35*n50))*Ready)+(((~nRowColSel_N_35*n50)+~InitReady+~RASr2)*~Ready) + +comp 35: RCKEEN_I_0_419/SLICE_71 (FSLICE) +RCKEEN_N_116 = (((~FWEr*~CBR)+~RASr2)*nRowColSel_N_35)+(((FWEr*n11_adj_3*~CBR)+(nRowColSel_N_34*~CBR))*~nRowColSel_N_35) + +comp 36: SLICE_72 (FSLICE) +PHI2_N_114_enable_7 = (Din_c_5*(~n2296*(n2298*XOR8MEG_N_112))) +n702.D = n703 +n702.CLK = RCLK_c +n702.SP = RCLK_c_enable_23 +n702.LSR = GND +n2298 = (((Din_c_6+Din_c_7)+Din_c_5)+Din_c_4) +n701.D = n702 +n701.CLK = RCLK_c +n701.SP = RCLK_c_enable_23 +n701.LSR = GND + +comp 37: SLICE_73 (FSLICE) +n11 = (~n2168+((~FS_11+n2300)+FS_6)) +n706.D = n707 +n706.CLK = RCLK_c +n706.SP = RCLK_c_enable_23 +n706.LSR = GND +n2300 = ((FS_16+n10)+FS_17) +n705.D = n706 +n705.CLK = RCLK_c +n705.SP = RCLK_c_enable_23 +n705.LSR = GND + +comp 38: SLICE_74 (FSLICE) +C1Submitted_N_225 = (~n2131*(~Din_c_2*(n2295*n2122))) +n710.D = n711 +n710.CLK = RCLK_c +n710.SP = RCLK_c_enable_23 +n710.LSR = GND +n2295 = (n2114*~nFWE_c) +n709.D = n710 +n709.CLK = RCLK_c +n709.SP = RCLK_c_enable_23 +n709.LSR = GND + +comp 39: SLICE_75 (FSLICE) +n2119 = (~n12*(~n11*(FS_10*n2294))) +n708.D = n709 +n708.CLK = RCLK_c +n708.SP = RCLK_c_enable_23 +n708.LSR = GND +RCLK_c_enable_25 = (n2119*(FS_5*~InitReady)) +n707.D = n708 +n707.CLK = RCLK_c +n707.SP = RCLK_c_enable_23 +n707.LSR = GND + +comp 40: SLICE_76 (FSLICE) +n2131 = ((~MAin_c_1+n1285)+MAin_c_0) +WRD_0.D = Din_c_0 +WRD_0.CLK = ~nCCAS_c +WRD_0.SP = VCC +WRD_0.LSR = GND +n1285 = (~MAin_c_5+(~n2170+(~Bank_3+n26))) +WRD_1.D = Din_c_1 +WRD_1.CLK = ~nCCAS_c +WRD_1.SP = VCC +WRD_1.LSR = GND + +comp 41: SLICE_77 (FSLICE) +PHI2_N_114_enable_8 = (~MAin_c_1*(~n2286*(~n2290*MAin_c_0))+MAin_c_1*(~n2286*~n2290)) +RowA_2.D = MAin_c_2 +RowA_2.CLK = ~nCRAS_c +RowA_2.SP = VCC +RowA_2.LSR = ~Ready +n2286 = (n2114*(~Din_c_2*n2080+Din_c_2*n2098)) +RowA_3.D = MAin_c_3 +RowA_3.CLK = ~nCRAS_c +RowA_3.SP = VCC +RowA_3.LSR = ~Ready + +comp 42: SLICE_78 (FSLICE) +n10 = (((FS_14+FS_13)+FS_12)+FS_15) +CASr.D = ~nCCAS_c +CASr.CLK = RCLK_c +CASr.SP = VCC +CASr.LSR = GND +n2294 = (((FS_16+n10)+FS_17)+FS_11) +PHI2r2.D = PHI2r +PHI2r2.CLK = RCLK_c +PHI2r2.SP = VCC +PHI2r2.LSR = GND + +comp 43: SLICE_79 (FSLICE) +n1627 = (nRowColSel_N_34+nRowColSel_N_33) +WRD_2.D = Din_c_2 +WRD_2.CLK = ~nCCAS_c +WRD_2.SP = VCC +WRD_2.LSR = GND +RCLK_c_enable_3 = (((~Ready+nRowColSel_N_32)+n1627)+nRowColSel_N_35) +WRD_3.D = Din_c_3 +WRD_3.CLK = ~nCCAS_c +WRD_3.SP = VCC +WRD_3.LSR = GND + +comp 44: SLICE_80 (FSLICE) +ADSubmitted_N_234 = (~n2289*(n4_adj_1*(MAin_c_0*n2108))) +WRD_6.D = Din_c_6 +WRD_6.CLK = ~nCCAS_c +WRD_6.SP = VCC +WRD_6.LSR = GND +n2289 = (~MAin_c_1+n1285) +WRD_7.D = Din_c_7 +WRD_7.CLK = ~nCCAS_c +WRD_7.SP = VCC +WRD_7.LSR = GND + +comp 45: SLICE_81 (FSLICE) +n4_adj_1 = (n2114*(Din_c_2*~nFWE_c)) +RowA_8.D = MAin_c_8 +RowA_8.CLK = ~nCRAS_c +RowA_8.SP = VCC +RowA_8.LSR = ~Ready +n2114 = (Din_c_7*(~Din_c_4*(~Din_c_1*Din_c_0))) +RowA_9.D = MAin_c_9 +RowA_9.CLK = ~nCRAS_c +RowA_9.SP = VCC +RowA_9.LSR = ~Ready + +comp 46: SLICE_82 (FSLICE) +n2166 = (Bank_6*(MAin_c_2*(Bank_5*Bank_0))) +RowA_0.D = MAin_c_0 +RowA_0.CLK = ~nCRAS_c +RowA_0.SP = VCC +RowA_0.LSR = ~Ready +n26 = (~MAin_c_6+(~n2154+(~n2166+Bank_2))) +RowA_1.D = MAin_c_1 +RowA_1.CLK = ~nCRAS_c +RowA_1.SP = VCC +RowA_1.LSR = ~Ready + +comp 47: SLICE_83 (FSLICE) +n2245 = (InitReady*(Ready_N_272*(~RASr2*nRowColSel_N_32))) +CmdUFMCLK.D = Din_c_1 +CmdUFMCLK.CLK = ~PHI2_c +CmdUFMCLK.SP = PHI2_N_114_enable_7 +CmdUFMCLK.LSR = GND +Ready_N_268 = (n2245+Ready) +CmdUFMCS.D = Din_c_2 +CmdUFMCS.CLK = ~PHI2_c +CmdUFMCS.SP = PHI2_N_114_enable_7 +CmdUFMCS.LSR = GND + +comp 48: SLICE_84 (FSLICE) +nRowColSel_N_28 = ((~FWEr+CASr3)+CBR) +nRCAS_N_161.D = nRCS_N_135 +nRCAS_N_161.CLK = RCLK_c +nRCAS_N_161.SP = RCLK_c_enable_23 +nRCAS_N_161.LSR = GND +n1 = (~CASr3*(CASr2*(FWEr*~CBR))) +n703.D = nRWE_N_173 +n703.CLK = RCLK_c +n703.SP = RCLK_c_enable_23 +n703.LSR = GND + +comp 49: SLICE_85 (FSLICE) +n12 = (((~FS_4+FS_9)+FS_8)+FS_7) +PHI2r3.D = PHI2r2 +PHI2r3.CLK = RCLK_c +PHI2r3.SP = VCC +PHI2r3.LSR = GND +n2103 = (~FS_9*(FS_7*~FS_8)+FS_9*(FS_5*(~FS_7*~FS_8))) +PHI2r.D = PHI2_c +PHI2r.CLK = RCLK_c +PHI2r.SP = VCC +PHI2r.LSR = GND + +comp 50: SLICE_86 (FSLICE) +n2291 = (~InitReady*(~n2300*~FS_11)) +RowA_6.D = MAin_c_6 +RowA_6.CLK = ~nCRAS_c +RowA_6.SP = VCC +RowA_6.LSR = ~Ready +LEDEN_N_88 = (~InitReady*(~FS_10*(~n2300*~FS_11))) +RowA_7.D = MAin_c_7 +RowA_7.CLK = ~nCRAS_c +RowA_7.SP = VCC +RowA_7.LSR = ~Ready + +comp 51: SLICE_87 (FSLICE) +n2122 = (~Din_c_5*(Din_c_6*~Din_c_3)) +Ready_N_272.D = n699 +Ready_N_272.CLK = RCLK_c +Ready_N_272.SP = RCLK_c_enable_23 +Ready_N_272.LSR = GND +n2108 = (Din_c_3*(Din_c_5*~Din_c_6)) +n711.D = nRCAS_N_161 +n711.CLK = RCLK_c +n711.SP = RCLK_c_enable_23 +n711.LSR = GND + +comp 52: SLICE_88 (FSLICE) +RDQMH_c = (~nRowColSel+MAin_c_9) +CmdUFMSDI.D = Din_c_0 +CmdUFMSDI.CLK = ~PHI2_c +CmdUFMSDI.SP = PHI2_N_114_enable_7 +CmdUFMSDI.LSR = GND +RA_c_9 = (~nRowColSel*RowA_9+nRowColSel*MAin_c_9) + +comp 53: SLICE_89 (FSLICE) +n2290 = (nFWE_c+n1285) +LEDEN.D = ~UFMSDO_c +LEDEN.CLK = RCLK_c +LEDEN.SP = RCLK_c_enable_25 +LEDEN.LSR = GND +PHI2_N_114_enable_1 = (MAin_c_1*(~n1285*~nFWE_c)) + +comp 54: SLICE_90 (FSLICE) +PHI2_N_114_enable_6 = (Din_c_4*(XOR8MEG_N_112*(~Din_c_7*~Din_c_6))) +n700.D = n701 +n700.CLK = RCLK_c +n700.SP = RCLK_c_enable_23 +n700.LSR = GND +PHI2_N_114_enable_2 = (XOR8MEG_N_112*(~Din_c_5*(~Din_c_4*~n2385))) +n699.D = n700 +n699.CLK = RCLK_c +n699.SP = RCLK_c_enable_23 +n699.LSR = GND + +comp 55: SLICE_91 (FSLICE) +n2248 = (~InitReady+(nRCAS_N_161+nRCS_N_135)) +CBR.D = ~nCCAS_c +CBR.CLK = ~nCRAS_c +CBR.SP = VCC +CBR.LSR = GND +n2292 = (~RASr2+(~InitReady+(~nRowColSel_N_35+nRCS_N_135))) +FWEr.D = ~nFWE_c +FWEr.CLK = ~nCRAS_c +FWEr.SP = VCC +FWEr.LSR = GND + +comp 56: SLICE_92 (FSLICE) +RDQML_c = (~nRowColSel+~MAin_c_9) +RowA_4.D = MAin_c_4 +RowA_4.CLK = ~nCRAS_c +RowA_4.SP = VCC +RowA_4.LSR = ~Ready +RA_c_0 = (~nRowColSel*RowA_0+nRowColSel*MAin_c_0) +RowA_5.D = MAin_c_5 +RowA_5.CLK = ~nCRAS_c +RowA_5.SP = VCC +RowA_5.LSR = ~Ready + +comp 57: SLICE_93 (FSLICE) +n12_adj_2 = (FS_12*(FS_13*(FS_16*FS_14))) +RASr2.D = RASr +RASr2.CLK = RCLK_c +RASr2.SP = VCC +RASr2.LSR = GND +n2293 = (~FS_11+((FS_16+n10)+FS_17)) +RASr3.D = RASr2 +RASr3.CLK = RCLK_c +RASr3.SP = VCC +RASr3.LSR = GND + +comp 58: SLICE_94 (FSLICE) +RA_c_1 = (~nRowColSel*RowA_1+nRowColSel*MAin_c_1) +Bank_0.D = Din_c_0 +Bank_0.CLK = PHI2_c +Bank_0.SP = VCC +Bank_0.LSR = GND +RA_c_3 = (~nRowColSel*RowA_3+nRowColSel*MAin_c_3) +Bank_1.D = Din_c_1 +Bank_1.CLK = PHI2_c +Bank_1.SP = VCC +Bank_1.LSR = GND + +comp 59: SLICE_95 (FSLICE) +RA_c_8 = (~nRowColSel*RowA_8+nRowColSel*MAin_c_8) +Bank_6.D = Din_c_6 +Bank_6.CLK = PHI2_c +Bank_6.SP = VCC +Bank_6.LSR = GND +RA_c_2 = (~nRowColSel*RowA_2+nRowColSel*MAin_c_2) +Bank_7.D = Din_c_7 +Bank_7.CLK = PHI2_c +Bank_7.SP = VCC +Bank_7.LSR = GND + +comp 60: SLICE_96 (FSLICE) +n2299 = (~Ready+nRowColSel_N_35) +XOR8MEG.D = Din_c_0 +XOR8MEG.CLK = ~PHI2_c +XOR8MEG.SP = PHI2_N_114_enable_2 +XOR8MEG.LSR = GND +n2297 = (~nRowColSel_N_35+nRCS_N_135) + +comp 61: SLICE_97 (FSLICE) +RA_c_7 = (~nRowColSel*RowA_7+nRowColSel*MAin_c_7) +Bank_4.D = Din_c_4 +Bank_4.CLK = PHI2_c +Bank_4.SP = VCC +Bank_4.LSR = GND +n2170 = (Bank_1*(Bank_4*(MAin_c_3*MAin_c_7))) +Bank_5.D = Din_c_5 +Bank_5.CLK = PHI2_c +Bank_5.SP = VCC +Bank_5.LSR = GND + +comp 62: SLICE_98 (FSLICE) +RA_c_6 = (~nRowColSel*RowA_6+nRowColSel*MAin_c_6) +Bank_2.D = Din_c_2 +Bank_2.CLK = PHI2_c +Bank_2.SP = VCC +Bank_2.LSR = GND +RA_c_5 = (~nRowColSel*RowA_5+nRowColSel*MAin_c_5) +Bank_3.D = Din_c_3 +Bank_3.CLK = PHI2_c +Bank_3.SP = VCC +Bank_3.LSR = GND + +comp 63: SLICE_99 (FSLICE) +n2164 = (nRCAS_N_161+nRWE_N_173) +RBA_c_0.D = CROW_c_0 +RBA_c_0.CLK = ~nCRAS_c +RBA_c_0.SP = VCC +RBA_c_0.LSR = ~Ready +n18 = (nRowColSel_N_34*~nRowColSel_N_35) +RBA_c_1.D = CROW_c_1 +RBA_c_1.CLK = ~nCRAS_c +RBA_c_1.SP = VCC +RBA_c_1.LSR = ~Ready + +comp 64: SLICE_100 (FSLICE) +n11_adj_3 = (~CASr2+nRowColSel_N_33) +WRD_4.D = Din_c_4 +WRD_4.CLK = ~nCCAS_c +WRD_4.SP = VCC +WRD_4.LSR = GND +n2304 = (FWEr+CBR) +WRD_5.D = Din_c_5 +WRD_5.CLK = ~nCCAS_c +WRD_5.SP = VCC +WRD_5.LSR = GND diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.ncd new file mode 100644 index 0000000000000000000000000000000000000000..fa3d1271d2b8a91aaa5c3455186366cb54bf9687 GIT binary patch literal 156017 zcmeFa37lO;l|TNP>`Ny=fRKbGtl3!lz1{230T3_E_sqW|$X(6-0 z1!-`)5e3O1-H3uTINgYXG&tRef;2eYh=MdY-H3uTIL-8eG*~;xH!YLr)q+iDP%RZp z_i(jTY+Q;t)l#vsX{=N%EjiUvu{3+Dr6-h;zPg#hP$NB&=5CGjM4G!b(i3U!)<}cX z+^vz)(%h|)2B(=*BMnY7r$!o_mWCQ>a8Z4w!CGJ6;7mEMl?JC9Q7a8jH=3C`Dn3k^2l{52M>7~`XiI?_|Zbk9+S;>VFrK6=+N=FOFN=FOtN=K_Yl&qTYGfu5m zO&F)`F3C4FT5;-t?P|Tov~JkEDU&a1$95AhY~4+~@O3xw!r0x!3uku|uLh=c{e`!w z^a1y@`X#xic5!r9b5o|!sevpVty0s4#91P%CUbPjXqB3h(JD11qg866=%C%&EhXEn z2DW6?)Y6uY7MUy^t*RJREf7gH!lhI1o~de#bP%n|RWe$2t7NpWD`nC5?dWdnX<6Fc zv$$z#&w}=jHhN$Cz3z3d>sf#4+MY|-UC`Ha{<`&RWptR-xpD0!y_?qcT`;|6L*JTp zo7QdUBl*~t&c%z{x?0+sI)rY_()J}{3U!cNdRluS#>>z#p7mUXp=b5XMjd^|TDrU> z3<%utX{FjrRmaIY=jsgN;b-;C`g8`;O+LOZ9WUbL<7?CL z(vv>ECLJ$b>Eo-@@zR$*zL1WW&h+t)0SW81{hq}Qsq{Jw`SfZ2=r}ZHrtm7P81=HHU5CAKNqo8yIzt%W zh2*L&X*TG{7Z4QXpyHb3kk(k8L5xe{)8eLc2_Ns6m58foTfoe;FzNW`(-*}HOMQG= z)^yV0(nG(cooFEUQObKWkI~_R>m(sYiZkDVaz`5OOjFrD@-vg+pXA$*rQ8O3;&8U z5#|-6g>l7bVOlX-7*>oHZWW`2NyTX4jiD2cWLH~LBdFbdOp0^Sp(F!eLImnc*a96xGV&?Ggu1AjN#{kjFu_KqFu_JvU|e_mLYWmV zUD3r^C=BVIso52>T)Ik1z@00E7b(xOX!d;b4R*2vZTJAsm8mD8gX~ zha*f!I0E5Fgrg9SMmPpxCc-R)*$8tGjzc&e;RFOSq6#68P(a{jQ4K;ZLLEXqLIXl0 z!d!%T2&W*NiZCCc385LG1)&w84PgPoLWI*0+7V7iScK4luoz(p!cv4~2we!>2+I*x zAe@1)65&jQvk+c|unOU9gw+V=AoL)-8le~AT!ixw)*!4!I3M8xgx4UjgIYta4Etjgv|*5gm4+c>kwX#@CJm-5#EUKCWI>xu0+^^a23Lv5w1pf3&J%B z*CJep@K%JkA^bDK+Y#P@a6Q615pFG+YgpVNHhVW5@+Yvs7@NtAsAl!lQNrXEQK80`>!lw~FgYa2|yAeKz@OgwU zAbb(wO9=NMd>P>@2wz3`8p78R?nU?p!hHzeMEDlMw-N3~_zuDY2;W8c9>RkN42tP;o1;Q^8 zo<#T+!cz#pM%a$91L0|e-yr-a!v8_|Ey6Ph&m#N|;r9sth4380PJ~?u&m;T+;r}B1 zH^LtgUO@OCgg+ttFT$S@{(|r#!b=GKs@@e9_`eUrFofXU*!Vw5ZA{>Qq zG{P|m$0E!?n29h8VK%}XgyRs7M>qlDM1(4YJVF7X8leWE7NHKI9-#rD5n(RENeCw+ z%tJT@;Z%hA2u%ph2rUS$2yF-p5EdewhR}|1I>I7^4ur)BOAtB{mLe=e=tAg5SdOp) z;S7Y82xlUkh43nbRS0JztVTEop$FmB2)zjBBAkb?24OA2`3M&vye0?xx}dwKw!Xfn zXB}FjD$D-?9xV*;6CHi;nl(K&J$bMwi1e9(MVWZ8Ex=ERGK(uPE~!{P&Mb(Cb4yh% z&dyg2y%|&;+vWvMEq$*iX%(ql_aV7R!m#?a=?Wyirzj+fR5r1Pott+^=-Zii7iEA>k zskM9axfiY5v}x^{p6Z5}S0gW~%FIlP=wW85Hn-%1%<5xp8HY+%*Yp&MavZ&`I-}B+ zEf=k6>+3yt{o0=D`dEI)^cAWb>d&si85MhAT5~XEx#7(#7O-1k+VBtyR1x?MbSY!8e(Q2h}gb{#cd1Qmh`muG}L6&{or-^hU$VgwTA`d8?^&i z_7*+UmNvZey_ObqO?}HkSNEilp;WJaN5uteL`jY`JZj#!s(td`bD@$7PV z9l7BPo0h{%$t~G}Kk|&`5-+qX?uv=%IM23xoVT|%l-NQXiTYqU7xjr*4OVtv0+Ee% zk0!Lv*>cuqi&P1J#xSHrk9ao3e#}_#Bvsw73Ti89nSXELDM6 zpvgk7gT-;`9TuBfUrwxb9v_dJe$dk#Y1Cp?jDa(d!Pb#0yIOnJ#fC_6u@F-kGTo|) zeTAxqsuG526zoS+&$_sm9WrL%XmowC(5HGNYrq;!?SgWp_{4J~;$Or~o7HmR3>W271v7n6E{k6aek=*DDHh<6A`wo+~O|Q65>uxcYCoQO*B7V%yc0x#`Q$3 z8x9$dSz-&b%o4;Z%V~0%#LRcdSg;OA`3`W)>*8dPutH6(NLcYag)@@G6^j`*-bG;` zZ-}LX>OT;x)TV9`!+<|t@#5+Swk)poXxOV_(MgF!%;d2mv>YkoGR6qLr@AI4=Y*!Q z-ifmak+Ytx^A`T$GZ|F%&XkojuC8Zd{yAhUak1Z&T3$&-#wi0%#l7KK8%rk#NX*fS z`+Q1~^Fj}|Xlmv}L;UK7xGr>>tKA5$bHP}1Q+5YatD@Y;;Ghj>N{w-)vpk=;+xA`8 zP-DGTEFb}w;wl9Zu^|Z*NNNcMN~!q~iCq&ZiPj`CWOP(Za~GWHxcJdHZv-=27q^yX zp|Luni;DfrEFL-ui`(Onv5ZVGZ4xsU8?01kWm+kE?$G(#xKU$>roP2BD6V{#xhST} zQom8ny?qy^2Uv%UwY)gLto4avD%8J7I9&lLeb%bPnm|8J2SmySCcwq&7V|gL@f~+& zXBSsrU}*|#1#;WxF{(!IiB(aon@&FT|`>{O?Bzn z1rL>B$vzlRJbfMMW8;RSNvrBg(bs}LwZK98+EVm2q>tG|`kGSo)ufL(Nc!qh^aaw# z9ZLE_Df&F=V}_DGUy8np^f9wZUlr4j_56?cJW7wxmLg8+wh*T{?{khTp*g46?up|T zDshVEo;YTo5~mpMiQ~Re;uN<%aoq7roMN>nj(smBPVspZ25gFX#AG=x8%p;WDkqg8 zE`FpOkB$2xA&mIMzKSPHCSfj`dH8QyS=rW0op$N(()4tcOaR(nL=j>!K2;v~euI=S+B1$_lAJV=BFMmOZo`)aq?r3jm>#54gp~D68mg~w_ zwbVBWY8c4B+|{;lac67W+yx7!%m0>^6@}?Q=I0*Oxr9KK0L$`omrY+*g+B|syXLmG zcQ-Y|-P8f(ncshy`#H}K-F~oXU0w4XDw+VwsQ&b8a;hMOXu8{PW)>p z691;Rw&w|U=K)m-R5hKInLD?;YF^dr&hPE*J+3?NAf9I&<*KgD8_vQM+-FhV0N3{6 zY!I2VPmZ7nNJVa+Wk$Em(d~nC1j4L+7C6i>G*?k07PD3gk6KisqA&|A4YO!prLLAz77!(OI%8=eSGAs(!1REvx#u zimE~^tMB!)DYE(=|1Pq6h{|d~H7k(S25(pIcE;(Ix2vzLK^^UdbkvZL%87jy($FmG zXCf+UX_HwmUw?S{4b#=j*AFVbv52noA}JzzfqxefZB-GiE)vnYmVZ=pI?hY#*da-k z?@2PuXclI#YWUEw5o?cYAT+RuyazC&UwpXOzWZ8ECoizQQU!^n0O^gG!scc8&bsYvb3 z{#~T@0F~OhBB`zahc&SWcv+n^B&+i2Xojp-?am#j(ji|KRMN`?1-(=y%f!CR3#a7% zHvcZd>ZVuq#lrdzyA&|l3+q8c!Ybc37Yl1a?<2^zo%M;Vs?iOnSq+IFQRPKZR;@Ps zcM;Xs`gal4!_=-e6pQLV>@;ht7u6|4qAH)>7mF%xTIR8MKa&clSp|0qt*oM^mkSz` z)z`e2Mak@1|1Prn7XL1?dbrAJV=Sw+Ew$zXOpyfp;M1p3SZo^(-()n_Gsfcff$_L` zU;@1GeR1Q!{#SMR z7gYgOHE-rjqFU#)%$hZ)c~-E$z^#P^`MC?GFQ~$w5}OQj=W?rI-cjvK&PeY!V7c6O z902xop3&CT)6!P7?=axT0lMYT+&+tBi{KFHA-5*2orUPb{?WzS-C;oqNiF{;MmB9o zkMhDO!rAEGDV(|eRXFphMF2>r8PX}B;x)w;BAFv0qkpq>wn7pijV-gAXL$jwQkk4P z7n0rGwtOCf?tA?e!m0Ady{tVbozqP^56_g&BZj0?ZdfUnPG?rdU5!>#ao0ieF49@& z-$gpBoph$gc}S;C`Z3f&J}I3v_M;%9154+}2C$tivuP_^XI~MD=gj6gt$V<1ddVDM zpLQ_z@+gzYBQquP=pl&=Br+c912-TMLBTEaA}Atxn17ei{7@&7so}h_rNIy99Lkw1 zRbg-j^MeD6WGk(ujpq5keE{F1k~q^OaYm*j&KisfA%t2oMW;$ zJ5v^q8C{$-usVj<$06Ks!X{o49R6+PD3X%UnN4uC5^Pz^qc*~ak>#j zD;(R?xBGVy=HvXklvC-1IprTTv^0b>`C;NC(Dn}>)J*=4-3v3lfhLG9`~XhruV|UW zw@AL5Alom0=2Q*~TVs-3ohiw+Ly}xVk_&o`a+V}(j9*U;4YOXFTqMc3T``etk>un3 zyGZgZC&{TbsX|MAEXg=XEVKT>N%H*zNHXL!klSX+Gi-C~>?Yb~7-&Jjbc>b7Dl1M$ zx6X5GRy?rn&uI_1UX`}n1FQtd0pz)h^V0{jBv5ZMUgu;SgIA+~vas4PB;z9Eql-;) za-6l;BAY^lRAQpNt<8&qPNV22=$i-BaC&!D zo&5xE5AGApHwis8Q$m}DBvd4HgqYw^LJRu4OWDqZ`!NAn+QfMfsh#iNMM4ko?=+_s zW1YmN++s+qcP5ylN-Q@JMh;>N;S;-;Sh^0$4s0O1kX6WS(_SU6Ehetbnc~_yB(5dA zVqCBrpCt%=-};g(W(~4eTjUkv?)yZrMUY?T-^DMuUIiKVp`{ipg1s=BUV6p46E+w@ z{?Y(~+%)Hk!1&Ibi3uJid;SQ)U$I@Ac7I`d%dl**z{I*OQ>+&biFGL}?0$JCW7(ow z1X1mCBCNE+xIjO77va6cze}2#PIyxXVX-*jSK4%)jZ->W;lUh&xqbJ-ixV&^t+Dga zG`kh66cB584|~VLM7zoAX_>Nm`jD&!CODjAi2=@Wi41VBoni=Cy_pkpg;L7 zU(SjQU+!c$br=Dw#oqT-&zCA?nHFq!GOXk%y9<~J2QoI>5y;+1~_zc^_L2>XKe1L z+tM?(ea`Y?D!xLCe;2WB_U~ffCp$4t?b21Z6uj?1!B@wZ^S8mQIs9<In_ul z$HA>Omju5rRzlF-v2ZL^aEA^)1MPBZW5aeig3XPhL*jphrB0jZ!S8T{wt9sZ)oSZYfQxZ9$}oDeI}jT>oHM2CsUMPJtWE{M7g@8DA$SbWs7oy*xX`K z-rz-7C{FP2lG}18%BiJfh_XL7%w;$gWqwgP7@y(`CA?(&o)W8XaFX3QAgmtK3Y&q( zI>*aD1fOA(%diV_uE}(7rc9qVB-15ix=?ayS$|AETc&H1<3RDeuG7n~$n>H9U1VCX zvg^UGNJcAlHL-ct4U)n8$pfs^#ojR;W^;x0Xq#L*TEYu1J?TsCAuZ3g-dYprHJJi^ z{*XYIaF7ehazyd@w#E`-dyN-U5!-+E?;^I-oheT3h~Qf|?^WoAG(X|cCFX&5M83a! zQ;cxSgHgn{-qj23&)waHx#=>@h^yabCxpX1*}qL=u0834vR2~U0Pna}$bHvQ_3s~Cgd zxc>C+MO>T>IeQ#<8Q2Nr>H5EYTQ9WGdukfE-o*IAOtXB^kQfJMIbL^@59qSi-D*Sv z)zOIDiHg*0uVOzhwIane^3$Ows_f#oZX>6nA#{}KB-2?Q{C>4wT3)o`2Im;_Jf4{k ztTRLwt8lVSJ>4wqbhk}6;A&X7)VrZrsx*`&yNJh0@ejtD zoTT>G>Owi8>&f*2olXtrE4Wse zHC`{|azdg93nJ4~otU0je!k@8h4NFezke6`S?LT*YS*dO|GWXeNbn~S2lv_J0B)Z< zddO9M@BZ<;i@1P$%Kt0A`*YCC`!CDwyVSL-!{nvZZf8CJii|7|0L&)oz6dl4W z(GMQ{`mRx)9KT;dK5AmJd zD+PRp*xBTfGc41}nMiU8v-#jFO?wFrGh|~j>Ou~ z9&1DHT>ma(!dLyfXv0^WHl%h0apE&@m2_^*kE90sx%H2BuMRVDMZ3c_UpZ{zhg+)1E(oGcrTKfZT0I!O3f<@69tonv@6vh85V!B zeWn+FahNXl?~-Dyf0ts1Ips)gCgS9hoz~a8wz(xU_(OOP52PEos*~Tk#tWz1L`TBE zRVji+qgTjNy1}&Kon9+$^jh(*A*~3sBKC$7E1xly&@+75epErfa>@G6w$3f8ruW%b zd(js!YK(su)wtWgi)wsURih!bSBX8Sa8XsgsKIZu|6up3As-1O;|14_;6TZBGJmJK z@gCEScYED&99y`!a0Y>)$2C zUH)AP{*=>=)c$OBcnLl=gL7@YIbkptfBb&;y1}I;`I=l;Q~gm_-Kk9!1i82$YuH08 zt-BdU$~?Ka$+YMFUVCo#+Vg=S?I|_62)_`c^Au^1UY@7g6HhK);{{y?i39z+D8d)~ zyC}lvog$?6o$(>CKW=CXPMli|Zr}MocdrP^f)jV(f(0iTDzHLZhNam5cPj8fQ-Oc+ zDsYQefe#I-K%fGltxx|zZ>#~qE=8;XZ}k!`8j!vTb%Ku<4fvYVfYjbI?t%`G>uf-{z(KBSTVNLdqKxFDc^; zc_|^U2re`gA)oApTJpQXzl)Gx?SwqFmyEf9H}m$RKZD;(9$>c(60P2UbmOTUv5?90 z9-b_}+?!Ef)+*`kCf^_R^8Ily-ya*2Z;|he!$tnn%d9D~><{>9KxqH<=E~xa+0tLg zpY3H`WdD=?U1a}OC;O?LX0D$W3;*Chsd#Jv9|yuOKX)aUo5&_}N)0d>@QX;uY!9v* zr9Xt*liO-{m?nI}Yr>sg6Fxbl34tbrtD(C&OjMg%MhexS!7T@+j}z5;1c^ z!ASouYH+$!gVfeC?ltk7YBmJ$d>yB~18=Gg9r!6EnXF!2A*)4$6! z<5ONUKI1jx(?gmenvrn|&;8gjjbQQV)bOVc)7uEOB~Rhiy6b?_YO~O*g{aMG{$122 zIH)aZ^F^mNMSIb8etN)TsXWa;_%A*NIE9CmBDwI*&k=Y=Np2KMR*Ck|+E7`&rn^le zKI=8&^Iju9H>454SP{){^T~)%e6LWxkUFm&4HqTk{tPeYBKMd1cai&l@$Vw{cR0CE z?K@FMa-(?%v{>4>%j`!Q4XcMQDie~;d8E+Uy<*3`gf7< zE1f(SZ4}pq@~mtAoCFN+6N3RhI^~%nxyiyC^1tp*00?o(gA#jGoWE@1d{3r0e`QFV zMVzy~{0b~~ydv4*MUkRek-A8zVv34pV``%o-+uY|DRb20ZanNZn9Znv-My(b#~k7* zXZwTQD2-AyU9!aT#%6f1-~R`zk!5u@zGkBPRqt%v>z$3S4~cFm_gnAHqE*dszw^5} z#ZxECH(7?dUW{<@`JS#=7jkR-yO`+@`FAn(A9T8r+Ni~40l@(u9)6=IqT5r0IT8Kz z?v+Ds0_Uk{uFwPr^APb&Ie=+*yU&Mw?V`d}uu-!t+5t{4A@y!byjB>sW;hwJ>oJRm)>t&=!A z^cf~9wbPqx@{%p1!YcnRl0VwNi{y`SlAqe?ErbVp>|=q!|3JmJ2NHZ)w~4-`$1eie z4{-1K8AMqmeyd6R_r1jbz)SqYLlR$V0#O@?Z+e}lV81e0>?VcZ$fQ-J(M=%ICq3Wf zKbN|vgnnPHlq%`s)Bk|MN{gEQC{LjBc}UzDe0U3 zT{PnBP9stq!L_k##Kk84WQMcqfnB2k?yZ)Yw4XEZOXIzHRsT^F{U15ek5~17JS6%e z`o*jI1>Kv<7U0PH3Fp!c!5UNK_*abc!Yjgklz$iDeuER{)b=dg9lxv}uAL6<{PF-l zAcqA~c=Y_G!*Fc~9{%5($Mf$d=8t&`|Ae>jj}M7?;NyqJIPY()&Y0rrse}?1UVreD z3KC0ta4uOS{RAH`lKyS~E@u2&PV!S5w1t*xFZpzAcsN1#3#d)gp8U+~$uGU0{9;H?N(~SGr<00@hk_k%D4r1O?V&nwM59A)qnCBjg(LmD=)xTT zF1m21>O#IMwW*8~|9;-9Tgtp-n*+pPhKB*pt#P|7Q3Y%asFVN7=)xek#|E|b`70Cr zC%xeR+6(?uLxNvY@RQa3V!_W>r?$nyDL}o5ZIN4)JKqa71wXgKzl-31#=lDrpYCd1 zRliy;>#bPY-kDq4Rc!*F%iXZFskOCzuKb}p!xHm|#*bLI5brsYkGSDYz%bxvR2 z)ow4#nI(!@KJTdR_JxdTUvkD=C79m6q_wSslDyYL996Iz+uo*M={ zp&hwVt}LY)sag3KWUnuyEq8q#yP*BdwpNtabe1C27tH0x9d!)yK4xkAlJ-`z^h7zE z6?TSB;LuI&1 z7+Q!K`u8ZIzfTzYUu9=#O$I|{yiXXKj~JSKjq&$1C_R@jbZ6NaTARU8ahMW@R>cgp zLqva14BeG5^!c(gv@V08;@>JmTQ_Xp6g#3F$&O<#x1q@ST++FuZO^%$RVlx-w4?cl zgk}G?>?}JlgJrU26tFDvH9L}9NcJX{{ddB$KbDz$$qm;dvuvutVx%K|4^M$E{o>!|il$lfDm{l+q^ zos@fNW?BZz0ykJ#7Wr)*$-ehqWd18~ltfpvD)uQm%MQt4S>Wh)w5b}p#ld`)pW1GG zIZj!=?&U8C4%#cLz|YS)hGAX4%*%h%GZ-eTxN6C|nwwTdTDiP_FZ3`fMkE}=w+?0I z*bx~V3tWG4EK!8|Z-+Pu+qditJGPi%4S~-OhDAG%%lArO44)A?hV5T= zhRw)eSm5!4VbOBW^6I@&!tlAiW7q*@XV}aPh6VmU7#1z|EZ-X!dn$0}uw&RkWoOu| z42A{XJ{T4)^(@~T7ZfXSd#7XA!DVOI>z|-&RYUviErE5IP8}~*Nc0|IjBg@XO`V4-Bj(*D`<&fi7 z{ocqgeE8$!>*%uctFf40je(!v*R?X_SJ&RSeN=((VjaJZEjz#FX7DR;^ZUBGnnH!_ z+A9s%jD%q`%g(TqG8h)P`7mG+!J zfiwC}C66mR!{%i$EOhh1u#SLXUCCDgdy|CWr#l?OPAEIWPRU?c;O6(OSg<(qD!On5 z*dMXmUM!xv zJGU`#-xoKn>x;KGV4M6|lX7wazd_(sr=jdzs3_t>p(=37g$vPsMRTKf0?NsR#)JuT z%g%&-GMEr}+QNir5288wjgwrioJ=?=VZzB}X9B-xkM*HY6?o6WglJ!(x$%`z2i!g6 z)Zvt}Ga=&!;;O(S7A8b{1a|Pv82i)4` zB%!(NOvt!|r7G}Tg$dD`eseILEGH9M5+<~koe9N1JXNR)d{JRSv`*h#`^wmawuA`_ z%Fcx1Usfqp1>U7FAv$K$9GrnDrz9*)m~dLznJ^~9CItSXFdW$p!iCez z&V{iVTnK!|mafi~@u|M%8o%mWP9`i$n9xynCX6d)LOyUAg$a=;G}pW`vxmhA6PA>n z3F9-E5V(x3m^?%tW5+8rI&>yHSXy=-RA%rX@EFO1$YJaVS1QV>4a*WHbd{Y66Ec_( zc#LF1@T#)&VE+sr1l}Tf5IKt-!727~ z@?cfMgR{%dgGm`Y2%JUoAaW2eFuXDz!s>(x=aiiZ2V^iI@D=-7;@!yR;0#?k<)9~F z!K=&8fAgx9lu9EQ1AspV+q`I`n`^li$lJrxcu* zuwYHuS#Wp;3j#N>E8fmp{tAr=YZDHfUv>^OWN;wx5oLd>Gv1%_%P1{yVM_0}WZ$ke z_#KxE5;nZ1>}+VxU_;<0KKw9uoi4#5bZ$WopEUAftz0gj%bov|{{C&X%K+&!8>sgK z^{vGTYPiNIWiEHYDgFIVT5!{sxr&z5s_7vFZ^WzWflnLjyXrhqxy`9^kM% zFSxLbk-40d8`6zZTvYC8hYQP?kjrg8y1#$N-9Z`qYW;RC3vl}}Z7$c`7U1?*x)(1G zaFaB@?cWM;2e>lo1KfeR+}z*6!mkK$2Wfsgdjs5LrUl&(1Kh!ii|R5Zmz&s}%hj9| z&`ovu{WQQ$)4FU6d4RrW8B<3EbcZ_J3jyvh#ZB7~;0{;Z%>4q~bS-yB*xn-)xApA- z9m*t6qjHZ?y6p=Cx?|brpbP6V!<7;Co2f)7YgJmm%3lQeQJbhbArGou8CM2$wGJ2Z zrOwqktV^Tj7s=^TmH(3#<9d{Nx!lTKly}7$thy4@wVy{_QJlf5KQSHk)ABP|btX0@-tXs~w2xn8%CX^!X8H zelK1g)3Hw5|55k69YU5J*A#U1SPe)kjUP#eR4Cow8x@j9ybjWY!a-Sbz(#^#9!N=;y zJ}@~onC;z>>R;;i*0*a~gGpyN%WviHeR~a7+p1}ej%Atr8O;2)FO2EhdwhEhRvR4C zvEPz*I9S?ocZ!bwgtKdG2Vv3~&g#d0n=oC|8chCG{vsnkCkK)rV9C$nEWh@(o-YPV zJFblLV;fCg3>G^05qvB^^48?VVBvF0URWoyrv|frhEwkeKiGQ*9K2Js41=vK{7T9Q z#7tb;)Ya3{(b=*HcfKxZ>VQX;tH_I&SYX1_)GZqhkOLsy#Lsv)alGHy{5$&FZDq}KAIn#$+J=UPdgktu*s4r&f?d;v%milx~zB%mJR|vAEP_F zslWfRKPk@O&KVHIS&B2b7v=u^e#IHg{B|53<1YPOfB$bWIP)=>2IA=h6=!MKOiOF= zG$2ZMW@lH!;g_XA(_U`ibRy9mFbc@TenLj@7uo|D`s-M{3y| z=bNsyTogwiq4EaHM$=lF*>CCZfA$kfXK?2<_)hSv`4}wBg}n@MOP)oC2q$wngQY`S zl+JLSJ)_5?bGa7GEhIk&FL^qbI~E+^W3c4c8{^twYA1o8d<@<0 zWBDzHP)&CE8O$=KEh3fivcLb2Fn!O6kLuxRJHRVfhQSB@80G$)X>&P)hoQ`q-l;f) zE0+TIeZ?7k@Fy`3-Ksc)t^bHM-uG_FloS=VNJl z&xZV5r8t9E--Kd5vDGwoK`B|b(++_v8;&hjJf`EePzqZDU# zCjBEqBS$zt1LO?geE7ll zE=w$+O$vs2zV3_apvYa>)K(kEN9)Rbe7-hOVJMJe;dqU@%K*k3}!oaKBQ@_ zjC0RK8JD~K9L(Xc`8|rW{P_Rzc8?>>{I&EZ&_kX8N(;6&va4Y!`X1!#l>*~kyC_Ad-N+&qT zj^LaO5l5L&Emu0`$NpC}4{+#z!Qnq2>qA+RbbZPE*f-42`pEi$u9Ec!>DW%vS$kP7 z`KHRQWsr_-Bc1V{IP#o0<2`XqOPujuaNxP%jQ5VeEF&y;D|jh5;b|ZI!(48e+ElK0 z&~{<0+Iea5SarJ}n2h&Ai4+)8cFt!`9%h|(-tKS?X8jZ=>ptR4c4^tnF|TEBo8|4f zt@}*zUX+>miQce{a>a1b2+hBs059rF#ToqS4|(-Bm{r^{Md=K;;TgYBW3Y%F)SZu| zVN)!v#gna13mwivTte#QzHumL;#=U4cYCK4y-0_@`6@pGG@=CL{KQtjg5}(G7W~9k zi)QAQA^yJ>8Dne`oP&{5?!-G3XK>fAa=GL0R-D0|Gce7?Fu=!PPGy>}Qk-|7zsE_+@-(R`$ z;?F$FM&o-tYBJ>=?K$3~Y%~tCH&l@Qp_*{&i}(#e)}4>-9e&>H!gQ_0j#a+PY#a~# zHbWii-Grkw{|Wc{vG+vH>!p)?yKRJi+G_wAMj?5`uVV;LffhbO0}ep6t2B+$)EBKS z(h8O0RaSA2d*TtxT5*<7Pah1BTi-Q!^_^Iq`IFKa+&vt|@;=2GEJ6SS%_qV+!)*Co z+S=d$!dsQj;0s3pcZuQ*?%jd`|F?=Wm_qmB&lG26)czk|7lXx+yFu3Kyb%Uv?gN_E zU@_#!XW(2rB0AR&tIK-y*!a6Nt--GaUnj3noWa&F#D=(OpI16-Z|4y(nt8<;%(8y& z+F>x4sm&=fSgaNLnNL!`c|O1O4weB4ioz$sjfF$Y^e5`G3$Ao(oXJxS5 zmrhcg<;VYzLk{^E%>1@KtvHi4Iw6la88TRm3V6uJkq-99|Y(6!(@W0VzOcV zF9W&bpY^pG2`xi#$Xjr(48b99!MQSsV}B86{bszB!QJuH_$D~VKc*$$nAZ4bd}E!B zf5syjw4Y}EXhdb~Jj0LMW<-NE53`upd0Q0>luv-g7fknN!eIKIkNrW}VDQp+pgPXP z7`)^$sQ(d4XE2umPk~wBW3Y71b&4}sx=C<2H!149(zk@mD;&sgD;^Fuc!L+TWZP|M z$x^h$?dwP>8=NrUKOc+lnd)0&@akUQ5`(+35IJ|VrZxBsY=*adR&fSfS%|Tb?M!V7 zEMbm4a5{#b)ytgz=5o`W(e3q3!Q@qBAn%8U`HBj61SV?1l#m^$FeQZl`DhvV|2Yih zcQ~AbFZ?rBOEKT&WAG(Q(73-S&fp%bcB~XROJ=Z@Ps)Vun*RR3{7}=b zcJM}=UKj~W$j4xjc*hA#%eYC)JRRZnQ(!?I&S0jkgn03>e3WY*$9UqDjvZ3X$g{LV z=wVJ*+1k`^}0oc;km*f3H!T!Rtq1qIZMh z3|9Y4)*3QLQQ$-vE1oW)Cv9i8A1FF2v=YRc!iX54@V{vlm-P7eOmp+8FDjwSP; zv5Rru3T&Ob4f()=@G)4~rnrReQD`sf$7imCh3*B#g>*@Npd&1F&YT(@&qE~VD;!J~ zMdy1^zm;FW3cvNFx`AL$Nf{jA26DD>>Fw9b^|TCkOp z%>TB_%lO&v>hJ&VaHTW&=wBmiOsx4BT!%qp5~P%m!Su?fKBl;Y4vyBO;}uuuVA4%< z5*6a6UKFQ2stLQ_Qomfz;3@ELrk|yB2D3dgGV+^tUtI3|MOglQT5$$b*sD9ncmsLX{~=M$dnyp`KM>QGkO>Y^s#@&DDP zNY}Ti37CQZeAvx|WdL?K(lxJBI{xQ#J`DfV+pRcaeBLw}j~+&xni9fG9>eql?c!rF z|NjLB+i-+A2dlh|m>|DPke_qVXFK?qS0jF@B+VJj!y?n)r#OSHjl>#VE!sc*YNazc z{|C$)VUqY5Of{&Hx@etQKknF=Sups*=W@A&f3Il`<|5G1n-yoU@ir`D#ueymr^W`4 zJ=fz5o`BvqlVY&-3-hzOaL#LWG5G8zf4IkB>p!M7o~vXMgR)%PX#$i7E4x}%vwOW} zQ+A=*GmiI~eOfMe?T>JB?Q7AnJz8_U_QQzzlu;;7GeAabkkLqobMVLuaGV8`UOomN z40oI`AA`?01TMB45DdQhgODV&oR7iR+=}wQtT=b~w#oX%dD=kM1qO$Er(19L zbIhYuIVRlXS7Hoxw_nzUIiLznnB#|RL$!FVp08AuU-N#$zFIpi715tX>9Cf33|{|2 zfB$`7P@KW*f7ajsBR7;7y#8?L7xamb!IZ_ZZU{4&ayj89rHgQPD=tdAIG_V8X<;Au zNLs_S6yqx4AoH>Egh8%c!J$0CxpFOUWn_>Rc?-^!K|1D5oR-nj+(q&=-W*#;-sB~9 zvZiRR_WfZUdAsj5Lj^|ytRoNi5@4u$F9Gvig}&$Au>)NjC{bwl=8pz_>);Jl{t%79 z7yTHv&dGqm>t|phafjw-@Oo&49Ue583l#IOc61IVznZHRXJv3f~>L4=U>SE;y&Xp@T zlqWb>uHYyPR8CheaV(EGEw?XUU6*GC)_Pkv1`LNMGxZw3?gEs!Qg;`xyC^A=tU(g^ z?|j7lf^2IV#aXSX70W7Q&TvKbpCX&2H88i%_b=2Fvs#{0h>)kFx{nTr$8+@ee z-j1$&t?xR6r5^WcoeX#CF&JK<&U_3Ox}Pe}(n#H1T8kH)(23^^FE5u{=Vgv=GK|Hp z)xIeh=_sdDFYso?)mteM{cX5=U(~V<-T-&WK1DFN50X^*9i=mPeLDu>0~Kd5)nh75 z3m=2E3dFd1vef*wUP?D&evQqC)Iy01}Y)L$h@wApZs5E#C=EC`u{S;@gG^@85H}f*ZS=#keFrq%GID@TxVl5wGkIRoZ z=0lw3*N47t*|5HQ?RuuUP?^Rl4B2x&m_4buyMu%E#>8M5!Da^={J3SP>x%h$tEVzn zf5lVTv?AC~H`HC83ND1K98~zc3^m15%~PtTTl{+bDQ=-O7-9_-?48ddXN(*8zW{v( zPgHOY-T+RSX)*Z1|MnAVgQb7Il1e)}mplBAire7e1s}-ej(nZs3_f6+pA{G^OM6a@ zBb<#yu6`WNCjU_LGq?c`^8vq7oWUbuK5T)*V0!koQ?L2*&P-Kw~-+@@O;XYG(OS{x2}PX#Rc7vfL{!MVCf9Z()|GhDe=FTuI`iR_>b zVvby0Or8YitZ|?oZ~%lyn9Mj$J4Q|1?T#lbZjSa)?Sf2$e1iC<3Ash zMZt+oa&5IojPZIujF?>sJ`+Pn@epKcbrpjmABj2DC=@4#3Dw(-5yHL;Gnk@s;5kZX zFuQEh<%%{!XWKmWBt!e*FhcYcT0{Jgc}c?em8!&f2Lxpg5~PmC4#+ zbrqbev(-U6LDO1!#96t-u{`3m+&dt8c_ciPKnvH=cnFX2(>6rQsFYxCrO0g5}3XZhcJY zER8VBr4<}il}vSMEsa*6Y4s8n`yfeJw85JUHXfem_D4O7;Gsy4_xrDpoNFZo-fz*a zVv-uTPa+p_bUZ9l`KY6#gaWoaM3);Fx6yYP-b8~My<$X)U^04JkEXSF!Cj^}%Udh2I7_3o z4{%5$I7y4IqZ%1^NikpA{PGkCBoSepD1))^XB3; z2(IfkV`ilB%os3MV0o;_;shsI`Z!mC^BDI!Eqnw(D}0$(LM!}cFKh?X44~WqbtF-+ z$H8Y#y}8^OV~gAmEI#m&7`Puwp*U&wGSu;7T7O~5q!bzg@-+JK5}jATyUoNN{qMe$`BtV zMxrlymP-|6vW<-JpO3{`TzY5`Z}bgX59;8dT90XC{Lq4qr;hJB&krq=K?ON#deWn; zt}8uiywm`@QA})^D2~->deU<7xMt|LaM0;Fn>h`G!sZ7tcR0(@IrySy(8HXW<1x7R zB}l@@6=(3;HwSK$gRM@aw7j_*YjZt=dGxjk!z~|!t?p?Y>+X73wDa=f-VL<#)i9IC z7AYB38IQz3$&^BI(&G?f7H>jqu>5yIZ1ANJF%x2gEnk|(>beGI{6=3(n~4P9IoonZ zeX#*!Ia9$Nb{GHi;Q=*%;cRh⋘g3t;L~iT-MOYs5fcZtoX^0kDq`rSirTiD}#ko ziYLko4{kV@K~uxWgGAVxu2h3Xvx@l7$Ko$-#cUsw2|fm22=-5UNpS}EZUN3Ilfj!{ zG;MXmU@MEML!52zGoG+kpHzK${=LHU0D8|(u6)kx-ZUn_@EN!Qhq*i+De?|9F`}U$ zm5?4j2Cs)X{L8(HGnoH>eVxYM6gqTXQ(qXS#ivy?71R+=%XF_&rus}S{6)gE7`a^*nIJgfVYdr7z++gmX zng4IFH0UyypG(UR;wRtea1NF}Uy(s~Q$Pn;=zi*OWH9m;W?31K4c_=6O|txmLmF)+ z)AFZYId-T^v&HM}er|+YSu8gf`>}tdmM>%BN~HLdA2@OrDI z;w%kM0zUUe#Tm?@@I}#3pLY+8V!$ z?>Bx2D`t>cJ_cJq6KCz<|0x#|qd3B(oBFikj7R)5`1?+-3}(4opHw<4clD)kiRLNJ zU^$#}ZwBtMxD4j^#CsHHGNvl6IO%K12@Radi{Kz9f^+gBILL(HoNO@fZRl4qFs^@v zZ|Dc&tUm+?UJB0f)c7HW)$xUNY&+?U2i8tiT5AV*iFOLkwU=qxPNvoNCWcy^>oEWqqS0;#j}x0$Ll}DfqhGH>wyjyw+C`p}EF4ik$&b*m1uXnn@~c z+aL9FEqFEL&5m}Da*fi&h~9xlJ*X8UJGY~uOv3+sh}+R_**Kg_!*i|n#iPNN2Ps1w z^N@8yS+%@Y8$xHVf+rauqrvB9M8TwPd(;oP){tAgI6{8Nt?gHPDmS&%{BX(v3jp>} zn!#K)+UDA3xHX@{81Vtt59f~@eBpEOf{$06!NQAM6lZj=|1r|OS#buRdn9m94-H<8 zd)e%;j=}8o?aoeFy|m$)pOq(F=*qP;+H}%kjj^8puPf$haDk)oG`KV=uMFIFq2CWS zRNxc2HAdm-XTa0>0Z$z)b^k5v;N!a=1`oOwXE5u&?ZOOP$OGgfJaGA08n)Nc3Jz%m zCuzB)CxZ1>Wx_rvWEe8y8soLWk{-E0+`EgH^pw`(S;^Qt6$N~|W>2$+ahH>nnTL9t zc_`Hc6P(}{Je!t1?&a1{n*%5FC`~!xMlZ{A6csv#rxFGBU+1kBmZbP_^qRI=Q}Dmw zq;|l48#{QHI-G;K`JQa1J6Q5q6w|GT_mdo1bMShM^2w1k2d@WX%_A^aHk5lcKZB)= z$1`xQ&X#sP1aZ9cW({WA2{&q5qa$uA>cGe7*5|SJ1-#-ECeAKOFqnhUW7jL4!PK}X z+}zjrpBvf!kV)n|iv`bs>&*ATFtkD~~uWx37@T%gFLhm4aa? z*rebp?{-Yqe3}pRj+4!{Z}t7_JVgCE&K-iwGtCX!K&;H8Nn&9SuWvS-qs(d6{}qA7w=BcgtaUDH?g%G8Ns&T5ZPR%Y7VX#K%@CkWNKGf zCW_OBAs2pe_!Gq$+&mM0-f+bk%oCv_g+cgM%)$Ae!K|F6bOy`G$R8Htcn>fiqre|0G+0Cc>cYohVTltFgEebXak~O5;JMt5S{>>RHS`;(POw#C zmg6CB9j%7JabU9vU-49l-_87x@6D(*`jwBtwcqvUwG5VuKBROJ&dFs%k<|4r88KjLW1kdi?w=>uMA7(%En?}nHf59*zuyD_5_vL4!JxZS0rvPxI!Gv zt5|+@o8k;+1$MmXD?^x-Q5-6xOWN<%>V(7RW?vnSs7HgJw+4G@hbwMotzS(ZrNzkj zxf#(g--+h~@tEeuHvZ>BRhtQMWY+vIIG2WT37y07RQ0iQl+Ix8Z5-`H#9+%eH9OK% z5AXMUw@s_VeV_MWtp33*{Y=QdtM)^=p#rzSdN@#Sa9S$& zq#y9H?n>Et=|rE`fv!1HH>CJBn&XCEgBN@b$2h?PJ_gg|+oj?LkDTKB*I=62n)hj1 z%kR?v@DoFWg|(eAoybtN;zBwb@*|v+p%6FqNlj~Yp1%Peu=7|A=A{LH{GQSoOqF{H ztm0$!7q8gb!LCPLg}YW~$~)?+U8QNI{wp00|M>_Gbrm{SXK6d?s=cLjRtN1a;`*wE z6(8{O4|ek-$(klF|3q=NbYYZaAgUKn_Btv6h%|OLR6XSmiGJ$y&oP*!+ zXf8MEbj2Bb9xUCcI>i}0|0-BLH`*A?`$Q(8&-fTDqxAh5IA;khUaIQo1c!L7KGX6u z;d%le^aAX-P&s0mn*gATR2mBRM)KnP6!uNw(?-F}loOS(@tZrq3D=hf^VrN3r`!hf zzujnP@D(3|zkH|WXE0@?=4!KEEc9cF3;wHff68oUoBP5+3dD%`7d#N|&^T>f;AJLX!&9dnz<9gVSv^*CBwT);?s z@Os4^?9`XIgWxxiZZcL$_z*X)OL5~a^0=|UlWy$S6lZni!rN2eB_D$?`Xtp$5dKG7|bp6{DXRvfV#E_4{tW)75g33i{U3(=hVAAJLQaXc~mOSHQby<(`O|PSOG<{kU@!XE4)J4ypR}GC1GjZCev$5vD@*9F|a@b}SVS$Ds2k zYF?*dX)5q2z4ESRDlwo&+4J|aRK)rC4S#{W-mN%;8^+>BVAuBs^Ma`P&ncb4{r!J> zBaHLsi8B~J5BgBQDl!;*jB}9|{wC=ZwmK1qGo|nha4@J=O#6p@^CzoiZhFiQa6}!A z5?(C!P3QqNy=d{w88D1;(;M*L#R=|w;2!gFRs$KaZY?hTr`|VNlgnNF(^7{XCFWG4 zkm6;CZ9)o`zeo!3Fmb29;OHED@zeg$qrsLh>!~NG>V#1r@l~CMT)EwTlxGxC*n-)% zIEzulTfD2l*Rh{)B`c?K$|zpESOf?%(t zlK8I$GBt3ptH~Ki2d_T{L-S^(GnilVJ$IJk3|@Z>Hc;*{I)!;O<*~0Q&R{FwaaH=} zqrNT1(cp&hbD>;6!nLJqBDEW>*;0*9f!R_DR}{Ns7Mk;IDxJYJCoG?j!B!_UvEYvT-|ky@psq-TS3LqnUn}dXNBUyC z>QUphoRi>MHq8gl#SzP;tEN@>@8VE)quw(4@#3O`3)xn{Rh&^uvm^$Zr4+7k7KE{l zh1-Q}2J^pN$YyXIq;(l8%g12Lmx7I-)Lud(l&>YlCwyi1RrhPxO0Qc)VFtYGs$$)u zi?eH`E)Cgt@|=e4y2{cpdmnFcRt3i0QCxWNjsAY_+v@kenD<3La-pU*n5Wn5 zCuj|}@-eP7^Q(OkqtEy@vNdo|o(MjA?WXqvw*NWuZ(OHci*o-A8Bhu>Uo${P3y{(N z4(H&$V_`d-ZW(;V>!5G{t#k%o{Xv}Gc6x5`HMgQHXCn-@^3mOLb*d~weOB9p*-8#s z^Xk;c|0Ob?5O@w=mEZD<;}h8@uus7iA%+TW;Q|E{h8N)+rwJ?f^ZgGYqA*Pjw#*C_ zte2yz%qtxFPIAk9+k9RqQSB}pLAwi{9<02g-d65s#Vbx$4!2o0n%2_rH$@-4MClA( z`YYrKbI-@%&Lf~O$b*l;9P=x`r8uMO{XUe<*;s>l+@bpON@pP*TP*sNW5)3JR(@L{rS*?jBRjVAI?bbF%kqj(6TquqkF*7>q}zg}?9#4`WrW zda8xn4T8RHlOD$xY+5g<%|4Ymn`53$emn+)$D+Vul!H?bs zOAr1)(;BW5l4@7#7=3p?-gH*4S?Br|+VeuZ`r#LG^q(p{a;}u2)+<)*3SW@!OVE)?gFTbd14d$=? z+O1*+^Vfb)*rap@^Vfb)_^jd#K6odz<|f4%Z2d>9@m#dV@!ohT8sd0ryc7*_JhgU; zm*m=O?G$a0_Tm&}LoJK?oF9iN;^)I`Uf|bEOj3i(y+<;YQR06)QlRSv=it7RV0ivj zaR&Foo1!Di$KaL7%T5UzELDX;;$yH>TW~nGEw%o<)_MephC%Up6ux#@UJ^Of8-NPF z*n^3ZX>xF9IAo5f@XHM3KvbA;f@Z2kV2X95Uq3NePI6zYbcUOcPpRzWy20IV#>xL{ zl+IwDYPa9oFqnS7-FR*Jk=}eQgGsl|m23HN?qFXR7)(0*AjIk_r^Q`bD^D4u^|Lg} zA&+Bu$|B<6f9J(v|AO`h`XWcRV&P%OJ>LH|<_DLr8S{hR!*hbAiLr8UI&9a2TC%~b zF9Nrm$7!(C{wpz^)E?r@$Ks`Rj?UtxbwU?^I8x7qU-X*625>RuJg*rCDIa!T>Q@to zGnG-I0Sz$T&SP5&pAA_ZCcPq|dsi)vvC9(Y1C|32$zNV}eq;Tg2UbC#GH)gN??Ysa1Sh{*%w7Ka0tvq2r4B=fERh8_j!xCwb`A56%ZZA5sn(xLAH$3+ zG5%vlWsB-8cNYwY=qC*b>0EsCYyC&&26Ogl;$<)`U2>b3gDqd?XLS74XS?XvVA8!L zg9_^4VAe%(v0W(0*!fju2-^e^V3)jjy*CVwAv>S-hQS=gDIRr{yRaz}6zRh9Glp7T`f6(pQ`qes=bd0vp$fn3^P$%9RuQeb;z+mSex>7oKH|DPXO&l+Xe>ldYp*yN^O2v7`A%%C zuB-1xJ@ZOuFw3$!Cv z*Cz%u4?9Y2Y5DD^-Q{jD=|{F`eg<#C!sBpMmyf|#C*mx>bFjemC}fn6!5b&wM}DqS zoWWeAvb*LYoYM!Z%WCwSeIaD9eBSIPp4KM1>DD&OTRTC^6&&&woGZif(QY6ew+3Vw z__`kkFyCQ^Y`eqT9J3QI_;DZN&POT1jx*r5ep+z`ukQ6KOSAU!AeK z>lfY`4wNh+&nO-ub&)#2E=v9I zpO3}!2V>2~8Eo`e&hhuHGtoDFr5xA7qr6tB;HykmDKc%^Ffi?{o@oX*e%9|M7%UZ7 z#1c{I$B`DWR9>y6&eAqrBs_1@x6q>yaA*W#HK1Plt!%PB36 zIEv}k8+}|Z*U{E0`>YL8fp7Z?K*&kG>t|lWtO7R%-=B_RwnnNdw)0nl(t=T#R2u*J zQ0*AcA3*x`se(%2)eqo@sGNl}m=4O;UpqPnGwtK>9rze5jg&I_Fj>HzXkzW|*XE$3 zl3PH7pM>C00)Fl~&~M3IpbP2`J{c{!kCUQsLao35!5F*_T9JAJ-|_vAVO+lS52mn0VF=yvWU&A$DdHhAHesc8-+>W9(=dAeO2rv0 znz}5eTk|<=dO>pd7`%QST%Y?CXE2ArN*FpmmXBz>%g^Gqs-(i*wT+^L-}RkfMk&|< zpXDq^@C7X88;=+zSi_Uv85ku8OBJ>%oxxHa!C^&72H5Xu$ux4vQWB zFYt}nyW9WlQF+y>kjm+g=7;5@gZ#*6uq?^A(Z_IdQNr)E&IVujXV?wLLW3zw+y5NX zz5a156+NLigL!}7BNr>qVCurQ#EVy08>w`bx3*GomWKMHX~92;PcHY6msQM_+1_wJ zk0|gjyu^08GHb`Bo`24uYGS1L5K>@<#m8VW>xp$St_#;{*^RXZug0u*`z)n1n3dmg zsY~nf(ON0a;@LQ*gIwx?q3?US9HY_}o-9K-B2d9Ka)DgZ(?4mWS384679Y`~4c2Uk zb6?sv65gt%gNgVN1zGob@W^Q0=Xk9^&00SRhfq_$!XI2T)Mo!R1C);4yX$6eFdW0V zI`rU?YFWSk@2rI?bIn>SOL4TkjKBJKxJC-Cipk$|=Fz+`#{u;#@%&VL1=kpAv(V5{^ks{n?O>6b*`GBvV z!5f~zDD^X?Gnm@3>t@AS-pcR*hr9*n%CI!ba?*9RE?az>4%iQS5o1T4kLm_T7{G~3 zzX$sGM?#&#NDMp*rEuj-2FR!d8I5;12d~HfnnM+5@H$BBLb1X4VHO9oU7fWqt%F&{ zviXWL*vf|?uN7nP18)q_74l8bV(&{qs>p%o$N33@p^oyKjcwH!y!sX_8#^m$FujQ1KA>q0X8%0zEOvxDO6e?KYaiecFF2uNpLS@U z{?PX+HSK(eOmK7$J;WmnPdyZQTq87JdM!_V)hJw9l7Z^v@8>9v`bU`mfA`-WN0=Va zQ=e9x!Im#(r@ejuUteDXre$%R{l5NyfJovG2xvqF7JmpXyU3r)?t-8aA&5qe;)+K7 ztRNa=t?@Hxty(WHukW+g{Mpo>m>TPq7@MYzv1v^jt!P?JRH&&|;%;JWK9!9%i7~); z-{+qDJ+m%$u4}LN-ZN)r&Y3xLW}bQGnYl>5``=Q`d8+ZA>U7l)gVclfeV3(=D31bc zn;wivyu#8~xEu5HZyyb3%vW5qiUT%Q)|3$=Njra^PUAsc#9&Yz`%Mx@lIqy+No6Ei zp90n+$+1Xr4^j+c=80n@DT@G(@45w}Mri>8>@2l%4Vs3Y#c)UbTDlt<{rLk!I-_l zS1lNa@K=_!943*Eq!P{Xp!br8Kh{joHr z$xKtvY3oQwM-qBY+qv0xhtpGFdb}hZ9UN>dfL0&HnN*#Lfd>$V*szAmraNpCsfF34 z+Rhcx@pJL-LAQuzyz0wXPWYy1#+Sf4{qn*%=IKiYr)@`_IIZ!bKgILIh2k@grQPFq zKwB-EWmWx%=JHfQqB$ScP(?#NL5uwGa)*z;A6ZIna*AlijN5!i@)2{?=pQbcF-Hs0Bsbr-Xl?De zl%Ar(mWt?zBhO97>Z*0-jsIyWw;zOXdb@DVq3JxGUMcUG3979o>$rEs13-= z3li!B=1AHNr0RgVL)Cvby_A&+BV#|=_*Qo5GLkDlWb%DPmr==>_YL@fIn#LhybmEY z=DX6?>+OQsJvY5X`LUh0q#PZp`jG0|v}>-*m!7Xuk?*%r)Sg9+f%Yt@brl4!ftju5v;WzKGoYWPJ$2IYs}Z=mwaz;7J( zb!p)%@!U4;?Ha}*{Ex&}(>T5|I3Ecrn$v{BC2dp;(nQ5XT2?~LF8+OJB~*(Tu7qk4 z!Pc&bKqw%4u$$eb3P}26PEgpXvSRh zc&1A<<15kco`J*+#;bpViY|`XI4bB>@ma2@)FPi{h)ON8I89V)q{SHDyZRGFPrfG7S*Vj8oW9$zh*F-6-jAeu47 z6pd{k>z6MM^-b8He2eSr9+w`Mf&bb?_&#jH+5qT(BD-PxiLjNs(UP={}I0) zRtt_AzP9ic8eh6TQCh$_RQ%a{_^|x#c{!KGiOJV@-(Wsg*|Rr_W=y_K@9u!F%!+~! zIOHo<7#OmKnwFW(0J);-Enks=w3aNTJkna_g0>NPM}AQT&fc8UPN7~(%NmZZH42I9 z`+eAM*#EMl`+dYKzv26RXzs*kcA+B5lCE(m)mAEk0s$*;()gw^1bRgR?F<@x3Qc|B z=`?juKpv~!gY2zINB_|kt@uqPhy7zNv1K#MDU*@Ml%@~Aru84~d_;2^&Z*uwS2SbJ zxBBLbW*l>`+mmlIa7=tk8IF%R7|IHr^#-vo8v*_*7L%*9`ap_xxrfpta*Bi?PW)mz zoNU9g{w|N8YST-RC`Nm;Vy@8ccpMFl)E}u zD1R9oKexXUxM1^p`*chbe+4Bzy!kXRkA zg2`6w`=~xKGpTyZfwtpgLwEJ1SQkwPCEk>q9^yrX#Vgx;V~|8@JSg#_Fy+EJprtUm zvmqtZ9QuE;rW9?#kH&kr`f$&sxkL<=&o(7rImQnCahIj=QsHk z@ZBq#@sa0aZ4+`b7_&t>|Bq;OemvEZVGwPFXwL6oSh8Hb@DSe&Y7&54x_+IVluiPNm| zL|PqnWm0u$9mZ-t{B8JFF4d2v)Rsk7_rx~RXq9Aj5>hgrpLG1-G}2&|1ux$j?}xuE zn(^ux`+bCkI~ZYx%i+Kr3Oe ztYKM2X3G`J3`M@6L9U>Me6d-QS^PqVg~713EAYl#b{Hei(tSoXMt(q+_MVq76m{$K zX?*+0O?H1SN1t)ZtY^$nQd=h6w{TsUK5@K!UgvfBz&TOIRll=5NTh++Ga(#Dj8paT z+i;@vxf7}zSKKSQD=ocz++NXUNb_w=^6{8+4QM{_bj1dj%f2#jYDM!dwdz!<1!CLS zQL%NgNsuL`FI#WK@3--Lc96o;^H=~1&tC?5qn-;zdEdQ4e8xPfk{3!d7_(k@84H8UWxhTn&N%#Jv2oYSh%WHm9!YhW9I)-5)Y=ZV zEfJq(Su-B9s4_n{W}I!!*!_g5ZTGQT@_Wk9Y56R$@xVeWn0a_1%$WJPZw)G=^mE&G zxFp+nv12)Cm0|m&Kd_yKjln+YUu>sAgM9|A*s1M_vryZW?Sy^b_TsjYvsJm2k9A2| zT&J#&*gYEcf@iwUP9}IQA0g=6u>w4>p}ri`<3e>p!*CTOUZnXkoWkZpE11VsO~3?z z!I+1~9|f1sU_A2Q(6Mh3&3G6(Q^!@J8ApW_V>OP-30mtkW3H8V9Lc1=OtnVoVXQtx z7$i2!gdNw-m-) zOL_j6;xl$xq&mN4TQTgc5TEgh-^8T;MA3{b6CSN`Lt#8Iy`F8fKTU6N&5(p{GI0l+ zb7}SyOPAp<1JAl;oj5LNk!8mDQ8h-+H&ptrV7y8*>^D{nn(ZGZ0Vdp^({Ut>>0LF$ zFsZsC@tAU^$ofnO-|cz)8!I$V-%`3l^x^wm7Wt6;VfYNJCGY=?em%Em8h)hv%l|Dt z<7F>nXZ-b|883&Q@sXFt{PxJNLA(+gJqclllBol z#^bL+=ebsV#`|Hfvky2mw%+7)d8%-w4I0V|T9nH*&RLAyqUPKM5dJCFqpRC`Na7-Y zbz9GY>NEA-frpB;X;V&~TRjhnzlKb(pRDzQs^C~heoX>8o{zk~t;d*~a-V=#VK8Qo z@=a*w;}=UlC&QS0n>Hz}%acN)IUo8G=jSw}DecAWu?1%!tBM+99=z#}T*jMHe97JU zxZrwuJJ){aifiNcw8eblet{!3>N(_xB zAd|x-q4AvkaGrDww2g*wJ0zO=viOYo>l=`bV+Qdh@j30Vqw{InctjUwS$BxfI0nh9 zMRPte6Dj#QJ_ga?!?H8k-%`Eo1h@cB3G;!C3v%0nE1_E3K-XdrCw@7#f(r;*!HYkS z4{iQLG~=js&F%NOe7wY)_;(Ni^e2K8DVDooL2u4#>?U#+sz}xl-(TpXPXy&^d0D%53Y@r}SLXZRP86UeZXpo!1c=f%YT`roj%c}Xf55j4U>5HEawtucm*TUhW!)cXg4S%WzOQ~e9q(B6wJmFNF$^=in6-W_9WUCL z?OXfeGK#XJZdr>=zK!3-6f`k+cKg$C^SNvhJ~jWoXvP=q z3L1tm2ICbYVLC60X3XMrEU0HKwC$VH(<5?b@BH z8CiW#-ys@6Csz0LAt6Vg&Y=US@b`QFYr)&h_RTDLQHF|-rElTx~Y ztE(2us4@DG{2*f(Qx#L_#xJ@gZ&wIf!JU{~c@MVnqAqm73&dy4z4^Wp%9veZG>nMB zIJ|t&FvQQnkltwgF2$yd=VN5so{Fh{PaH{2q@KKPi zny9syVZ58gcPZ1+RhK+i>Gr55kyY`dFGBQRWREmv@p}{>Ys}(BLytT%dgRtD+VixI z^adEKW1XlsHD}*NhzkDGkH~SV^!LbB(t!Ty^LN@glzJirCX`E|?m2XRNIGw<> zal@CgAuLXHGioKy255WvSCJwnpo!NVnh!$8Ar5Me!8pVT8s@NbXEADfio`GeWokpOjL|{&7F{ve`qWkTif&;<6M08 zc~j~0;zWwYNHL6sC%$<8_U+rdg48fxgNE~`OvWMFW#TgqF@qLo#vC7eO4)&$&6Ly? zRoydXNiP^Ws6^K2$dPsF&^&>gwdObq5p{nweoGu|#s{e%Nza3din?MAVD`rv82wt- z)js7xtU3n~#v9N7)w+ylurK5*S9S_CPQCN841KYPaKAI5j4l?xkD_=aWiVcY9`WHD zMKk{NQy7)Dh-S>YMy9_gnsL_(yjCy0$M{HedM}0;b2RapgsrseFnV3D{CI^AahxuE zifG2xhg7Fs`(JPvUlgA)7sCBQ!k8_!;RW&4G=E2Dx#H3B%i?nyR zxGbQ#vl^M5egcLs&f9)Aw2|vKptJ>gz2Uit<|bX2PVIvdNg3ja4c{316p9f2jAB0c zWe9&d+&PQpG<+BF2F5-HOPb#yg4l9M6jN2|~ubpNBD&zHZEym0mP8 zKJJq_0W)@496j5QfH!M2ho>s2bPBj>Mvk8L!Z+tD@iI1u6RONX_gi1YF8Hi*d2WF5 zs;%g?;&3-6>m&?=)YNf#_+S7V&O=EUD0FzAgBI&iFDEzL0p142CE z-H}V*v=tb}wsE;!=C)av31L25#Zf6-WrBTtTtc$W+m^a4(P$6b#yRKY>w!0pw!ts+ zQ$83m&cp%hUl+}o$B+K@Ri!l^`(u>#Ytf8HPQWtj?V=f5CQ{AUHW_?(h|iecTl@Q9 zW4i0k!sl}N72&U6Qd(o{NUGDe;hA{ehEhhsytil`rjZQBVW&|Ch%4o(VaSDf|7_aV$QMpqnPMIy^Pae_TIaRN&#!3oN62l~VHFK-Iz-!0(PpyPL#zj*b>?lt`Ug4|gE3otVzGi2AFW}2;VU>SqtMJp3z~&L z24m?Yn)%Mh-p_$Q7tL6D4(8*yxZ!5ejHUZ~_(o)YmlsUFffeuJLw*}k_YBOBSal>C z*RGqt%KDKvz~jo@h%a%Z@wTgBc=5t@ZQVPMH&3vW-4;1c`SApU{{0*$8qJRvnLCbD z*%sQm#F57O;|UD1Fj7*k^YOSe?PSr6xwCi5{-POksGi2oh2Zw(WN_TSie`N9i5U7X z6U}%$Y|58@8}9)dZ5=mWu;pZGm&fTql>0xeSI7BJNYvabJ3bst9F?jD5W`!*WwXoI|fe%b<2TQ3vPN{b*VGT6;>Ycd|z~LT& z6|l!C_{D%YdQG7fyrzk%08Ed;_?*R<_1_|zad_uDMKe}$q{X2LFp@@d-;@$_7*3qJ z*XC$pU%EEk@G#{mPNet)qyQU(@o>1a+ErN41i zUy$qYY$^nC;`dRcIGdEjd%;ZFpAyYDWGMO>hde>!DszZ4F~woo5NB3?{77OPBCMB)#vxA7qIzZ{wz+@Gz@+>6k&m5IR?;Im2|QUE5W;L#5b- zIFSO%b>fe~coll^hwl~5m`8a`{ntV(m`f{@t`p6emD&bx!C)*ANQsq!ko%yNn?jzC zRMpugAH${uOw!+TAk_$FQDPRDLo{W8jE+Y}BDL^3ECk!Z8q?fo93w{KE=)0d=(7-v zyP?iepA*fPt8dG`E1EH-UC||)F@H~joan_z&JCs8QfZVEuV=?(V|8GD_h~FU_hES5iSK_&rwb%DWGydc<(7rC3@ybg;TPm9IahTco%Ti;P1x;oj zaRm6A(}rrYbM_7@w;M*{19LHB7nKs4?2Krbmo+(cfUHhZ_)7f8p3I4^vH3$9xTZ$) zkd$UJ@;L!{ACUEGX(r}`qmpIgrB)jfR0KM1-Ifuf-^)jHh)M1gK9JnOKG4b`^o6H zSFqM1SeohrhvFb2r^8Cg_+?OP{9@c|z2ho)9i+D17_a_2*cW;WgYn1yJNb-VR!AN5 z-@{YtDOv;R>rJUAXpX*RYqn_VDO@e->qRuq0O*?(1;=COmaR@wXeFL|b6)zYXvW-| z^U|M*X1sh%9`a_)EmE)jv-pfTr)OEA2@J2%oIX^OmDy9M9M*mME*)YGp~*@_e;d(< zs}$zmcd3&UT8ZZir_FbWW^DeDCT2e$PHEsKSw{cAWW8FNi?UuzPZ1|pp|EJ^Hy)Au zMMWC?GC2OcKhCpTjLjd?;PJcBoH10IN3w1xAnK<7g&o#S|05_3Pl&ZN{VzC42-Au` z@6Rh~3Z^vV4{5?XACb~bgnw|th;_A7okRk}b(n}h7>mVE zye*pXtiQn`#(kn0uRII1D?~Hqdt37vyDUs2;%dv#r!)1T51=f{scm_|XCk$GOD$_+?4&FZB94bi} zAfv^|=*NXtFyEH@_8;T52V-_zTG4ndI*TtcH2%yVVXFBp#0%!}rz52-86C;xt}&cHVel z%=Y!0A7g4Y2^ujNQ>!*S5iuB3t0|Cy!PqiMLrnV{&DldWyfoW&k>sCz#2^iaN2a0S zWH>8oIGL^xAvC-czxPxc7R;Bv{+h}7GmqvIjB#jiZDc2HfT_V`JYO*wQyZ2qZQ$)1 z%{i$J3ZpQ!_d8ay?t9}PZA$-QZu_Ecsm;DOGFNAyIvv*(jnWbGckJy+Bc^2gt`W`H z`AW)}h-}UsDrNP?9ld}uj2k;h%3;ctxs5~pi$k6y*WFX55;=mdfv@93nQ7Y)1$@kj_47{$`Efvd!37Dj^`pv ze@Sb+_K@7tX1w_Gc&LWYVKC-fUwcGjmsRtP#GKyOzZ!F0sq@{)PVb9=r4nhe>mh3A zs5A@IL#%8pNhjiZc)O=KiAH>7fE>h$Urfe2Co#{&e0MancpBsJSSOhdOJ^_+8Q&Jo zIAjc3Ss2F{*JvIsDKWumti?9F=|kEOoiknqypf|>0j zkBde;@Rl=98smHM?9)$i5R5s`^m`0r=TY;`IS2<8oe)VI#>2P3#Q$0}<6)>quc;Uh zdp_4xjE9ZK5+fWWgE6bqJ8?{7S3Krr@fio-BceHtYOSInK4`%grW1{FOqvd>AJ0Pr zKTVI~x~{g47LyRCo_DrvRyln3A?601H&%&ey!h|%$?ZJRjK`h~NBEDT8IQtj+y^EZ zhfZG>pK<6Cv|?g0OIna7hAG)6*9p*;SVVd2gjmD*q3^6-QTjC}07t+S& z$r5|Mk}uED7U5wlv-^OGSC*&>&YjR}7~(DQ7o4$Zj=y*+%noM8V9cdZzF224=H=oX zt< z{0&U(2FYX0xADF>-gp|uHxH=BBazPg?~Oy};`NO~m!O5*$f?mhHoK7JzA8QPd{w}# z(L0tKu4(>XRdN^1cASd3WH6qFIjKiAV}8)?T?WRKb=GL5HKyF6#cS_)&|aK!)42Zx zEw?)5w!K$fzf26pi4^GK-DnmD+hb@PUuND~#j<&pBG~;O3poNU>h;1I9GP2X4 zU3*q#KXSW%D_vXFu05-e;=dqc@g2saQMW#!)0i@Jm;P@Y?HaU;=gtl*J0Yb(ecteG z*mJDO9WO<&ev1JF5-d1&htKH2ueFVi-!log(WMrwaw=uR=V!)W@(uKd%S1Dtb5Oq6 zHKt$nHh*E9Bey$zz_ltvSoDqY((;7?Hj+lKLOYe?H$p^h-e)^Hrdy(|kT3t(TtSVFQWB&C0^ybPP+jHtG%vWU` zbC*+*mhqRsE+=)1W;_S3;=tdDW;_i3u;W0{gwft8N8^BTY2!!8XDnThxl1&*cgQlI zzobF$+4yOmn0g;8y|t>>()&bdFmv#Imm`>m`K6a`UX|W6f8$i*W4$o`6x8kBn?*C` z3Ag&A7DjP2@9{-ZOtA8uboYptoqydk*57%Tn*f(nITnExmEl z1>3uQ@J(Ws-s8p=y`NB7?BDG-I2CCb&py4^0?~}wr}u%aGZ=GElK1xt!?u5bx>!#x zdJW+gE4jGq6&lYFw9N-BrS|KhF{aX!MNysJ5w5$_J*$_E!vy1 zgiNF@T6g)U;&7SfM^p3Tr1{8)sbfoXK2<`8uI~@hytEKUfRPUu&Ay}3SW4GVoQkxJ zzvQ``1sk(h^O=;vn6)#Wo)^KGza1AQt>Z$p{4db!Zz0WCHK1iBW7R;{PsGRC2>C)z z(qh+A*#C;G4a9P^?R+>lGh&)Cx)1DwjlXo#h=DPSgV{O*{Gw_)&kj-x5Bp`ca#ry0 zLvrQJn1k_hO!gU!M{mlPYQ`?Vs52Yv&683c&J>_d_Zg|qX=uBvhp4k_l%yD_BM#A& zfy7u^{4Yf!=I;qmgTZ*@O(~{v#Ue&?m=#!*I!9utF;hl+l|Vouy5d>mFh>itBI z7-T07B?!?kfaq^Sbk4XCj6e7rh<>kV#uV3YT#fhLo}wGOtWxVSLq8=&M~{e^<*6z9 znXu$V?N;ncs@8AVuE9E~|(h6Zem$ z=l*I^!}3N# z<7koZDy=cgwTi};si=sVOrv>fstC^%3pD4ZioJM=1fky3Cs4}_5d#&)A(}EkMvucW zf3wgEjy3Ey(Tsa1HkH&kslyd?Uwp=}nK-r#s;+Hqt^dRAtN zE<@F~yquMUfsxv6s7@Uzwkl3llFy@HB@v&ET>Lh|coiz^`L!$>XRQ^?%JlIX#ww0n z7tf5rwKoOiiUwTWtfSMYrh(Lhm67UJ=VDaiM07u#;w{WDG0$9{x>_`2_Vl*PMKe~` zq~SY<+2PmDPH{XXsRm4ob8Q+`B~CZ`Hm|1i$Y{)W@tvcJI0c6Y*H+>Hhd4pQ*%fnU zpLxU)N6hFs1(mTKA?3mho2^sdoJbPdaYr{Nid7BC+Y%Yr7`!o2X+&e=GTw2GW@9JB zj5+z#-s4yHE?mBB<;lG`53kv@Z0$Re4eMwuaO<^cVPCk!lq S>jO?xXeHip?brJ=1G0gcL~IP!d8CxFm!S5@4w|rd=QbLd*C6&)eDCyOqQDefRsVwC_J}-n=*S zrtHk@tX~PL?WwlTl=^L9wB8Zmu4(4HsVUsMxNlzmwZ+Gtvh0M#`wv|FtRd#N#`^W?4+ZC*!=sXV0H8bza}R{)JO#_s*G12`XUjzg^1@=O)bH)ZbRxre9HVn@@=o>_;@>B?C>L=;Ew)P;RLwCPr#5qjoM zojiYP|NOpLQ|HZ}JS$I0DqzZjzL~xK^C!=pJ{5m@W-uUNj4&XI0Nown&4MqSI(J^* zoY~<1)Q@p1F+H<-#h}fbnEWw2ZMnfoiK07 z34p40Jp^7@W3>L;Pv9LLz&|jPcnI9Od$K`;6`qQN>8BV z0Y8F}J-MPAk&+vqI}rdoCs6qTvkq!U=^SU(K^>{)4PPxsP+xy;Vt<<|)^y7^SOB6! zU%%C#YwwOq{8}dp>X-nrqsB$NVXnVyf}?qPPtRmlwFg1a4_NT654l3jRY4b-ppXhE z7JKfl7%hff3ICMJqrX8|Fb;?Y)EGg6wI$Imey~e3wi$Gsz)@bl_ z(jl+)YFNhv#`-A$m1D>x7+i_Ml_Kffk4=R@kud42$`Jr_N9`$^AY5zC2q+Nddb(w1 zRdJJ2xqi4`qa}O(W`zO% z*^&<`TlR~aGmcx{C7=CZ=0ypxvZK9LWHu8fcuk+iX+#5%;MwwS$p zH}N8hVHde746T2nINfLlORGF4rCF%AKWQx)HX%KQ+Y@9!E zG)13!-PIIj{xi@Ni*HF;Q?!3dMX2^1rM&Nj)I_H6dskDG@;hHs;2dW}Vbtv0f)1l? zw}PPE?QmuEn6{?qR3}-QqAuSKb!neSM_i+IQEq*`=2SUxS~iS_ik;3aay2yp)Q6hN zb;n9k#uMq50Ua~PyiP^Dor`cwrbjmX_&Z%57cDzf}lguC#S9-=|hXs*^Hr^hqu2jJm}23RvFOp&I=SLbY2H zDCj1e%n+ms{!%xj+Sq)p(o{P;t$znP4B6DOQmfOZl5`}@nn*K_u7sQx3*uWLWp&4@ z1@QX{VH~uM#<*sY(AJGsG~H4vs<5{1ZdQbkJ)vsl0hSX)Y+Lt4iA>f)#}_dy_(>X%#A zSRn;NFov>q7&GP+6}GH9H=$p-N>oCh`N9UC+lzABuIl+(Q>YHqsC3IM3mloqRGXRb482w8au^n-CS~hfX{e^c(NSfyjxE)e1;MK(*Vt!>ml_ zerJb~YN73x4sH2vHWAvUt6lSk{1B2kZjy2JvO2vx6Ux5!rncxBl4eX zB3-&7?AB_?7wNZzb6H{DwhUBsaIGCaR*UYA`&2ut*EO2GBlKF85p68;yLCdH`k6i5 zxtSA6@4~Zu_=rCvIRlQpn`;k~7588TIlCCL z*Q$BuSte*4alq)&Q(Ak*w4ObA42pjXM;NWHsAcTT0HT9LU0iN{$kox+rS-1$jmE(5W~n=m(uB>NmiGhoH1H24Ee0iy5U)%hy%_ZJ$iIc>)E}qc}i&a$dd{>Z1UJC$cT|wDp_Qn+^RB& zpn9l5mJvi;AmQJN(cBn=*kOhimRUJ#KQc!6jUKV9S z6va4VdJs=*Y%e9oRN0zJs;oxtjYcRPOtRx=RupqARG2(Av>BR~bqEux6-`Y$@~Wi7 zXo*dIwI$!pYY~*IL&8*IF_|&f*2$GB5Q{{XZLGMsTHY}SCnF!h@=CdZMd`v|xLH`z z%;Zs<*QthL4z)+tP|lr=a#*UN;^Gp$j!ub|sXQeEBRhpykgm%kpSNOYSU4(zam2PY zS+&v$h5aqkq%H@MPUcfe9Em1F<*q8!Sow`-#LXDmin?JOu^yyqVBn=n&4qaYs2}KO zMoy~WKB|oIx;ScUrUEt$1V_%U;y$fpstPx1JH|sGYoMx3+WTh|5im>zh~A-d|CADt zP`n=BS%_m{2|P+rB-adQ?k>*O0^&tF^09b~utG`I3YE%yQq~DctFlEDgRU%&TZ@5g z($x~l_7!!qM960x(dx%!k}vXBQKwO|al{sOEJjq% z>!XRa#u2A_abDKpv7wKswsKCLK{XF%r8_$$ncrvS<6>%=M0Fc0#pYm@LJKoAVo=tF zJ}|zT;G%L;jYTRPbx(gtuKHYJQ${7#$X~geBpjCb=#R{LAu17F)KZ}((;?#=8XES% zNQEL)O=;dRwM;38&h71A#;3l=Y?6n~nahb+PV;jZH*$B2C_KC7u2RuP|HG^c(Q@$& zWh2J%N1(4fl4)y^puehw=_EQZG19zY-zs^O7JQz2HP9qyCM^{~1#!cdVr?giP7z(DS-eN>D*}WoWM(vF#=EQZbX65v3XB3fXPATXxDzulSDbBDS zoYxc@pWGuJsf9D=K;qlDO*PG(d}LeOQ6K{qghi>z{mVG7_%qVYT$`JDFvwqkQslf| zM*gJ<_T-t!$vY9$e8l|2^H_gsxwOdOdHvJo&FWvq9J{F+ z1L$J!*)f2RGOMf@fN8($pDO^^4vXbIVE=CbV(Gj*9j8J@@c_EjSQSxdJj0JmdkEiY z9z=|53e+uq_}~~9UDK{2D3rpLfVOgt=A))ntkHDyIoTS`N?=uLG#z+mjOJ|8gD%3G z=ZaTe>?`Ia$1FabWzKcUmP2a-Imsz2cFGDSH?nvUazV*sCE$w0n0!Ri zw0&!FnLm@tQ79EXs2GtfcUcvlt5l0tEr37fE4F$Zs~KIabT3OF)8}l^)IvS4JbJfH zRP%SMBs~fO>tWRzD{?|jdmm}lunskCdcUcV-Xpt?qN{m|nmTXle5Cz-5Gc6bBPZ-< z9W{Ca+WOo|AJFgTPMywRPX{CFs4w?gIe!*<4`A|?+0;kspWJ6a=KET|1#4*Kt`;c+{9m$knCQ`iUJPs*!s@Q#m$yKM2CGPMS0}8&Oi{ zM~%&gNKQ-pp%)?@Dn>D)bS4BL(yqcQ5fw^o&4x&umiSW-Nb}s@LuRqA|AZ9Fz+(K? zd_+n7+-zSEaEEKaca>`h7lHQ)6^CjG`wc*^AdMQn3& z&x3>uf`-y+z4SpJ2wL2OxufSwPX(*IK>m%(YBKcDH%$eE(b+-lDe0;N*uaPN40Qi8 zHt1LRI!6C8HrTIvA$=sOEVdw2Yh;+lJFbF44LPp4%Z?$)tK3_lVTG`uWzWeNE(ACu z{f4VJP|&DrSd8^GsmfioCNh#jR)8gRkJmh~H3J$AHa3y3I{cM^r+GKFf8l~fanxEbo{&RkVo}3-_w-p3r6eo+qK4H zboyamfIKMZ_)+)pJs_#3S(BG7KXM}5qlxVJ9&rffXY{q74C*Z#RAw$bQn@4sj6Q(o zXwT!H7>)v)cXEG6CyQZ&CjbWis)#=U8eVbp$r}VkQtiv#LKcOpP1vtLIal{cW!1Q`Pl*z9z*6Ciw%e9%EnCT6XF{sn=zVMfJMu zhmh)c!*O4%-v8N=@OdX=dbpG0?7xRG=ABIH&ov6+9GI`PYB6F@GA&og(_9h^CQW2qM;wPVN&K@It^srv)Xie?ALf z=^@x&+L>_~mR~Tpzi;+Dj{Y7sLAM{mAO}Is2m*S)jr;a|-|YS=3+DCp9!7}48k#+M z7CVIs*~B5CPbXS}mg_1J)ZdX~BlNr@$l>$)deno>AA^X6S@^IWk<4QbhadH85XgH$ zrOuZvo~wDkd7isHfA8Z~rOrHR%jppXAKhQHqcC*2xm#9!}rkqp9!;bf0w+>==a;sMdv6Gx-)*(|4yi$jdwN(3$HhhZ(>R~gA>6dje?bXbgW@Ig=pS0M)< z_NHfJ9JK5(Cjut+qv~PAW?7h~(hEVkMgEWs-BAqT13lfxx?Kd8EVT-8|)z&qUE&CQRa{g(b{Lu@`hy4O;Nh#@cfVr(aKux zzF%MacgJ>G-YZX(`fykqdC+_WYm|VysQl&9uCN(xBK_?_OnT?sE9F;t@SA? z<6|JE5-|O!;;Y^lojB$mR8&P{7=kBII~7(T>77-N_M;k;>ataL_y8E={kZB&f9%$S zs?+_oSr4k-`Byx+Iz@C{4duGj<$H&j>pU8(?sImLHxZiH(JocySdA|+atZ#PT{QiaL z2eARC2r%xY*rA3A9i>jpBe6jmd+8D=AMIvW8YHOgf^*7^D7xX6+1W;v4-5+u5?Cu1 zBzURW{A!~Fw9G9>6dO=Zb+#N{Xh0`&2s5wTi1NWt1_*JB!Fp6_%R&E~_+x%!T6`#p3-L zY*)4#c(H3T-SYKfBg$;}dcF~*!6zknsqTtOBQH(6$Z9|_4T+t?*+!IunCH9(lq#H7 zZ3LN*E6a^2#h%rOs#(f!B~WI=cM1&>@D@+HuNTO=h_4-EnAfkOE0~y*dU;j64oS8+Hix_ z$jiLBCEJLye7pq8yty%fau9yA(;y*7-g}FUC^fsi+KAGM+sch7OZc8jBg%ZZKOvV^ z+@Ejc<;28Vw-M!#=~lZDWm#U3;H7OJ1P#1u!|QuaBg)kN(8$Zgu5lY!)th3MB~U(# zTxvJ+@+sru1WM1jG9iH~Twyj!V7@q1T;w(IVrMs= zClV;FxGsUBh&wYuqXaw~Vy~*%2*Y2fZ?v4qk;rXW41`baM;dG%>*|z>DTd3HKLK;F|Cc0; zb~N`UnCs2q8!EX+g9Ho(bMwpu3@dYoC1F^ZTNNa@p?7Xs5=IW@N=|~C#qc#V0n?nF zg9OadaqI+4D`zEOysv{I4jQ@J$$h_omf6vnHLp$xpy^;~7bq zj`NT?M5-^`3ayuZ!1_jZ#jB9cdFqq%)8c{w*b4UwJLY$t1Ptc4%mm6Dc_+cl2a4Qz zNtj-;0W#|Ik8gIM7#%Z=RFI*7O96SCWsXI2v2G=5AHRt2AgnS*vdA%s@;S_zn0HL;w4SvvbB zVOrUll+6nNqMHzcNBf%+DPrt}ZM8|5YPV(^WndJDf={G~vj);F2PL`DwY2mU6GG56 zx5zfyxW8KH<65R!32wIYFL((U%*l4%qR;=*X=DcYL;!HpUd%1azN*&8O#mx6GmOwD zNi_8>U$#Q+Aw-Y(x=&>0)Lz;8rOqsBx@Bf~W|7evK8#K6RojEqjOZ-M7ev946;$L^ z6Ed111M(i$^0=!>%`VTXhAB+N8u6$-%ONEb^ex9LI?@OmpI9P$XUT;#m$)SrJ9y|9 z<12NTs%-f>h=tZ=)j@2}{Q!^wVSTd~_V>)3({nKRtU?{1O0-x9u~v*P*FjWcdbJLs ze^1NTL9AuARR>|K6&$;sO}&fn``}AMH?7x6_E9_5#DH7&;s|g~;#nezU&W2Tkpn-_{Rt<5Er>Vg36! z5TtKD$5*F&pqA3vaB|grtm2PL5rK@p`HVl@1l2Tc9@kHE6I>8G_VHkpc|T*iG9?!!F?9oxzM6*UI#!}5WGA9v6%`!w6Aym3~KGF@OfC|#q%%2X+r0O33x@B zCx>vZ>OXb}bEx%^9}*b+wyZ6N1ln2TDTs#XZ7h{Tk~rImg@pt=N6wE237DoWNW$s` z>YK6&c0Qixo*9Cl;t#fy{E*+`STP*clFol%Jw~V_U&qF}HLhF-u@4!Ot%F#3JL0TzJT6DHQ4;Oje+Ot<+(xsJVJ!)c+e+9Ty4&Sc5dy)IGEHd?=unIhA^hjr)vh zJ;pTb28@;D3Rm+%_*WW)Bm4$ihr_4%rUWLk%OS)G;Tv(UoQ5uOk4}K8-E4&+Di`|m zqusCYG5IjWdO*iw6Y&R^k>Jqk=$l&#nxcU>S2V?x zFVNA{&e2;ViapoczUHL%cjq+)Z~D3mV(GML;Cj)-t*I30#WU-{^dGoV%csp@A^AYv@9mLr+>`|@b&k<)|aCEs2r|3iS zbr1?PSM}>4P9;cN7`YJBIILb)8`EIye|mMX>F9s8HN=+zK${V*UI4&m1jGXt%Q*Vr zGmeI^_a8|fUNejP&s!q0_G-X0W-SVL9I71=&&A{rR2vnZZQxW}8vYv8M_0Qn{?@>$ zc0|17)^n;A`@h@uAU0H_N9}G!V6yUE8z#M<4Mv zrJu+^6Z6Hck`y{QYtA14z&5o%3JrH>v#n`X1A5z9H;yPC01}5hbhA(FIKolJM_wFZ z>r-?zqP8mMK8k2cp@&@o2CWPG;U_gMZ>A`pcuixnYrW;Nd@T-J!z+t5niJEj%o@$c zcwQ`=wc!Z_t2F7>^e;O^b--Es*!(;NL3+K1*!OT(UjN!#jV4X(?4C0DWBiX(tMH2|1n}yp82$= zIh4cy&e5du_uUFLGIaOd${LEiy%K0hIrnbW8W~1viS&qE`{^i>^6Zu znWh4QR|;`xCAKRlJpn8akNxZG@2;KFai-ES7K*p7)bVV5tZI4#8&k&_Hquzx%U*V2 zK1@!_>geWZ;S#4F2IqX8s=V3Q-=Q|VoRAF}qxT*2l^VjsE7hi<+lny|?`>j(ezgt( zAFI@7PKeGs<=7LKtyr;ydtv7m8bNS#Fj2I^0Ld#nOSWSM3agf8J>J3j@XoZWP-eB% z=yYxh5M;K7*z4^tiAjO5gdUHg#ooMm+}080We_up1v;#8zwsg-)olM3q$DPS)Mhuc z9D$L;JnjK&rdIMY2M$ZKfo#@;?X-LX8s=zO+>>YDK<;7A zBOa7!$MY+;B^7}l#}^i{GK$;ORy}J3{_ZIP$ZebhTzx0sC~9aylyJVwxfb40{E40U4~zqdh7!V9e9(k4Q-@8P`D z>}rjPPa0B{#2^l<7Fe}#KKvXSGnk{N1+hdpk~_3q<7Y118Gzno3a2I1e)!qbu&uh~ z&T7O(B^K6%vhMWNWbhGYYK`Or=Rt)iE)|r@suZR^hvuVDrA{#-;cP7f7RcdKxS1Ox zUmrwv3W_l|+QIFnQ}|H6mxqVY^}ucha5D#YlGaY&0`ivu$ZhFVH}OvKEnN!o1}7qqMXr1bDhyqW(D!xdVlby$zFR;j z@?hx_2nESvqo^fH)RSa3KrUX&=KVW5^q3`NzM+cHO{|rj_(Af90OUw(<)UL2G5;7y zQHz#>8QYN@kegX6m*5Aj7LjB^mU^rBGgFg`nb740kkATBQOUI=p$1f53a6e~49Ufc zd3i2o-y!t01^px;|JzD^I@n36Vffc*^um7 z#G1FF9FoT;(&`?qs)gtl32wD)6ENUK}9$|s&C)p4b7 zyC9oKPc}#M=puAGHt6Nl=m%xoA!Dp|oEePwDykvbp=#X+3Qt(PwlW;L?(+o92 zMzCePEgOb*sL*R8QIL%BnTb$jswLTWnvRRCK-@L?FfPo2anfTbtr+@E9g4OAqOP{J zDDD_(IjRuGg$*EYC~K0UI){N$CNNX+EaHINOdX-a(@$E?vp^$fcr?~%9Eif)xtu)1 zG=>w30F(Dz@-{{IZc)JHm70*u%~Gsg`pYUF4bw zQgRW7doxS|uj-iK8L3JT3{bRnHu`ao) zTPIHRkR)T`nOXlLXY=UYm@POU3rXUD9~#C$<!MU9c!eLyR_aHV46S6#8QD0$ z6h};zD2pxv8;y}{iG)c`1C>l?L4KfqWkVknP7UPf*?22E|g5{6JQN;#!Lc&N6@oe%t2!rJretW&8KDYHqOTh*&DcOX7j zaT>yqB~13ncpUPF?p2H0a#^+(6)pn?af44ijmOGDX{A~Vlo0bBY1~$gG4gP@D7#fe zNmJ*Kig6OPGL$7!*F$ZuEW~joJ-4^7r9&>;g}S<=#CaB2Hla9>7|m0PJ+M%BCZ|$oNz(gh_Ybt)%I&4~BYs8PJm@Wj+K?}~4}8dGt~FLC zi0ItPt_9Xr1Ij-tUki-txhRFM(?OtCncfuF_?rbCQ^%`?8m*uBQK-0VD09xvRRrL- z**75i2Dw)^ONT7Elntc z%7bDmr8b03u<%jRRWMrr z=#pv;K&8N`!deT^ylAv?mdz;b1u3lvd&VKPr*D+TBJ8iWq9A3giPzs#r1l7m(wMT* z`mC=gNEu@rt$%YBh49f8yf&n$-HV_cqxBV=VY{0~Y457h`jSJcr3}i&=|`rbY*1Nn zDr&b1^zlIX4Eu6mly-xZS5?@Tk)8=DuX-vB;U=WK;gZ@J>6wu7c1lsEQQ8$!Zc|}9 zA-!EKIm*H)?PMFJU3^duId?>QyX5gHB^7TQ#M=?+-KD6l5pM^icehW@tr2erq<4>^ zzJz!?AiaBqa*WdUNbh?#Ik&2ULV9-#a@z5fnIoqBzfRjncOf_7laq zGB8SqLYD_q`Y^Zb8;;jnZOJhgIcMEJmq6i)=@FPnt~OYmQMm zu8eA`s3jJ-^Qfi)!6>1YeDqIogv#y+v_0Z_v>N=rN(JzfnI9q1%jMAS>sOiWwol!cxg1D|s>On;pibKka4rML_=aHs5M4^`JUq8tEp zIDZf1T-#`U-DTMC5$_35>lEjqo>8hm%6gMw6~y~p5tL8ri86En=NqY59&PuNZ2^DR zF-mS;CLxiyiy}$Mj203IkYm0a5C<;bDE$_yys1Qf$1_Ubu|T;@;3*ezvvMqtQF^=z zPN?#>;(R;{#Dz>n{l+p%zwt0HtEgY+jnc1u%)ObE@>l^^fC)<#_Gl3lX26EK411(( zlpZxP0qu}_xB|oml_K@4Di8;AbwXuARt93?^goATKdl(0pV{(J4Wsl>6^MhmIhSD%WpTm2&yxO{vg$)n720apMjAoaZ>sFZv^$tc}d24c!5+l-BbZ$%a^Q-(v4Lhj(qV7R2G*DP9^0A%P8I8$p^}e z(waOjAB&?NQy47Z3goOcqjY@{R7yTUXOylh12I7;fN%g_k&eQs)Oi@^ac7C?W+& zQJm+QM(Mnwe0B-F5;Qxej7>5sg%vLPDrkMPqE0QNF?Hn37^8F=^gPX!MG>R)Ez2ma zgw|`7%rmk;5U=Dg^)nr#bVe1FPwFfiNJTzqg=Q5pzm0e|*$n$Lu0KJS8&$kN2S5t4 zbIB+@?c>VJx6_Q$Up!ov>_Re1&$zg<@`WLz^j8N|RnAs1O3&IrDwqt-GljpIK+5tR zE~E4uk)nKt2~>cqkZ!rFOwPaixbm`!VwC>j;j&~?l2Llz#g&z9R7U9q2UJzITN$Mn zZ6Fo-tdCI&Odw@E=oTsSC0s!fAIh;56#yy71}UTTvX3h-Tm6jED;_QjZ%G%(`KpU6 zi%)o|c&|C2s`7Clqx8CMlwPaI=e>;5Iul4)KK*5s)~A3JWrr8209Qf2wPcju@NwnA zsZ!YB8Kn)Dd_>JCz3Jl0f>VXP<$$VUZd0ZHwr!N&s>tWIjM6)%QF^v|M9-jm#)1rTRxGed+mQi}o#g)ao=0%3R?|`bxXS9sczic3t zv^t*3DE-?6QkIopqx1o||6P<5jz9&t3i7cgqx7MVE01~YJY|05;j-jZLZkE_7gts` zqZy@-9Z*$JS#o}21F6VI$&AvcCXljxHp(b{hVV~|^5HR10j`34LC+|C?&Hd1WuQPQ z|MhTL^3^P3ZOX-!l~bCGwM`DFs(k;=Sles^so=ZixT#@gW?E=xX=Wvt!A#gzr6WNzw!s>(sc#@fwnW9_CD`8=etc5@R* zSw6*StR0a8Qk0LpfeLUH zu*0gbXwu&UAc%J;b(!>cUIjUx&zSU_iK{4^ULe6WCjG4pDo@U5E1+!oejzBwnDp1I zeAy6pRb$dKp6rYOg?P_c@|8hj(qHnpeDzhy+SssTRpC=(($j%4>CZ@xyU9TnK$)Oa za!&*K6B0kzVpt`Mt12g3Hm`dLoKGQv=?H6H_iVX&9UBxbkv6aUn~AFks!Hm)09Q&D zSDV-UJrAlNUvdNGH?L#mpI#>C^V#NgY*4uF3d#iqgQhAe&sUq*z2L~#^^AebZBUhT zi(P?c16PV*{zkR}YPW)h3X#eD=^77)Svr%J&&T1-J_GptaU(Dyao%*Mc-4yY<} zx5%)&Y# z0F`CfD9b30^yOQpMsbTQ5Klg73aX0Bl~11<#cTzaBl|6l;+ADxwjAVX6t^nkGUXId zqxhu)F3fn|SVGaHX(CU4`wG2NlSd1C8R&mQmcvmoEnz#V==pc=BaG zP*q&6d|A^d?oz?!$k!Q-;;v;}kcUUQR8M#+9th6a35dBB)M(5F-0xt5#Kb4D@3#@M z+4lQ=BSQ|(=kb3NMm-M<#kuJUbK4(;ocyOaf8-1ybCc!!?e-7h>@I4~AGHc=e=|-Gh#Q8*^Wp1+n#ixx5IkTGciCXG4&f%x~n;tKm z`*@o3clHo6hvi<#?ig}fn)At;o;8{2qklbOYvCN{YtD*4giIs<`W~$zXI^vu(HnxZ z`NXfD(kYy+f#!VL9fGrIdG^@ekh7pU|1uP3>h$x!cAaqU6U)7kU4DEqqpBpIQIznpPbJQB{RKE%gB2|PGu1} z|27n-v1fMN(;+ASDVfhXL!{ok^7WpP!nu1Dse!DwF>YILj^!%B^xno6h-eC^Gxyj_?M=uu6ZA{I1 z*HAKtTX#(VzHn|G>3O#|gv?=QKDyC8c6J{Ha|MS9+A4v%tE%Swo-B@af`C1hTw~!6Gd~6XXWXAUyd!q0$^Q!Tct`96i~osn)rCK#i0xxNGV*)p(J83Ne;j!5&obUlJg*6OnQt9$d5T(s>jXaCT`rwkS&hg zy@GgY7?KSQ8HS}Oo5}`exJVQz)iK+4L|HZ|Lto6&agiuAxr)`73f8DpyqBQjB2}tQ zsZbbzfC5waH9@`K1+?RM*!E(W8zLBML(Gh<=A(G7K>@33jEQh1Dm~&QLdXLM{DY08 z8tnap59AL4>}3wn@F!~}xaf{aV-R_mv1WuD8jb=H!(R$yU5i>Gm4d8m{oYoYQj~Qq zG4*Ai>spWlt0GeuQ#C}5o7$hHAdOKJ7Kt2WNl{}kl{xcNYH`i+$^F?mE^@ZDU%s<>%vw5}AZC}pI0^uVb&3wg+yX~tk7wnjD%x&p~FNe0r z+;+F)4;~?I`wApU9*Em6b<-nWb%|}%ZU59@(w|Uhs!Bd$Z=@!6pFHs|khlpk0%%pD@cgC75YvQX_i6Qlw3zX>*uT_X`)TBQ< z^^FTAi@w05g+JQ$6G+_DShHEB(WDoY+DbQ+((88IB+lO+A5fW?N!0KKr6K(YIU$Y9 zPUnvKtC;kr7%BH_5Lz={fI$(z>D!6vsOT#k#&gY@;TjTGVv`|FFNvq(hf(`721= z+*q?|xzVK8oN$faV_jMw#7%m`M_cJ2X56H2TJWO~jG1)$fipK3lhy|kLzmK@%=Cyi zd}13n$*VW%dFP8v+H%ta7ee9)m}EAZ^m>_U>r&ca#Z7uM%jI?|6DuWZ_BdcZ z%i*2@Q84PLso{EJurp@T^cg#DDJH$?>Oe8+FgHEoEr-~~O$wq?deKBT^`gtS)N!-X z7H`W@GdN@$36oNxNuh>tiM(uX5R=}j$bNPd@|_w=mWW3mTpGT`2N347tSU zcT8z;q-_6banA{riPgvNR*)OS>YaD1XTLqjO+_d(QwNGkzeo1{(;~J}lU_F?=T(-x z?tPI-yPY}wH;|ZxN%=;THh8i*Moi)=-xA0Zleo%Pai~npq~D|DHh2QYO0Mo z_s=L$Oga><`JT%N8#QTN%{7%t>zpq#>EP|R{1zl`X{<^6jV8St#5;obLe~_N-mh@K z50#0T#DaJ?5HM!a=0^|vu9);*RR@Ym6%_0H6=EATX}yEtk&KO1ZCek=TW14VkL>;5 zZB6f!qq-n^E7)c=R=cV%JCvljxYX+6fgk{TdIXnR7o~LJajA8oj{sO2wKY$aQDZ_d zX6Y7xT3Fx~r}T(_*%CvyHRrgLt1+?u_d$BZ2ZT0m{e~f)4Blh|`zA^@dNSA$M2|#m z%gw_f@Jq%T!>idWPX=6G{w->qJQ=)~l?_$$Wbm)DY^aha11>24)}dyxCj-|Ol(pUeuQeh7LBrv9s}vFOQQ`RbOx6VyNULh@wrcoq2&5LZtIZ#&7Szqei3%!i=2 zYo_kd`}JoLXKR?6t+}q4`e9!7fQhLepiq9}=_kC8EbakA%(!unXVW7-%nPWE`;7&? z3K~N`QRSyny+?O85!64Tk(J-PWyU!=fd6Y&{yLu?@sUMLS&7z6@2Gw!fN}3Se0SI| z#-*U>yEc%IgYf2E7n`;`27%{uPd(8`1)lBQ|F4j_jj^WL4Rc{6I88b-cLF?y{bmLj z(j)$p<^DE#trJu=q#TBQT#>zR!&JOa%Ch%uxC;BUD4d++N{{%gAkkf zo~5y7?H$V>-tQuUw4eXcu#Fq$Fv72@%ms}xAAn_<7aC)3a0elCL@-2da-#-NwduM6 z9M=SIP{<=TnjllT1^$ewO%ohGbMHGLXIo=UQ?*t@I9fugj@p?RcEQ}kaePWQdED*s zi+~!$8uwr38A-rcleg^g`3K7Q@pE4XK5EjAU!VX#Bec=xZCn$deO2Q=&hT+V+kVMP zfW}LZjoP-usQnN-wH<78Y7L#(mU7k3O7$h>^oUPQ*;y$~{l`w+(vLjuv!sr(#{K6- z6qGBVe2y7R@SaaijX@N02Gd*%#xB`etpC{2fnxnn;T|7(#NYu#PjmgZHULo_84BSG zdb9)Yn)w7IZf~qfRT_*Q@rkWAiG~HrEjldF0PN|EVak?Ks+P{AQ*7%I5I6dvDyoMq zV61pg?AmctG4QiM2l9s7P$^eqVl4Jc|<^M?@?To823Wk^hswM6iSvB~;epE!SUep*9;l^4fM64NP>t{pQ`w4xW_I~0; z_BO9SneF(F#^8U;wW6hp>CA9Twwx<_GsAq@t1I@V1DW{}3pdGf=Pu;LEyUCnL7|Bj zRgjJJ(lm^;xRIVZ#cIgCFlba%8`!=`gSdq*HFc~DcjzNCiV|5je)nWyctK-QL+-ZH znUNMTRYU%5CtHMnxnYa&uUd;R{;E@chB&(zgBV`QYk^T?zd3BW%h&dffK7)x+TKiF zUH}k#M`h&&0I}CVyt7TJ60`TNJStIShuDiLl+1|84rvkY1eVE5WNq&qMdVdhV`48B zhBAhdr|f;-PZsq1DcJiy3M_hD!*iHkiW_~-l0zYJS7Y$gpwaMcV9sGxZTQw+Y#}GJ zwIy$1h~b-+-jZ>+LgTN;z5@b)sA zy|Tu{@Y^boS0$zlf6tEQQq)l9Jrt3;+35If&s9gaAhrq1^P%N>A~3U!EAMAun1xEX zZ6!XG*$%ee>V_lL%=SfA2FQ^@7JYB6LN`~y*ifdhaL$+1PzDlpV1OIG0g}#a7a91d zNsKjP`y%-^I+Uq;$y8CDediSc_&3mdxwC-KI$2BbB@DyAO=uGLR=ngQeI=?=C@eLUPoh+dpCn`J6mH? z+87*Wb_j^6(!Mwvw&ToE*sF)__&ya+AqBG0Vn1!o{%awo1zBK)Spar1Y=?rpUL(c6 zqaPcnXLj=VvJHQ+N0PM#s8tX!R?t(9Th=TEy`!%KrBF-7G$aw*XrW#hB%7>@ScN%W zTT^SYj^Fvv%^_!ZWAJ0Iu`G9X<4xAiS!tG-CBE%nM+w>>MHYPhkU9m*9_4&{lSO5*P|?> zChN&sleLwE%zQ#(q%95!64TVl(}GSChE1d9Y^lAWgjvrs9U@ zdE>xcUp+}jmA2!n-?|$S_cR9oeM!%bp0Rw+nh(ok=Sd@%!Jcyw!}K)yvrp~RF)*Lp)gN#jFuU-Trs zaOd9D5VMyt_))cHp!la*khjzR8_pyGcwAm7b`fUzfO z2+|npx;#mrR^4ToRN59#2TG+~lTT-McZqGZ(q8Lq#QJN^FS7pB%)VPd^xm-EX|%ot z_6`Q3IC48L@83!Dw1*S7eovck<55S|3XAoFg>CB!sv5i_kP@DB%?ZBN^+dLZ@Hydq*CqHx`hq0rL!4E5qM(BXs{<($5~`G|F)?}| zOX>V=)acbgG~&3%gRR%NxOlTsqfh*mA3V-j|HnJJ5k*^fmc(X0%Uiqefq4COfCAS<>vB zuC}8#Yxj@7@($#TH3mN@ho(m3=`ohP)bf1@>K{=QtpuiDSTv{u_@Ahh@nwZ{W*?K7D&s4LGS`OzSC}z#FRhu| zv(|nbk@tbQsYd4>Uyyf*#oX~Iga;h`u((fACXB?nN9X1JV#$hqL0udcRrJhsX)&D{ zU!bB?o+9~bJTO8E6X(WOxXeC9jfrz#VxuzWH715%48DC8rVPK#PnPrLEa%JInBkYz z3_qhX{~<^mZw&sc&}g{j$wPq{Zdo$lOZ||hFEa*W`1l|`E70b$sS`aT!}$ncc>-#~ zIo)en8WY3$jI^(>F)^I80^`x+we zYYe_0G#cJsk$1tx@ODSu1sB8Hsl zn4>W<9IJ(ywyMU&aO`i)v}H9WhF{>PGaY4O%J554QFErcs*7Ro|L(KKA2H+Sh;700 zd}w*}l-yyGo9(m6WeHWc7c<0^9ur$_62b_KR<{{+giE(sSwy^48G@wHiO%@ z>B>11b_G&2=}c#e>r}c#yL`_4BWAorm!hO{rhvLcu`q?G8bgUni`7}lKCIC24^d>5 z1diIV^Zmv^DPyh+bomTZWqk7x&6(<=Z?$929qX$noq9kSk=tRe)#%*aSvizIoO^;N z?kvtd!IC2_SeoclY&o!iI;At+RW@#r8qbQ8^9aZasI!8OJi*hLI5($uCRiGycRbtBUTTDF$$A?BxVC&s*qsGk+KRp8E5#+!lrh2-#!o-`vgJ9~_53>42l7jCql%`oNpH+f0h9`j%=ZF|g(8n&_C#4jOE zmofNmwdUqN1f??vdU61W)bs-_nLv`7{*{y*F(MVBGmsf@$&*Pgj|!nGv2N_oN}zzc zrgIwUKucp%)0Ni}(uN};&W3je8WVf}9HcXoTw==J8~vocH?o4$-WzJwdi&$2J&ZUL zV6W3?uU)~C4A{k9+u;!>dZ0F`iWR9q>MRn@$`M0i@8mMuIjRz~7h5|rJS0Huph%vY z0?g5v*!vi4n^e`9v~1r;zD&w$OzdTAH@Qqq*?WT>b=wb{1`c8=LO1h&RoFvj+bH~b`@5#@qyzZBX71n2bY@zX zn3y|6$(F6KWWQgHN_MYj7IQ$eKddwxE9Z2Frvqs#r&VRlB_%t}l`)q%^HeXszhZ{X zbA+f$EC-%Tr8CnV0d)>=R5q=uF)3$`%BHy*qjyKSG?Z7=v#W8%uRYIbN#MEAdk8EAliXCKt1br8=W5ptgynI=!MX*d(Po zZPfDR1of}3R8M`pFi8jSf2~yi?x!<-MPkaz`?B>-(7h;~|J?*l|JGElEFJ)RQ#E^~ z)cVZW_Up_+1=(mXqlAO=JnxAKW6UFM7Ec9)Hl~2FCTN>qZ#r9Tt%nR9C`}NKbjci? zC$`Zh=U~yJaNj=q+nj$t&=`EP(CDZ$Egnau9ra+Jt*7oqdf-3LS9Pm< z5U3X_x~k5~a>119#R~O#6yVIza$TrwN)PshQ3UhUD>Ph$RB?%;zG&kmnpWB)3#P<7U z4oUH}G3pe{0S*uHrhqyJUP`4ibIKZ%9N^r}99v^j*uSx0q@yt@?3aQx65>x8en;j1 z&bd!GV#~`AXR|`L6MJ8EfrXi+YyWEw@~Wu$#NOBKbY`AKObR;D$?}ME6!dN7SV7;0 zq7UCeUO9jF=MZ@c47VB$pXbSuk5bTced%zhUpjRPj5}VB4O6Fp^T%PwpH7{cey zDP}l#q|EaK)P}RGnd@sz3`ds);Tn^I#`ZoWp)oOhoymlVDZ_8ACOv#@8HV48(uq8L zZ6Pvz`&VZzM&ur2aJ|=Hc*lL2$KqFghb`nKNumii93-6FPQ2aNZffTsrHlvj_`IX+Jf zL?k#~w11toYkmmEHow6PVMJuysf}qcMq| z8)3MSG|qN3HY_0ah7a>>jWyfQos;@j`>-a0u-4>%^xxe4CV^XAeAcG~kpcXt0+}Jm z43C+jv9w3*O_y0C7zX0E4ADk-7OILDuPQfGqpC9NuCq5o?5W1!IyZEU=J4So^__xD z`~K3VHfN!#yczm+Q~@xV3pOTC^C!nM!nM-(+d0<$|Vej^IO4N+7H3EX$( z?!RaZWcoN3(xDCvle$rjMr&BM!ikZ^FIu)bd)i6cBla|7@bzkFYB>0Uk5*#4p;BjB z^1v8?9kl@2@Kz<<4V5|#ocFj0fWpxX4|YRAgn+TJ+i{~-fEz2$kf;L#+~8D8n{qWK z<2lUgLprgIj^}PHCtJcB^RWH~E7lUO0TOlrJ3M~!8VH;Yo1L1?zD>K%$;bA-rOwL6 z_P(XgK-h8Ru(wNn*W)>y)GXHMW49Yb2&mgW>~@17jY-?bHu8*!FDmHy*B`w%8TF6F zF9rRMnMR7lRY9*A!sgXk+FXd)d_y_1dFx%&-m@9T;A@r8=Fnf$sdKAwo6mLQHlK?e zc-#&9`_y->cn+Lb;Vd6@YRG|eLol8L=eoiu0|^L4uACd?inRXBmG4@bPYlPjU+TOH zF=hC`5aXM{V)&GKZ8frY#G0;)5T_6J+Ku*JV9GdEdb{(>v0YNB^KG7|s_i|`k_15z#;!FLLs|lLDhnhL+h~2gF3}wGyIHX9TGXv@?q&m zXZ0d`Q+sX05&cYK@Ree%1f_ktq{>r#ML7u1%JY}_=cN^TGJmo9_)iaPRROh40rbBl ztFfpLYWZmI{}R-{x;|*sZTp?11NgtzG|}5Htq_wMT1*+R=}r?z4HxQnv~9C_hYz+{ zFf0`smX?oKLV_a)60e4&bn3D)Cv;?_l1^P-jiJ3e; zS=Aj-7vrIP`bE_W ztNN)ch$*L$YQ?9X%WREBE;xJIHxnx=$VWQcQ>h8%$EiV3EA0Q6*B5hk-2iEr}?B|QN`uW^yr`u*ElZbwxmJ(>c)Vs;b7KQeC+9b{h!l zUtPnp&k5grOb76PtyDiiuB|E)Q&twU$#Gs0h1qhE6?0;EpdRO?XMX#1M4k(Ct;XVA zor?Dx*A(OZ#xkF{OO1-?*lzG5QU1G)SkeF*PQ| zTlHZiLhB3%-|B#vG8|2H!&^M~uqq9|JYIV*FGPk)nP#mH^GUUAQiWGq_AEx$zgl50t)^4g zdc>8@mlmT&SUt(0-}{31I>ui=@?ONA52Ld+qooY5wRkeUZiKIMr4bImo=#owNCVBr zG@Tl>*|;GV8)?I@cQi)HkcwOyw25uBnZ7jt ze^-wWdiji>Le2tX@CCQA9$%M@?SxNVSB>p`PYss&mKIfrmFS^fI(1!EKwYBP=Z>fv zi|X+XyBu;8LH(<%$Mc^)?h_rr|FxpUJ6uSbm@3-y3XN8x>&b>g`16X97w>)aq-_vq zp)rWrblF`Pc`+Vr@o@oBH=SBzichnap{ogE$Lgipm#-}F*t*G@hPeOw;-s0HB+&+h!lw{Q!h?5%>1ZfXzE2ZQ|I5Z)8>eCC`@%4 zO~s=u&T!GD-T>o%SJWfi8{k)*l$2)rCX3%#VB!tMdt+WeZ7PfRhCpLtD!ZN=2q_yQ zQxD#1|NRvj{;8SzA2bfou_5VFNImw+o<~{cJpO5lpzR5lJ z`#tB}o12@PM7udpa3mFH37JkR9@SrncDJliearCPH=yu7M(Lw!tT{RO`mQnqtznub znsesx-t6<7Gq>!U0(z5#c%bain<|_Szp$1SPOk<=?|d=xGZ|Z*m-f#4?Yauh1MLgpb~e~^Y@TfA zqj0%OawOP(Et*`6gMEudr|I-jq+;q%n`1L5QX{&tPekgX5b|rXUlQz*yYl5U+CZOF zGtFV#Vi6W;KBv%L^Z8Y1z90=Yy=6RU%f(IBpM=6wjM9gdY_M-F(s*7H{8o6qts+;#phG7F;AidI~6|QyW#&t;r$@o$_l^TSA%_fUJdqb0SB9yfqlp` zG~CYbaOo{5#7PlOp}*Z{P=r(HZ_f+H!YTB(1%k0~nzgz$6pV%cj{WX%35$fETg!IZ z^#11f-1QgCOvH0*<1Sy7W^wm7N*{Pxy?0i*@8aaX)8T%NTlF0^eySYny(7mraIxOI zDs-zcQBm|#ABKxv5h!};PHyF71w-V5}EUW6Ij!AgE9;=OyEVGu5+zk}Sl^6742rmU zIS{l3gE(rP^R@2hPxzKV`49?~ym7sjgI1Fb^fztLxG57=(~ko5|vx@*n52f z_*O7 zJj+eHCr{g0V7`67j5mYfg-Pg9lVj0LbXak7-LKe}EIUwI$Trt|U0$rg@w_)jFI$p+ z`5s@LTALT}V~si1_c`<;CgP;{1PeK|dtC-4)_(-Ra)QAXTJ+Fj?H*q+Zmx7{FfR~{ zh5rd3-{%k(2|s-q2P8xm?`v0uD7c* z_~c3wS#6b3ub|9S2+U_Ad%s7^;y4VZcE80heWL)Ns)}+amUds&z-zQ*c=bS$CdY}2 z;)?ein214%>_0;?%R9=LNC(D;l=hI%poExC@E^(xmNwo8 z1HriQ(wxTwp}_gXvlm_cDX%qYF%W`#de zRn{|Wk2rj(hlM{}qZhx)X!7A4z4%RX`_T%`f5ECM9P632N2&~paJu00h$C1ed`^=M zL9y4^yn*-10n5pLoZB>K_9#(j;U~9?IBre}A^hZ2xG~~FAhGZ*#~ph(3LkEi-mb;M zlR?H~77r^p;*Z)qp5mxJu7QuoT#`(u0mWk$gCdSbOOM)uK^$lQ;RBuf2$T<@NrLav z|MZt+1N}`Be2xkCJsxd};#@2yb2`ss#5io42P+l?ykO&hYZ>=v?DRy-6DWU#QTnHy z>ZQa2b&u9Q$ehmO$l{~Hiso8O?TLU!&s4KAvHq<~g&0(3akeNp+wm;UCa)Mv1C4uYm+v@#c=ST$3iG?I{8Bd~d{wvQBz;qPy_i9UyvyT_m z{`V0IOQJV*vjai`<&#E_lKQC};ZgKX z$XpgV5tKWQUXKI2Qhi4udev<7p7f)2Ed0W& zo6gaDswNvbdUQhcbd|7%(K{iNkjE4{lXS*+Thq+X(MIX5N>~c-A7Uv7|!#zVuk?OONrCzV!HNl9_`h zHxGxwW1z^26(!R!0lJMY@CkP*&wBjgX6{R$cKBSJ8{-oNdKsqlr9nuKFCd<2p3dnK zm|8#>K*>`)vQB%}6D;aW%RfWp(~e+dp5C>IHeC>m`%*mQKnp^`qVzm2mlcj@)lx5W zTqO~XmmQ^j>8MR6P~l^Z(m%XxlYA~P<}~L-_;V1~T$3gl6xB5l&-(`6?Ma3dsm-pb zJr^(-OP$jVvYtyMu+$@f1x48}X_A9a9&jmv@=2RyciYsS_X%gs#jIv?*ijxd9|^X} zh~c<2*lYJ6d?pGX2hCbG*e{?BPA-V%7hHZnGY9*H9FLng*w5$T0d(1XY~IOp9B-7W#Wd_J>_z1C*+|YmLpq4OREb{M9(PeMdun_BTal80 z_(Yd%EPPKwj9r&A5m0-s;r6`b;&WUD13rZ zdNatjvzNyheUFf6ung*zESPV*2(p-4Q7A*1gfGxJ4MxcD^ ze0^}&^#;oZ`kOSR^3|THy%}waeC=dLs)wKjbv#nt=8wsrp!_LD>2){N%Lj!wJbDYa z4C~$u)x*I2fUZ+9nPgbk54yCKHWi zC|Oyvw`|S(eYE%SC_EjSovh}6pij8MmmcCDt`V&aWX)BdFDCPN=B+ACAP@^hGhH11 zM~y+zJk}F~8POe3 zOuCCT3kQru;nR%LtJPR@GJJpA;%9Yooc~#&t40!MoKNHZ@zLR!7&yGjmp$+fM%>(a zC?3G`IkmSfB1+`RB+P}T_Ror7kq7W%dSJF-d=#LyzHd2#MMr@-G)8`hAQrx#*IxL3 zl;8){6yf`OiSY679!5br9m4Ib@OKNixgwNczZ3F(+#Kw8ik$A;xZn2pZg3X@`ObZ-#_1AC_4oa zj|R6d*yu&9Wz3E=FV2sFnHfgu@0B#)S=hS)Pu}xTb^+S421jE2iD)mVDbK6W-me;C zKaTiBqM0~N*S{OUcto6xVCKO=(VSq(PL_MZ%-6Sy;=-pZ<9;L2OI^)PGP>d+-mD0DlyiHyRGBzX-$^xD3ib z9?wwH=EplKz4~E~O!}QuWaxxOwTk*uXtJY zAK2{{}`V6sm8n$^>iBZfz4p7e(Z-KLg@&W#D2XaTfS%Y9~EUI ztN$79`nW(?L-qT%>+9k(_B|>|ldn5tA2L~68wSsWW-Zkm*p$<_x<2+pc#ZqI_k86s z7TQOea$mLf2^#qaQC!kSKK8@N1kp`GEwuZ5t;aLtBCXLD z{6i?W_75x*H4+}R_PuKj@_nCtXA$3b+mQ#s%vnYWC!fi3C~oZ^2gca<;WcjUpXRXC z5We8n{%K8Z?O~PfUL`(hYajawRDB#UDEW>zg=(MX1WO{m+5Y{$;MV?WO*V4GKhsPt ztP<8R;(IpA_dRQ!sULFT=!GzSwo!UH%&Pwst^J6qsQ)Nb)qhq|)qjq$TyxAj$#?4O zK6QyWg)Qpq=sl_0N1$OA{!(1(e%#e9$^jDPcAfw{a)bSaZf+_ zl~FmEcA>tIRsWeyms>@B=+OF9iTxKvRsEL*dPW)ZPSn$d)X!`NMg6ZKLg@&Ws9(MJ z_J6SYFN(5}V~BdfK+K# z|K+CD{TB72i<9TV75Uf|a?`5zWf0w_9^bV3MaVapj&rGd{JIwZa_HB>D*ck1Ru@&G z5fQ(!LHowzJ$FDgrnb1qzt*zo*S7`!bwsr7J9MP)+wmJ4wC{7K_MIQUu|fO3W|S+T z+_d@wh8XYVDa`NIz;(1d>a ziv)<@*ib0~s|luukrBt#ey9p&`h>k#GqoQpjNuHuP;JmmcI~v8oIbp78FtPyN-z4^ zX7Yo>SC#kx@ok`Xk&7YOEy$&_MK+?7Cq7Cuq3cOMI1EZBL0#mxfnZ59*=osNxKHIg zDCLz9)Tc(xWbAt`LSj>ahRtMBwf+j>uGP-Y+?y73UlSJ3H%iafV$qo3;G^Q=&=~tp zAbsJFwmS0p+NCw6LCGt2qp}d|zj?Ck?cRKnd24KT7>zJ5HcHP`V>K8i zaOVAzqo?yo=KV`+TKGO9%cs-}ou-9Y^cc6gp9=U@l&t)v*0fL=Z;~+>@=fiR9Py(( zqO62D3)%>ZFft4?A&ym!V3ZO~3qLGCZ$U81L0|#w)d-99+L;{ODc4yJ9=q9Io1pYx zpxn;p;4f~|LQ;+%PBXQCSJfQ+wL-J!RB@7nO$$HHfsMhB>%nJ{v;3kON%+?J{R zw?KHLdHZ5EveC_5JSBfQ#K(sP^H7V1WS1JHXT7Y{C3*Gg)_>8tT;Pe+-$M0bNLvnZ zu2SWx)aY8*l01VF=L?8sAQ(%fiQnHs!4jzlj-UQ4f$~YDwq9~ zXFYy_ak&G|$#o@!A`+QETZ|Km{n3ZwLN7;8?}X8#IZKh@;W z^Jhp;)~Bk{TrdCZps%$VjC2vR`C9Jwv%VrwK7>LbZ){#^+goJ={UtO}dhGKpS7kR+ zw-P;tU!(DMl>JSM*UYISBm6FOc2qiLpDiY%vqpTd2)|@r*tSDh&gG z)B6@BN+vv=49WE_9$jfcNmbIlaJrsnP?0qB^2r)YGApu?CE?-sB|W2 z>NRKQVDTDA%4H=rxtOd#o94FE6|_=GT|>HtMr4#I-RB{XTm}_M)7Mz%QI^z|wxNWy zr3dn+-Zdnwp`?v!^-&sK=uFb|F=u`Yi`N>ZC)}(g12ZmI{vJzeE~t{aA%d3?7ex|H zL+D18K}FKpd+xSAOKL92Mvf9DSoCgr!Wv52I$tko%TA*-xyP{Kuy~zOdc2mE)CK2L zm_&*tb@Nq8-4VgJV}i-q`~nAgWD!FO1cHkQV(C?%MOY*jbr0Qq*~pSG2c>s+32P{6 zt7^TZ%{!HJ_{2>~QY$1mSxH^diC>i$N!@Fzq#htCBQ=rKdXy?J*tE?lr$>Smh3`jiMP|Nu{2X~y-|9snw8WX7_C<)QR-={l3FZ!Fe(#F zBwg*AdUL>_5~bZv+k6;H>S@bHmh_2Z>Ma&w4JD1q)kkR@eBAMIY0!%Ue}TmtAj!^3 z>Yjt7nnbC^Qzi8((sN*mOxiuPUIT{RV^EQ_^`4u&&yrd^E+O3mPPFu1MZy|NveUMN zO9f4fw<~rwYd)i!wh=7OGD^6$q5gW2-or6kuc^jEX1!Oayum~79jF5P`1Gz1rW=pA zjn->zWR=6943aO@pdl12X>DU3TlF#)-8+zt9LEboQ}5#w)==~Yg(QxV=rN#-FYu|2 z|Ce>1aKOc@tqO}Jh_jC*G`i+61`2Qq6aSBh^}GGHcF3pSeD_Gwph|bki(ftwk^zhz4B=-xyK)%ZjqPY4NC9XX(A_S$iym#hZ-M!^N!V6+EN$`htk= zS5ZX|2vpGneR2;`Q$$}MAV{$%RMGt^yra^QO_{RG;VgPUARA-R@Z3P3u!f>{%0zm2 zzBADigKhJ$c(YM@D9nl;P%xsEywPH}g> z72UtcJ1U|Jmv8kRi(b){jVzixw~|9xL(!XLL~j9-9d~47&pqr1So9&fkQKcm+Vl-@ z8;c%T*QQslYtw@)at~2UMYrDI!#6nwRnY_Uf+gv_(p8i9WYH_zvM~}Jd2WzJSVPg9 z7V6V`e62IlT^_P;fW@*=dce<$UI}e_R!&5(Sl6Zp*R|=vXwx^uT9QN7EEhql5?>lQ zk>`*qi2jN-!4lEOwoH4BMGvNJQ2~xLd2Vn>SVPhIV!i0Cyv{^#x6h!nVR4R8dN9a} z9)vbM3vS~`uUyxrhXiVy9*Q^MX&AEi{84^NbmGh_rqZE7KqMgMGq+$t);4n9$eR^S8-L*tD;TMiUXKLdbVxq zLkh&VKGK7Wf+eDlzDDQ8Tly-lY-G{oxm6v)8j4=OS}%IjVrP*aw8c&*z~Ze)?_5^& zDrnQQDaXlVI_7qg1J7 zMX!c7eN$0HTXk)E^}05_2HNzE9#K<8hX_&(s-mq5@2H60?anpsW6`SzvXMoT=hpBE zYbbhrpNrk!uZZYjb!~dhx;DKQ+Vo9DqLzxLD-`bfrKn8lGFrA*`Y3bu*$z<~kES;=~;;fW5WNYU5 zLJarbYvk3z$=Y>odN_{L-QxgCM^?rq7=6tg@vZO3*2oK%wCP>EiGx}6+O}+rL`R+* zZV}d4bS{bXrxs2vM`C$glGu59GQo8y@JdJbU?C2f(mwkYwoDRdU4O=s-72o~=_7t=0E>lXOI9xQ3S zsw!#yiqSf!N@SEM;jtY$dbtQx5^umJTVQD?CEj{*35n7zwyEO)h)+XFV>6O=^g5F? z&fe)7SiIM0`%ufar4c^gjl+^QuvAHT&1k(PBBMz9%{TQCJ~6E8GWI+5zz12<29|8( zDBWtAd_khIqx4rEGdsPOuL5-3r6d$9urKu z2duZ#N7jUOl6cb|Ikgu{!f6IRq?aY#rkVPN1;QFeX`ELtX_HP9Z^w6cZ-T{&(e{oU z(37^bzRfGnS<;5+<5Ec*`9|w)F+q_;Ys~faZDLp#r5#q<1nrO#Z^J+~#*#u)-^eGd zp`>-wC|&2E6W<7lhcC!$8~-nBesHfP2OkTI_Zv%1KYQfbz~gJ=ENSB$AGxl>?xwym zBA6bzM&THDg-6uVF-jR%$Mp?jP-$7iZ+9N&BiF_`E&-1C!bh%+Yl5+8Tz1z-RS9b- zT3Ou`9j?dcIy+oX`(g8+VDSNCX%9OqdSlmUy&ajrqBqK`hwIT5RrDsF(RxRo=_ZHk z+XMKz%N`qDMKZXq?6=k=_ig^UfO6O+?@6n)+y)K}Gaoa>Xj7852O?}HMVGTv+y+m{r>4}BTB0bz0-4_-gG1@+n zzB%q{;bE7T_Oa;AeKpc!>e}>Ho>BgLL`~_)&hg0v@vZBr4?gz5Gg$QIzHAKfg(E$t zB3LB4>;|U3l}A`((P^Y3(K~c1delvQwuZ$=jiqa}i}V<@>3d*-MQ`b-qPH%pksezx zysiQ4D80AWwP5OFYzCD`?_1n=MHaoKBO60}VbNO`1&c&`%{YvWEfCgF^u&zlv9-=3 zy~V&C)`!K%jHRn<+4SBTi(fy$Ab>@0WvQa=yefK|oZ&V5L@kMQw7ym+hh`#u(A#U` zPIcY}k*fuwt3yV(}ZSNQ2NGId1ZCv#X)Ap4&r|R2PXkjmrQAWjd zhPjQ)ppwu-`cK29$@w)?w|&`2lA_hVutZoxNd+&vK(T3Yp^AZ5p^_}tYg#Yx~btM4Y8reX3R;~=pM8C`R=}8k zLCKMDA+N9+XJO_uj8`%0;{$rK7OaA2Y%F>`vA#VFF?n_cO&4un6pRexu1JTB3l?3+ zE+3wQNJ}sl-qklz5n++=-EvvsO^bIcLild^L^#}&PvSiJ`L$0*;irwI8~Rz{6LP#L zlZEf#vm03W4(OvuDhnT9P?jp|6KXVHMw}GkSiY%G$T29(QgH`_zJo6q3n!5~#9m|b z>kC>f7wZ913?h6*gw-rY1^1W3+wSuFXzx)hU!6t7@Cw32pX+ zB2}!kS(-wb;4-MR*=ZN|eu&%bj#b&nZ5B5}=sQ&iYuILS&#hc!j=G^etDQ;OZId&4 z!{W2X(k}V5(Q=4(bkr%7oh?<;M06tWdrVMH`^q$hvZKSGBI%StXJTC$bwfK_vXLdd zj{*}3YAk85jHJB^ok<#TTHjM(F+e|5%SzhLR;N%V7F9{RV5rc|B{E8sXbNRJn?Xg= z+1HQx2TPh5$2C4V_qsvmE(I#kFiLx65^t|cXOd1mcIY;+_?*%9qn(ws6D0kXkGN^5 zI#4C;>Kk5nhsY?BXbNQ~n?Xg=boU@E4yGvW639l15-e0reOI5bhLZNq)hFKGAk=YN zn&R&CGb}!DEbSU*CGG5~Qz(;is-#IZ!|P!a8ATFJq3j%Iqata|1qU9*k|yQ2ghZ(a z3QVdI)>u-dUeZ3f&LnNHR!?e6FBolKRkD&MhUyeb!BHjcW*c5li^!ysqA8S#A%lvf z{_`fhz>*4%Y~(2Q^h|v>o3MtGcB>{`eJMZ>kBcWG@WmYUH16y@CWvmuNV@s6KH2MC z7<+O0y*dzYi#?g-w%BDUGIZI7biwZKfQjf$hF zOC}g(BSsldZ-*hlUZefWee&Z9(&U^`9spl) zlqRE5^$LiABIysQCG9~Fc1$FJ{^T5CsifYB+~gWzk)$d4`Y5dqRt*#Hl#`F8 zl7BOnTKTM`y?mMz7D;=evr8rIjhOWbMbbVM!|Ux614R-|2JaPvs-!&w-ccm=L6YrV zB`lTHCui#WR0xYC?U}2Wv`?V}NhfT!(_9pM1^st5D`{_s=7dGkWLK56uLns<;!QzY z>f;gvMG{R0@9i+CN}B8n#%-y$XX^WUgej70raq-eSR@JRT|wqPvj4A<26D-f1S z%2iE$|2$!lr2SJ#(HQQm63u6L-WbjTX5l$sJU7$p-mllfYo{nzDAkhb7MD8{b5alha8Z~VM%{pBGKavA=Y zitrsrtrWZnjFj$|X=3nm<0f|8#RKj^(btT&Z*tjuoP-QK-!822Rd|26gmf0K*yq5QaaI5?m&mZ zgigWK52_Fr(K#?fXN`{N>{i`;2@1Z6GZz_cCEnTJr{kH>aXh2EPE?CRhmKJD`&2dM zA4T6NuYmwMksBjAyA|q#wMM=J?{qU)eGbOv8*RT7vfeoeqoH1b&^fpWI;gMCJ9Kn8 zCbxNIQa#IjR<@bj(qUgAVBIetUFVU)5+^+Adg*MaL1LGqqrphgYc<#XEFl za4ZHBI%oz{3xq{P6u?h9>3DLDEOAq_FFX@tb;@9zlF}BIitLG zjcQToP>+0Y$e?&Re5;`@|aj6!C z4)rlp0|tf8Fkpv9ydyeaXL9Gqj=Xd7Q~iI0wYQD77o04eLwtHlhtN5!YLu;vcgEt0 z8u}rAJ)NONQ$MUqSVZUJjCU^Rh|USmuXYj&zGJk#-j2?pF0H{4I)_(4$E8|Q??j7f z4vj(a&QMT1yh1xhbiTFfbEoEa5G?bmQ)!ayU8C*ycKyy_j#2iKR6fE3ogCGY(kXj6 zc*0>&=nU~p{Roe+h|UjgJ)Ol`2Xr?3Xx&Fp@I4G#v}~{rxAAy~oOtKRqETMer&<&` z2m0`Y&0s2Fxoz{vUHBHjB;z@oudk%lc!n~I<4@| z5o7?M&>37Y^`i=eMRdLl>%H?sN4c|R|B<_*;QL0~+jf@Dks94MF5WphZSQ$Hq0SVZS*r=HHDj=VGC%^B~Z;D^RitsR}C(C@4e#{f0djPf9dYEkH{ zP()w?1|?VnkUPiJ2#e^9$OLP%j&f(^3)ky|f*)a3jh7A9(LU&e;+WeC3k`3@BzI2Cfleek zrQ@xLJed||=pcY6<_L@E{Kc=Q^MuubcbqpT)4AFgM%x#~taplmQGUu6@0?h}TVg}1 zC8bk-5_F0IgW{e2JkY5T7STB{tf#YLM|7Ib8vP^+erYUSsgR{}f^U?c35Cu{Ripf7 z6a$6MGerc(r>fIn&4+hRsuC8_@iMuyvetoj1`prvYZUy-X#2Qb%R13D%Cjt?b8^Kf zzY$O^3Y{A&@Px~tc;`*u)K9Ju7SWk&)qCely8}9BjNfSj3Vv<0wdJzjISKvF98Kt) z;u+=B1FA)#Q$}D;au^gkrx#596pyfo&bM|wof|p|mepf}4^eQT(N?Qv>6~mE<=KJI zIkjk%PqnEQh0bhLeR2#6ozse@erl0+jOfe^>gjwGbl@GWy5apOxCkqj+U3qEmQh|% z5jxWgM)|lL)uPa$t3{_+3<{k~flV(E7SY)?LuZ!X0Uh(VHL0P0V=P^>n)S}9no<6+ zAaqX4immCwX1EFCoJ8BRSNTH-p>BP^n`n;Qo!_Rbi)13JgO+Vc$*{1Hn8a#=cO z*hYCvU+A1!q$R0bv*b>Ba}A!b8RQ+YQ()?67762E`So;cs{=YmA3NY;6s%#3uy>q5! zl(z_k&e^!mc2_k^$ATvaOZ%NxTCjO`p0J3{D5u^#6M_!Bv&!|yO+~?e7Ny_W6b=2%kU{YdEv0mGghh1L4C?8O*E*ncP`{qrqTtWQ($&H&owEX? zylK+!xafDzi9{=OHiUQ13aIL|SEfadZjG>r&dy;yoyYtR=oD`~eHIG-Vzm9En5A>J zZrKRl;OSVU(RtDep-b_aBNUv>PYDEMz&@AI>C zTo-g4p>r-RI-yGH=pavAmqFf<7Y3eNA&lu{a%Tgl13GKXS&60$e#N!AcBy=hW0XhN zgwA>Bcjg9Ei{hOPs-}L9!(i;4Xyw;=9$^ukjWfA}d&U~~%9G}f{uTxQW3;{NX1#N+ z4LYvSIloBniltgqI*zHIYcnWx@CI1@{32lyoo%(cV2w7d4(N1$d(&wyex6EA-?MQS+n{I|q*a4lbULCdo*8Xd>Jzvez zIbSo%qkN%rVcsZT>2S>{9T%P;3@#Jzc%XP;p0LO}S7hik`5n-iGIfVC3N8VicHTKZ z1f9IlxhO~LA*mK6SnKCNCuC6Q&^pMAa)d?RF~WN97;Xo2M!IL8i-K*qfYk1obwOa1 zHx3dyHCpOLwJ3DfLmzWNz@X5fC0-ZR2#dTkr`|iGzV9elBX*s65DG3e+5#;btP6e6 zDF~g5tF%muYfgf-9;&|3XHe+S@~n%a9V74HAHF8RNA%H69nop}WB>lJ_Pf!xILOku z$Ti9vg+k{q63q3xu(EoOxQTduzaF30IjxSnOVqZ8ti zQBJ&bc@D4LD{{>VonbcUgbYfsPCx)J&k+{U**B>3&S<@(U`@Vtt>K_!7;XP9X6alS z80EG6giehf2+uVqbXJ2WE)5uzVBtaV`sFpkB07&+b#zAEA9UcI(F0d`2Xsta&#GnV zT;?0))f}O7MU~zS$TcT)hN9}rdmd>T&5bmH|XFTvm6S~v?`f)epM z^t$H)?|A6mKf+$Kv9x<74wxzH(61`eZG60{$<(jHHJsZEvJTy|mFEKMR~P7l3b9C6 zv$WE^dRG-0jF(15=V4b76iL7$77fc0A;H5p#-0zNP4l(F)`jB~758Liv@w-=`D^-%t8aOj5heOl}ad0~ovRY=bxH28%n`Zalexyd*eqbU8_ zT>Q(4e%0t#{K6Fdx+*=I(cl-R=&cpra|cj2_3J(UwU$M{ZYc7vBcg4y;Lw$=_=PEY zDR1ht(Cjvd_MDxgm#M@rOwni8qNga1G<~viBhDhO%EJVln7lCM69<6^8B|`F0vUpt z76k$?Oo14|3_HPU35GAY`NB3KOt7ww?AKaJFk7(ey#&J-uH%NHU|m9B-pZ(VRza{P zJ5GRgCN|O80aTUp^tVY~m_lz@(P!rb(?b|(z1js<6O6n8Px#~!#x!%(gm#U?9Nh&A zSVwp9lg43=TB@PB{0ZOxX%{xz62lg~J05zFk24~VMfniyAFYVdD#jPesM)tm|bH9mt9e7aHP zN=q@GY>{VzhlH$E~Ct_~^IVD$)*ojozRv2Z@_;Zx#+KaXYvU;;F?@ikf=4 zV3cpbw>noWwM^YFG8nsJPERC;Pf#R?8W`45V!xX`!6+MzGPR-W9KpC9TwkS%2#bUt z(!K*2b^+a*gyj-)bTo&Zm)@n-bKHUJquyRPZ;=ilIXceC^ZU{QWIJXncx8PuNCql@YZ*lqFc-B0}S4RZ5 zVs+;V5xX?gTkk@MZmAJUbR*5Jce=n_!B{hm2Z&Fjs6rR!~aA56pN(#|cgDWJDoYBRpAVwCUpC9iL@4Q~(> zP~xFtM{VMFAdWZsU;>Rvuqiihw-}6tm+#J-`fU}#BH`X3=(x=mjLdWYeybxG=k<*! zdj~)Aeb|j_Vuzcz9Up#`K;ejq!$i}@Y_AL3EvqQb8`$zjK8)`{jPXNIffq^0L#mM9OFeHv=s#782=4==hg^|^set_V{DFE8-tfo zWhaTTIjYr4I_&|A)))qY{fwo(rSD2Q?RmCQe$8W+rha$Tm}B4<1qQzyqg;he7CzTC z%JBCD;P`jZZ@ay)sz>}>-Ttk12OBJSK*tBxv#!AG|JPX z%9YU4Dd@dKC`!jjVRSq3J)U5!aBcyfs0apyl)bpcxc}Ju2$WBn)6+c|4hfH>z7p1* z$&9)tOwMG?QCGUqyaWht;=@0#G2##3Fh*()eZlDV8Z8NZi?#C%aP4m_U01sB%@K|8_ljj@NU1j84`9wvyQ zJhDreVED#ZlN~33of|%tKxhx>Z*nFRo%%fL3l^Qpl;819{V`WCK9jk|G2s!$FmbPi z?c2n!LEI+FVUh^jIotgTE(46EO-{D+dkC%I2y}Op&InqO?=a*x@o1iJbmczau^c~8 zfLq7|=quYtHNv|VRkfu>sm(R)8M9gW7sdhf5%qwA&5 zd$6M3Tz*pp2m6pICw(5BoK+GX+#}G9ObvNnxp&=S)l*^{0c)h8|1%vt<|P46?4BiU0S z4dIxcc%V#2GFsZmoYSa2=?TVBC9gbb2^M8By}(R=!WN99O2fIQLc*df#*WPh&s~V& zwLZQqa*YuSZOA+-?Cja0$GY8?fYr*z(tcKYaARRl6^-(@H8~A`Ixyz+%F7Mi&-m(z z_p>g&1(f(iO*bN5{tjZEDl!X$W09sT^OH8357L8_K&=Hz}1Cn9qzlH5-h_<2>_n4ih3 zCnAAEtC@*e+FiBYZK2D7aRTG+Dp~~bbU`oRP(cmZxYQ1`MtlS9p#g1rq$QaTZBiNced*XG5bL34{F9L%*!tC$%B}`IsA(UF|XMCiw7}(w~X@hj!ehC3cG*zWDxTzdXm9`3}RjjqL;13 z)3LAn^g>X027yQhF|Xthhzf)1Am#;vD8ojkgP0dW!O}s@i$Q|ni&3T%ikE!B(m~A2 zZi3mg9}SBB<|G)tF!lfdtf3-IR3Giwt z7*EGu2~w{Gg3%x*dfTb~I=;n;@`u%+JA5bTtUFx!)EQfY@=%=H1Zgutu7cfb1*80L zG>kt(`t=Gj_y^n44~q8CwydrL79jAF(gBRCEejs z#~&~@dVe+S%EqWWEZ<-_}jP?J3=>JPI8N6WA10Y}(V`=|dwwe5`YLu~7 zHXiH04!KG*DaOAXa?GF}Q1Oy=ACiVB`&WA|#J6hF)#2OrlKuKlZ2Q%ngQu z>#7(+RI-WkPo&0|=oiUTre5_psN+Dw)ZeVeza0AYMqQ%3SfE# z%Dc9jDDU9_H8_w&Spd85CH?6F^ru67NtE}4=(XN)qI}@fYuYt@l>YQ92R=-aqKlOT z%;O!^{`AeNU}>VfkxMXqfgl%$je=llqP(2!*VUFf-f@!s@P!lQU2Nr~;6$PB@jXkh zCP|coF5h=80!@7Z{Yeug%B%&UU{Rusts>jr4+M)6W$ZfzQ~$tc42hEWvol0Iz#84p zUG`vO(^p6L15pdlA(o6tW_sVnSx>VsgN+541^hsh!N&WD`nfq7NxxmATYiW|I@s{K zf$qB%2H6O&6kJdgEFEmTFTPGsA;ykBVJ7V;pLDQ6cMrW?BfJT&8{IE#pt&1b$+8BO zt6#d!V_>?P(e{&{9ytP=;_$pj(|V%$)uQ1Ibw%?#RrQ>3e#I!y@QFpLxl9vw&wC8U z11xVS=)RiKd<63KovQ4IqYkN?uO0a2FrH4JE%+pw%V)ru^DBgr=28E#vYO3dSK^w* z=)T|{Yc_{nR!z>I#y&Roci0<-yL#mik(?#Ig;=J~ntP+B&h~wnGs;V2LCHB1U732T zAO!gwiDvQMs0oJ1vZ8UdZ2|)2Luewm?}eC_RX(ZQ-w}!rbF?Xv``RKF*A$N5g5uG^1iQEreRqopmcmakA&)O9Jj~u#^MfEB{CAlBE48}o?-nQ^| zM36`)au0p=?3HOp`P9iBJY*e=Skg}_m#%qzSR1+)-@!(evI*dU1B$}gU@rgxvq`7yyjE;M*UO}R{?i$q|k8JW! zFkRDV`@5EH#h+pj(iP=c^CuoZ>y?N?OhxUvKE;t{fKMz^&C!EdKP4KHY*yEK<$oa}mEVU{I2rRxXDH!BWki76nT*uY1*y z263jl89(!>KosYmepWNT!FzO1JBf4M^K^C|+2t}YUDs&))Q#hewPKvxpXb!eZlBkT z@@+2Fr0Al?`+1JRNEbz8zP7`XLH{98K7>LbZybO4R=ddt`b%h{^mOkGKKY6FppDdd zpza*1su3J1ZE@oXJacr5gFgEfWS=@izwP10RbYDr?t=_tVUbB4Yv-s0ES?Yn^pB3h zqg(J#Jb4s#dN1KwL~|-YG7lC#ylKox6d7qOZ7#-^>_R`t03NAR0WHY##^;Q#sz~b zHB4im!p~j7*n^>E>R(xeMIP+ow$nShhsAnFcMp^9Z{sOjZ3PPJ;hD!?R`1t1g!h0Z zB4_Gf;}kT6Cf56vhv`75CqnQ{g=dgh@4^Ck227M>@_InW*F^@|WYfYA12QWZ8OB*| z4LUr*STEgR^JVP7IAc8W)xrYp7wPTpw9`9m-ZHLd&TKk*GI*_zTUM)Cy^DOlAkTUi z<<+ilVL;EP6un=E^w28>Ro+(RwqYLwkdm6h7gNYo0v*D%n7P3562gxb~$7+?d@6Nxbnae{CnbG168(m9*7p zTNKN#u4Y7S)g0DZtZ(=`9^Yqo)R{Myj*{kSVlVg<7SN+D^vq$jw$9WSSB>)56+U?} z^=~Wc{QY+xZk3LxVD%Fh$MxyR1fc*UBQR%?A;#o9h-R<9t;AT|eLanUediI@q@jm> zCWr;#YgjMf)Okbyi`{gy`P5on&($5PkYj6U*VP0ru=i{lY|KI9w}b!Pdc&JM(sD7? zZ%i6&*BK@(>hsYjhS($epie~H*!w5tV-VzZXdiW2fX9MSr^`XWZ=}=d7M@b+(ifjH zt$B@I&xMQ)jY%UdV|I%#CSaCl5zL++y8=@DU%)PA&rci`d(k57Q(G`Z->i19C6b>Pq&BLF zBl(4|VjRgYUBQ;S_B~GPGX=GeHk(h+qjpCOD7Al)7ci~;%Y-IjT>Dp5*>AaPKc;DV zAJo1HY7dOr-4g>!?VluITKm_w)Rfk~&=PF9YoFAknMN_2n$0r`s68>N)c$2otfsXu z^i+(sFDeSQ+_i5rW7}&{`(~&;G-h|JhykVcuN(o>+82c?#=`EPTAEqCoJ-a29;YTv?ao~aqLn>{h0)V?Ue(%Qdu z1x#!I&Jj#%@6w>}M6dAy<{9D+bl|bOYy=8hf`SJMVg!Fp=|I0J2%1v(u9{+)8+NH{ z`o5B4(inb7H2rV1wiz_#9?%+NHlJx3v%40>vO?>7Tfmgox3v@#T0bU*Q*YG@vY*iE z^hh(xp4tnHwgRJyF}q7B29#L+m|!WRA1ndW+W(aoY`JT9o;vIp)V{UZe3p&c6Z%T+ zi+!=0*8Z1zSkzuMW}AT+P-_1%6fmv*r<#g!?LSuqliHUr zPggteguOt)Hk;3OKq2vZdOYRdB0qKXkq^+WqLX32M2(*DE}m&59ZahpV=H;Xpt=BVRC+V3>W zpk8P@v-zByF}ukH7JuYoanJt*0-^SiT`cNx{+q}l%w(*l?q}Gv-;!z_h9726o+Bij z%64@K<7v_avkCiM^+a?b$?W+@f%c&fjZ>qmWjRQ%|`X(;6>kE%7w!mIGXyEv8ea{2r!Q+;OxRR*JnD)Xz4Z$Pq%M!U zw-tgE%VcD&LAyEln*Hcdwllow!HZHJf8}hurFUIiqjuBMNA)b9ZMXFGq%`FO$GTrp z#W>cz@`7bEvVWu2t$noK0bn`NY`)MkO6k!hl1*^X7fchs20QujKm#y%K%qEq7@xOS_W2AOgiut>u5#Cm6nw}*)Qw7 zbsB~E_}foD1&k(vQPn851!6#P-pUC^jM#ZAB-B&q4RmBj!q|B$+A7A*TR9X=oY%<- z%d_Dzza4%ZSQgCYiyg4civeXcuyRqr)Ng|V6=NR__65uOX#GZwb=8@B;p&XO8)~l^ zr6q|0#YaP2v6}j5NKVB#!B(p1qwqDF?c_<$;m-Dj-7f*T zJDwt(qQw#oyKPh1=6wIXkY}#+NK(OUbsf~IZ^bHv8 z2}ZtA`YlmRj7IXY$SR6esy!OwwG7Uy7&p&hzKU_0t?ml8+>Cl2xZgN1+RJSIYaWao zF`zISR#!VSTCE^E5=&;ZMpBqCX0)a)`w64v>9d{MnXMhxpt`T z$Br5v2nI*h4KT-68ywCjbls*IjP?Pe&?xGNjOJV1j{;a z{YnGp+25UpLySJfY`$DGO6ibaao)PFSWTTb!cj4Dp8BEv8h2dlS+Z}I<&Ithmg^22 zj?t*TA6R-|Ddq7u8L_Qj6f|YIzCh{qk(Tv50fnX6GQ6N-9O4ZUEX#62BbJA3txX5Z z{mtepETi;GLP%km_tly>5%YN!<3!vbP%%!#^+Oe7mK(Z)Wm!&Y#B!r;5BLf!4*<)G zQTjPCs<7OsDppfpZse#Kv)r(zV$5>GoQg5ajVpqI7dEVReoH2Pw&JkV_RY_Ked z0i~sEYzs&%xj!D|sTlXiql+rW-OMJ5!K~kQtu@HOBOY4wOE5YJjH*WIr$CG^B0aKQn`YOiJ z-83mIEX|gYwz?m)+^i;;M7JxCKX^2vTx~@Ub6Xz0dUDy|*>L5NGcN+)gTc3El+tNt z;v0_yHgm;3@!&XbUQIFS5x1zMm>i3@^i+&vKc*;{@Lj%R@$exhO$ODeX7g1psOH3q zl9F3m0uohDzs;Q#6RKmv6qC+-t3a@9uqPK9q~wh6j{gXZ4l$dr&KaeeD+ZEarvP>X zBkFL}4`CE+^+Qcqpb=m#y;BCQnAMQHZG9;vMd{R z)O#!%{~A~x36`Nz`o1Ct6qeiP1x#6vYsXUdp}!KzEXI)>pA$UGa$2LqNtc&bb71x; zv-!FrSXyF0VYvf#;7`hOd`-pJm*ZU(<9yrRS21Qe!4|9?%SI7jYxX)%g5}X>bE{^Q zzVpO@!g4}Iz?9_ZfC0?wC{pmdn>F_8vHNJXjtBmL6Ctb;;tLmK`lYsdjD^ zI~7%oTgA==!LmL&#cR+iCd}FWIn;iv*?hfal)g=jDn6Q+7ptj{b`DgGBe|VGNxqpa zJJn$v$z5^+W>_|!q1ft|0jq-LabQ_7N{d4=ps?JfCSb~P7gxoY}4Sac6)8tja@_4iP1{*93VnAV8umnt5PO7LFvz%nB7`KXD9Tj6=?j}&X3oi{L ze&)Qh-UiEQU|BUv-vo(;^mJtRgnG(yw@`K@j9KnhR54~*@KlUh?vWQP>q}hwS@sp% zLDvnq0xXMW^DGA}69bAb_wdDP%5wKW#Uhs4DM?}4FJh^kQdc%­gWvRRhrHez{d zzhmwP%M-w|W|S5sMpKsBbVsZvEVWZ>D#k2NbybX6o@T2UvplUL7_nTg3onP5`<(=q zCz{PA7c3K*%7vFb6A&!dBz$8>O!k zD=;EKJF6RYRd9_SH+m+`E|^>IiHtT_hXh9B$Z@YUf+o2-nUM>7c8fn%{LXm(iWo%%Zn@l zQW#5WAE4H?#G7kOfp zSaJ?t9I99}tkM1w2$uCx!`ZC96HfmCwV!S_-&{oPmKaccbcruu>Z42QBE&vAy^baO z=;ESUmVNYBSFkM0hVz%>N6{S@`V6z#*NoB^Nkt0Fzt+TR%JQ!{6(g4FhxQ|u>W469 zd1+PfD3aGZnxW2jT<^rI%kK$R2=<*1a%X^?2Xayj9lzYFrCsU>nv%P$qGIgA%RLoi zB3Bdzi-?>SpviW!%>9&;r*{FPnPzj@GD@E(25=mdZtZeQtP&$mslOCdj8n=>Fw|Z* zQ-52oLFX`KNz)Ce{Y=zeF-o6>VpIv%6$z&J!xoUR|1DTo=A~?wWqGY9phVo% zuC-K*eR++oV(iQ7Lcy{u?`p)d_XnHL1j}>4vSyS%^~Hd~vNaGeW!YL#F^>3kMHOq@ ziCpi?eqi}Oj7xT&aL`krdal`gs|%_*v7(GiuFnfdRJj4);HH?2OJ+GKCgYNlEm+oh z4HL0jk3BswI?rrIyDxp>iUGxWHzYJu=iN|~9VNu_oBk5k*mz5&bLGY;JxEH5ydZ_k6J zBL)70`7_+=lpiX>wb6$4LvTQgq7;P50!n^CamnlP`i`#CuP% zaw3N?X6e_|{TfI77EAWa`tqelUC&`ZjN26~F9yrdD1BHF1Bx$iEee>jyj7qmy3Fzx zPe9s_eK{vFNErL_wu0=JW%*(wmR)B~KOHRpVm9Ad1WQW{C@gRH1x#7qo>wtud0U`j z%<|Sy#hB$Cu3%Y~4Oh%fnw^6o{SveJF3l)?khnu(d1qCurY!GtRE$~PQByHyc}Gsg znB`p+!LlqH_Lq~!4 zH>7`eu)Nf4o@*JU_lt>zl;zzCn6jMf$&Q5mZ!G5(q->UD!wH4a+IIVaYiim@*rb_L6_9OyJ)xz$J8>0o)a z*?e!#D7}*yP*^@v6{{)BM;sMnmJiodj9EUM$fmN)^3jUySI^Sy)$pR@7W?SmfaNt{ z=^G_}Bo!M;diBRFv6`}c)K)QKseWibVyS*omjAD^^8k~g*xK;SRLPKOG9sZ-q98O% z2B8&HK!s5d35Es{5fEBbM3SRd(Q9nus%w}+uj#6H%!nv0U;@Mz6Cz@Z0kdPgUT4++ zRMnoY+Wl>3=;wj``rSI``=&Zf&rI*`GZBWA<$X}r?#?m%qpF)|`ac$_eAA9Pz^SYH zt?1PrLhdAAJvquT`4nBQn=g zzTjh5wI97u!(FEIU42~qezZP^<7%cPQ+vkE<*-M(z6mKSA*BZ?u^zrgXM_y}q$=fx ztj=W04TjF-T&}ltCg*ZvfUNBOt!JV$yaAKEou(f*NoC+-1K6y1M%d^ew=c}MAQf@MICilTtb2^jz;48k)@hVvAZ?YnLKu1_mS0{qrZ8E zZ?k6fRp5R%O+Rgr%FWRR(&i|zu&bJ*mk~wRy)?1aMaaj+AG>cDIIirJoem$g5>nm+ zDRYq0!3J8&H=^h&6mwq;gZ# zKuh_SiCtC7H}g7^DbH%M z`yR5g6ZKj(>qtoS08OuPN#!e111-@G8@sAR?>Rb?iFUd=lZigaAgg%<{LOV<^JR-q zgG3L~^s@;_g!S+>x)M-tMe;=QYV_S4j^s@4TRRbnGfez(y34|WWzXA$!^Q8H{Wqla zNTs^q(dO*~AG@k~`_RytoVO1PI+ODj2FS`zWL7NR)>}8El{>`p8D85`~V=WTKBL=DSM zG-$PW4tRv7pR-Bji#|5h5`7#om1wt#P|eY&md<3N&kSUcsJvUuPJhen2XpivNEAS# zsHwWeEb)ntUBSKj7PF5-olz4WKtkQF#K#evvps=6j=%~{e4f`?9fz|oyc*2r>`S)> zgB6VTk2;>^e7}MkjnMZL)Tu> ze;Hy|wO4%?IdN9KS7mV=->Yuh6#Ztw`1hrzjZVx5_s3{@T^8oUzy{hm^a~RqcarDO zZ?Zb$=TLp&iOEmJ8NE%ExKiH%R4%EH=>QXjjx#%~8R_fohJvcaaJA zTb$UtbDFK}ej=DY0j4?FFb>vfcjpvL1#xP=4|OJ=UViX(CNEQd%pt42v10ElwiXTJ zr^zR2`UQ(rz7SvoZDaiuZ9%oMzK_OnCKK&(5OT&h7ESz+(V0y7Qvq4o8|(C8#zIK> z6r}VarHu`=jrCI&A$OOD)6ap<T|%OLL>n%v7i{<{8AMt zhI{Dmd7a5U^bZeNtyztKdvaWd<{3!&ETr^E8^AXkg`}dgxDc92UizcajE{r;;i|b!(gqRZ7`B&Wbwb|dK3~?-O`;zx7 zcDm+_O0aqkQsyBg%quR@2Nr)u6U-Bdb4@bg<1o&|mP{sd$jWvf_|)L5!Toufe#s`4 zYon&>OqWbVk(7JNKquv%Dj+M{z1ivp{0)G0;2waxg$CmzZ@nT#SScbZ2h_1O3Ekd^Iz*w%qJgZm5MUI2Fk8))vSEJEd8 z2a&pDOV$YymhFCS!%+jleLYRXUzMv|lf?#_I|&df_jE>Qa^~w=$jWw~HLPPZaNj`F zuNtKCnF2P@-1jjND)+iMok{n4S!89qPrRZ-7jWMQ?m2LGuz}`Y$3>{z>qRj+lkW8c z99On`mu17|f%}Uzy~!e#tD^>*d)mjY%DsWDGwI&YL{_$Y=a~(lDESh&``~V41I@jj zfl#?O^mHcO8@b5Jc0cCe^R5T?muY%)hE%H0py7G4`bAu_zJp!4yLfg>HVSpNAqT^g zjeVWTL`~p3aw$7e<5T)~gG8@Dq7V|9*g#9vID=42gh(8PGLex*$j8YZj;K#a@asi)Fwcv z616VqOeWe7k+vW07a}Y>QK!XCc%s)KQ9vpm_pyPNsI7-kCE7QyGnuGeRLsZ8IclH7 zab+hO->&y^NEFa?;6Ne^8)%8zWe}=F`?)%ki8^F;CKGjZkd>Wir5ui>(dyqG61_>&+YC~fkBqcLojmNS5}A3O$wUYEI+JtMIftz5L`_!@ zc^VSE1&MN`xaO}C9gxAUD$)L~&Sau4S)Iv5T^(d)Cpvl2u?r#5+cf>AMT*Z^*g%`3 zE(SuCsB<)sGnuHHt&fw5x|_%_Q8~ZzW{+)NzO*I8dIw_pq_{citi|dYU~3hjOF^;f z7u?Anh_s!lM~Dz(m3%>XLGLB4A32=|^Qci%&AnFv+bVa< z)tPkfn?Y9gW8e4lHfMnQ2jHG3#kV6P&AqpcU6p%ZUuV+&;2bh^FXu$CE^M%7{%DA> zi>9~Rq>4sev=c!e4_m7UhZu_CiQteZKOZM!^$T#^-o)Bt4;bR4A=ZZwDi^16{>1 z)}Rcsy^D3`&4Y(PtdAg8ffRR#*hPyqFk&j!023kqBL#i3Fg!WfQVe4aF_7&|taag_ z?upG1Yd1~r$dcl>dF-Oa8ssBXu?B{UVXPqq#W2>;0NLKe+GC#?%$p9eKBj5-!?(p< zQD-gIkSw-Vu?FW9!&t-eieaonJ!E?qYx1In??S9kAXbi4b&fh~v4+{$TE!abD2B0y zyNY3~5gBBA7praEv0p;0PicB5{4u)&unByPUIq`3po(>5yJ)dS_y|?3;h|zUTZcu(e4IRQ9UkB~j8*aiChga44T<*9^amMI zd@q9yw3GQ^S%fN)ozt0gADKr6?%Kz}Wa{VetoWBdinMfW=PGdj9Na@v)yKgG>a&UD z;SuESn?snYqy_)Wg|jU-t|=D#u77frhoftzgwG~2F)4=+Xp)4KU(oa}msIWRVgoJZ z5g|gA@`z|4rliRuaG1F4;)-i$33q~z}4BWq_>Chxq`&igOb06a%RPINcI+O0lAS(Z| zZPLAsmx22?;GT!QJ(@hteWZJ zP`MxLqZ4P+eOwM%+3th8&TIqj-+?<^8r2E0f#!a+hfukXH*_Z5#}|;5?S9Tf7v2r- z1)ARNkm9F#Y@oRx6CzaZ8AoT*{Wu$$aDOff=QjD9WkJ^XJ@?&qv>Fel--Bs^R2}SN z9ULmvzvn(V&O*pdZ!`Jn;J7FqXYz!1yoci`A7}G%6I^7af}At1epd+c15JMnS2W*5 zy|f_5gSA{#kW8R68DwGxnF?}(g{)MN^pQPgLy#Y7`V)gxbu_R}3o;=@sDeyDBu<(# z$fT%P1({U9fu(}%*Q?3V5acHa0#_|&^Z>LVC*-i13Nq2xnGAAbfJ_CMoJUqFh`EF8 zf*?QB^rsdalu<7&$cYX%Q$Z$WbtZ#M@sOz?Q(a`Gg7lfU-**t?7YG7ZCVxh~v>;PV zY^H)twsj_hOv@lsK~A!eK@jcQ;BW2-NEza9Sp1i!KZ7fiO?hmfJ)cbt5%NUbU0*oc zyK94~QF*E9YBu@kD`n8+lKq|rgCZF4D}oT~G=r0J2$g~3AuDCzbm-47IjU&-3zHOo%3z&l zaH@?^8BBMPl`=T{w+T(b;CC>{lVX*Hb((==B2)%5q9mmZj_P{yCNTJeroXh|vc<<< zn!)slDTC9ZB&7@{%^Y|R82kwa0V)0(M9tJ*G(AM93}zb0N*OGi+H(e^P0;jL4k`XO zk9FE3nBgPT3<}6f8Js`-_)*X-2?hmH+~8oHW^h^#p)xouTAKdGAjRK9?4><|(;bBB5uD*6D`j9E*$a+?6a|AE zoZ_(zzDB2tSvFE-Fxy2|%3$a%Lr;Ka`_S~a7AfvDu}(8MBZA7{%nS}JWpMOw3r>e- zb-=(U#kXv%(+uGHqIyvVb1Y<~34FPyOnX?T8O+HcR0i_`WTgxyhut24 zX7y?M`vkmU5MrHXFgJ@(8Jv|zR?1*x=b;RmH2?#T6t`g+e2sR~c@9!#Fh6>zr3~sf zKC2EiYe>^Sn56iOjlDF3vm&Sr7PvUDl)=C&4mb#!H39>8o!7v@I?Z6diBK818Dyml z#?6{A2AVad=^x?wpg{raG=l{ZQwC>S2um5P=+NU1Xx0P_;MH3F0PA#v5TPy9N*PR?-5TEYOEm=pxWaFf!8*<0>>NU6a87`% zltI&}2cHSeno(o4MT#HTSf?2*%pz0<=jM@>GMF}Q><7@SIT*mnPTi=i8Jy!_Gi7j| zhpd#r@m+@<56xOoV@!q=3k9sx49>L?DuYEXvQh>EKkGgjnzaOj5Z>U=V4Y@go{3Ny zoF5G=WiYt=avPepqQ+R4RCNikmu9diV#;8#g|L*tfNNI3i_cVRY8(wGyO*P2xs9_nyCG;0F}aI)KEVx4AizK>8dC?G3k(7E5(7opj{)HnuCc3 zL1nNcz=3~nFfcpBnRzQTYYPT&vTGIf(hM%hVl!p1G>@#5!EsfIPS9*WY8(qEJM~$a zX0XJ;X3Aihhpd#r0m}~k4VtwB1320BHLy-ISZX6w2FqP!r3}tb_1O;1+EZhk1D`0F zSf?2*i=Z-Ck->qb3_1;&!FSURU{D~%?LO9N2FoL+3@)?~mNJ;RWOzqt){z?Hv!tp& zmciHPIeB@AR2gKWxh!Qc;;K=6Bb(I77^JFG)Jrp1;s1p}0a+;nJC)*3IGw;CM~ZK_ zSf?3Wm_w)@!9@YGQU)hAp7Iei+n*Z8S@7Og)JrqSX0e$vxHyljl))ft*)(W&02ui2 zo|TVvn!!a5LS^s|4_PULjzd!CL9@=(I3Au4-pOK}W^l2MP#Ihj&1ETrGv=SiUqW>O zgAh*FQ7_HlALjozxHN;TltIfKb>Ve#sw*`nxTLr~z&g#~l8C8Cu+luV(+n=lB2)(d%p)shFrnYb zby}_V27!=@RW(VuEM{s4tl)=?el2QiA zT(66vSs!Xl&cgdecGOJmMOTIhmBBRzvQh@^!cloJu&6P`AjO|utkWLBRX#$^pn$BD z!SE-#JqOMDfMT8F+ad zSju4D<;SjtW`}@*Pl|uISf?3W>mXDH*LldmKz}Db{wE0c{j5zR=-Q7O(=w#0UX)OK zC;s}#o;z(0`FqT8VOza$w#DYmga75i*?J$>6kBKWaW`b}J3M7se-o$U_L2M=r9Y$$ z;ZrWGhp&xDuY@TQg-F3&{=$Ofjh4=+7sv#e$!%Sk(;45^Gz&--V{bKI0w4DYD9!B-6LJGj%<*+!Gs z-Ua{5rREXzH}|=Weq_o$ka7^D^x#7j9~)@1dSecun$_z}o$*=K7d|fj2)e_;u{BfD zMx(u$J4lAEKWhi1988TheOH&scMqJ2HIRcXdqN6AIRxU zrhLHCnVie}qlUaz&gFvz99KK#*0_7wnyzuiLCO)-m=3Sx8%IW3%7<+1s#5+t(3wp6 zZ%1b`<%3zB$&?S9$ZDsAA5!}3`vS)gnKTzt+SHg~kSZ&S4YZVxL`3@8GzyQ_kJCoWBkm z4Jkd?x&b!OQodj#R4M&vAZIe=x`I9~KIM87$MKY9ox9Jye(C*?att-jFyYxc#0J{A z`-MD0NK}39-hhbTiYWhrYH~wV%$YoQZ}f4XV6Z}yFXnV6&)qL&YcQLSd)cYMV1*`M zf$zvgox5K(bv8W5$HCj5)r&fJZweK|bN6OnXY$;=C8t=K$4Ha)hx1p9V__Eaa5WJ% zg(x@^n={xIW}^BSc}1Vu>N~t&skzjJWz7Zl++jX0-7;e`$b2^jT^{uR8WgZ=!*N>b6vv>^5VgTp9JT}l~@l6Y% zn#I7=nViL~fzISCzGl>5utJj?Gdh#A_)?8=b!(?jbwcFu;x$wUwoP;%+e9x~&Fb?*H9A`V=f4T5|QTt_w@#pI^C!K#O%;K@s zIMab2)68Q7?M(Pi1Ys7dpN+S>IFK`WCVVfWv-Li%$*+8ze4cx&fZr)Qv_-y!Ak)RU!$v?4uj@!i zIRR4oq`1ey23pF{N2pSU8J)?w{Ls}|e9DhA*Q6sj{}{_J?~>jXFMfM z?#}8=KD&HsBCD0MaqA%FTyyfBODUwBM2-0_{2;D}4YaxZEQ+pDein_xxunTYqhiiv z%1`n*kTaQbPX<}7l=1J~E$g>nHl#d}8VeF|GhcuWw3MIc5UP})TRM|-xyRR;O!--; zGnw*>EV5cD8@I4x=5qEU9qxjZlOd%?s_J^!AWEr#+z0uqdws#Vtj%~zeNl7yMFBaa zr2TGjVt;*c-Gf_?fN)c&;lc~5elFI*6`4H4(Bv0}f_Sm>WuP-TgGP!z-#VGSJl z!l{Gkk~*0h3!S*4K^|6NQC>Km0!4+`BC~c;K@^=zjdNrx|)lMBPQRGnL zTqDk@ugS;o1&dt1l9~=hxwsRBOCbr1oWB7taE?-HV4n<*HQE!n(FUpIeS=2b+jV;RZF?>NM(Is^TH5Mmg ziws#36?L*WNX>>KFRtjITo#!@G?NQ~j*&?zs9=0i~-uBfX> zqZbuK(E@5L%f=OT@%b3Oq~zg#fasEPsj=LMEy~CeRpg2eXG2jguBdZZyC^S;7E)t{ z6A&XG;{FON|RNaYgFsym}%!3+vQ*P!z@%nP`PYdEvB( z8d*24Xn!$AjFXS4b3v?}PmPNbu|+l?!Qj4L;i!16B@G*SBBA2hEE}+K6 zW?WJGkdNUD7UlU$Y6%qOV~a#%F-|_FE(Ni&lp6oAV~h9@u~g4qSBtNumO)VvSJcjv zB`{7pbrsgB<~~!@TEFlH%qK! zsjT0gC*%qSwV3F;4Cgy+oJPjnud<6IZk~#|!vU9j})sR&Ii# zFs|qV(O54E#LCUoxZaH`TI}*Me5rOi$PgDj4L`TTe~O_MYlmwKCWoKQ>&=AA&M%gag!Zaw7{-ilo3U@Ls1Y{KSC_xcEM1Hguc$6VF?XTS{!>zC7T|ITwq18xM^ z((s($7S{pXn6N{94Y%yR=v}}~0B1BjaqSuWwX;Fk!J&pn=LXLQ+!U~@;W4A8oej7d z;Dm-}UD69~-bpkktgolx=_?1@fLjpOQyZO{b7%9dfLjuFpf)-+_r|(U0B!}?R83Od zA33)YaBISPmF!$mf8PKz-<9L8lLfly&CX-gmo)u zxJjKEmjG@DIID27&WeS70JjHhXn6d*PJH+2Kv>tDhBFP%-2=EIVV!i#$tN8F@5Coe z!c5(A{OA2{1KbI)W|Qg_7~lg4>!?|#nyx&3I^fQLEtMp7^zO4; z0PaFq2VcWOuIRBCa96+?4WHcMs4~Z3Aj69`|7!8Y&nPm?g3cOeN?X{ zj{!aqu%3J3JA;M;?nzi1J$K!w7V*u{3$UKs={j*1;DZQjt>-?%>XirF8?cs}b{e&K z5a2$9wF=e4q30}_^(J5ou&d#-+KlDLPG7(Y4KMiY)FR-632W(T__S4nz5sj(VNLYj zqplkAAmDz0^(Jk<95W7Zf5I-*M<4yknH&!w>_q)hE*diZJHP`8J4qXzoPOin4S)v` zHbYC2T7E?fzC#QqY^&a+<;ps30S_VUHDBdUjTzEoDBz)lU7+KZ!zc1Px`z?A$ks+r zs=KH^;6n*J%h2$MdDG#Yz{GIE=ITvO7&7#Fz#|Bom(xbKT-$zMz&11~X!zK6&3(X! z5jHI*PD4LL^2Lwp`YZ zA#8;y;8^$+L$aeGbw;pb2y+6VjwS3gSEzA>O)dyE9;RQ2M24{0c_EJ@Y-UEN;|V*( z5^4frr{{#4NZ2I#-4h^7DA**ZwS_tn+#I1M6LxA=s40Ym8xaBkYB&P>Trjb3&a@*g9XR#e_W{3UvV} zAreand(IScDPe1Ep_UQ$tRvKN!q#MkT0z(|IiW5jY_%^`mawNop)LX?MB-w?o-&2} z2VqazLR~`G6OK@q683mjsFj30mJ{kS!XEX7x}30lDAYee36Z#hu>Y7sUP;&^woq3Q z_OK(=)r38i73vzo{+$!*TEZUmh4Kh{AQb94P(mcGC+vPx$QuZ|&lc)N!tQm1x{0uV zWrez#uzPYsRS@G*BI|#coE7YBY-H{XO zF2Zj2g~}0D84ATf36WSu*lnhecN2E2Ez~`P-Qo!KFTyIaLfuQ)%{ig&BkU$$sQU@K zF%;?nP(magBOmFfY`bgoU0^ZxQy9E7aSB?am1G4q+c#LcL4a zCx%elK^260kFZYzp>`1VSzf633ESfdwUe;VU7`AYOJ!4gbA|eputG+t&j|b85^4`&KNv!N4yqv37li#72=ygl zKjnq`im;zOp}r>U7gwln2>WkFsBa1T)e`DE!v1FnRRC2G>U+X|3xxWCuwq`Q9|^1S zg!+lF-(8`8ChU)lP`?oNrzO;X$*P1Q)UTilLj8}dN(MsxMpmWrLKVp>;t5qnR#8`| z-^r?dGD7`9R@JeD`jf0m8(bw5pbA1I$*Q`6P${yiUS23dR@L`}qGVMASEzl+s)iY% z>X229ETPh5#4baqx@5!$lGP(4cFJ+}$%yw|@!bYw#12oWhGfKhd7&DCvW03)M!ahX z)r5?ACnuBv$`Yz68S%C+R5LQ-P1&V6C{wT&WW+YvuO%4~6a;HUM!YU-Tc`HOV8KFiIuZ}O& z5yVU9ggTOVb+bYpMZ9{BP@{=g-xg{N@fw&yjU`?~E)vP3L4`scL%c@5P{$IlaZadl z#A}ijYCQ1_N2m<(n%Y7gN4#dHP{$LmITwlK1W=(+6N%Tt7wQD!waf`MiFmEDLY+vw z){anDeO9PbiPymq${}7y zTd0?5gFkaz!SDWRXymyfj3zFWY86dnJNq S: 1.302ns/0.000ns; real time: 5 secs +Level 2, iteration 1 +0(0.00%) conflict; 523(84.63%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.256ns/0.000ns; real time: 5 secs +Level 3, iteration 1 +0(0.00%) conflict; 511(82.69%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.228ns/0.000ns; real time: 5 secs +Level 4, iteration 1 +16(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs + +Info: Initial congestion level at 75% usage is 0 +Info: Initial congestion area at 75% usage is 0 (0.00%) + +Start NBR section for normal routing at 21:33:37 08/16/21 +Level 1, iteration 1 +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 2, iteration 1 +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 3, iteration 1 +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 4, iteration 1 +5(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 4, iteration 2 +2(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs +Level 4, iteration 3 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs + +Start NBR section for setup/hold timing optimization with effort level 3 at 21:33:37 08/16/21 + +Start NBR section for re-routing at 21:33:37 08/16/21 +Level 4, iteration 1 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.213ns/0.000ns; real time: 6 secs + +Start NBR section for post-routing at 21:33:37 08/16/21 + +End NBR router with 0 unrouted connection + +NBR Summary +----------- + Number of unrouted connections : 0 (0.00%) + Number of connections with timing violations : 0 (0.00%) + Estimated worst slack : 1.213ns + Timing score : 0 +----------- +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. + + + +Total CPU time 6 secs +Total REAL time: 6 secs +Completely routed. +End of route. 618 routed (100.00%); 0 unrouted. + +Hold time timing score: 0, hold timing errors: 0 + +Timing score: 0 + +Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd. + + +All signals are completely routed. + + +PAR_SUMMARY::Run status = Completed +PAR_SUMMARY::Number of unrouted conns = 0 +PAR_SUMMARY::Worst slack> = 1.213 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Worst slack> = 0.339 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Number of errors = 0 + +Total CPU time to completion: 6 secs +Total REAL time to completion: 6 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf new file mode 100644 index 0000000..8dceb1f --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.prf @@ -0,0 +1,165 @@ +SCHEMATIC START ; +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:33:30 2021 + +SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; +LOCATE COMP "RD[7]" SITE "71" ; +LOCATE COMP "RD[6]" SITE "70" ; +LOCATE COMP "RD[5]" SITE "69" ; +LOCATE COMP "RD[4]" SITE "68" ; +LOCATE COMP "RD[3]" SITE "67" ; +LOCATE COMP "RD[2]" SITE "66" ; +LOCATE COMP "RD[1]" SITE "65" ; +LOCATE COMP "RD[0]" SITE "64" ; +LOCATE COMP "Dout[7]" SITE "3" ; +LOCATE COMP "Dout[6]" SITE "2" ; +LOCATE COMP "Dout[5]" SITE "5" ; +LOCATE COMP "Dout[4]" SITE "4" ; +LOCATE COMP "Dout[3]" SITE "6" ; +LOCATE COMP "Dout[2]" SITE "8" ; +LOCATE COMP "Dout[1]" SITE "7" ; +LOCATE COMP "Dout[0]" SITE "1" ; +LOCATE COMP "LED" SITE "57" ; +LOCATE COMP "RBA[1]" SITE "83" ; +LOCATE COMP "RBA[0]" SITE "63" ; +LOCATE COMP "RA[11]" SITE "79" ; +LOCATE COMP "RA[10]" SITE "87" ; +LOCATE COMP "RA[9]" SITE "85" ; +LOCATE COMP "RA[8]" SITE "96" ; +LOCATE COMP "RA[7]" SITE "100" ; +LOCATE COMP "RA[6]" SITE "91" ; +LOCATE COMP "RA[5]" SITE "95" ; +LOCATE COMP "RA[4]" SITE "99" ; +LOCATE COMP "RA[3]" SITE "97" ; +LOCATE COMP "RA[2]" SITE "94" ; +LOCATE COMP "RA[1]" SITE "89" ; +LOCATE COMP "RA[0]" SITE "98" ; +LOCATE COMP "nRCS" SITE "77" ; +LOCATE COMP "RCKE" SITE "82" ; +LOCATE COMP "nRWE" SITE "72" ; +LOCATE COMP "nRRAS" SITE "73" ; +LOCATE COMP "nRCAS" SITE "78" ; +LOCATE COMP "RDQMH" SITE "76" ; +LOCATE COMP "RDQML" SITE "61" ; +LOCATE COMP "nUFMCS" SITE "53" ; +LOCATE COMP "UFMCLK" SITE "58" ; +LOCATE COMP "UFMSDI" SITE "56" ; +LOCATE COMP "PHI2" SITE "39" ; +LOCATE COMP "MAin[9]" SITE "51" ; +LOCATE COMP "MAin[8]" SITE "50" ; +LOCATE COMP "MAin[7]" SITE "44" ; +LOCATE COMP "MAin[6]" SITE "49" ; +LOCATE COMP "MAin[5]" SITE "45" ; +LOCATE COMP "MAin[4]" SITE "46" ; +LOCATE COMP "MAin[3]" SITE "47" ; +LOCATE COMP "MAin[2]" SITE "37" ; +LOCATE COMP "MAin[1]" SITE "38" ; +LOCATE COMP "MAin[0]" SITE "23" ; +LOCATE COMP "CROW[1]" SITE "34" ; +LOCATE COMP "CROW[0]" SITE "32" ; +LOCATE COMP "Din[7]" SITE "19" ; +LOCATE COMP "Din[6]" SITE "20" ; +LOCATE COMP "Din[5]" SITE "17" ; +LOCATE COMP "Din[4]" SITE "18" ; +LOCATE COMP "Din[3]" SITE "16" ; +LOCATE COMP "Din[2]" SITE "14" ; +LOCATE COMP "Din[1]" SITE "15" ; +LOCATE COMP "Din[0]" SITE "21" ; +LOCATE COMP "nCCAS" SITE "27" ; +LOCATE COMP "nCRAS" SITE "43" ; +LOCATE COMP "nFWE" SITE "22" ; +LOCATE COMP "RCLK" SITE "86" ; +LOCATE COMP "UFMSDO" SITE "55" ; +PERIOD NET "PHI2_c" 350.000000 ns ; +USE PRIMARY NET "RCLK_c" ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +USE PRIMARY NET "PHI2_c" ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +USE PRIMARY NET "nCCAS_c" ; +PERIOD NET "RCLK_c" 16.000000 ns ; +USE PRIMARY NET "nCRAS_c" ; +SCHEMATIC END ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +COMMERCIAL ; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt new file mode 100644 index 0000000..916dbc3 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.pt @@ -0,0 +1,10 @@ +-v +10 + + + + +-gt +-sethld +-sp 3 +-sphld m diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b new file mode 100644 index 0000000..aa05f83 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.t2b @@ -0,0 +1,2 @@ + +-g ES:No diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 new file mode 100644 index 0000000..21ca4c4 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.tw1 @@ -0,0 +1,2507 @@ + +Loading design for application trce from file ram2gs_lcmxo640c_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO640C +Package: TQFP100 +Performance: 3 +Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.17. +Performance Hardware Data Status: Version 1.124. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:33:31 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf +Design file: ram2gs_lcmxo640c_impl1_map.ncd +Preference file: ram2gs_lcmxo640c_impl1.prf +Device,speed: LCMXO640C,3 +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. + + Constraint Details: + + 12.873ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 +CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 +ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 +CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 +ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 +CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 +ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 +CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 +ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 +CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 +ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 +ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.873 (21.6% logic, 78.4% route), 7 logic levels. + +Report: 26.276ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.575ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. + + Constraint Details: + + 10.181ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 +CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 +ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 +CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 +ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 +CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 +ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 +CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 +ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 +CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 +ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 10.181 (23.7% logic, 76.3% route), 6 logic levels. + +Report: 10.425ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_55 and + 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets + 12.500ns offset RCLK to RA[10] by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[9] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[8] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[7] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[6] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[5] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.427ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets + 12.500ns offset RCLK to RA[4] by 3.427ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 6.569 (69.5% logic, 30.5% route), 3 logic levels. + +Report: 9.073ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[3] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[2] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[1] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[0] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_60 and + 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_34 and + 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets + 12.500ns offset RCLK to RCKE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_63 and + 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets + 12.500ns offset RCLK to nRWE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_61 and + 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRRAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_58 and + 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQMH by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQML by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:33:31 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf +Design file: ram2gs_lcmxo640c_impl1_map.ncd +Preference file: ram2gs_lcmxo640c_impl1.prf +Device,speed: LCMXO640C,M +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.485ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. + + Constraint Details: + + 0.462ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted +CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 +ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) + -------- + 0.462 (56.7% logic, 43.3% route), 2 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.377ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. + + Constraint Details: + + 0.356ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) + -------- + 0.356 (44.1% logic, 55.9% route), 1 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_55 and + 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RA[10] by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.850ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.850ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 2.071 (65.5% logic, 34.5% route), 3 logic levels. + +Report: 2.850ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_60 and + 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_34 and + 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RCKE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_63 and + 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRWE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_61 and + 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_58 and + 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQML by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr new file mode 100644 index 0000000..546895c --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.twr @@ -0,0 +1,4338 @@ + +Loading design for application trce from file ram2gs_lcmxo640c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO640C +Package: TQFP100 +Performance: 3 +Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.17. +Performance Hardware Data Status: Version 1.124. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:33:37 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf +Design file: ram2gs_lcmxo640c_impl1.ncd +Preference file: ram2gs_lcmxo640c_impl1.prf +Device,speed: LCMXO640C,3 +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.362ns (weighted slack = 322.724ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 13.373ns (20.8% logic, 79.2% route), 7 logic levels. + + Constraint Details: + + 13.373ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.362ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 13.373 (20.8% logic, 79.2% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. + + Constraint Details: + + 13.241ns physical path delay SLICE_95 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 13.241 (21.0% logic, 79.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. + + Constraint Details: + + 13.241ns physical path delay SLICE_95 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 13.241 (21.0% logic, 79.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.945ns (weighted slack = 323.890ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) + + Delay: 12.790ns (21.8% logic, 78.2% route), 7 logic levels. + + Constraint Details: + + 12.790ns physical path delay SLICE_95 to SLICE_23 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.945ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 1.089 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.790 (21.8% logic, 78.2% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R6C7B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.961ns (weighted slack = 323.922ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 12.774ns (21.8% logic, 78.2% route), 7 logic levels. + + Constraint Details: + + 12.774ns physical path delay SLICE_95 to SLICE_96 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.961ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C1 to R5C7C.F1 SLICE_90 +ROUTE 1 1.073 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 12.774 (21.8% logic, 78.2% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R5C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. + + Constraint Details: + + 12.703ns physical path delay SLICE_95 to SLICE_18 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 +CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 +ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 +CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 +ROUTE 2 2.112 R6C9A.F0 to R5C5A.B0 ADSubmitted_N_234 +CTOF_DEL --- 0.371 R5C5A.B0 to R5C5A.F0 SLICE_18 +ROUTE 1 0.000 R5C5A.F0 to R5C5A.DI0 CmdEnable_N_236 (to PHI2_c) + -------- + 12.703 (21.9% logic, 78.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R5C5A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. + + Constraint Details: + + 12.703ns physical path delay SLICE_95 to SLICE_9 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 +CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 +ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 +CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 +ROUTE 2 2.112 R6C9A.F0 to R5C5B.B0 ADSubmitted_N_234 +CTOF_DEL --- 0.371 R5C5B.B0 to R5C5B.F0 SLICE_9 +ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) + -------- + 12.703 (21.9% logic, 78.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R5C5B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.710ns (weighted slack = 325.420ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.025ns (23.2% logic, 76.8% route), 7 logic levels. + + Constraint Details: + + 12.025ns physical path delay SLICE_97 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.710ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 +CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 +ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 +CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.025 (23.2% logic, 76.8% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 11.893ns physical path delay SLICE_97 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 +CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 +ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 +CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 11.893 (23.4% logic, 76.6% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 11.893ns physical path delay SLICE_97 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 +CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 +ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 +CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 11.893 (23.4% logic, 76.6% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 27.276ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 6.557ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i4 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 9.262ns (20.9% logic, 79.1% route), 4 logic levels. + + Constraint Details: + + 9.262ns physical path delay SLICE_65 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.557ns + + Physical Path Details: + + Data path SLICE_65 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) +ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 +CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 +CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 9.262 (20.9% logic, 79.1% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_65: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i4 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 9.246ns (20.7% logic, 79.3% route), 4 logic levels. + + Constraint Details: + + 9.246ns physical path delay SLICE_65 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.573ns + + Physical Path Details: + + Data path SLICE_65 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) +ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 +CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 +CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 9.246 (20.7% logic, 79.3% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_65: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.866ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.890ns (27.2% logic, 72.8% route), 6 logic levels. + + Constraint Details: + + 8.890ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 6.866ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.466 R10C7D.Q1 to R10C8D.B0 FS_15 +CTOF_DEL --- 0.371 R10C8D.B0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.890 (27.2% logic, 72.8% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.963ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i3 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.856ns (21.8% logic, 78.2% route), 4 logic levels. + + Constraint Details: + + 8.856ns physical path delay SLICE_66 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.963ns + + Physical Path Details: + + Data path SLICE_66 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) +ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 +CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 +CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.856 (21.8% logic, 78.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_66: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.979ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i3 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.840ns (21.7% logic, 78.3% route), 4 logic levels. + + Constraint Details: + + 8.840ns physical path delay SLICE_66 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.979ns + + Physical Path Details: + + Data path SLICE_66 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) +ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 +CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 +CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.840 (21.7% logic, 78.3% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_66: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.065ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.691ns (27.8% logic, 72.2% route), 6 logic levels. + + Constraint Details: + + 8.691ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.065ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 1.267 R10C7D.Q0 to R10C8D.C0 FS_14 +CTOF_DEL --- 0.371 R10C8D.C0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.691 (27.8% logic, 72.2% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i13 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.472ns (28.5% logic, 71.5% route), 6 logic levels. + + Constraint Details: + + 8.472ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.284ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q1 SLICE_8 (from RCLK_c) +ROUTE 3 1.048 R10C7C.Q1 to R10C8D.A0 FS_13 +CTOF_DEL --- 0.371 R10C8D.A0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.472 (28.5% logic, 71.5% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.601ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i12 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.155ns (29.6% logic, 70.4% route), 6 logic levels. + + Constraint Details: + + 8.155ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.601ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q0 SLICE_8 (from RCLK_c) +ROUTE 3 0.731 R10C7C.Q0 to R10C8D.D0 FS_12 +CTOF_DEL --- 0.371 R10C8D.D0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.155 (29.6% logic, 70.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.732ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.087ns (23.9% logic, 76.1% route), 4 logic levels. + + Constraint Details: + + 8.087ns physical path delay SLICE_61 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.732ns + + Physical Path Details: + + Data path SLICE_61 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c +CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 +CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.087 (23.9% logic, 76.1% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.748ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.071ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.071ns physical path delay SLICE_61 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.748ns + + Physical Path Details: + + Data path SLICE_61 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c +CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 +CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.071 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 9.443ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_55 and + 5.013ns delay SLICE_55 to RA[10] (totaling 7.501ns) meets + 12.500ns offset RCLK to RA[10] by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C5A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 0.817 R2C5A.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.088ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.924ns (57.6% logic, 42.4% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.924ns delay SLICE_64 to RA[9] (totaling 10.412ns) meets + 12.500ns offset RCLK to RA[9] by 2.088ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D1 nRowColSel +CTOF_DEL --- 0.371 R4C9A.D1 to R4C9A.F1 SLICE_88 +ROUTE 1 2.564 R4C9A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 7.924 (57.6% logic, 42.4% route), 3 logic levels. + +Report: 10.412ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.035ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.977ns (57.3% logic, 42.7% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.977ns delay SLICE_64 to RA[8] (totaling 10.465ns) meets + 12.500ns offset RCLK to RA[8] by 2.035ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D0 nRowColSel +CTOF_DEL --- 0.371 R3C2B.D0 to R3C2B.F0 SLICE_95 +ROUTE 1 1.660 R3C2B.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 7.977 (57.3% logic, 42.7% route), 3 logic levels. + +Report: 10.465ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.583ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.429ns (61.5% logic, 38.5% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.429ns delay SLICE_64 to RA[7] (totaling 9.917ns) meets + 12.500ns offset RCLK to RA[7] by 2.583ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 2.045 R5C9A.Q0 to R2C2A.C0 nRowColSel +CTOF_DEL --- 0.371 R2C2A.C0 to R2C2A.F0 SLICE_97 +ROUTE 1 0.817 R2C2A.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.429 (61.5% logic, 38.5% route), 3 logic levels. + +Report: 9.917ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.846ns delay SLICE_64 to RA[6] (totaling 10.334ns) meets + 12.500ns offset RCLK to RA[6] by 2.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D0 nRowColSel +CTOF_DEL --- 0.371 R2C3A.D0 to R2C3A.F0 SLICE_98 +ROUTE 1 1.526 R2C3A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.846 (58.2% logic, 41.8% route), 3 logic levels. + +Report: 10.334ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.846ns delay SLICE_64 to RA[5] (totaling 10.334ns) meets + 12.500ns offset RCLK to RA[5] by 2.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D1 nRowColSel +CTOF_DEL --- 0.371 R2C3A.D1 to R2C3A.F1 SLICE_98 +ROUTE 1 1.526 R2C3A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.846 (58.2% logic, 41.8% route), 3 logic levels. + +Report: 10.334ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.742ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 8.270ns (55.2% logic, 44.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.270ns delay SLICE_64 to RA[4] (totaling 10.758ns) meets + 12.500ns offset RCLK to RA[4] by 1.742ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.702 R5C9A.Q0 to R5C9A.D1 nRowColSel +CTOF_DEL --- 0.371 R5C9A.D1 to R5C9A.F1 SLICE_64 +ROUTE 1 3.001 R5C9A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 8.270 (55.2% logic, 44.8% route), 3 logic levels. + +Report: 10.758ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.725ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 8.287ns (55.1% logic, 44.9% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.287ns delay SLICE_64 to RA[3] (totaling 10.775ns) meets + 12.500ns offset RCLK to RA[3] by 1.725ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 2.198 R5C9A.Q0 to R2C2C.D1 nRowColSel +CTOF_DEL --- 0.371 R2C2C.D1 to R2C2C.F1 SLICE_94 +ROUTE 1 1.522 R2C2C.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 8.287 (55.1% logic, 44.9% route), 3 logic levels. + +Report: 10.775ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.643ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 8.369ns (54.6% logic, 45.4% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.369ns delay SLICE_64 to RA[2] (totaling 10.857ns) meets + 12.500ns offset RCLK to RA[2] by 1.643ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D1 nRowColSel +CTOF_DEL --- 0.371 R3C2B.D1 to R3C2B.F1 SLICE_95 +ROUTE 1 2.052 R3C2B.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 8.369 (54.6% logic, 45.4% route), 3 logic levels. + +Report: 10.857ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.417ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 8.595ns (53.1% logic, 46.9% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.595ns delay SLICE_64 to RA[1] (totaling 11.083ns) meets + 12.500ns offset RCLK to RA[1] by 1.417ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 2.045 R5C9A.Q0 to R2C2C.C0 nRowColSel +CTOF_DEL --- 0.371 R2C2C.C0 to R2C2C.F0 SLICE_94 +ROUTE 1 1.983 R2C2C.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 8.595 (53.1% logic, 46.9% route), 3 logic levels. + +Report: 11.083ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.213ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 8.799ns (51.9% logic, 48.1% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.799ns delay SLICE_64 to RA[0] (totaling 11.287ns) meets + 12.500ns offset RCLK to RA[0] by 1.213ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D1 nRowColSel +CTOF_DEL --- 0.371 R8C9C.D1 to R8C9C.F1 SLICE_92 +ROUTE 1 2.987 R8C9C.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 8.799 (51.9% logic, 48.1% route), 3 logic levels. + +Report: 11.287ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_60 and + 5.013ns delay SLICE_60 to nRCS (totaling 7.501ns) meets + 12.500ns offset RCLK to nRCS by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C9C.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.817 R2C9C.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_34 and + 5.013ns delay SLICE_34 to RCKE (totaling 7.501ns) meets + 12.500ns offset RCLK to RCKE by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C7C.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 0.817 R2C7C.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 6.653ns (63.1% logic, 36.9% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_63 and + 6.653ns delay SLICE_63 to nRWE (totaling 9.141ns) meets + 12.500ns offset RCLK to nRWE by 3.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R10C9C.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 2.457 R10C9C.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 6.653 (63.1% logic, 36.9% route), 2 logic levels. + +Report: 9.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.325ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 6.687ns (62.7% logic, 37.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_61 and + 6.687ns delay SLICE_61 to nRRAS (totaling 9.175ns) meets + 12.500ns offset RCLK to nRRAS by 3.325ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 2.491 R3C2A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 6.687 (62.7% logic, 37.3% route), 2 logic levels. + +Report: 9.175ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_58 and + 5.013ns delay SLICE_58 to nRCAS (totaling 7.501ns) meets + 12.500ns offset RCLK to nRCAS by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C9B.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 0.817 R2C9B.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.669ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.343ns (62.2% logic, 37.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.343ns delay SLICE_64 to RDQMH (totaling 9.831ns) meets + 12.500ns offset RCLK to RDQMH by 2.669ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D0 nRowColSel +CTOF_DEL --- 0.371 R4C9A.D0 to R4C9A.F0 SLICE_88 +ROUTE 1 1.983 R4C9A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.343 (62.2% logic, 37.8% route), 3 logic levels. + +Report: 9.831ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.383ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 6.629ns (68.9% logic, 31.1% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 6.629ns delay SLICE_64 to RDQML (totaling 9.117ns) meets + 12.500ns offset RCLK to RDQML by 3.383ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D0 nRowColSel +CTOF_DEL --- 0.371 R8C9C.D0 to R8C9C.F0 SLICE_92 +ROUTE 1 0.817 R8C9C.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 6.629 (68.9% logic, 31.1% route), 3 logic levels. + +Report: 9.117ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 27.276 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 9.443 ns| 4 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.412 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.465 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.917 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.758 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.775 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.857 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.083 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.287 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.175 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.831 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.117 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:33:37 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf +Design file: ram2gs_lcmxo640c_impl1.ncd +Preference file: ram2gs_lcmxo640c_impl1.prf +Device,speed: LCMXO640C,m +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.447ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.424ns (61.8% logic, 38.2% route), 2 logic levels. + + Constraint Details: + + 0.424ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.023ns) by 0.447ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.162 R5C5B.Q0 to R5C5B.A0 ADSubmitted +CTOF_DEL --- 0.092 R5C5B.A0 to R5C5B.F0 SLICE_9 +ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) + -------- + 0.424 (61.8% logic, 38.2% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.113ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 1.084ns (32.7% logic, 67.3% route), 3 logic levels. + + Constraint Details: + + 1.084ns physical path delay SLICE_18 to SLICE_96 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.113ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7C.C1 to R5C7C.F1 SLICE_90 +ROUTE 1 0.267 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 1.084 (32.7% logic, 67.3% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.118ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) + + Delay: 1.089ns (32.5% logic, 67.5% route), 3 logic levels. + + Constraint Details: + + 1.089ns physical path delay SLICE_18 to SLICE_23 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.118ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 0.272 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.089 (32.5% logic, 67.5% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R6C7B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.238ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. + + Constraint Details: + + 1.209ns physical path delay SLICE_18 to SLICE_83 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.238ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 0.392 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.209 (29.3% logic, 70.7% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R7C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.238ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. + + Constraint Details: + + 1.209ns physical path delay SLICE_18 to SLICE_88 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.238ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 0.392 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.209 (29.3% logic, 70.7% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R4C9A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.270ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.241ns (35.9% logic, 64.1% route), 4 logic levels. + + Constraint Details: + + 1.241ns physical path delay SLICE_9 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.270ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.258 R5C5B.Q0 to R5C5B.B1 ADSubmitted +CTOF_DEL --- 0.092 R5C5B.B1 to R5C5B.F1 SLICE_9 +ROUTE 1 0.123 R5C5B.F1 to R5C5D.C1 n2080 +CTOF_DEL --- 0.092 R5C5D.C1 to R5C5D.F1 SLICE_77 +ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 +CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 +ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.241 (35.9% logic, 64.1% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.276ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 1.247ns (28.4% logic, 71.6% route), 3 logic levels. + + Constraint Details: + + 1.247ns physical path delay SLICE_18 to SLICE_19 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.276ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 0.430 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.247 (28.4% logic, 71.6% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R9C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.299ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_379 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.270ns (35.1% logic, 64.9% route), 4 logic levels. + + Constraint Details: + + 1.270ns physical path delay SLICE_14 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.299ns + + Physical Path Details: + + Data path SLICE_14 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5C.CLK to R5C5C.Q0 SLICE_14 (from PHI2_c) +ROUTE 1 0.238 R5C5C.Q0 to R5C5C.A1 C1Submitted +CTOF_DEL --- 0.092 R5C5C.A1 to R5C5C.F1 SLICE_14 +ROUTE 1 0.172 R5C5C.F1 to R5C5D.B1 n2098 +CTOF_DEL --- 0.092 R5C5D.B1 to R5C5D.F1 SLICE_77 +ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 +CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 +ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.270 (35.1% logic, 64.9% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.790ns (weighted slack = 351.580ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG_381 (from PHI2_c -) + Destination: FF Data in RA11_358 (to PHI2_c +) + + Delay: 0.779ns (33.6% logic, 66.4% route), 2 logic levels. + + Constraint Details: + + 0.779ns physical path delay SLICE_96 to SLICE_31 meets + -0.011ns DIN_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.011ns) by 175.790ns + + Physical Path Details: + + Data path SLICE_96 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C8B.CLK to R5C8B.Q0 SLICE_96 (from PHI2_c) +ROUTE 1 0.517 R5C8B.Q0 to R2C9A.B0 XOR8MEG +CTOF_DEL --- 0.092 R2C9A.B0 to R2C9A.F0 SLICE_31 +ROUTE 1 0.000 R2C9A.F0 to R2C9A.DI0 RA11_N_180 (to PHI2_c) + -------- + 0.779 (33.6% logic, 66.4% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R2C9A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 176.484ns (weighted slack = 352.968ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i3 (from PHI2_c +) + Destination: FF Data in C1Submitted_379 (to PHI2_c -) + + Delay: 1.455ns (23.4% logic, 76.6% route), 3 logic levels. + + Constraint Details: + + 1.455ns physical path delay SLICE_98 to SLICE_14 meets + -0.029ns CE_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.029ns) by 176.484ns + + Physical Path Details: + + Data path SLICE_98 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C3A.CLK to R2C3A.Q1 SLICE_98 (from PHI2_c) +ROUTE 1 0.382 R2C3A.Q1 to R4C2A.B1 Bank_3 +CTOF_DEL --- 0.092 R4C2A.B1 to R4C2A.F1 SLICE_76 +ROUTE 4 0.556 R4C2A.F1 to R5C6A.B1 n1285 +CTOF_DEL --- 0.092 R5C6A.B1 to R5C6A.F1 SLICE_89 +ROUTE 1 0.176 R5C6A.F1 to R5C5C.CE PHI2_N_114_enable_1 (to PHI2_c) + -------- + 1.455 (23.4% logic, 76.6% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_98: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R2C3A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R5C7A.Q0 to R5C7A.M1 n702 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i12 (from RCLK_c +) + Destination: FF Data in IS_FSM__i13 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q1 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R5C7A.Q1 to R5C7C.M0 n701 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i7 (from RCLK_c +) + Destination: FF Data in IS_FSM__i8 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_73 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_73 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R9C8A.CLK to R9C8A.Q0 SLICE_73 (from RCLK_c) +ROUTE 1 0.161 R9C8A.Q0 to R9C8A.M1 n706 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i3 (from RCLK_c +) + Destination: FF Data in IS_FSM__i4 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_74 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_74 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C6C.CLK to R5C6C.Q0 SLICE_74 (from RCLK_c) +ROUTE 1 0.161 R5C6C.Q0 to R5C6C.M1 n710 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i6 (from RCLK_c +) + Destination: FF Data in IS_FSM__i7 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q1 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R9C8D.Q1 to R9C8A.M0 n707 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i5 (from RCLK_c +) + Destination: FF Data in IS_FSM__i6 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_75 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_75: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q0 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R9C8D.Q0 to R9C8D.M1 n708 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q PHI2r_349 (from RCLK_c +) + Destination: FF Data in PHI2r2_350 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_85 to SLICE_78 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_85 to SLICE_78: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R10C8C.CLK to R10C8C.Q1 SLICE_85 (from RCLK_c) +ROUTE 1 0.161 R10C8C.Q1 to R10C8D.M1 PHI2r (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_85: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_78: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_87 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_87 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C6B.CLK to R5C6B.Q1 SLICE_87 (from RCLK_c) +ROUTE 1 0.161 R5C6B.Q1 to R5C6C.M0 n711 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_87: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6B.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i13 (from RCLK_c +) + Destination: FF Data in IS_FSM__i14 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_90 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_90 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C7C.CLK to R5C7C.Q0 SLICE_90 (from RCLK_c) +ROUTE 1 0.161 R5C7C.Q0 to R5C7C.M1 n700 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.345ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q PHI2r2_350 (from RCLK_c +) + Destination: FF Data in PHI2r3_351 (to RCLK_c +) + + Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. + + Constraint Details: + + 0.324ns physical path delay SLICE_78 to SLICE_85 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.345ns + + Physical Path Details: + + Data path SLICE_78 to SLICE_85: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R10C8D.CLK to R10C8D.Q1 SLICE_78 (from RCLK_c) +ROUTE 3 0.167 R10C8D.Q1 to R10C8C.M0 PHI2r2 (to RCLK_c) + -------- + 0.324 (48.5% logic, 51.5% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_78: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_85: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_55 and + 1.462ns delay SLICE_55 to RA[10] (totaling 1.949ns) meets + 0.000ns hold offset RCLK to RA[10] by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C5A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 0.197 R2C5A.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.668ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.181ns (62.2% logic, 37.8% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.181ns delay SLICE_64 to RA[9] (totaling 2.668ns) meets + 0.000ns hold offset RCLK to RA[9] by 2.668ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D1 nRowColSel +CTOF_DEL --- 0.092 R4C9A.D1 to R4C9A.F1 SLICE_88 +ROUTE 1 0.625 R4C9A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 2.181 (62.2% logic, 37.8% route), 3 logic levels. + +Report: 2.668ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.689ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.202ns (61.6% logic, 38.4% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.202ns delay SLICE_64 to RA[8] (totaling 2.689ns) meets + 0.000ns hold offset RCLK to RA[8] by 2.689ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D0 nRowColSel +CTOF_DEL --- 0.092 R3C2B.D0 to R3C2B.F0 SLICE_95 +ROUTE 1 0.394 R3C2B.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 2.202 (61.6% logic, 38.4% route), 3 logic levels. + +Report: 2.689ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.572ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.085ns (65.1% logic, 34.9% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.085ns delay SLICE_64 to RA[7] (totaling 2.572ns) meets + 0.000ns hold offset RCLK to RA[7] by 2.572ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.531 R5C9A.Q0 to R2C2A.C0 nRowColSel +CTOF_DEL --- 0.092 R2C2A.C0 to R2C2A.F0 SLICE_97 +ROUTE 1 0.197 R2C2A.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.085 (65.1% logic, 34.9% route), 3 logic levels. + +Report: 2.572ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.652ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.165ns delay SLICE_64 to RA[6] (totaling 2.652ns) meets + 0.000ns hold offset RCLK to RA[6] by 2.652ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D0 nRowColSel +CTOF_DEL --- 0.092 R2C3A.D0 to R2C3A.F0 SLICE_98 +ROUTE 1 0.356 R2C3A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.165 (62.7% logic, 37.3% route), 3 logic levels. + +Report: 2.652ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.652ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.165ns delay SLICE_64 to RA[5] (totaling 2.652ns) meets + 0.000ns hold offset RCLK to RA[5] by 2.652ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D1 nRowColSel +CTOF_DEL --- 0.092 R2C3A.D1 to R2C3A.F1 SLICE_98 +ROUTE 1 0.356 R2C3A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 2.165 (62.7% logic, 37.3% route), 3 logic levels. + +Report: 2.652ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.776ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.289ns (59.3% logic, 40.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.289ns delay SLICE_64 to RA[4] (totaling 2.776ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.776ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.178 R5C9A.Q0 to R5C9A.D1 nRowColSel +CTOF_DEL --- 0.092 R5C9A.D1 to R5C9A.F1 SLICE_64 +ROUTE 1 0.754 R5C9A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 2.289 (59.3% logic, 40.7% route), 3 logic levels. + +Report: 2.776ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.772ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.285ns (59.4% logic, 40.6% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.285ns delay SLICE_64 to RA[3] (totaling 2.772ns) meets + 0.000ns hold offset RCLK to RA[3] by 2.772ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.571 R5C9A.Q0 to R2C2C.D1 nRowColSel +CTOF_DEL --- 0.092 R2C2C.D1 to R2C2C.F1 SLICE_94 +ROUTE 1 0.357 R2C2C.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.285 (59.4% logic, 40.6% route), 3 logic levels. + +Report: 2.772ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.787ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.300ns (59.0% logic, 41.0% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.300ns delay SLICE_64 to RA[2] (totaling 2.787ns) meets + 0.000ns hold offset RCLK to RA[2] by 2.787ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D1 nRowColSel +CTOF_DEL --- 0.092 R3C2B.D1 to R3C2B.F1 SLICE_95 +ROUTE 1 0.492 R3C2B.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.300 (59.0% logic, 41.0% route), 3 logic levels. + +Report: 2.787ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.855ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.368ns (57.3% logic, 42.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.368ns delay SLICE_64 to RA[1] (totaling 2.855ns) meets + 0.000ns hold offset RCLK to RA[1] by 2.855ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.531 R5C9A.Q0 to R2C2C.C0 nRowColSel +CTOF_DEL --- 0.092 R2C2C.C0 to R2C2C.F0 SLICE_94 +ROUTE 1 0.480 R2C2C.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 2.368 (57.3% logic, 42.7% route), 3 logic levels. + +Report: 2.855ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.893ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.406ns (56.4% logic, 43.6% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.406ns delay SLICE_64 to RA[0] (totaling 2.893ns) meets + 0.000ns hold offset RCLK to RA[0] by 2.893ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D1 nRowColSel +CTOF_DEL --- 0.092 R8C9C.D1 to R8C9C.F1 SLICE_92 +ROUTE 1 0.739 R8C9C.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.406 (56.4% logic, 43.6% route), 3 logic levels. + +Report: 2.893ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_60 and + 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRCS by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C9C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.197 R2C9C.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_34 and + 1.462ns delay SLICE_34 to RCKE (totaling 1.949ns) meets + 0.000ns hold offset RCLK to RCKE by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C7C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 0.197 R2C7C.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.356ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.869ns (67.7% logic, 32.3% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_63 and + 1.869ns delay SLICE_63 to nRWE (totaling 2.356ns) meets + 0.000ns hold offset RCLK to nRWE by 2.356ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R10C9C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 0.604 R10C9C.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.869 (67.7% logic, 32.3% route), 2 logic levels. + +Report: 2.356ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.363ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_61 and + 1.876ns delay SLICE_61 to nRRAS (totaling 2.363ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.363ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R3C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.611 R3C2A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.876 (67.4% logic, 32.6% route), 2 logic levels. + +Report: 2.363ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_58 and + 1.462ns delay SLICE_58 to nRCAS (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRCAS by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C9B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 0.197 R2C9B.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.523ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.036ns (66.7% logic, 33.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.036ns delay SLICE_64 to RDQMH (totaling 2.523ns) meets + 0.000ns hold offset RCLK to RDQMH by 2.523ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D0 nRowColSel +CTOF_DEL --- 0.092 R4C9A.D0 to R4C9A.F0 SLICE_88 +ROUTE 1 0.480 R4C9A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 2.036 (66.7% logic, 33.3% route), 3 logic levels. + +Report: 2.523ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.351ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 1.864ns (72.8% logic, 27.2% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.864ns delay SLICE_64 to RDQML (totaling 2.351ns) meets + 0.000ns hold offset RCLK to RDQML by 2.351ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D0 nRowColSel +CTOF_DEL --- 0.092 R8C9C.D0 to R8C9C.F0 SLICE_92 +ROUTE 1 0.197 R8C9C.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 1.864 (72.8% logic, 27.2% route), 3 logic levels. + +Report: 2.351ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.668 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.689 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.572 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.776 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.772 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.787 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.855 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.893 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.356 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.523 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.351 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html new file mode 100644 index 0000000..b9ec128 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_bgn.html @@ -0,0 +1,111 @@ + +Bitgen Report + + + + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html new file mode 100644 index 0000000..4d7032d --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_iotiming.html @@ -0,0 +1,202 @@ + +I/O Timing Report + + +
    I/O Timing Report
    +Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: 4
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: 5
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: M
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +// Design: RAM2GS
    +// Package: TQFP100
    +// ncd File: ram2gs_lcmxo640c_impl1.ncd
    +// Version: Diamond (64-bit) 3.12.0.240.2
    +// Written on Mon Aug 16 21:33:38 2021
    +// M: Minimum Performance Grade
    +// iotiming RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml
    +
    +I/O Timing Report (All units are in ns)
    +
    +Worst Case Results across Performance Grades (M, 5, 4, 3):
    +
    +// Input Setup and Hold Times
    +
    +Port    Clock Edge  Setup Performance_Grade  Hold Performance_Grade
    +----------------------------------------------------------------------
    +CROW[0] nCRAS F     0.474      3       1.625     3
    +CROW[1] nCRAS F     0.104      3       1.925     3
    +Din[0]  PHI2  F     6.682      3       1.613     3
    +Din[0]  nCCAS F    -0.028      M       2.082     3
    +Din[1]  PHI2  F     6.886      3       2.631     3
    +Din[1]  nCCAS F    -0.025      M       2.074     3
    +Din[2]  PHI2  F     5.426      3       1.921     3
    +Din[2]  nCCAS F     1.175      3       1.003     3
    +Din[3]  PHI2  F     5.699      3       1.852     3
    +Din[3]  nCCAS F     0.331      3       1.707     3
    +Din[4]  PHI2  F     6.556      3       1.438     3
    +Din[4]  nCCAS F     0.706      3       1.406     3
    +Din[5]  PHI2  F     6.281      3       1.959     3
    +Din[5]  nCCAS F     0.246      3       1.807     3
    +Din[6]  PHI2  F     5.585      3       1.441     3
    +Din[6]  nCCAS F     0.799      3       1.341     3
    +Din[7]  PHI2  F     7.980      3       1.725     3
    +Din[7]  nCCAS F     0.333      3       1.707     3
    +MAin[0] PHI2  F     4.994      3       1.265     3
    +MAin[0] nCRAS F     0.662      3       1.471     3
    +MAin[1] PHI2  F     6.056      3       1.867     3
    +MAin[1] nCRAS F     1.180      3       0.990     3
    +MAin[2] PHI2  F    10.634      3      -1.183     M
    +MAin[2] nCRAS F    -0.218      M       2.631     3
    +MAin[3] PHI2  F    10.902      3      -1.260     M
    +MAin[3] nCRAS F     0.208      3       1.826     3
    +MAin[4] PHI2  F    10.204      3      -1.072     M
    +MAin[4] nCRAS F    -0.218      M       2.628     3
    +MAin[5] PHI2  F     7.043      3      -0.270     M
    +MAin[5] nCRAS F     0.123      3       1.925     3
    +MAin[6] PHI2  F     9.465      3      -0.885     M
    +MAin[6] nCRAS F     0.584      3       1.522     3
    +MAin[7] PHI2  F     9.683      3      -0.938     M
    +MAin[7] nCRAS F    -0.218      M       2.628     3
    +MAin[8] nCRAS F     0.316      3       1.758     3
    +MAin[9] nCRAS F    -0.058      M       2.185     3
    +PHI2    RCLK  R     1.456      3       0.038     3
    +UFMSDO  RCLK  R     2.953      3      -0.147     M
    +nCCAS   RCLK  R     2.435      3      -0.244     M
    +nCCAS   nCRAS F     0.156      3       1.902     3
    +nCRAS   RCLK  R     5.307      3      -0.787     M
    +nFWE    PHI2  F     5.614      3       1.072     3
    +nFWE    nCRAS F    -0.101      M       2.317     3
    +
    +
    +// Clock to Output Delay
    +
    +Port   Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
    +------------------------------------------------------------------------
    +LED    RCLK  R    11.635         3        3.019          M
    +RA[0]  RCLK  R    11.287         3        2.893          M
    +RA[0]  nCRAS F    15.323         3        3.902          M
    +RA[10] RCLK  R     7.501         3        1.949          M
    +RA[11] PHI2  R     9.747         3        2.487          M
    +RA[1]  RCLK  R    11.083         3        2.855          M
    +RA[1]  nCRAS F    12.034         3        3.047          M
    +RA[2]  RCLK  R    10.857         3        2.787          M
    +RA[2]  nCRAS F    13.411         3        3.403          M
    +RA[3]  RCLK  R    10.775         3        2.772          M
    +RA[3]  nCRAS F    12.977         3        3.296          M
    +RA[4]  RCLK  R    10.758         3        2.776          M
    +RA[4]  nCRAS F    14.192         3        3.619          M
    +RA[5]  RCLK  R    10.334         3        2.652          M
    +RA[5]  nCRAS F    13.484         3        3.424          M
    +RA[6]  RCLK  R    10.334         3        2.652          M
    +RA[6]  nCRAS F    12.972         3        3.291          M
    +RA[7]  RCLK  R     9.917         3        2.572          M
    +RA[7]  nCRAS F    12.327         3        3.147          M
    +RA[8]  RCLK  R    10.465         3        2.689          M
    +RA[8]  nCRAS F    12.559         3        3.170          M
    +RA[9]  RCLK  R    10.412         3        2.668          M
    +RA[9]  nCRAS F    14.019         3        3.564          M
    +RBA[0] nCRAS F    11.063         3        2.809          M
    +RBA[1] nCRAS F    11.902         3        3.028          M
    +RCKE   RCLK  R     7.501         3        1.949          M
    +RDQMH  RCLK  R     9.831         3        2.523          M
    +RDQML  RCLK  R     9.117         3        2.351          M
    +RD[0]  nCCAS F    12.575         3        3.249          M
    +RD[1]  nCCAS F    13.016         3        3.365          M
    +RD[2]  nCCAS F    11.602         3        2.993          M
    +RD[3]  nCCAS F    10.893         3        2.834          M
    +RD[4]  nCCAS F    12.063         3        3.116          M
    +RD[5]  nCCAS F    12.555         3        3.242          M
    +RD[6]  nCCAS F    12.537         3        3.240          M
    +RD[7]  nCCAS F    12.524         3        3.239          M
    +UFMCLK RCLK  R     8.079         3        2.126          M
    +UFMSDI RCLK  R     8.079         3        2.126          M
    +nRCAS  RCLK  R     7.501         3        1.949          M
    +nRCS   RCLK  R     7.501         3        1.949          M
    +nRRAS  RCLK  R     9.175         3        2.363          M
    +nRWE   RCLK  R     9.141         3        2.356          M
    +nUFMCS RCLK  R     8.804         3        2.290          M
    +WARNING: you must also run trce with hold speed: 3
    +WARNING: you must also run trce with setup speed: M
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj new file mode 100644 index 0000000..f265fc9 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_lattice.synproj @@ -0,0 +1,41 @@ +-a "MachXO" +-d LCMXO640C +-t TQFP100 +-s 3 +-frequency 200 +-optimization_goal Balanced +-bram_utilization 100 +-ramstyle Auto +-romstyle auto +-dsp_utilization 100 +-use_dsp 1 +-use_carry_chain 1 +-carry_chain_length 0 +-force_gsr Auto +-resource_sharing 1 +-propagate_constants 1 +-remove_duplicate_regs 1 +-mux_style Auto +-max_fanout 1000 +-fsm_encoding_style Auto +-twr_paths 3 +-fix_gated_clocks 1 +-loop_limit 1950 + + + +-use_io_insertion 1 +-resolve_mixed_drivers 0 +-use_io_reg auto + + +-lpf 1 +-p "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C" +-ver "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v" +-top RAM2GS + + +-p "C:/lscc/diamond/3.12/ispfpga/mj5g00/data" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C" + +-ngd "RAM2GS_LCMXO640C_impl1.ngd" + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd new file mode 100644 index 0000000..a946767 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.asd @@ -0,0 +1,13 @@ +[ActiveSupport MAP] +Device = LCMXO640C; +Package = TQFP100; +Performance = 3; +LUTS_avail = 640; +LUTS_used = 129; +FF_avail = 640; +FF_used = 102; +INPUT_LVTTL33 = 26; +OUTPUT_LVTTL33 = 33; +BIDI_LVTTL33 = 8; +IO_avail = 74; +IO_used = 67; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam new file mode 100644 index 0000000..4787547 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.cam @@ -0,0 +1,108 @@ +[ START MERGED ] +nCRAS_N_9 nCRAS_c +nCCAS_N_3 nCCAS_c +n2307 Ready +n2306 nFWE_c +PHI2_N_114 PHI2_c +n2302 nRowColSel_N_35 +nRWE_N_172 nRWE_N_173 +UFMSDO_N_74 UFMSDO_c +n1377 nRowColSel_N_34 +RASr2_N_63 RASr2 +[ END MERGED ] +[ START CLIPPED ] +GND_net +VCC_net +FS_577_add_4_14/CO0 +FS_577_add_4_16/CO0 +FS_577_add_4_12/CO0 +FS_577_add_4_2/CO0 +FS_577_add_4_4/CO0 +FS_577_add_4_6/CO0 +FS_577_add_4_18/CO1 +FS_577_add_4_18/CO0 +FS_577_add_4_8/CO0 +FS_577_add_4_10/CO0 +[ END CLIPPED ] +[ START DESIGN PREFS ] +SCHEMATIC START ; +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Mon Aug 16 21:33:30 2021 + +SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ; +LOCATE COMP "RD[7]" SITE "71" ; +LOCATE COMP "RD[6]" SITE "70" ; +LOCATE COMP "RD[5]" SITE "69" ; +LOCATE COMP "RD[4]" SITE "68" ; +LOCATE COMP "RD[3]" SITE "67" ; +LOCATE COMP "RD[2]" SITE "66" ; +LOCATE COMP "RD[1]" SITE "65" ; +LOCATE COMP "RD[0]" SITE "64" ; +LOCATE COMP "Dout[7]" SITE "3" ; +LOCATE COMP "Dout[6]" SITE "2" ; +LOCATE COMP "Dout[5]" SITE "5" ; +LOCATE COMP "Dout[4]" SITE "4" ; +LOCATE COMP "Dout[3]" SITE "6" ; +LOCATE COMP "Dout[2]" SITE "8" ; +LOCATE COMP "Dout[1]" SITE "7" ; +LOCATE COMP "Dout[0]" SITE "1" ; +LOCATE COMP "LED" SITE "57" ; +LOCATE COMP "RBA[1]" SITE "83" ; +LOCATE COMP "RBA[0]" SITE "63" ; +LOCATE COMP "RA[11]" SITE "79" ; +LOCATE COMP "RA[10]" SITE "87" ; +LOCATE COMP "RA[9]" SITE "85" ; +LOCATE COMP "RA[8]" SITE "96" ; +LOCATE COMP "RA[7]" SITE "100" ; +LOCATE COMP "RA[6]" SITE "91" ; +LOCATE COMP "RA[5]" SITE "95" ; +LOCATE COMP "RA[4]" SITE "99" ; +LOCATE COMP "RA[3]" SITE "97" ; +LOCATE COMP "RA[2]" SITE "94" ; +LOCATE COMP "RA[1]" SITE "89" ; +LOCATE COMP "RA[0]" SITE "98" ; +LOCATE COMP "nRCS" SITE "77" ; +LOCATE COMP "RCKE" SITE "82" ; +LOCATE COMP "nRWE" SITE "72" ; +LOCATE COMP "nRRAS" SITE "73" ; +LOCATE COMP "nRCAS" SITE "78" ; +LOCATE COMP "RDQMH" SITE "76" ; +LOCATE COMP "RDQML" SITE "61" ; +LOCATE COMP "nUFMCS" SITE "53" ; +LOCATE COMP "UFMCLK" SITE "58" ; +LOCATE COMP "UFMSDI" SITE "56" ; +LOCATE COMP "PHI2" SITE "39" ; +LOCATE COMP "MAin[9]" SITE "51" ; +LOCATE COMP "MAin[8]" SITE "50" ; +LOCATE COMP "MAin[7]" SITE "44" ; +LOCATE COMP "MAin[6]" SITE "49" ; +LOCATE COMP "MAin[5]" SITE "45" ; +LOCATE COMP "MAin[4]" SITE "46" ; +LOCATE COMP "MAin[3]" SITE "47" ; +LOCATE COMP "MAin[2]" SITE "37" ; +LOCATE COMP "MAin[1]" SITE "38" ; +LOCATE COMP "MAin[0]" SITE "23" ; +LOCATE COMP "CROW[1]" SITE "34" ; +LOCATE COMP "CROW[0]" SITE "32" ; +LOCATE COMP "Din[7]" SITE "19" ; +LOCATE COMP "Din[6]" SITE "20" ; +LOCATE COMP "Din[5]" SITE "17" ; +LOCATE COMP "Din[4]" SITE "18" ; +LOCATE COMP "Din[3]" SITE "16" ; +LOCATE COMP "Din[2]" SITE "14" ; +LOCATE COMP "Din[1]" SITE "15" ; +LOCATE COMP "Din[0]" SITE "21" ; +LOCATE COMP "nCCAS" SITE "27" ; +LOCATE COMP "nCRAS" SITE "43" ; +LOCATE COMP "nFWE" SITE "22" ; +LOCATE COMP "RCLK" SITE "86" ; +LOCATE COMP "UFMSDO" SITE "55" ; +PERIOD NET "PHI2_c" 350.000000 ns ; +USE PRIMARY NET "RCLK_c" ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +USE PRIMARY NET "PHI2_c" ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +USE PRIMARY NET "nCCAS_c" ; +PERIOD NET "RCLK_c" 16.000000 ns ; +USE PRIMARY NET "nCRAS_c" ; +SCHEMATIC END ; +[ END DESIGN PREFS ] diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr new file mode 100644 index 0000000..5a900d5 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.hrr @@ -0,0 +1,10 @@ +--------------------------------------------------- +Report for cell RAM2GS + Instance path: RAM2GS + Cell usage: + cell count Res Usage(%) + SLIC 65.00 100.0 + LUT4 111.00 100.0 + IOBUF 67 100.0 + PFUREG 102 100.0 + RIPPLE 9 100.0 diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_map.ncd new file mode 100644 index 0000000000000000000000000000000000000000..f4f2deb380a22c1d20baf60d9a638727b58154a0 GIT binary patch literal 104206 zcmeHw34C3}b^eoAdBFx_7PAV>;)UgHlAZ*{NKcXxmMr^8UckV^U;_gDOuz&P2{?P& z?EAj&`@ZihG!5+S-}Zix`xGPgvCZka0_qFd&th3J;K!XY?i zu5buWnJXN!q0ALdm6t0l~Jn!TRhn48$3Wt^GbovyO zPM3l*=}~jF_igGM9ZY=4Xy0UGRZnkzHFf1&ZZSI(xy?0PkbR%)x}aJc+rFw0%g?o* z;y%~EeSjX{9m~!@WZ&ji$-d2qW#4A(vTsXw$SfM;>E{-&#=uFvGjS8A<>z)_7w@%T zVBg*QqqvA4o8mshI>mj)b&C58>=gGI*(vUegUK#GV;kgm(39e4q9=Z_z1H6sC7n3P z?AyYc8fA`AR$OL#=51k3=51k3=51k(ciXAAG)rc^#ldD4O+0P(ZRTY5ZRv_$(Hu&O z6VA>(HK^j2vvV`3^J@c9wu_(6yvvY!cO689$eDmx`!?5yhDQcX^mW|5_ugB-{jNRr zyC!dL)Nh)+eGiWg$B*5y=gwXGCmT0+RQEM@Pwt=G*D%Q!R>ww12W!>gz7bBhVDs=M zc7-xXZoXo;=<9jtSZvCTyTbcSFWm18?{iDyemT6)O^N%Z@IJRC?ia)R+?cqZ z0HDxI{Q+?$$S=bX@`w1yIJDUK@i;&-5IG4#ge10h5`+**nC&D8F_MVeNf3f0!MBqj zL`mXsCqc*)3CW!VDI6H*xs7VIZ`>y_6UgCc$e z*&~AkfnLZ;qh6ftGVCqV`(Y(yhOoFs*`>FHFv!T~BuH5hx~he+$I1+1k=_sKCUXhg zPry>Ta&L3M49O(pAM&T}Go-j5a!n>3xF1qYrXRQ;GEK%n+z*K+Qxe<{0hUn^_d|ST znzFDq5bta<4(jbx?U|%{JE^>a%dW}+Hv~t9Ld_mxBV(c74xy3hjouECk$H~Z4uO#g zkKPV(>EyD{Y1FFH`?RZcvVWaZtB!2+`${J>m2PYdI#(xqR5BIzy3VAF*K9{s;P@F1 za3L~XNf)nK=b**wVm{8T4Qv^`!Y^MJ_i?@>qb2fiFQ3=gIy4&Awu}46JaZ?kZxV4?kCM^?oV8`d9as(nKisgpUPSW z#cEBxMe8MoIwLjXmo~!SrPT~vTFs!P)eKl#&1j|73{qOn*jTk95-+w4!#1(jT}I#8 zV_PS*MHwm?bR&AMeMHaIkLbDn5j_JC(K7-OJwp)D$1#Yvkqne63}lo{t7VK#K_fH6 zbWvn%jOa5UkfAV=J_7<7`6B5V0@W)I;)Im(O;aloWMUW5XP}TQqovT1@hf5{0|gnc zBI%_o^uyCIFJ*k1l~M~06174Q?|d=}rEJLPlU7TA3ZE#WO**xpo=Tljdl_6()RUnl z4T_8`5q$;);$B7ule85XN+S9U3Up=o0vSUhb}~Td@`|aA=lMd_dah8lo)=g&KD>cv zg`2n5%&c+#wraKB*t7qxm7m#u@x`Qgl>gc$$-wN1vCRkRpRv`p%lsgjMPjxd=8%|G z&UqyBN!m#kkSruwM6#IV7?LFB3VtchGZ?t1tb@eTts4k$deREiX>*Us7%sH(nZou zQX%Of=_Of5av8~blFLc@Ncu^tBm*RaBts+{NUk6mCb^PiBgqKKD9I+0%_Lh$Y9!+% z6C_(nwvlWn*+Fs@$<-t~Nvk^D8u-;n$*$qz|>MDk;jza#kx$={Rw1Ia&<{1eGPll%+GzmoivzqFYm)yV`3=c$N&c7Q|40r>_ik&W|IZ?sO)`gMF3CKS z`6TTm3rH4{EFxJJNoJevK$;l)uNKPR+mE<&%(@D-C zIg_M=*^pW(FR7nO%21$lUHjrFFGE8zM$wrb9l2MXPBx59- zNw$#GNXAJfNVbw}BiT-}gXAibt4Vf}Ttjj#$#o=klIux!k=#IXBgt-(JtQ}g+)Q#y zj{NJ;c)hc`yI!B9+DMl*|9A9MLr1?fNxy6N?s~aiAc&lsFh&qX^#q%vU*Z|_3$REF zR`88EH+*YB(R_0#8nvQR1n8+{9lc*PzXZrb%y9BRPi%4YzOAh1XF*8}%(Ue{-$BMV z=G^eD1(0Q$^ULg*^Fa&D`KUR$GN0q)7MSx3aol7f-`QC&)o;IhKQWPh`Z!G%lY6^% z-&*%evb1kt{O%j}PVV2oXLr3+@v)k>Hy<^|kEk1CL7l4w$5^-DTNY6vOXYeoWwCv- z6e;vE)xEn18@q0}eNVmA?elj*qgbkRUz4XZD)t|_)*QLA+?@WcL#A2Dwb4JLCFiEv z^A6Aj|68h%+_~qDddX+PvGi!C9u*(#6X*fK4 z9%%sc>;qf?`mw|@RI2#RJ#TLzh12rMLSm9Jj6C<4;l||t+MZpz@2i)(0*6rRD-@`_ zoux=g&Y;H7+_!HoVH zEe&U?z2pF0@ISPO^oVrVTadIyx8E8ICX2-gChfLv#`*z%1pQbj%W2d*Qyr?<*&V^$ zkQ-gJ;G)Z_Q{6SPMlYtc`#jA&i495l2p`b}r3k*5ar8-*B9E_Fw0iT=S_Vx4nwqW~1mLk^f9<{#Cc za+bRNf|;gt>TePrFh9({lg;K{y(jT5`Fwpc8L7<81LlYMx1>?qcTaWS?c;lHC+oqm zV!H(oIY9`tJjPpN@qqbZ{lRjAq%St8jb0*aR^TYfzosjEdE)JL<=ASi^;&!FG zGcu+b>*eTRmlVdw&m`}RH3Z!#7xR$^*zyEyGOmt1e5dbjjFn0Z^>*^~>AEhIrG0WZ zzUwfZq`(DdNK7Z$M`Alk;{dDa$CAXi^LSEVO7bm};|U}-#~lY)O+S|St!UH-%n$Pq zo{4=i++=%OZgZ>?}OHEow2FP0rSKBJ3%SU z;Xx(R!Z4Us0H4qXLiEA(J&6v}t`^VndmZ%txQRheEE=cgL$QE@fe5Vgw$}#glfEMo zolj!Z8KPm;(nc{~$!9pG`Q$(P>XUveCrTf{c53=G>4O%D4Os1_cTwjGa5CpcIKJ?! zX2t{w)C@uezYM47O#x9FE?p|Y$FG#=e0#wF}et`wQ)SV z>7xIbq$T{mktqE!i+y3tEfK9^K~rMH2R~8z#9AK24iuJm`PqnJ#d0SzEIm&#GZGEO ze83hbw`d@*`0Oy$kdrygL(KtIs&{Ng*l%rA92`KvT_0!sKGFJ#i1k-~q*0@l|{ zWk0!@(DZaCbY>DI-qV!%fcatmokXd+6IYh$T$7gZ@k^9G{MG=p zK0D0-NprMxo3BU(FDAlGP0bQ&a9myS%VL7&QZjWw+KUt8lAdPCzv=+21LURngFM} zn~!fa@LjZHtY%hU$kR?&sY;}{hDKeq%8^wMzj>0%`2+R>!Y2P#qe&qR#C(TZPV@?qs-@@$ET{g#z@!G}(CzMZA!m}dQs zUAxUqsvPtj5WYU+j(w9tn zA3>A8l$E|{()$f+(igMR7fgB|P?Nrpl|FCM`(T^&c|ZL^;P2r)UyjdaB@X&FCk~K@ zWxo(&Ie;CB{TfQ*0CObv;giGx;7IJZjU*1xMqI zmvz~_z;sU28T`l3e6 zzD=9ZA2;XHf!j(vq3=md!oUewi#`iY!hnM|q0c~*FyNm}=(Eox47g_#`ph#41K!z$ zKI=@vfO9sX-#8{=&^9)q-!vv+*fP8z8Iny-qPlF8weeIsvr^;HR2KMB>G(LRYcE;2 z3=_`f^-RxVvg8^`N%LtxC7(l0^&IkK=6I_2bfX%Hr=+c8XAH56S>faD`Bg7%GQCTs z6}@jaSx1khH78tMyX|IHl`8BUqxI4)RBB<974POe&$gNaI>0pFFZLosM4?aMQ`2-B>E6Ju>g6eFk0pmc;w_&_m-R z!_~ohKF5a+hxm#PCegpyl<*U^!40Eh1B1OoLmmA8YISR|gOr8dm1CRCFM0lDOQCm5 z$Cf<(*f3t}9T*<(>!(PkgDNq)bw@A#ucJC>ek*j0jMvOB`40NOG2AzP)uyV^j%_k} zx!o~T9qX-*(f@{xlK$5*FkCRdju+^+JpY#OFoo&u9nY`J-+R-pUArzAFC@R2lZ^9| zqD#f*n1{))vo;p&FG;QEmII5F$KYzvq9>ov z7kav`P+kfVl7()vo8R>SbKQL1{H}u#*C*#B$jIcp8P`nC0U>88PR_^KK)(naUD)C% zzc&(bp#>%qi0BRU1!h&;h4%29H!&Cb3b2xmzZ}E=&# zO1$&Y&!3J1VUKMQmS4Mz5mv|WLYkBErHgoAAn(A&2LB59$$Wh^u9>gPg|A(4zCO+d z|K(um@hz6}3!xE~=BFC`^D@BmVoA6JP?S%l#N6tOKufm%JGf?=CKIUc7ENjNKM6EF zu|-pUS2<17qI_Q7~h%c?QNJ=C<1teY3 zA}PN_pC&1NGua$)$6U#sNEMUM$?~dbxD?Q%tEmOBkc~YH*UZvq;F?)_rm(ap&e9Ks z5$ed;hT&?*#zJrJ6@_)H9;mKa^+5lczSXNcHs(o{U$<(NQT46uU%h(mz-njV->mr$ z6?%s{hVt|yWA(qc*X#kTTRFUGTeuNG>)3WHfPSryZ5yoBtAnWxfoWIt3E$Imvo`YD z{b>w!vREvr)9o`J@BHMg3!)(hovtq|sGkgd;YzTIS=NJV!?N5mVOb$#zCI1j28dEb zD<+yUEidHi?Inti4^FHjk)5SSz%n6{*)2MPH0!W5J2OhNvsyIcua~50mN+^N8ojkk z`i_8JGtIhi%`__|G|L!s-!_dmBc3tM9w3LNJX>X)*`s%4px889nup@SR$6ME9i`Se zEowQ`@&{38gjFuFZVR}?+&Tl-JW!sVa4Tb^eC;&cvi{2sl!X~asa2Lz=SL~Ex`FCNIe;2p-=Zups|0Q2 zg*>yxOvFP!hTY`!J=ygze$n@E&&4&f@&a7*bB;+^nKAUfb81$afi@Xpji*ZnTxTGz zu8}eL5t8(X`n&7T4cd#z!x=Q(sZi|fjG5YSm6vB4lQ)vUr9O44c_9e*8Imn@l>6S+8uJMD5w=t-xUzw!yw z-qAwGp-g1EEm^w~veH^n5C6&wbd?ranXK(;C#k0v(@s)8u^bB?yX8BziPK%|9Jy6< zp=aF-+A@uu`%L2#ai4ce7A3UKh$UY(HLas7Ff$^QTxXejY1FM=)?%s?N_r!G@?Go5 zT&5>8%KSW7#jJa>u&SJKWcJ-tv&t+S+jW;!$*fr?%{;On_QW|gTRDOY$Cq2Gt&dWz zuSGSc+FW*uF4cS;mS~E$6Vr&v3e@7-Z&93MyBpjr}jbHiG#rz&1lkxt9 zj2RohU!9ta3H2T@+@qn|_(wxPGlRC50Z%y%B_aNjX*&9H_@@w>LDmsV){RlJj<(3k zWKADA5C<7^gG=G|j2omslb~*qnRlKrk3It!9gI^i^92W}Xw#0}9j`0W{#~;6ZB9_F zCHg%uki{?W1eLrAFdBIkAaiMvUL!UKv1p|BUZ|edC2}p3^HXC{mThja%yEfsC@6me zEj~R-{wP#9J(+bC_{PI<71zwaeq6K1Jt^U1#{SEa(*|OP`G47D2%+d8k z-}&hq{>@rgBxgHXkX@W<*-FcaHR}_%By1(!1pmrQjN>h~GFxSd(VI;tf0r(@a3g7K0ss>oT~IyrOSHwDe+;{3Y60 z9GbkCLfM?vZk`mK117RJeiN>lsriJN8H+`!7pPLvKr%1l$Wr&gWp@YXe4MSF854S5 zW!>zKgrrPdaS`NkuWpf)Nh*t$Zcvc?jcnzpd#_vm24Tu!-Uiw+4OifrX?T7@!;HPf zX_m_q2Z?CZivqB%n0(UbkWThTR)~(&asF#8^L9p=cWsM#j(c?3RY=&C9;oH7@?v~< zbIHrl=|TJ~aF989Jg%9OCnucDShbvnlio6=b&QYXWOjszO<1a|TTWgV<>d7(PG)ej zl*vi?bK-GMR+w>VPVNH-ImJb|W=>8doXl9m{76P1Y2SXLaam^Xc7S%?8sHm`@H~)y z{j53b=r>q$?uwH0#uhm<$XU!>)0Dr)94BX|9=h;nJ!2pvlk;?3Gdbmac%A+X+ap<7 z^7p*?w2%og$k)k9ApQtn#uPn!g41MX?y=0=9cAWCEoNo}kwu+#r;h{mWbp5q;2ZPr zcX7@9>qwkq#+v#!r*@9sNnY}g_WhUGfi&ghbZbYJn3Q2OpS&jeNIxBU=u>c-zT9Go zd2>Qc(%s6x@*>h?iov2n%zxGHV&NeD8OG>vEuY6tt@rRcB)>o7oXMd5NZJ868+(91 z4V!6~yxj%EeQA2g?Z@{gYZ(Lc*;;K!UfjDM*X(sRt^V+0@?o?F#mgWt^I^nYv<<4SD&R1%GX|!)|O3Q&l!~Xjk(mcvF&4Mc<%7a zaLq*6o^sjtFiZUsN`%?XNv?y^JCoeBF$ zcQ^mak(_6#^SrIWoQ;fsT z3(4V2enoY?;hnDJPO$ZsI}_|>b~^_nnB8x|eP;KY6Lx3pfqZ3ZcFXde9i@{4Vvj-h ze7~jklR)jKfZ9)PQQMig`W~3QY!I0zaQ$f`OEvjaEVO{-;d12?HU7@d)k0Qoq!<7s#Tm*fY-cQ6e z)BA0>W_rIhp?Ag(%Xg=y_XGTC37Q_8)kJ4=MZ=89+pUManCL_qlsD`9bJH9pKdjZn zr(32!4NQL~nEs3w)18>v-Fq%Dr(})BaM^R%a;_W>+s%lxJ{ltP$+PvKtD$pS_}u{K z^e;d9LkXQT_GErBHJxRWCvP6=QD6H)V)BldoI5wC(~fiqJq5WBf1mNN`2K9m_h*6c zzXQHMr^WZIAf!B%XD>UR-KX`iPwU(bxMnZ?DO@wHKbg=vW2@&oQ`0)Spy4Sg|D#>c zIOQH$OVz5O^t z-EJh>mh(&T2&E{;=40=~btOxB;cW6+aG2wh1-NF8e-PKq@ec^cD;b+m->Zk3Pv?YDxYQko@H!`O8`)&m?)^#r+<@T#J*uP)?4UNat{a@b1Ksha}$v60;-! zEUr1ndvVPVeosR3jE%RSPEGP;r$s(njwl=}DM_$G|4a7!olhq!@*`1_T%8@vMD z;MM2`uWEIJtoYk~6C^d^lB)GV)j}zZa^r}tkvnm{bIyntf1ro zx3!4MM2(!|z{^sx`5-SdBUE-hvV5&9b^It7zGQk0NXsOC7p|GaZ%jy>v4!}(>7obc zEf!)k`CIe-6Nk>`8z|;0%=QyeM{uztJR^G~cUj(P8T}40`rTmkyIPEP811gbO>u0a zGg$j@>0HS;medWp^7QULTr-{L;hO1uWkTnSEyHPpVfkZ0DH_v(Zp-bXw`Zj z)27V-k0$)j*khdL;F888SjU6v$i~o3jYmFcsr>;^`@^92hg#Hjytg-(bN@yXY`Mx$GdBzUhPo^fh?pFk- zI?d-+S#>1lXHh4cjsx_A28X!vV9XxI#|J-e+5b7P|BGP%7h3FhqF8s3FX$pfdLOx< zhvL#-bt~BKXoj<}=Yhi92|kBwrucEVW{Mx1P&{KxZaRv`f>`r{XX3f(fB(O1E`Qll z{7aztS3&Wwv?%US+?%N3jq}(Vgm!>5$%{B+;cb89E(M7>I5`j3O!80Qno0iggyb2U zb>EoYkK4BZv0QBTM0gE3q5Bcqb#CTr@z*Ske-AYN259{C7L6SmyQ{@cq#VxSy7-UEz@PdTF85?+Cnuft?H?5k-4t0OuQumvn?zceQhg#Il z3b8vK9)=-y(f+}pbO7QWybn3wlZ4peyzwa@F1zM)am~bDhifMGR}*4qZ0bEOi2ZF# z>^}gp{|LnX!xpi#h%MaBm^*ed;bZ|V#d`UYn4LVj@k&wRmDKF9Z9b^W)b7AFKj{m& zW@>*Xp?1aw-!xwW4Gt+kvL(1Fk0Kw@HMs9u4u2=%u)j9^$1M&shtq4rMcJx|Gtmny z+<9ZgSr_qQAzN?4h(?KaJykny5kyFBcDL+mkfYU2Bruit~u{S)}y zhg*Dg;$YW37Vr(w$aGG&jWc|%{1Gn0bf2=$*-EDDMYzwD{SvO(v3@b3aK^^l<05AG zGt1vU1%Lk>{QW_TzgaPZoL|U_8G>n^%lxAKXmezx!v3+ZU^%Ys6i}C`eJZY*+F!vn zQ~S#awKKNv9-exh=YP$`h73p0BTuog+~gxbe`)#t7vTF}gYSRU;(M0A#vfit`|G0J zeojyR_!_nFi07ETxP8GD7(ob+KDYp7wr@O*SgeTcgy z9fxvy;%_a3{{{^H5g7c#7K1YxtZT$+1{X@fE{Pi@cQgM|0Y~m8Fw`(Ow-wjS;P>O2 zExfNbu(SJG{@7yM=Hap2=31$ruS~~y;pV=9f#F{M*)crUzjcVboyJ! zZXT>%Fg#YDxN7s@x{+-Y6C-v2Nw~@CI{dc+<9CNzgI8X<%@~q#WKv4<|0tIY2Ird>wKwmx&6R z`*ChI>CJC{mz$qFOVZ2}Q@9}Wa?x(B%jLqM;T?km^t`^SM3KwQVz3siJeO>ryLoui z@PL8zVm@x@Hipb|q%?$QmyhQFn|o~G`4h$S@0*TiI_4426afbw{+fEGMJyB>=S{Wk^l=S>H+GXf|FObTe;2ekSa9OeIA z0sTeO0qu$a%JHoLIf`u~&vR^7>|J8KJPK-dtJH!rTUNkvU>eG%pb0GVbLiXQHhwP*XWV{9A zK<34ZBSnnHGY7I?D`fxEbjVJQK*n$7IFNY};fOP-o;i^HMj`uc(;-`thOC2xLwe%#e9;+emQ+ zy8pH|g=|*SAv-MsnG@)a3`#dl76%J?1Z8&|PEc0pI{XE}LypSY=egJd&iv@~a=5{|Vu9kH_` zh&hpejVR`GtY;>2j6QXlAhxjSh^>qu<^=u*F^^*toih#V@Dqj|+jPLrO9NJMVtxY5 z+j*Rrk+B%PRh9s@tm%NA9|6pX_z5s?xo4s@6B$OYQzw8eZ#rPBB7iyZJ^|(}_Dsyg z#hy0$v}gj@iA@J=bp$Xc+9$xgrJjkIxS-fZp9D+*JGtqAt%(5UM*Dp`y@j5M;@}K) z`YRN$Q<@Ig+6Z87u-~`STjrUVi7R7mbe1t;*lA4%?1BhjL9FkE73G=e^iNm7&S*Md z7e)Z{Lw!HepNacOZS=Pg6NYs(9k7ccfH{$VqgEYfrzLAV6FoE0h0$N|PVhRr>3DTV z@NxtF>PA5*!K-^F;zjSqBzT?Ebi8`fc=b4OextVC#j7?Gw~yNBWzGbz^O}xVZv-zV z%x~0ceQt-W%}57!z5=$Y>404l0n7>W$$@!*P4vvbeUP@*3fP*a19oWyFgMH}*fM&B z=kjM_OhJe3lP-Bd(*au-0n82a39u0duv*VdT%o29`zL^1)O5fuivZ??`HihZqh3@| zD->p8r@UAp%Qqde^%2ONK;IxXve6}3AwLt>sOdehgk{C1Lw0!tGAGn0$i@eTeaL1a z%SsAax#^JgMIdv6{moYl%lEJ(c8Kq4%{8``x$MWia=M>5y(XlaKiV| zzR8BawL!iKXHA-k0)0n4={l9BqtKQ@p_q4qaz?@1ujubV5YS8zdK84-rh_mm0)i81 zGYH-uM1SSz^oL6ngiD(a!t4kLPV~$mc>4StL5O@IHt$5l41%{; z&|f(^Akg2hPC&T4=^)IFFu{p(83b?rzu!4m&`h5|-waDY=x;g*k?)k`oye6z@YeME zo#|vVL8vMS15F1Z{YP+$c_$WS5WIEz{?4P*9R?MIp{9e7{-YAbyc1nA2;MQ9e&-BC zGnueKLAavnAS{UZ1Sei(5WMyD5qBonOcaI{g)5tm!omm&PRv-XjcxZ&_4Svr>f1~Z zHYx}sO$T978iaxqGBOAr75d9ZCt4a+5H>X(gvAjMoRD#VCJ$c3IC7LmhcU%qbJH<6 zCW3(zF&YfKfN{iKsc2?z*rFiRnhwH}2nbHZXh84+#*w2GFpet*6HUipX#@i&U^Ezb z@#4r)iWljt7D>Fgt?3vX8^OSd7YznpxHxi@rjXkegB?xB;J63|PPk|=@S?>L=MDH~ z`h%+!gR7g4!LkSjPPAw+@Pfq==M;N0G1#dXT+?(6j*npA1d9d(FF>Gy;pjvN*D46t zH64WI5fGeMu~GGRBm12*bj{>JT_L!>=@6WrhM?#~ijAtjgWX@jc3Cqa*rgEM&~ymS zh(O@PiH#xe&;w1Hu$R+J2yRpeb~hb@Gb0cCSm#E85< zHRkV6VHu@LAx!puOZ@9vhrZ)-v%+vo(_!e3z~F?54?Sddo$fSW=Nihj&7%JqDLhEu zQ8u!rkXujxBh?ynX=GGYq|N0Flj=8`-!@48RrKrAa=ES_(!FgAqcxGUCJg!3lPOBs z7SYX$=w?TBb0WIA5#79qZhl199?>m{=#Gu(j*IA)MRdnUbju^U6C%13Bf66!x|1Wi z6%pMj5#6Z~-Dwfs=@H!-5#5;)T}MQBRz!DBM0ajPcV0wyenh7fcKDZ%q*L}g=}M7w zoe^DEMAsA1nGTcVu2(d_T_JsE4*ja7MBiJi2DI;im#WfYkLGRfGNyAo#%h((!436I z^+JIkHjIZYvX+O`jH2GBW>BfLYCtpc!y5Eq@rwEFN_Z2s7g7e`E3(mQqKu4s;W;bp?(P}bZ1SaJr}%Es)IyDTRNnIN^NP6LPoAbqgbNPz0$ui zse}3Z3;JBJPYaB;(NrL$J~yV)qVRKv8e9KeULz zjlCVY)s;n>CV05X%`Lk_vYSC)+YAo}T3TrYE2`4b0yuCD%|p0`SII*R!_^`lq85=| zRe&+UtWYrHPlX-q)u{SX+d3F_R5dWHu7i#6AjY$Wonl}qsc)sZ$8iS_erSm|7%#A9 zohWQ!M5iH)lTJIXqr>2i*@u?XNvF(mbOrldG$n>nnCrF4oUE5bbeBeS8VNXgFN>s0 z+CrvW*G5_a1f0m_?x>TGYZzR|Y{z5+X6u;3B5um-#E1!t_Dfjw4YPtzhd0+B_2@+%8gTNOOu1y%(PUSw796cww2d4XczJ$+J-I>6BU07LV`ZE=2y z4;hH-#lU>hKOjJS|8x%sr4S0QRYXZIv?axplq^W4T!Bhy0TmZ2!xC=GZ=c0yJ z1uu43H;RFIWLCW;oB`adHkYb^y7~uzpem$NzXTAtF4g{;`TuS} zje_V?bs$1!EeM_pmC{lRg5g9}u%%@~ak*@bLaB=*;61=uxew>2s?fPr3*&d+uy=C* z{yn?NQ5K6l>9|>J87Hsv)QBx- zW_lGzSd+j)yAVw3(g4uqawYzSE!+wO?jVoUm-6clIL1E}=rLGNf#S*!bQAK!Dk zdGc-YuqE`XnvE4#VboLzjkLGIA*l+fv^+?qDx}hG4$Pra-duB;&}JvOrg&daDc4Y=HB zPlg3}B(1Tk!Wx^!Mke@EB)=K=F13}yAglSE0af8ZJ4&rM0C)iQG=2bXr`kuL!(g_H zZe{E>3SC9+9S@2{(-LcKc9c*pqBL4)I6lo7IzD=d+wiBGu{;1Qm8_Anr)=0!lq{7v za(Sv4GBdOeTVyn=E-9eMN*;JtB~L_!j9Ptk7YzWMHbbvcYN!g;(XbG4f&vHo8g-%# zl{r9MRYGE0I7ow zRBYI+i!wQ$5wVDJsY5{aQ*3oH+OS30_Os()kt_X77}tWpxQ>nRBN_rg#l~rDLG*bOQ`&)|;v?ASB36XO}GDp*87X;lF?ft|)iuE=xbA!eC! zw$1JgZTggOXhUpkdL@JWTrp)rFYP11MBN(tgSsYQqAI6zX}3YOwA%n+Wq(*xA{ZC% zd8p~-T2sYNAB^G&P#VLVdh)u}^HERDg?g^AwoxgqIy#lA(C^h2R9a1B)S{#2QqE$b zz^r+Jl+N@_wFs)KBZNhDgh9=di4u=GFBGE`CFJhP8zoozbAa3>W#cLEfq|S1$rHw1j9-RlzEoFhe{~IbJN; zjW5s=U;tHQPy)4xmex8WRq~L6#33(18Fk3RL)4W3GRa=x=6fkJYf5C+iA>;!8U^}W za>mTdB)d;39q5#0P7I{|A0wTV$L&oL6~6*yLqMa(HP#5r<{Fad^p#?$ogSpU3$3W# z60NAV0h(q68=*@33Zz*p%W%$W4f(mEIP}-x0onmkL?t%hQ(^~IHp_(UoL-Act3|Bx^-1}PpG}vq z(bL6Kkk^R;vm%UcpbcXTJe13cfeE`v+%MX~mBy@UtD-&k&7O8ZwCChK?VxB+ezvC_ z675MRdfE-5U9{KJniWtgnXG_n8Kgyfx_MZ6J+LwL_>`@OPAP|J<(Hhy`d=H%n7tY! z{4E<3<8?C3Z-6Ov_-Hs)rMX3WGs3MmMl66^yK}iG{2*iElmweyT#z@x%&E4ApdhLW z3*v`xjLw^3N&6axHMJCkYaIF#?Q^-eAipL?g>E3gX@UB(-4SSu$@KlLuy8`UE~*Oa z(&&+GskcY6qX1j!Slanr48z*JRXImC>C;++u#D2dL@(j!rk zyWqPdyBQ5iX&lrmV5HW?<-jYL0hDX>ZWKt_2Ydom26Qyoh_cB2Ms5F(H5dw2`j6j`~a%d1$A!CVr}@ z6G7Y~b^Bp=ylxm%wIWbyMW8}Ow7SYH1c6#=)D5N9j9hA(HdnasdVLVn9a;tlRO2bD~S7)8*TS=)41zr{Vh&7H&z;)4gjcd5q%H3E8R?Ns!ELj<`|fX?z-7&eiF6R9)z;!>I%Hv z#kL2*iE{D$HYoCN{V6eS;xt{3LnEkh4A?mi{0hp2^-isvs=~@qydsOSUqzv`=b&DS zEd~J{;80GfX)!N{u73|D((JIP!C1h38mE~0Uz6NsiA4t`fTSus{CL}>P$})v3%Q8& z3|_|h2I@T5=EgHr1%o;P4Ghw5uY~;HM4dG(j?@__a(R+g)ap ziV2lEMJ>~al%;;AB#o9 zLd;$?yQgSOPDz(K>Q^;ieBOP9(f8Ud5oBaumKhRp*&0&>INPZLR$msWd zSkWw?jjBR_Xd0dU&%=`6_@DXdMp6|TX^l++7fsvMLxnnEqv~T@+rli>lNj<}cb*2uDyG&8VeADlQ70 zWT~+C`76{!N$2d=X<1UcbreIgfggzh6Kx=1QGm50I04IY+y0JD3RNshZ1`goO2={d zc~zm&ID0xYA;Fq zI$;3UR0Zy=vv?u?zdWrH1nKar3~PTcg*FRVPnAM{^MF@q_0f2c&V|qmwb1Yp>SSXo zC7w=45Jqqb_06Gwlmad%t zQz!0HRXVH0-r=VxpYF@SqN>93iF@FY?Pp@a#4`6wPy5*xucv9lqbrnbNdcg$z(_0t zYq#RUoAI5)sGrND{UAcqsy_$ebR2<72MzR>1R)N&{~eFel)y&^ArMnjL8XHbRPqQK zLwG#=g*?PGtMV28UVAjaQUW44ND9r3`X6G?49`k-*wf7D!de_|(f>qtEjqI6@DLEBgTVt!uSWNrFC__Xa@~?op)jsOL!I~laow?V@C)7t3#a*8cs5)IF=KC ziIzCgaui-!dlO(+M;(BPRZ8OvWP#+rfU8;pmsAz@HCjWEnE6+d-_ZR+TR60fj$UXN zb;GeySaD$t(hi3Mz5hm$;c&EvDOurg1kf^viX0#P8WvD!eKwj-RbqicA)c@Q53*}o z)LB)j&RgITFr9w`6G{QVzS1IzHcd)U=;FcPe~}%XNlSaG&5q7#E6~FT{vWa{Cs6^- zo~l4acJdA)yLLQeS5@$Inedr!^3!QL`p}rhM>QNu2wV) zP*hclyykDhkd*?zZ9^v5iWw|)Sr=tdPlwK=!vY2mg%Oq3hJlKn;2hL6M4-P;&JQ>J zM^^zO4|l=>EhC<;j|%WI$1V-w=`WuvP+A|fn5u&8!IHlj-rDBD()qUGV5!rp!p=ua zj$GaO$gV4O$gVAh@s^&cUyv}*Z-)Wx>A*`pUsw~nDZ%)Qrl8^Z1sHCQMaZv-!Q1QT8yxg$n%HZT65_Cp#bU#-_9+tL{Ps*Q z#L>YEJW*!{cdYftaHd5!iX*)YQjrSd$Is!+h za{x!DUDnZ2Rp=ujX6u3e-*!9@(ryK&YgGb-C_8yJKC;-h92PV=XtqU`QNRCw!y5mf zk#iWug!uGFRn>jy(B#fNbQTyChL>ZRGAF}=PMy)*3T}`;StK`OR@(}(U>xP0xm=~3 zYHw{xFj?)wcVA*DfG$85b2%<5SN0SXRTBeuH5m?$#X=WnKNZg$oa`7o1>ok!;+Zo8NY2n)R@qvS-LpmG|(x0BxmR2UC^e0*H5WDfylX3Y* zQ-BUwPt2@5)Z zg4gIbUW2}n!)JT_p~_fb_I1I6&ZtlZdcLtB=YZ1|*mZWtEGTdTVnNOfr!8>*slbA+ z37`yvVnNOqr!8>*?TJ~?GMKZ-R0}zaoVLJi(F+S20ip~W#Dbh#_AMlXEq91Z#E4n^ zp|{%adS{9h>X*@*b+ifwarWzM^->f~^P`b9KY}wRYf>)m=Ig|Q2?F-!ayMR>Dwl?2 zAgjh3D3_|jA;d)Z{GiKFR5b|{kzbp}Eh;m5J&M|(kmdGNQFScFV5*M-s=D5Y((|Jj zResRrv7&0ZG=Cgb?mB%aDh-S`{&lLTN+c9jV_E>Mj|yTNE-F8$A4S#tKvnYxt&$Lc zdsr1keF7a%|7@zLhypcc()kR^r40xbP~`_D%Y|lvLQSHmYBDG)SCu>RAgYS|+A83> zmXe>(Q7Aj3A>`M>qOYhbh~qdvmwyBDE3PQNHfT_O&d=q)B9>pvuiTC0XE!vA{0bJH zujL1!xc-fvZm#{6$ZdT8r>yVSquy82l$X4~`oZ+%g={d{Ho}JS{$xYEzuMR+HcIb` z+Tgx50vpEvlMV6zYGXufT=tx(4R$4?uwh03vLPdY+87lZ#n@4=9)72~Z4+#mF@S8y z7@#&biH$JWF>kw&6?xl5t!x%6 zmwzQ~g(LI|HFVn+SkbP4X~uT?=H`#%0Rx+0SZgq$Y=Vg$RIaB?VS-zC9454nz{FMb z1sC!$v1J%81(R$dW+=&IfQegY1SPua{Pk_lP@@{)3}BB@|}YRAAVw*8D?pT zJN{L$FxOgemX@65CMBFW4qBUMT3ihSI$K3ORD}fro4w5Kxf9uSSqhX;Rp9na@wty( zgY5Gn#czi$C_Wwi;AXfM2AsqisLjtdQ0n3mTn7WEXku*w-D?b@iAIq-L>-yc!vj1W zG=f2s)*iaK@Yf?dx}?%jvj<}qA7{NLpU+?1zm*<#kp98W;&dHUm0H#}=VHlFA!cY< N+K{S3Lk~Ul{{U-x7!v>h literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html new file mode 100644 index 0000000..cee33ff --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_mrp.html @@ -0,0 +1,425 @@ + +Project Summary + + +
    
    +            Lattice Mapping Report File for Design Module 'RAM2GS'
    +
    +
    +
    +Design Information
    +
    +Command line:   map -a MachXO -p LCMXO640C -t TQFP100 -s 3 -oc Commercial
    +     RAM2GS_LCMXO640C_impl1.ngd -o RAM2GS_LCMXO640C_impl1_map.ncd -pr
    +     RAM2GS_LCMXO640C_impl1.prf -mp RAM2GS_LCMXO640C_impl1.mrp -lpf C:/Users/Dog
    +     /Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1.
    +     lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_L
    +     CMXO640C.lpf -c 0 -gui -msgset
    +     C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml 
    +Target Vendor:  LATTICE
    +Target Device:  LCMXO640CTQFP100
    +Target Performance:   3
    +Mapper:  mj5g00,  version:  Diamond (64-bit) 3.12.0.240.2
    +Mapped on:  08/16/21  21:33:30
    +
    +
    +Design Summary
    +   Number of PFU registers:   102 out of   640 (16%)
    +   Number of SLICEs:        65 out of   320 (20%)
    +      SLICEs as Logic/ROM:     65 out of   320 (20%)
    +      SLICEs as RAM:            0 out of   192 (0%)
    +      SLICEs as Carry:          9 out of   320 (3%)
    +   Number of LUT4s:        129 out of   640 (20%)
    +      Number used as logic LUTs:        111
    +      Number used as distributed RAM:     0
    +      Number used as ripple logic:       18
    +      Number used as shift registers:     0
    +   Number of external PIOs: 67 out of 74 (91%)
    +   Number of GSRs:  0 out of 1 (0%)
    +   JTAG used :      No
    +   Readback used :  No
    +   Oscillator used :  No
    +   Startup used :   No
    +   Number of TSALL: 0 out of 1 (0%)
    +   Notes:-
    +      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
    +     distributed RAMs) + 2*(Number of ripple logic)
    +      2. Number of logic LUT4s does not include count of distributed RAM and
    +     ripple logic.
    +   Number of clocks:  4
    +     Net RCLK_c: 39 loads, 39 rising, 0 falling (Driver: PIO RCLK )
    +     Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 )
    +     Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS )
    +     Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS )
    +   Number of Clock Enables:  13
    +     Net PHI2_N_114_enable_7: 2 loads, 2 LSLICEs
    +     Net RCLK_c_enable_6: 1 loads, 1 LSLICEs
    +     Net RCLK_c_enable_4: 3 loads, 3 LSLICEs
    +     Net RCLK_c_enable_24: 2 loads, 2 LSLICEs
    +     Net RCLK_c_enable_3: 1 loads, 1 LSLICEs
    +     Net RCLK_c_enable_7: 1 loads, 1 LSLICEs
    +     Net RCLK_c_enable_23: 8 loads, 8 LSLICEs
    +     Net PHI2_N_114_enable_1: 1 loads, 1 LSLICEs
    +     Net PHI2_N_114_enable_8: 1 loads, 1 LSLICEs
    +     Net PHI2_N_114_enable_6: 2 loads, 2 LSLICEs
    +     Net PHI2_N_114_enable_2: 1 loads, 1 LSLICEs
    +
    +     Net RCLK_c_enable_25: 1 loads, 1 LSLICEs
    +     Net Ready_N_268: 1 loads, 1 LSLICEs
    +   Number of LSRs:  9
    +     Net RASr2: 1 loads, 1 LSLICEs
    +     Net C1Submitted_N_225: 2 loads, 2 LSLICEs
    +     Net n2299: 1 loads, 1 LSLICEs
    +     Net nRowColSel_N_35: 1 loads, 1 LSLICEs
    +     Net nRowColSel_N_34: 1 loads, 1 LSLICEs
    +     Net LEDEN_N_88: 1 loads, 1 LSLICEs
    +     Net n2291: 2 loads, 2 LSLICEs
    +     Net Ready: 7 loads, 7 LSLICEs
    +     Net nRWE_N_173: 1 loads, 1 LSLICEs
    +   Number of nets driven by tri-state buffers:  0
    +   Top 10 highest fanout non-clock nets:
    +     Net Ready: 19 loads
    +     Net InitReady: 17 loads
    +     Net RASr2: 16 loads
    +     Net nRowColSel_N_35: 14 loads
    +     Net nRowColSel: 13 loads
    +     Net Din_c_6: 9 loads
    +     Net MAin_c_1: 9 loads
    +     Net Din_c_5: 8 loads
    +     Net FS_11: 8 loads
    +     Net MAin_c_0: 8 loads
    +
    +
    +
    +
    +   Number of warnings:  0
    +   Number of errors:    0
    +     
    +
    +
    +
    +
    +Design Errors/Warnings
    +
    +   No errors or warnings present.
    +
    +
    +
    +IO (PIO) Attributes
    +
    ++---------------------+-----------+-----------+------------+------------+
    +| IO Name             | Direction | Levelmode | IO         | FIXEDDELAY |
    +|                     |           |  IO_TYPE  | Register   |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RD[7]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RD[6]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RD[5]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RD[4]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RD[3]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RD[2]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +
    +| RD[1]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RD[0]               | BIDIR     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[7]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[6]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[5]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[4]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[3]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[2]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[1]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Dout[0]             | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| LED                 | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RBA[1]              | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RBA[0]              | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[11]              | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[10]              | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[9]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[8]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[7]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[6]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[5]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[4]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[3]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[2]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[1]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RA[0]               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| nRCS                | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RCKE                | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| nRWE                | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +
    +| nRRAS               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| nRCAS               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RDQMH               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RDQML               | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| nUFMCS              | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| UFMCLK              | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| UFMSDI              | OUTPUT    | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| PHI2                | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[9]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[8]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[7]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[6]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[5]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[4]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[3]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[2]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[1]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| MAin[0]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| CROW[1]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| CROW[0]             | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[7]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[6]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[5]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[4]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[3]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[2]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[1]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| Din[0]              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +
    +| nCCAS               | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| nCRAS               | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| nFWE                | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| RCLK                | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +| UFMSDO              | INPUT     | LVTTL33   |            |            |
    ++---------------------+-----------+-----------+------------+------------+
    +
    +
    +
    +Removed logic
    +
    +Block i2 undriven or does not drive anything - clipped.
    +Block GSR_INST undriven or does not drive anything - clipped.
    +Signal PHI2_N_114 was merged into signal PHI2_c
    +Signal nCRAS_N_9 was merged into signal nCRAS_c
    +Signal nCCAS_N_3 was merged into signal nCCAS_c
    +Signal n2302 was merged into signal nRowColSel_N_35
    +Signal nRWE_N_172 was merged into signal nRWE_N_173
    +Signal n2307 was merged into signal Ready
    +Signal RASr2_N_63 was merged into signal RASr2
    +Signal n1377 was merged into signal nRowColSel_N_34
    +Signal n2306 was merged into signal nFWE_c
    +Signal UFMSDO_N_74 was merged into signal UFMSDO_c
    +Signal GND_net undriven or does not drive anything - clipped.
    +Signal VCC_net undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_14/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_16/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_12/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_2/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_4/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_6/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_18/CO1 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_18/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_8/CO0 undriven or does not drive anything - clipped.
    +Signal FS_577_add_4_10/CO0 undriven or does not drive anything - clipped.
    +Block i1962 was optimized away.
    +Block i1961 was optimized away.
    +Block i1963 was optimized away.
    +Block i1070_1_lut_rep_25 was optimized away.
    +Block nRWE_I_49_1_lut was optimized away.
    +Block i604_1_lut_rep_30 was optimized away.
    +Block RASr2_I_0_1_lut was optimized away.
    +Block i1069_1_lut was optimized away.
    +Block i1_1_lut_rep_29 was optimized away.
    +Block UFMSDO_I_0_1_lut was optimized away.
    +Block i1 was optimized away.
    +
    +
    +
    +Run Time and Memory Usage
    +-------------------------
    +
    +   Total CPU Time: 0 secs  
    +   Total REAL Time: 0 secs  
    +   Peak Memory Usage: 30 MB
    +
    +        
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +     Copyright (c) 2001 Agere Systems   All rights reserved.
    +     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights
    +     reserved.
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html new file mode 100644 index 0000000..ab78925 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_pad.html @@ -0,0 +1,418 @@ + +PAD Specification File + + +
    PAD Specification File
    +***************************
    +
    +PART TYPE:        LCMXO640C
    +Performance Grade:      3
    +PACKAGE:          TQFP100
    +Package Status:                     Final          Version 1.17
    +
    +Mon Aug 16 21:33:36 2021
    +
    +Pinout by Port Name:
    ++-----------+----------+--------------+-------+----------------------------------+
    +| Port Name | Pin/Bank | Buffer Type  | Site  | Properties                       |
    ++-----------+----------+--------------+-------+----------------------------------+
    +| CROW[0]   | 32/2     | LVTTL33_IN   | PB4C  | SLEW:FAST                        |
    +| CROW[1]   | 34/2     | LVTTL33_IN   | PB4E  | SLEW:FAST                        |
    +| Din[0]    | 21/3     | LVTTL33_IN   | PL10C | SLEW:FAST                        |
    +| Din[1]    | 15/3     | LVTTL33_IN   | PL7B  | SLEW:FAST                        |
    +| Din[2]    | 14/3     | LVTTL33_IN   | PL5B  | SLEW:FAST                        |
    +| Din[3]    | 16/3     | LVTTL33_IN   | PL8C  | SLEW:FAST                        |
    +| Din[4]    | 18/3     | LVTTL33_IN   | PL9A  | SLEW:FAST                        |
    +| Din[5]    | 17/3     | LVTTL33_IN   | PL8D  | SLEW:FAST                        |
    +| Din[6]    | 20/3     | LVTTL33_IN   | PL10A | SLEW:FAST                        |
    +| Din[7]    | 19/3     | LVTTL33_IN   | PL9C  | SLEW:FAST                        |
    +| Dout[0]   | 1/3      | LVTTL33_OUT  | PL2A  | DRIVE:4mA SLEW:SLOW              |
    +| Dout[1]   | 7/3      | LVTTL33_OUT  | PL3C  | DRIVE:4mA SLEW:SLOW              |
    +| Dout[2]   | 8/3      | LVTTL33_OUT  | PL3D  | DRIVE:4mA SLEW:SLOW              |
    +| Dout[3]   | 6/3      | LVTTL33_OUT  | PL3B  | DRIVE:4mA SLEW:SLOW              |
    +| Dout[4]   | 4/3      | LVTTL33_OUT  | PL2D  | DRIVE:4mA SLEW:SLOW              |
    +| Dout[5]   | 5/3      | LVTTL33_OUT  | PL3A  | DRIVE:4mA SLEW:SLOW              |
    +| Dout[6]   | 2/3      | LVTTL33_OUT  | PL2C  | DRIVE:4mA SLEW:SLOW              |
    +| Dout[7]   | 3/3      | LVTTL33_OUT  | PL2B  | DRIVE:4mA SLEW:SLOW              |
    +| LED       | 57/1     | LVTTL33_OUT  | PR10B | DRIVE:16mA SLEW:SLOW             |
    +| MAin[0]   | 23/3     | LVTTL33_IN   | PL11C | SLEW:FAST                        |
    +| MAin[1]   | 38/2     | LVTTL33_IN   | PB6B  | SLEW:FAST                        |
    +| MAin[2]   | 37/2     | LVTTL33_IN   | PB5D  | SLEW:FAST                        |
    +| MAin[3]   | 47/2     | LVTTL33_IN   | PB9C  | SLEW:FAST                        |
    +| MAin[4]   | 46/2     | LVTTL33_IN   | PB9A  | SLEW:FAST                        |
    +| MAin[5]   | 45/2     | LVTTL33_IN   | PB8D  | SLEW:FAST                        |
    +| MAin[6]   | 49/2     | LVTTL33_IN   | PB9D  | SLEW:FAST                        |
    +| MAin[7]   | 44/2     | LVTTL33_IN   | PB8C  | SLEW:FAST                        |
    +| MAin[8]   | 50/2     | LVTTL33_IN   | PB9F  | SLEW:FAST                        |
    +| MAin[9]   | 51/1     | LVTTL33_IN   | PR11D | SLEW:FAST                        |
    +| PHI2      | 39/2     | LVTTL33_IN   | PB6C  | SLEW:FAST                        |
    +| RA[0]     | 98/0     | LVTTL33_OUT  | PT2B  | DRIVE:4mA SLEW:SLOW              |
    +| RA[10]    | 87/0     | LVTTL33_OUT  | PT5A  | DRIVE:4mA SLEW:SLOW              |
    +| RA[11]    | 79/0     | LVTTL33_OUT  | PT9A  | DRIVE:4mA SLEW:SLOW              |
    +| RA[1]     | 89/0     | LVTTL33_OUT  | PT4F  | DRIVE:4mA SLEW:SLOW              |
    +| RA[2]     | 94/0     | LVTTL33_OUT  | PT3B  | DRIVE:4mA SLEW:SLOW              |
    +| RA[3]     | 97/0     | LVTTL33_OUT  | PT2E  | DRIVE:4mA SLEW:SLOW              |
    +| RA[4]     | 99/0     | LVTTL33_OUT  | PT2C  | DRIVE:4mA SLEW:SLOW              |
    +| RA[5]     | 95/0     | LVTTL33_OUT  | PT3A  | DRIVE:4mA SLEW:SLOW              |
    +| RA[6]     | 91/0     | LVTTL33_OUT  | PT3F  | DRIVE:4mA SLEW:SLOW              |
    +| RA[7]     | 100/0    | LVTTL33_OUT  | PT2A  | DRIVE:4mA SLEW:SLOW              |
    +| RA[8]     | 96/0     | LVTTL33_OUT  | PT2F  | DRIVE:4mA SLEW:SLOW              |
    +| RA[9]     | 85/0     | LVTTL33_OUT  | PT6B  | DRIVE:4mA SLEW:SLOW              |
    +| RBA[0]    | 63/1     | LVTTL33_OUT  | PR7B  | DRIVE:4mA SLEW:SLOW              |
    +| RBA[1]    | 83/0     | LVTTL33_OUT  | PT7A  | DRIVE:4mA SLEW:SLOW              |
    +| RCKE      | 82/0     | LVTTL33_OUT  | PT7E  | DRIVE:4mA SLEW:SLOW              |
    +| RCLK      | 86/0     | LVTTL33_IN   | PT5B  | SLEW:FAST                        |
    +| RDQMH     | 76/0     | LVTTL33_OUT  | PT9F  | DRIVE:4mA SLEW:SLOW              |
    +| RDQML     | 61/1     | LVTTL33_OUT  | PR9B  | DRIVE:4mA SLEW:SLOW              |
    +| RD[0]     | 64/1     | LVTTL33_BIDI | PR6C  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| RD[1]     | 65/1     | LVTTL33_BIDI | PR6B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| RD[2]     | 66/1     | LVTTL33_BIDI | PR5D  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| RD[3]     | 67/1     | LVTTL33_BIDI | PR5B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| RD[4]     | 68/1     | LVTTL33_BIDI | PR4D  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| RD[5]     | 69/1     | LVTTL33_BIDI | PR4B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| RD[6]     | 70/1     | LVTTL33_BIDI | PR3D  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| RD[7]     | 71/1     | LVTTL33_BIDI | PR3B  | DRIVE:4mA SLEW:SLOW PULL:KEEPER  |
    +| UFMCLK    | 58/1     | LVTTL33_OUT  | PR10A | DRIVE:4mA SLEW:SLOW              |
    +| UFMSDI    | 56/1     | LVTTL33_OUT  | PR10C | DRIVE:4mA SLEW:SLOW              |
    +| UFMSDO    | 55/1     | LVTTL33_IN   | PR10D | SLEW:FAST PULL:KEEPER            |
    +| nCCAS     | 27/2     | LVTTL33_IN   | PB2C  | SLEW:FAST                        |
    +| nCRAS     | 43/2     | LVTTL33_IN   | PB8B  | SLEW:FAST                        |
    +| nFWE      | 22/3     | LVTTL33_IN   | PL11A | SLEW:FAST                        |
    +| nRCAS     | 78/0     | LVTTL33_OUT  | PT9C  | DRIVE:4mA SLEW:SLOW              |
    +| nRCS      | 77/0     | LVTTL33_OUT  | PT9E  | DRIVE:4mA SLEW:SLOW              |
    +| nRRAS     | 73/1     | LVTTL33_OUT  | PR2B  | DRIVE:4mA SLEW:SLOW              |
    +| nRWE      | 72/1     | LVTTL33_OUT  | PR2D  | DRIVE:4mA SLEW:SLOW              |
    +| nUFMCS    | 53/1     | LVTTL33_OUT  | PR11C | DRIVE:4mA SLEW:SLOW              |
    ++-----------+----------+--------------+-------+----------------------------------+
    +
    +Vccio by Bank:
    ++------+-------+
    +| Bank | Vccio |
    ++------+-------+
    +| 0    | 3.3V  |
    +| 1    | 3.3V  |
    +| 2    |       |
    +| 3    | 3.3V  |
    ++------+-------+
    +
    +
    +Vref by Bank:
    ++------+-----+-----------------+---------+
    +| Vref | Pin | Bank # / Vref # | Load(s) |
    ++------+-----+-----------------+---------+
    ++------+-----+-----------------+---------+
    +
    +Pinout by Pin Number:
    ++----------+---------------------+------------+--------------+-------+---------------+
    +| Pin/Bank | Pin Info            | Preference | Buffer Type  | Site  | Dual Function |
    ++----------+---------------------+------------+--------------+-------+---------------+
    +| 1/3      | Dout[0]             | LOCATED    | LVTTL33_OUT  | PL2A  |               |
    +| 2/3      | Dout[6]             | LOCATED    | LVTTL33_OUT  | PL2C  |               |
    +| 3/3      | Dout[7]             | LOCATED    | LVTTL33_OUT  | PL2B  |               |
    +| 4/3      | Dout[4]             | LOCATED    | LVTTL33_OUT  | PL2D  |               |
    +| 5/3      | Dout[5]             | LOCATED    | LVTTL33_OUT  | PL3A  |               |
    +| 6/3      | Dout[3]             | LOCATED    | LVTTL33_OUT  | PL3B  |               |
    +| 7/3      | Dout[1]             | LOCATED    | LVTTL33_OUT  | PL3C  |               |
    +| 8/3      | Dout[2]             | LOCATED    | LVTTL33_OUT  | PL3D  |               |
    +| 9/3      |     unused, PULL:UP |            |              | PL4A  |               |
    +| 11/3     |     unused, PULL:UP |            |              | PL4C  |               |
    +| 13/3     |     unused, PULL:UP |            |              | PL4D  |               |
    +| 14/3     | Din[2]              | LOCATED    | LVTTL33_IN   | PL5B  | GSR_PADN      |
    +| 15/3     | Din[1]              | LOCATED    | LVTTL33_IN   | PL7B  |               |
    +| 16/3     | Din[3]              | LOCATED    | LVTTL33_IN   | PL8C  | TSALLPAD      |
    +| 17/3     | Din[5]              | LOCATED    | LVTTL33_IN   | PL8D  |               |
    +| 18/3     | Din[4]              | LOCATED    | LVTTL33_IN   | PL9A  |               |
    +| 19/3     | Din[7]              | LOCATED    | LVTTL33_IN   | PL9C  |               |
    +| 20/3     | Din[6]              | LOCATED    | LVTTL33_IN   | PL10A |               |
    +| 21/3     | Din[0]              | LOCATED    | LVTTL33_IN   | PL10C |               |
    +| 22/3     | nFWE                | LOCATED    | LVTTL33_IN   | PL11A |               |
    +| 23/3     | MAin[0]             | LOCATED    | LVTTL33_IN   | PL11C |               |
    +| 27/2     | nCCAS               | LOCATED    | LVTTL33_IN   | PB2C  |               |
    +| 32/2     | CROW[0]             | LOCATED    | LVTTL33_IN   | PB4C  |               |
    +| 34/2     | CROW[1]             | LOCATED    | LVTTL33_IN   | PB4E  |               |
    +| 36/2     |     unused, PULL:UP |            |              | PB5B  | PCLKT2_1      |
    +| 37/2     | MAin[2]             | LOCATED    | LVTTL33_IN   | PB5D  |               |
    +| 38/2     | MAin[1]             | LOCATED    | LVTTL33_IN   | PB6B  | PCLKT2_0      |
    +| 39/2     | PHI2                | LOCATED    | LVTTL33_IN   | PB6C  |               |
    +| 43/2     | nCRAS               | LOCATED    | LVTTL33_IN   | PB8B  |               |
    +| 44/2     | MAin[7]             | LOCATED    | LVTTL33_IN   | PB8C  |               |
    +| 45/2     | MAin[5]             | LOCATED    | LVTTL33_IN   | PB8D  |               |
    +| 46/2     | MAin[4]             | LOCATED    | LVTTL33_IN   | PB9A  |               |
    +| 47/2     | MAin[3]             | LOCATED    | LVTTL33_IN   | PB9C  |               |
    +| 49/2     | MAin[6]             | LOCATED    | LVTTL33_IN   | PB9D  |               |
    +| 50/2     | MAin[8]             | LOCATED    | LVTTL33_IN   | PB9F  |               |
    +| 51/1     | MAin[9]             | LOCATED    | LVTTL33_IN   | PR11D |               |
    +| 52/1     |     unused, PULL:UP |            |              | PR11B |               |
    +| 53/1     | nUFMCS              | LOCATED    | LVTTL33_OUT  | PR11C |               |
    +| 54/1     |     unused, PULL:UP |            |              | PR11A |               |
    +| 55/1     | UFMSDO              | LOCATED    | LVTTL33_IN   | PR10D |               |
    +| 56/1     | UFMSDI              | LOCATED    | LVTTL33_OUT  | PR10C |               |
    +| 57/1     | LED                 | LOCATED    | LVTTL33_OUT  | PR10B |               |
    +| 58/1     | UFMCLK              | LOCATED    | LVTTL33_OUT  | PR10A |               |
    +| 59/1     |     unused, PULL:UP |            |              | PR9D  |               |
    +| 61/1     | RDQML               | LOCATED    | LVTTL33_OUT  | PR9B  |               |
    +| 63/1     | RBA[0]              | LOCATED    | LVTTL33_OUT  | PR7B  |               |
    +| 64/1     | RD[0]               | LOCATED    | LVTTL33_BIDI | PR6C  |               |
    +| 65/1     | RD[1]               | LOCATED    | LVTTL33_BIDI | PR6B  |               |
    +| 66/1     | RD[2]               | LOCATED    | LVTTL33_BIDI | PR5D  |               |
    +| 67/1     | RD[3]               | LOCATED    | LVTTL33_BIDI | PR5B  |               |
    +| 68/1     | RD[4]               | LOCATED    | LVTTL33_BIDI | PR4D  |               |
    +| 69/1     | RD[5]               | LOCATED    | LVTTL33_BIDI | PR4B  |               |
    +| 70/1     | RD[6]               | LOCATED    | LVTTL33_BIDI | PR3D  |               |
    +| 71/1     | RD[7]               | LOCATED    | LVTTL33_BIDI | PR3B  |               |
    +| 72/1     | nRWE                | LOCATED    | LVTTL33_OUT  | PR2D  |               |
    +| 73/1     | nRRAS               | LOCATED    | LVTTL33_OUT  | PR2B  |               |
    +| 76/0     | RDQMH               | LOCATED    | LVTTL33_OUT  | PT9F  |               |
    +| 77/0     | nRCS                | LOCATED    | LVTTL33_OUT  | PT9E  |               |
    +| 78/0     | nRCAS               | LOCATED    | LVTTL33_OUT  | PT9C  |               |
    +| 79/0     | RA[11]              | LOCATED    | LVTTL33_OUT  | PT9A  |               |
    +| 82/0     | RCKE                | LOCATED    | LVTTL33_OUT  | PT7E  | D7            |
    +| 83/0     | RBA[1]              | LOCATED    | LVTTL33_OUT  | PT7A  | D6            |
    +| 85/0     | RA[9]               | LOCATED    | LVTTL33_OUT  | PT6B  | PCLKT0_1      |
    +| 86/0     | RCLK                | LOCATED    | LVTTL33_IN   | PT5B  | PCLKT0_0      |
    +| 87/0     | RA[10]              | LOCATED    | LVTTL33_OUT  | PT5A  |               |
    +| 89/0     | RA[1]               | LOCATED    | LVTTL33_OUT  | PT4F  |               |
    +| 91/0     | RA[6]               | LOCATED    | LVTTL33_OUT  | PT3F  | D3            |
    +| 94/0     | RA[2]               | LOCATED    | LVTTL33_OUT  | PT3B  |               |
    +| 95/0     | RA[5]               | LOCATED    | LVTTL33_OUT  | PT3A  |               |
    +| 96/0     | RA[8]               | LOCATED    | LVTTL33_OUT  | PT2F  | D2            |
    +| 97/0     | RA[3]               | LOCATED    | LVTTL33_OUT  | PT2E  |               |
    +| 98/0     | RA[0]               | LOCATED    | LVTTL33_OUT  | PT2B  | D1            |
    +| 99/0     | RA[4]               | LOCATED    | LVTTL33_OUT  | PT2C  |               |
    +| 100/0    | RA[7]               | LOCATED    | LVTTL33_OUT  | PT2A  |               |
    +| PB2A/2   |     unused, PULL:UP |            |              | PB2A  |               |
    +| PB2B/2   |     unused, PULL:UP |            |              | PB2B  |               |
    +| PB2D/2   |     unused, PULL:UP |            |              | PB2D  |               |
    +| PB3A/2   |     unused, PULL:UP |            |              | PB3A  |               |
    +| PB3B/2   |     unused, PULL:UP |            |              | PB3B  |               |
    +| PB3C/2   |     unused, PULL:UP |            |              | PB3C  |               |
    +| PB3D/2   |     unused, PULL:UP |            |              | PB3D  |               |
    +| PB4A/2   |     unused, PULL:UP |            |              | PB4A  |               |
    +| PB4B/2   |     unused, PULL:UP |            |              | PB4B  |               |
    +| PB4D/2   |     unused, PULL:UP |            |              | PB4D  |               |
    +| PB4F/2   |     unused, PULL:UP |            |              | PB4F  |               |
    +| PB5A/2   |     unused, PULL:UP |            |              | PB5A  |               |
    +| PB5C/2   |     unused, PULL:UP |            |              | PB5C  |               |
    +| PB6A/2   |     unused, PULL:UP |            |              | PB6A  |               |
    +| PB6D/2   |     unused, PULL:UP |            |              | PB6D  |               |
    +| PB7A/2   |     unused, PULL:UP |            |              | PB7A  |               |
    +| PB7B/2   |     unused, PULL:UP |            |              | PB7B  |               |
    +| PB7C/2   |     unused, PULL:UP |            |              | PB7C  |               |
    +| PB7D/2   |     unused, PULL:UP |            |              | PB7D  |               |
    +| PB7E/2   |     unused, PULL:UP |            |              | PB7E  |               |
    +| PB7F/2   |     unused, PULL:UP |            |              | PB7F  |               |
    +| PB8A/2   |     unused, PULL:UP |            |              | PB8A  |               |
    +| PB9B/2   |     unused, PULL:UP |            |              | PB9B  |               |
    +| PB9E/0   |     unused, PULL:UP |            |              | PB9E  |               |
    +| PL4B/3   |     unused, PULL:UP |            |              | PL4B  |               |
    +| PL5A/3   |     unused, PULL:UP |            |              | PL5A  |               |
    +| PL5C/3   |     unused, PULL:UP |            |              | PL5C  |               |
    +| PL5D/3   |     unused, PULL:UP |            |              | PL5D  |               |
    +| PL6A/3   |     unused, PULL:UP |            |              | PL6A  |               |
    +| PL6B/3   |     unused, PULL:UP |            |              | PL6B  |               |
    +| PL6C/3   |     unused, PULL:UP |            |              | PL6C  |               |
    +| PL6D/3   |     unused, PULL:UP |            |              | PL6D  |               |
    +| PL7A/3   |     unused, PULL:UP |            |              | PL7A  |               |
    +| PL7C/3   |     unused, PULL:UP |            |              | PL7C  |               |
    +| PL7D/3   |     unused, PULL:UP |            |              | PL7D  |               |
    +| PL8A/3   |     unused, PULL:UP |            |              | PL8A  |               |
    +| PL8B/3   |     unused, PULL:UP |            |              | PL8B  |               |
    +| PL9B/3   |     unused, PULL:UP |            |              | PL9B  |               |
    +| PL9D/3   |     unused, PULL:UP |            |              | PL9D  |               |
    +| PL10B/3  |     unused, PULL:UP |            |              | PL10B |               |
    +| PL10D/3  |     unused, PULL:UP |            |              | PL10D |               |
    +| PL11B/3  |     unused, PULL:UP |            |              | PL11B |               |
    +| PL11D/3  |     unused, PULL:UP |            |              | PL11D |               |
    +| PR2A/1   |     unused, PULL:UP |            |              | PR2A  |               |
    +| PR2C/1   |     unused, PULL:UP |            |              | PR2C  |               |
    +| PR3A/1   |     unused, PULL:UP |            |              | PR3A  |               |
    +| PR3C/1   |     unused, PULL:UP |            |              | PR3C  |               |
    +| PR4A/1   |     unused, PULL:UP |            |              | PR4A  |               |
    +| PR4C/1   |     unused, PULL:UP |            |              | PR4C  |               |
    +| PR5A/1   |     unused, PULL:UP |            |              | PR5A  |               |
    +| PR5C/1   |     unused, PULL:UP |            |              | PR5C  |               |
    +| PR6A/1   |     unused, PULL:UP |            |              | PR6A  |               |
    +| PR6D/1   |     unused, PULL:UP |            |              | PR6D  |               |
    +| PR7A/1   |     unused, PULL:UP |            |              | PR7A  |               |
    +| PR7C/1   |     unused, PULL:UP |            |              | PR7C  |               |
    +| PR7D/1   |     unused, PULL:UP |            |              | PR7D  |               |
    +| PR8A/1   |     unused, PULL:UP |            |              | PR8A  |               |
    +| PR8B/1   |     unused, PULL:UP |            |              | PR8B  |               |
    +| PR8C/1   |     unused, PULL:UP |            |              | PR8C  |               |
    +| PR8D/1   |     unused, PULL:UP |            |              | PR8D  |               |
    +| PR9A/1   |     unused, PULL:UP |            |              | PR9A  |               |
    +| PR9C/1   |     unused, PULL:UP |            |              | PR9C  |               |
    +| PT2D/0   |     unused, PULL:UP |            |              | PT2D  |               |
    +| PT3C/0   |     unused, PULL:UP |            |              | PT3C  |               |
    +| PT3D/0   |     unused, PULL:UP |            |              | PT3D  |               |
    +| PT3E/0   |     unused, PULL:UP |            |              | PT3E  |               |
    +| PT4A/0   |     unused, PULL:UP |            |              | PT4A  |               |
    +| PT4B/0   |     unused, PULL:UP |            |              | PT4B  |               |
    +| PT4C/0   |     unused, PULL:UP |            |              | PT4C  |               |
    +| PT4D/0   |     unused, PULL:UP |            |              | PT4D  |               |
    +| PT4E/0   |     unused, PULL:UP |            |              | PT4E  |               |
    +| PT5C/0   |     unused, PULL:UP |            |              | PT5C  |               |
    +| PT5D/0   |     unused, PULL:UP |            |              | PT5D  |               |
    +| PT6A/0   |     unused, PULL:UP |            |              | PT6A  |               |
    +| PT6C/0   |     unused, PULL:UP |            |              | PT6C  |               |
    +| PT6D/0   |     unused, PULL:UP |            |              | PT6D  |               |
    +| PT7B/0   |     unused, PULL:UP |            |              | PT7B  |               |
    +| PT7C/0   |     unused, PULL:UP |            |              | PT7C  |               |
    +| PT7D/0   |     unused, PULL:UP |            |              | PT7D  |               |
    +| PT7F/0   |     unused, PULL:UP |            |              | PT7F  |               |
    +| PT8A/0   |     unused, PULL:UP |            |              | PT8A  |               |
    +| PT8B/0   |     unused, PULL:UP |            |              | PT8B  |               |
    +| PT8C/0   |     unused, PULL:UP |            |              | PT8C  |               |
    +| PT8D/0   |     unused, PULL:UP |            |              | PT8D  |               |
    +| PT9B/0   |     unused, PULL:UP |            |              | PT9B  |               |
    +| PT9D/0   |     unused, PULL:UP |            |              | PT9D  |               |
    +| TCK/2    |                     |            |              | TCK   | TCK           |
    +| TDI/2    |                     |            |              | TDI   | TDID0         |
    +| TDO/2    |                     |            |              | TDO   | TDO           |
    +| TMS/2    |                     |            |              | TMS   | TMS           |
    ++----------+---------------------+------------+--------------+-------+---------------+
    +
    +
    +List of All Pins' Locate Preferences Based on Final Placement After PAR 
    +to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): 
    +
    +LOCATE  COMP  "CROW[0]"  SITE  "32";
    +LOCATE  COMP  "CROW[1]"  SITE  "34";
    +LOCATE  COMP  "Din[0]"  SITE  "21";
    +LOCATE  COMP  "Din[1]"  SITE  "15";
    +LOCATE  COMP  "Din[2]"  SITE  "14";
    +LOCATE  COMP  "Din[3]"  SITE  "16";
    +LOCATE  COMP  "Din[4]"  SITE  "18";
    +LOCATE  COMP  "Din[5]"  SITE  "17";
    +LOCATE  COMP  "Din[6]"  SITE  "20";
    +LOCATE  COMP  "Din[7]"  SITE  "19";
    +LOCATE  COMP  "Dout[0]"  SITE  "1";
    +LOCATE  COMP  "Dout[1]"  SITE  "7";
    +LOCATE  COMP  "Dout[2]"  SITE  "8";
    +LOCATE  COMP  "Dout[3]"  SITE  "6";
    +LOCATE  COMP  "Dout[4]"  SITE  "4";
    +LOCATE  COMP  "Dout[5]"  SITE  "5";
    +LOCATE  COMP  "Dout[6]"  SITE  "2";
    +LOCATE  COMP  "Dout[7]"  SITE  "3";
    +LOCATE  COMP  "LED"  SITE  "57";
    +LOCATE  COMP  "MAin[0]"  SITE  "23";
    +LOCATE  COMP  "MAin[1]"  SITE  "38";
    +LOCATE  COMP  "MAin[2]"  SITE  "37";
    +LOCATE  COMP  "MAin[3]"  SITE  "47";
    +LOCATE  COMP  "MAin[4]"  SITE  "46";
    +LOCATE  COMP  "MAin[5]"  SITE  "45";
    +LOCATE  COMP  "MAin[6]"  SITE  "49";
    +LOCATE  COMP  "MAin[7]"  SITE  "44";
    +LOCATE  COMP  "MAin[8]"  SITE  "50";
    +LOCATE  COMP  "MAin[9]"  SITE  "51";
    +LOCATE  COMP  "PHI2"  SITE  "39";
    +LOCATE  COMP  "RA[0]"  SITE  "98";
    +LOCATE  COMP  "RA[10]"  SITE  "87";
    +LOCATE  COMP  "RA[11]"  SITE  "79";
    +LOCATE  COMP  "RA[1]"  SITE  "89";
    +LOCATE  COMP  "RA[2]"  SITE  "94";
    +LOCATE  COMP  "RA[3]"  SITE  "97";
    +LOCATE  COMP  "RA[4]"  SITE  "99";
    +LOCATE  COMP  "RA[5]"  SITE  "95";
    +LOCATE  COMP  "RA[6]"  SITE  "91";
    +LOCATE  COMP  "RA[7]"  SITE  "100";
    +LOCATE  COMP  "RA[8]"  SITE  "96";
    +LOCATE  COMP  "RA[9]"  SITE  "85";
    +LOCATE  COMP  "RBA[0]"  SITE  "63";
    +LOCATE  COMP  "RBA[1]"  SITE  "83";
    +LOCATE  COMP  "RCKE"  SITE  "82";
    +LOCATE  COMP  "RCLK"  SITE  "86";
    +LOCATE  COMP  "RDQMH"  SITE  "76";
    +LOCATE  COMP  "RDQML"  SITE  "61";
    +LOCATE  COMP  "RD[0]"  SITE  "64";
    +LOCATE  COMP  "RD[1]"  SITE  "65";
    +LOCATE  COMP  "RD[2]"  SITE  "66";
    +LOCATE  COMP  "RD[3]"  SITE  "67";
    +LOCATE  COMP  "RD[4]"  SITE  "68";
    +LOCATE  COMP  "RD[5]"  SITE  "69";
    +LOCATE  COMP  "RD[6]"  SITE  "70";
    +LOCATE  COMP  "RD[7]"  SITE  "71";
    +LOCATE  COMP  "UFMCLK"  SITE  "58";
    +LOCATE  COMP  "UFMSDI"  SITE  "56";
    +LOCATE  COMP  "UFMSDO"  SITE  "55";
    +LOCATE  COMP  "nCCAS"  SITE  "27";
    +LOCATE  COMP  "nCRAS"  SITE  "43";
    +LOCATE  COMP  "nFWE"  SITE  "22";
    +LOCATE  COMP  "nRCAS"  SITE  "78";
    +LOCATE  COMP  "nRCS"  SITE  "77";
    +LOCATE  COMP  "nRRAS"  SITE  "73";
    +LOCATE  COMP  "nRWE"  SITE  "72";
    +LOCATE  COMP  "nUFMCS"  SITE  "53";
    +
    +
    +
    +
    +
    +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Mon Aug 16 21:33:36 2021
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html new file mode 100644 index 0000000..ec4ec76 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_par.html @@ -0,0 +1,315 @@ + +Place & Route Report + + +
    PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Mon Aug 16 21:33:31 2021
    +
    +C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO640C_impl1.p2t
    +RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir
    +RAM2GS_LCMXO640C_impl1.prf -gui -msgset
    +C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml
    +
    +
    +Preference file: RAM2GS_LCMXO640C_impl1.prf.
    +
    +Cost Table Summary
    +Level/       Number       Worst        Timing       Worst        Timing       Run          NCD
    +Cost [ncd]   Unrouted     Slack        Score        Slack(hold)  Score(hold)  Time         Status
    +----------   --------     -----        ------       -----------  -----------  ----         ------
    +5_1   *      0            1.213        0            0.339        0            06           Completed
    +* : Design saved.
    +
    +Total (real) run time for 1-seed: 6 secs 
    +
    +par done!
    +
    +Note: user must run 'Trace' for timing closure signoff.
    +
    +Lattice Place and Route Report for Design "RAM2GS_LCMXO640C_impl1_map.ncd"
    +Mon Aug 16 21:33:31 2021
    +
    +
    +Best Par Run
    +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.dir/5_1.ncd RAM2GS_LCMXO640C_impl1.prf
    +Preference file: RAM2GS_LCMXO640C_impl1.prf.
    +Placement level-cost: 5-1.
    +Routing Iterations: 6
    +
    +Loading design for application par from file RAM2GS_LCMXO640C_impl1_map.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application par from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +License checked out.
    +
    +
    +Ignore Preference Error(s):  True
    +
    +Device utilization summary:
    +
    +   PIO (prelim)      67/159          42% used
    +                     67/74           90% bonded
    +   SLICE             65/320          20% used
    +
    +
    +
    +Number of Signals: 252
    +Number of Connections: 618
    +
    +Pin Constraint Summary:
    +   67 out of 67 pins locked (100% locked).
    +
    +The following 4 signals are selected to use the primary clock routing resources:
    +    RCLK_c (driver: RCLK, clk load #: 39)
    +    PHI2_c (driver: PHI2, clk load #: 13)
    +    nCCAS_c (driver: nCCAS, clk load #: 4)
    +    nCRAS_c (driver: nCRAS, clk load #: 7)
    +
    +No signal is selected as secondary clock.
    +
    +No signal is selected as Global Set/Reset.
    +Starting Placer Phase 0.
    +.......
    +Finished Placer Phase 0.  REAL time: 0 secs 
    +
    +Starting Placer Phase 1.
    +...............
    +Placer score = 1105164.
    +Finished Placer Phase 1.  REAL time: 5 secs 
    +
    +Starting Placer Phase 2.
    +.
    +Placer score =  1103986
    +Finished Placer Phase 2.  REAL time: 5 secs 
    +
    +
    +
    +Clock Report
    +
    +Global Clock Resources:
    +  CLK_PIN    : 1 out of 4 (25%)
    +  General PIO: 3 out of 160 (1%)
    +
    +Global Clocks:
    +  PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT5B)", clk load = 39
    +  PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB6C)", clk load = 13
    +  PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "27 (PB2C)", clk load = 4
    +  PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB8B)", clk load = 7
    +
    +  PRIMARY  : 4 out of 4 (100%)
    +  SECONDARY: 0 out of 4 (0%)
    +
    +
    +
    +
    +I/O Usage Summary (final):
    +   67 out of 159 (42.1%) PIO sites used.
    +   67 out of 74 (90.5%) bonded PIO sites used.
    +   Number of PIO comps: 67; differential: 0.
    +   Number of Vref pins used: 0.
    +
    +I/O Bank Usage Summary:
    ++----------+----------------+------------+------------+------------+
    +| I/O Bank | Usage          | Bank Vccio | Bank Vref1 | Bank Vref2 |
    ++----------+----------------+------------+------------+------------+
    +| 0        | 18 / 18 (100%) | 3.3V       | -          | -          |
    +| 1        | 18 / 21 ( 85%) | 3.3V       | -          | -          |
    +| 2        | 13 / 14 ( 92%) | -          | -          | -          |
    +| 3        | 18 / 21 ( 85%) | 3.3V       | -          | -          |
    ++----------+----------------+------------+------------+------------+
    +
    +Total placer CPU time: 5 secs 
    +
    +Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd.
    +
    +0 connections routed; 618 unrouted.
    +Starting router resource preassignment
    +WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
    +WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
    +WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the PIO sites dedicated for primary clocks.  This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
    +
    +Completed router resource preassignment. Real time: 5 secs 
    +
    +Start NBR router at 21:33:36 08/16/21
    +
    +*****************************************************************
    +Info: NBR allows conflicts(one node used by more than one signal)
    +      in the earlier iterations. In each iteration, it tries to  
    +      solve the conflicts while keeping the critical connections 
    +      routed as short as possible. The routing process is said to
    +      be completed when no conflicts exist and all connections   
    +      are routed.                                                
    +Note: NBR uses a different method to calculate timing slacks. The
    +      worst slack and total negative slack may not be the same as
    +      that in TRCE report. You should always run TRCE to verify  
    +      your design.                                               
    +*****************************************************************
    +
    +Start NBR special constraint process at 21:33:36 08/16/21
    +
    +Start NBR section for initial routing at 21:33:36 08/16/21
    +Level 1, iteration 1
    +0(0.00%) conflict; 535(86.57%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.302ns/0.000ns; real time: 5 secs 
    +Level 2, iteration 1
    +0(0.00%) conflict; 523(84.63%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.256ns/0.000ns; real time: 5 secs 
    +Level 3, iteration 1
    +0(0.00%) conflict; 511(82.69%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.228ns/0.000ns; real time: 5 secs 
    +Level 4, iteration 1
    +16(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +
    +Info: Initial congestion level at 75% usage is 0
    +Info: Initial congestion area  at 75% usage is 0 (0.00%)
    +
    +Start NBR section for normal routing at 21:33:37 08/16/21
    +Level 1, iteration 1
    +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +Level 2, iteration 1
    +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +Level 3, iteration 1
    +0(0.00%) conflict; 16(2.59%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +Level 4, iteration 1
    +5(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +Level 4, iteration 2
    +2(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +Level 4, iteration 3
    +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +
    +Start NBR section for setup/hold timing optimization with effort level 3 at 21:33:37 08/16/21
    +
    +Start NBR section for re-routing at 21:33:37 08/16/21
    +Level 4, iteration 1
    +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.213ns/0.000ns; real time: 6 secs 
    +
    +Start NBR section for post-routing at 21:33:37 08/16/21
    +
    +End NBR router with 0 unrouted connection
    +
    +NBR Summary
    +-----------
    +  Number of unrouted connections : 0 (0.00%)
    +  Number of connections with timing violations : 0 (0.00%)
    +  Estimated worst slack<setup> : 1.213ns
    +  Timing score<setup> : 0
    +-----------
    +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
    +
    +
    +
    +Total CPU time 6 secs 
    +Total REAL time: 6 secs 
    +Completely routed.
    +End of route.  618 routed (100.00%); 0 unrouted.
    +
    +Hold time timing score: 0, hold timing errors: 0
    +
    +Timing score: 0 
    +
    +Dumping design to file RAM2GS_LCMXO640C_impl1.dir/5_1.ncd.
    +
    +
    +All signals are completely routed.
    +
    +
    +PAR_SUMMARY::Run status = Completed
    +PAR_SUMMARY::Number of unrouted conns = 0
    +PAR_SUMMARY::Worst  slack<setup/<ns>> = 1.213
    +PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
    +PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.339
    +PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
    +PAR_SUMMARY::Number of errors = 0
    +
    +Total CPU  time to completion: 6 secs 
    +Total REAL time to completion: 6 secs 
    +
    +par done!
    +
    +Note: user must run 'Trace' for timing closure signoff.
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html new file mode 100644 index 0000000..07ed1c1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_summary.html @@ -0,0 +1,83 @@ + +Project Summary + + +
    
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    RAM2GS_LCMXO640C project summary
    Module Name:RAM2GS_LCMXO640CSynthesis:Lattice LSE
    Implementation Name:impl1Strategy Name:Strategy1
    Last Process:JEDEC FileState:Passed
    Target Device:LCMXO640C-3T100CDevice Family:MachXO
    Device Type:LCMXO640CPackage Type:TQFP100
    Performance grade:3Operating conditions:COM
    Logic preference file:RAM2GS_LCMXO640C.lpf
    Physical Preference file:impl1/RAM2GS_LCMXO640C_impl1.prf
    Product Version:3.12.0.240.2Patch Version:
    Updated:2021/08/16 21:36:37
    Implementation Location:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1
    Project File:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/RAM2GS_LCMXO640C.ldf
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html new file mode 100644 index 0000000..bdf2b16 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_tw1.html @@ -0,0 +1,2740 @@ + +Lattice Map TRACE Report + + +
    Map TRACE Report
    +
    +Loading design for application trce from file ram2gs_lcmxo640c_impl1_map.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +Setup and Hold Report
    +
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    +Mon Aug 16 21:33:31 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf 
    +Design file:     ram2gs_lcmxo640c_impl1_map.ncd
    +Preference file: ram2gs_lcmxo640c_impl1.prf
    +Device,speed:    LCMXO640C,3
    +Report level:    verbose report, limited to 1 item per preference
    +--------------------------------------------------------------------------------
    +
    +Preference Summary
    +
    +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.862ns (weighted slack = 323.724ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.873ns (21.6% logic, 78.4% route), 7 logic levels. + + Constraint Details: + + 12.873ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.862ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_95.CLK to SLICE_95.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 e 1.441 SLICE_95.Q1 to SLICE_67.A1 Bank_7 +CTOF_DEL --- 0.371 SLICE_67.A1 to SLICE_67.F1 SLICE_67 +ROUTE 1 e 1.441 SLICE_67.F1 to SLICE_82.C1 n2154 +CTOF_DEL --- 0.371 SLICE_82.C1 to SLICE_82.F1 SLICE_82 +ROUTE 1 e 1.441 SLICE_82.F1 to SLICE_76.B1 n26 +CTOF_DEL --- 0.371 SLICE_76.B1 to SLICE_76.F1 SLICE_76 +ROUTE 4 e 1.441 SLICE_76.F1 to SLICE_89.B0 n1285 +CTOF_DEL --- 0.371 SLICE_89.B0 to SLICE_89.F0 SLICE_89 +ROUTE 3 e 1.441 SLICE_89.F0 to SLICE_18.D1 n2290 +CTOF_DEL --- 0.371 SLICE_18.D1 to SLICE_18.F1 SLICE_18 +ROUTE 3 e 1.441 SLICE_18.F1 to SLICE_90.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 SLICE_90.C0 to SLICE_90.F0 SLICE_90 +ROUTE 2 e 1.441 SLICE_90.F0 to SLICE_19.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.873 (21.6% logic, 78.4% route), 7 logic levels. + +Report: 26.276ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 5.575ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in n8MEGEN_391 (to RCLK_c +) + + Delay: 10.181ns (23.7% logic, 76.3% route), 6 logic levels. + + Constraint Details: + + 10.181ns physical path delay SLICE_7 to SLICE_56 meets + 16.000ns delay constraint less + 0.244ns CE_SET requirement (totaling 15.756ns) by 5.575ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_56: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_7.CLK to SLICE_7.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 e 1.441 SLICE_7.Q0 to SLICE_78.A0 FS_14 +CTOF_DEL --- 0.371 SLICE_78.A0 to SLICE_78.F0 SLICE_78 +ROUTE 3 e 1.441 SLICE_78.F0 to SLICE_73.B1 n10 +CTOF_DEL --- 0.371 SLICE_73.B1 to SLICE_73.F1 SLICE_73 +ROUTE 4 e 0.561 SLICE_73.F1 to SLICE_73.B0 n2300 +CTOF_DEL --- 0.371 SLICE_73.B0 to SLICE_73.F0 SLICE_73 +ROUTE 1 e 1.441 SLICE_73.F0 to SLICE_75.C0 n11 +CTOF_DEL --- 0.371 SLICE_75.C0 to SLICE_75.F0 SLICE_75 +ROUTE 2 e 1.441 SLICE_75.F0 to SLICE_33.D1 n2119 +CTOF_DEL --- 0.371 SLICE_33.D1 to SLICE_33.F1 SLICE_33 +ROUTE 1 e 1.441 SLICE_33.F1 to SLICE_56.CE RCLK_c_enable_7 (to RCLK_c) + -------- + 10.181 (23.7% logic, 76.3% route), 6 logic levels. + +Report: 10.425ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_55 and + 5.637ns delay SLICE_55 to RA[10] (totaling 8.141ns) meets + 12.500ns offset RCLK to RA[10] by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[9] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[9] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[8] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[8] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[7] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[7] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 1.441 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[6] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[6] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[5] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[5] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 1.441 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.427ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 6.569ns (69.5% logic, 30.5% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 6.569ns delay SLICE_64 to RA[4] (totaling 9.073ns) meets + 12.500ns offset RCLK to RA[4] by 3.427ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.561 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 1.441 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 6.569 (69.5% logic, 30.5% route), 3 logic levels. + +Report: 9.073ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[3] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[3] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[2] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[2] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 1.441 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[1] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[1] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.371 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 1.441 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RA[0] (totaling 9.953ns) meets + 12.500ns offset RCLK to RA[0] by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_60 and + 5.637ns delay SLICE_60 to nRCS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_34 and + 5.637ns delay SLICE_34 to RCKE (totaling 8.141ns) meets + 12.500ns offset RCLK to RCKE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 1.441 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_63 and + 5.637ns delay SLICE_63 to nRWE (totaling 8.141ns) meets + 12.500ns offset RCLK to nRWE by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_61 and + 5.637ns delay SLICE_61 to nRRAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRRAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 1.441 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.637ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_58 and + 5.637ns delay SLICE_58 to nRCAS (totaling 8.141ns) meets + 12.500ns offset RCLK to nRCAS by 4.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 1.441 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 5.637 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 8.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQMH (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQMH by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 1.441 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.547ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.449ns (61.3% logic, 38.7% route), 3 logic levels. + + Clock Path Delay: 2.504ns (42.5% logic, 57.5% route), 1 logic levels. + + Constraint Details: + 2.504ns delay RCLK to SLICE_64 and + 7.449ns delay SLICE_64 to RDQML (totaling 9.953ns) meets + 12.500ns offset RCLK to RDQML by 2.547ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 e 1.441 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 2.504 (42.5% logic, 57.5% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 1.441 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.371 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 1.441 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 7.449 (61.3% logic, 38.7% route), 3 logic levels. + +Report: 9.953ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.276 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 10.425 ns| 6 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.073 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.953 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:33:31 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO640C_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1_map.ncd RAM2GS_LCMXO640C_impl1.prf +Design file: ram2gs_lcmxo640c_impl1_map.ncd +Preference file: ram2gs_lcmxo640c_impl1.prf +Device,speed: LCMXO640C,M +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +Preference Summary + +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.485ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.462ns (56.7% logic, 43.3% route), 2 logic levels. + + Constraint Details: + + 0.462ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint requirement (totaling -0.023ns) by 0.485ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 SLICE_9.CLK to SLICE_9.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 e 0.199 SLICE_9.Q0 to SLICE_9.C0 ADSubmitted +CTOF_DEL --- 0.092 SLICE_9.C0 to SLICE_9.F0 SLICE_9 +ROUTE 1 e 0.001 SLICE_9.F0 to SLICE_9.DI0 n1361 (to PHI2_c) + -------- + 0.462 (56.7% logic, 43.3% route), 2 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.377ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.356ns (44.1% logic, 55.9% route), 1 logic levels. + + Constraint Details: + + 0.356ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint requirement (totaling -0.021ns) by 0.377ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_72.CLK to SLICE_72.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 e 0.199 SLICE_72.Q0 to SLICE_72.M1 n702 (to RCLK_c) + -------- + 0.356 (44.1% logic, 55.9% route), 1 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_55 and + 1.780ns delay SLICE_55 to RA[10] (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RA[10] by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_55.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_55.CLK to SLICE_55.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_55.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[9] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.C1 to SLICE_88.F1 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[8] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C0 to SLICE_95.F0 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[7] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_97.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_97.C0 to SLICE_97.F0 SLICE_97 +ROUTE 1 e 0.515 SLICE_97.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[6] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C0 to SLICE_98.F0 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[5] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_98.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_98.C1 to SLICE_98.F1 SLICE_98 +ROUTE 1 e 0.515 SLICE_98.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.850ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.071ns (65.5% logic, 34.5% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.071ns delay SLICE_64 to RA[4] (totaling 2.850ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.850ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.199 SLICE_64.Q0 to SLICE_64.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_64.C1 to SLICE_64.F1 SLICE_64 +ROUTE 1 e 0.515 SLICE_64.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 2.071 (65.5% logic, 34.5% route), 3 logic levels. + +Report: 2.850ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[3] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C1 to SLICE_94.F1 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[2] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_95.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_95.C1 to SLICE_95.F1 SLICE_95 +ROUTE 1 e 0.515 SLICE_95.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[1] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_94.C0 nRowColSel +CTOF_DEL --- 0.092 SLICE_94.C0 to SLICE_94.F0 SLICE_94 +ROUTE 1 e 0.515 SLICE_94.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RA[0] (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.C1 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.C1 to SLICE_92.F1 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_60 and + 1.780ns delay SLICE_60 to nRCS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_60.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_60.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_34 and + 1.780ns delay SLICE_34 to RCKE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to RCKE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_34.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_34.CLK to SLICE_34.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 e 0.515 SLICE_34.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_63 and + 1.780ns delay SLICE_63 to nRWE (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRWE by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_63.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_61 and + 1.780ns delay SLICE_61 to nRRAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_61.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_61.CLK to SLICE_61.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 e 0.515 SLICE_61.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.780ns (71.1% logic, 28.9% route), 2 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_58 and + 1.780ns delay SLICE_58 to nRCAS (totaling 2.559ns) meets + 0.000ns hold offset RCLK to nRCAS by 2.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_58.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_58.CLK to SLICE_58.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_58.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.780 (71.1% logic, 28.9% route), 2 logic levels. + +Report: 2.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQMH (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_88.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_88.B0 to SLICE_88.F0 SLICE_88 +ROUTE 1 e 0.515 SLICE_88.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.387ns (56.8% logic, 43.2% route), 3 logic levels. + + Clock Path Delay: 0.779ns (33.9% logic, 66.1% route), 1 logic levels. + + Constraint Details: + 0.779ns delay RCLK to SLICE_64 and + 2.387ns delay SLICE_64 to RDQML (totaling 3.166ns) meets + 0.000ns hold offset RCLK to RDQML by 3.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 e 0.515 86.PADDI to SLICE_64.CLK RCLK_c + -------- + 0.779 (33.9% logic, 66.1% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 SLICE_64.CLK to SLICE_64.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 e 0.515 SLICE_64.Q0 to SLICE_92.B0 nRowColSel +CTOF_DEL --- 0.092 SLICE_92.B0 to SLICE_92.F0 SLICE_92 +ROUTE 1 e 0.515 SLICE_92.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 2.387 (56.8% logic, 43.2% route), 3 logic levels. + +Report: 3.166ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.850 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.559 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.166 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 420 connections (67.96% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + + + + +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html new file mode 100644 index 0000000..1e50adc --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_LCMXO640C_impl1_twr.html @@ -0,0 +1,4571 @@ + +Lattice TRACE Report + + +
    Place & Route TRACE Report
    +
    +Loading design for application trce from file ram2gs_lcmxo640c_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application trce from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +Setup and Hold Report
    +
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    +Mon Aug 16 21:33:37 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Command line:    trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf 
    +Design file:     ram2gs_lcmxo640c_impl1.ncd
    +Preference file: ram2gs_lcmxo640c_impl1.prf
    +Device,speed:    LCMXO640C,3
    +Report level:    verbose report, limited to 10 items per preference
    +--------------------------------------------------------------------------------
    +
    +Preference Summary
    +
    +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.362ns (weighted slack = 322.724ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 13.373ns (20.8% logic, 79.2% route), 7 logic levels. + + Constraint Details: + + 13.373ns physical path delay SLICE_95 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.362ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 13.373 (20.8% logic, 79.2% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. + + Constraint Details: + + 13.241ns physical path delay SLICE_95 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 13.241 (21.0% logic, 79.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.494ns (weighted slack = 322.988ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 13.241ns (21.0% logic, 79.0% route), 7 logic levels. + + Constraint Details: + + 13.241ns physical path delay SLICE_95 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.494ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 13.241 (21.0% logic, 79.0% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.945ns (weighted slack = 323.890ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) + + Delay: 12.790ns (21.8% logic, 78.2% route), 7 logic levels. + + Constraint Details: + + 12.790ns physical path delay SLICE_95 to SLICE_23 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.945ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 1.089 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.790 (21.8% logic, 78.2% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R6C7B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 161.961ns (weighted slack = 323.922ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 12.774ns (21.8% logic, 78.2% route), 7 logic levels. + + Constraint Details: + + 12.774ns physical path delay SLICE_95 to SLICE_96 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 161.961ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C1 to R5C7C.F1 SLICE_90 +ROUTE 1 1.073 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 12.774 (21.8% logic, 78.2% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R5C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. + + Constraint Details: + + 12.703ns physical path delay SLICE_95 to SLICE_18 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 +CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 +ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 +CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 +ROUTE 2 2.112 R6C9A.F0 to R5C5A.B0 ADSubmitted_N_234 +CTOF_DEL --- 0.371 R5C5A.B0 to R5C5A.F0 SLICE_18 +ROUTE 1 0.000 R5C5A.F0 to R5C5A.DI0 CmdEnable_N_236 (to PHI2_c) + -------- + 12.703 (21.9% logic, 78.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R5C5A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.123ns (weighted slack = 324.246ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 12.703ns (21.9% logic, 78.1% route), 7 logic levels. + + Constraint Details: + + 12.703ns physical path delay SLICE_95 to SLICE_9 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.174ns DIN_SET requirement (totaling 174.826ns) by 162.123ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2B.CLK to R3C2B.Q1 SLICE_95 (from PHI2_c) +ROUTE 1 2.044 R3C2B.Q1 to R4C9C.A1 Bank_7 +CTOF_DEL --- 0.371 R4C9C.A1 to R4C9C.F1 SLICE_67 +ROUTE 1 1.905 R4C9C.F1 to R3C2C.C1 n2154 +CTOF_DEL --- 0.371 R3C2C.C1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.134 R4C2A.F1 to R6C9A.B1 n1285 +CTOF_DEL --- 0.371 R6C9A.B1 to R6C9A.F1 SLICE_80 +ROUTE 1 0.696 R6C9A.F1 to R6C9A.B0 n2289 +CTOF_DEL --- 0.371 R6C9A.B0 to R6C9A.F0 SLICE_80 +ROUTE 2 2.112 R6C9A.F0 to R5C5B.B0 ADSubmitted_N_234 +CTOF_DEL --- 0.371 R5C5B.B0 to R5C5B.F0 SLICE_9 +ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) + -------- + 12.703 (21.9% logic, 78.1% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R3C2B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R5C5B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.710ns (weighted slack = 325.420ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 12.025ns (23.2% logic, 76.8% route), 7 logic levels. + + Constraint Details: + + 12.025ns physical path delay SLICE_97 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.710ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 +CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 +ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 +CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 1.672 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 12.025 (23.2% logic, 76.8% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R9C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 11.893ns physical path delay SLICE_97 to SLICE_83 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 +CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 +ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 +CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 11.893 (23.4% logic, 76.6% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R7C8B.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.842ns (weighted slack = 325.684ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 11.893ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 11.893ns physical path delay SLICE_97 to SLICE_88 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.265ns CE_SET requirement (totaling 174.735ns) by 162.842ns + + Physical Path Details: + + Data path SLICE_97 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C2A.CLK to R2C2A.Q1 SLICE_97 (from PHI2_c) +ROUTE 1 1.643 R2C2A.Q1 to R3C2C.B0 Bank_5 +CTOF_DEL --- 0.371 R3C2C.B0 to R3C2C.F0 SLICE_82 +ROUTE 1 0.958 R3C2C.F0 to R3C2C.A1 n2166 +CTOF_DEL --- 0.371 R3C2C.A1 to R3C2C.F1 SLICE_82 +ROUTE 1 1.026 R3C2C.F1 to R4C2A.A1 n26 +CTOF_DEL --- 0.371 R4C2A.A1 to R4C2A.F1 SLICE_76 +ROUTE 4 2.142 R4C2A.F1 to R5C6A.B0 n1285 +CTOF_DEL --- 0.371 R5C6A.B0 to R5C6A.F0 SLICE_89 +ROUTE 3 0.899 R5C6A.F0 to R5C5A.C1 n2290 +CTOF_DEL --- 0.371 R5C5A.C1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.899 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.371 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 1.540 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 11.893 (23.4% logic, 76.6% route), 7 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_97: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R2C2A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.671 39.PADDI to R4C9A.CLK PHI2_c + -------- + 3.671 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 27.276ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_76 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 348.000ns + The internal maximum frequency of the following component is 500.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: FSLICE CLK SLICE_77 + + Delay: 2.000ns -- based on Minimum Pulse Width + +Report: 2.000ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 6.557ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i4 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 9.262ns (20.9% logic, 79.1% route), 4 logic levels. + + Constraint Details: + + 9.262ns physical path delay SLICE_65 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.557ns + + Physical Path Details: + + Data path SLICE_65 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) +ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 +CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 +CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 9.262 (20.9% logic, 79.1% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_65: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i4 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 9.246ns (20.7% logic, 79.3% route), 4 logic levels. + + Constraint Details: + + 9.246ns physical path delay SLICE_65 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.573ns + + Physical Path Details: + + Data path SLICE_65 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9C.CLK to R5C9C.Q0 SLICE_65 (from RCLK_c) +ROUTE 7 2.149 R5C9C.Q0 to R3C2A.B1 nRowColSel_N_32 +CTOF_DEL --- 0.371 R3C2A.B1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 +CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 9.246 (20.7% logic, 79.3% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_65: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.866ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i15 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.890ns (27.2% logic, 72.8% route), 6 logic levels. + + Constraint Details: + + 8.890ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 6.866ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q1 SLICE_7 (from RCLK_c) +ROUTE 3 1.466 R10C7D.Q1 to R10C8D.B0 FS_15 +CTOF_DEL --- 0.371 R10C8D.B0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.890 (27.2% logic, 72.8% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.963ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i3 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.856ns (21.8% logic, 78.2% route), 4 logic levels. + + Constraint Details: + + 8.856ns physical path delay SLICE_66 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.963ns + + Physical Path Details: + + Data path SLICE_66 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) +ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 +CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 +CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.856 (21.8% logic, 78.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_66: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 6.979ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q S_FSM_i3 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.840ns (21.7% logic, 78.3% route), 4 logic levels. + + Constraint Details: + + 8.840ns physical path delay SLICE_66 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 6.979ns + + Physical Path Details: + + Data path SLICE_66 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9D.CLK to R5C9D.Q0 SLICE_66 (from RCLK_c) +ROUTE 6 1.743 R5C9D.Q0 to R3C2A.D1 nRowColSel_N_33 +CTOF_DEL --- 0.371 R3C2A.D1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 +CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.840 (21.7% logic, 78.3% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_66: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C9D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.065ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i14 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.691ns (27.8% logic, 72.2% route), 6 logic levels. + + Constraint Details: + + 8.691ns physical path delay SLICE_7 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.065ns + + Physical Path Details: + + Data path SLICE_7 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7D.CLK to R10C7D.Q0 SLICE_7 (from RCLK_c) +ROUTE 3 1.267 R10C7D.Q0 to R10C8D.C0 FS_14 +CTOF_DEL --- 0.371 R10C8D.C0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.691 (27.8% logic, 72.2% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_7: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7D.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i13 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.472ns (28.5% logic, 71.5% route), 6 logic levels. + + Constraint Details: + + 8.472ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.284ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q1 SLICE_8 (from RCLK_c) +ROUTE 3 1.048 R10C7C.Q1 to R10C8D.A0 FS_13 +CTOF_DEL --- 0.371 R10C8D.A0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.472 (28.5% logic, 71.5% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.601ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_577__i12 (from RCLK_c +) + Destination: FF Data in LEDEN_392 (to RCLK_c +) + + Delay: 8.155ns (29.6% logic, 70.4% route), 6 logic levels. + + Constraint Details: + + 8.155ns physical path delay SLICE_8 to SLICE_89 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.244ns CE_SET requirement (totaling 15.756ns) by 7.601ns + + Physical Path Details: + + Data path SLICE_8 to SLICE_89: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C7C.CLK to R10C7C.Q0 SLICE_8 (from RCLK_c) +ROUTE 3 0.731 R10C7C.Q0 to R10C8D.D0 FS_12 +CTOF_DEL --- 0.371 R10C8D.D0 to R10C8D.F0 SLICE_78 +ROUTE 3 1.117 R10C8D.F0 to R9C8A.B1 n10 +CTOF_DEL --- 0.371 R9C8A.B1 to R9C8A.F1 SLICE_73 +ROUTE 4 0.727 R9C8A.F1 to R9C8A.B0 n2300 +CTOF_DEL --- 0.371 R9C8A.B0 to R9C8A.F0 SLICE_73 +ROUTE 1 0.626 R9C8A.F0 to R9C8D.D0 n11 +CTOF_DEL --- 0.371 R9C8D.D0 to R9C8D.F0 SLICE_75 +ROUTE 2 0.513 R9C8D.F0 to R9C8D.C1 n2119 +CTOF_DEL --- 0.371 R9C8D.C1 to R9C8D.F1 SLICE_75 +ROUTE 1 2.026 R9C8D.F1 to R5C6A.CE RCLK_c_enable_25 (to RCLK_c) + -------- + 8.155 (29.6% logic, 70.4% route), 6 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_8: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R10C7C.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_89: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R5C6A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.732ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.087ns (23.9% logic, 76.1% route), 4 logic levels. + + Constraint Details: + + 8.087ns physical path delay SLICE_61 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.732ns + + Physical Path Details: + + Data path SLICE_61 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c +CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B0 n50 +CTOOFX_DEL --- 0.631 R8C9D.B0 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.087 (23.9% logic, 76.1% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 7.748ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: FF Data in nRRAS_370 (to RCLK_c +) + + Delay: 8.071ns (23.8% logic, 76.2% route), 4 logic levels. + + Constraint Details: + + 8.071ns physical path delay SLICE_61 to SLICE_61 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.181ns DIN_SET requirement (totaling 15.819ns) by 7.748ns + + Physical Path Details: + + Data path SLICE_61 to SLICE_61: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.974 R3C2A.Q0 to R3C2A.A1 nRRAS_c +CTOF_DEL --- 0.371 R3C2A.A1 to R3C2A.F1 SLICE_61 +ROUTE 2 2.624 R3C2A.F1 to R8C9D.B1 n50 +CTOOFX_DEL --- 0.615 R8C9D.B1 to R8C9D.OFX0 i1912/SLICE_70 +ROUTE 1 2.556 R8C9D.OFX0 to R3C2A.A0 n2244 +CTOF_DEL --- 0.371 R3C2A.A0 to R3C2A.F0 SLICE_61 +ROUTE 1 0.000 R3C2A.F0 to R3C2A.DI0 n33 (to RCLK_c) + -------- + 8.071 (23.8% logic, 76.2% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 1.425 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 9.443ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_55 and + 5.013ns delay SLICE_55 to RA[10] (totaling 7.501ns) meets + 12.500ns offset RCLK to RA[10] by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C5A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 0.817 R2C5A.Q0 to 87.PADDO n980 +DOPAD_DEL --- 3.636 87.PADDO to 87.PAD RA[10] + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.088ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.924ns (57.6% logic, 42.4% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.924ns delay SLICE_64 to RA[9] (totaling 10.412ns) meets + 12.500ns offset RCLK to RA[9] by 2.088ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D1 nRowColSel +CTOF_DEL --- 0.371 R4C9A.D1 to R4C9A.F1 SLICE_88 +ROUTE 1 2.564 R4C9A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 3.636 85.PADDO to 85.PAD RA[9] + -------- + 7.924 (57.6% logic, 42.4% route), 3 logic levels. + +Report: 10.412ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.035ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.977ns (57.3% logic, 42.7% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.977ns delay SLICE_64 to RA[8] (totaling 10.465ns) meets + 12.500ns offset RCLK to RA[8] by 2.035ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D0 nRowColSel +CTOF_DEL --- 0.371 R3C2B.D0 to R3C2B.F0 SLICE_95 +ROUTE 1 1.660 R3C2B.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 3.636 96.PADDO to 96.PAD RA[8] + -------- + 7.977 (57.3% logic, 42.7% route), 3 logic levels. + +Report: 10.465ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.583ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.429ns (61.5% logic, 38.5% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.429ns delay SLICE_64 to RA[7] (totaling 9.917ns) meets + 12.500ns offset RCLK to RA[7] by 2.583ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 2.045 R5C9A.Q0 to R2C2A.C0 nRowColSel +CTOF_DEL --- 0.371 R2C2A.C0 to R2C2A.F0 SLICE_97 +ROUTE 1 0.817 R2C2A.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 3.636 100.PADDO to 100.PAD RA[7] + -------- + 7.429 (61.5% logic, 38.5% route), 3 logic levels. + +Report: 9.917ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.846ns delay SLICE_64 to RA[6] (totaling 10.334ns) meets + 12.500ns offset RCLK to RA[6] by 2.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D0 nRowColSel +CTOF_DEL --- 0.371 R2C3A.D0 to R2C3A.F0 SLICE_98 +ROUTE 1 1.526 R2C3A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 3.636 91.PADDO to 91.PAD RA[6] + -------- + 7.846 (58.2% logic, 41.8% route), 3 logic levels. + +Report: 10.334ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.166ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.846ns (58.2% logic, 41.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.846ns delay SLICE_64 to RA[5] (totaling 10.334ns) meets + 12.500ns offset RCLK to RA[5] by 2.166ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.753 R5C9A.Q0 to R2C3A.D1 nRowColSel +CTOF_DEL --- 0.371 R2C3A.D1 to R2C3A.F1 SLICE_98 +ROUTE 1 1.526 R2C3A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 3.636 95.PADDO to 95.PAD RA[5] + -------- + 7.846 (58.2% logic, 41.8% route), 3 logic levels. + +Report: 10.334ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.742ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 8.270ns (55.2% logic, 44.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.270ns delay SLICE_64 to RA[4] (totaling 10.758ns) meets + 12.500ns offset RCLK to RA[4] by 1.742ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.702 R5C9A.Q0 to R5C9A.D1 nRowColSel +CTOF_DEL --- 0.371 R5C9A.D1 to R5C9A.F1 SLICE_64 +ROUTE 1 3.001 R5C9A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 3.636 99.PADDO to 99.PAD RA[4] + -------- + 8.270 (55.2% logic, 44.8% route), 3 logic levels. + +Report: 10.758ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.725ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 8.287ns (55.1% logic, 44.9% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.287ns delay SLICE_64 to RA[3] (totaling 10.775ns) meets + 12.500ns offset RCLK to RA[3] by 1.725ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 2.198 R5C9A.Q0 to R2C2C.D1 nRowColSel +CTOF_DEL --- 0.371 R2C2C.D1 to R2C2C.F1 SLICE_94 +ROUTE 1 1.522 R2C2C.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 3.636 97.PADDO to 97.PAD RA[3] + -------- + 8.287 (55.1% logic, 44.9% route), 3 logic levels. + +Report: 10.775ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.643ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 8.369ns (54.6% logic, 45.4% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.369ns delay SLICE_64 to RA[2] (totaling 10.857ns) meets + 12.500ns offset RCLK to RA[2] by 1.643ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.750 R5C9A.Q0 to R3C2B.D1 nRowColSel +CTOF_DEL --- 0.371 R3C2B.D1 to R3C2B.F1 SLICE_95 +ROUTE 1 2.052 R3C2B.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 3.636 94.PADDO to 94.PAD RA[2] + -------- + 8.369 (54.6% logic, 45.4% route), 3 logic levels. + +Report: 10.857ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.417ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 8.595ns (53.1% logic, 46.9% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.595ns delay SLICE_64 to RA[1] (totaling 11.083ns) meets + 12.500ns offset RCLK to RA[1] by 1.417ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 2.045 R5C9A.Q0 to R2C2C.C0 nRowColSel +CTOF_DEL --- 0.371 R2C2C.C0 to R2C2C.F0 SLICE_94 +ROUTE 1 1.983 R2C2C.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 3.636 89.PADDO to 89.PAD RA[1] + -------- + 8.595 (53.1% logic, 46.9% route), 3 logic levels. + +Report: 11.083ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.213ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 8.799ns (51.9% logic, 48.1% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 8.799ns delay SLICE_64 to RA[0] (totaling 11.287ns) meets + 12.500ns offset RCLK to RA[0] by 1.213ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D1 nRowColSel +CTOF_DEL --- 0.371 R8C9C.D1 to R8C9C.F1 SLICE_92 +ROUTE 1 2.987 R8C9C.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 3.636 98.PADDO to 98.PAD RA[0] + -------- + 8.799 (51.9% logic, 48.1% route), 3 logic levels. + +Report: 11.287ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_60 and + 5.013ns delay SLICE_60 to nRCS (totaling 7.501ns) meets + 12.500ns offset RCLK to nRCS by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C9C.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.817 R2C9C.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 3.636 77.PADDO to 77.PAD nRCS + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_34 and + 5.013ns delay SLICE_34 to RCKE (totaling 7.501ns) meets + 12.500ns offset RCLK to RCKE by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C7C.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 0.817 R2C7C.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 3.636 82.PADDO to 82.PAD RCKE + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.359ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 6.653ns (63.1% logic, 36.9% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_63 and + 6.653ns delay SLICE_63 to nRWE (totaling 9.141ns) meets + 12.500ns offset RCLK to nRWE by 3.359ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R10C9C.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 2.457 R10C9C.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 3.636 72.PADDO to 72.PAD nRWE + -------- + 6.653 (63.1% logic, 36.9% route), 2 logic levels. + +Report: 9.141ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.325ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 6.687ns (62.7% logic, 37.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_61 and + 6.687ns delay SLICE_61 to nRRAS (totaling 9.175ns) meets + 12.500ns offset RCLK to nRRAS by 3.325ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R3C2A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 2.491 R3C2A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 3.636 73.PADDO to 73.PAD nRRAS + -------- + 6.687 (62.7% logic, 37.3% route), 2 logic levels. + +Report: 9.175ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.999ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.013ns (83.7% logic, 16.3% route), 2 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_58 and + 5.013ns delay SLICE_58 to nRCAS (totaling 7.501ns) meets + 12.500ns offset RCLK to nRCAS by 4.999ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R2C9B.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 0.817 R2C9B.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 3.636 78.PADDO to 78.PAD nRCAS + -------- + 5.013 (83.7% logic, 16.3% route), 2 logic levels. + +Report: 7.501ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.669ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.343ns (62.2% logic, 37.8% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 7.343ns delay SLICE_64 to RDQMH (totaling 9.831ns) meets + 12.500ns offset RCLK to RDQMH by 2.669ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.793 R5C9A.Q0 to R4C9A.D0 nRowColSel +CTOF_DEL --- 0.371 R4C9A.D0 to R4C9A.F0 SLICE_88 +ROUTE 1 1.983 R4C9A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 3.636 76.PADDO to 76.PAD RDQMH + -------- + 7.343 (62.2% logic, 37.8% route), 3 logic levels. + +Report: 9.831ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.383ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 6.629ns (68.9% logic, 31.1% route), 3 logic levels. + + Clock Path Delay: 2.488ns (42.7% logic, 57.3% route), 1 logic levels. + + Constraint Details: + 2.488ns delay RCLK to SLICE_64 and + 6.629ns delay SLICE_64 to RDQML (totaling 9.117ns) meets + 12.500ns offset RCLK to RDQML by 3.383ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.063 86.PAD to 86.PADDI RCLK +ROUTE 39 1.425 86.PADDI to R5C9A.CLK RCLK_c + -------- + 2.488 (42.7% logic, 57.3% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.560 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 1.245 R5C9A.Q0 to R8C9C.D0 nRowColSel +CTOF_DEL --- 0.371 R8C9C.D0 to R8C9C.F0 SLICE_92 +ROUTE 1 0.817 R8C9C.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 3.636 61.PADDO to 61.PAD RDQML + -------- + 6.629 (68.9% logic, 31.1% route), 3 logic levels. + +Report: 9.117ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 27.276 ns| 7 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.000 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 9.443 ns| 4 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.412 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.465 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.917 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.334 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.758 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.775 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.857 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.083 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.287 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.141 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.175 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 7.501 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.831 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.117 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Mon Aug 16 21:33:37 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 3 -sphld m -o RAM2GS_LCMXO640C_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf +Design file: ram2gs_lcmxo640c_impl1.ncd +Preference file: ram2gs_lcmxo640c_impl1.prf +Device,speed: LCMXO640C,m +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +Preference Summary + +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 113 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 395 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 113 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.447ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in ADSubmitted_380 (to PHI2_c -) + + Delay: 0.424ns (61.8% logic, 38.2% route), 2 logic levels. + + Constraint Details: + + 0.424ns physical path delay SLICE_9 to SLICE_9 meets + -0.023ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.023ns) by 0.447ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.162 R5C5B.Q0 to R5C5B.A0 ADSubmitted +CTOF_DEL --- 0.092 R5C5B.A0 to R5C5B.F0 SLICE_9 +ROUTE 1 0.000 R5C5B.F0 to R5C5B.DI0 n1361 (to PHI2_c) + -------- + 0.424 (61.8% logic, 38.2% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.113ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in XOR8MEG_381 (to PHI2_c -) + + Delay: 1.084ns (32.7% logic, 67.3% route), 3 logic levels. + + Constraint Details: + + 1.084ns physical path delay SLICE_18 to SLICE_96 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.113ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7C.C1 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7C.C1 to R5C7C.F1 SLICE_90 +ROUTE 1 0.267 R5C7C.F1 to R5C8B.CE PHI2_N_114_enable_2 (to PHI2_c) + -------- + 1.084 (32.7% logic, 67.3% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.118ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN_383 (to PHI2_c -) + + Delay: 1.089ns (32.5% logic, 67.5% route), 3 logic levels. + + Constraint Details: + + 1.089ns physical path delay SLICE_18 to SLICE_23 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.118ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 0.272 R5C7C.F0 to R6C7B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.089 (32.5% logic, 67.5% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_23: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R6C7B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.238ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMCS_385 (to PHI2_c -) + FF CmdUFMCLK_386 + + Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. + + Constraint Details: + + 1.209ns physical path delay SLICE_18 to SLICE_83 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.238ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 0.392 R5C7A.F0 to R7C8B.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.209 (29.3% logic, 70.7% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_83: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R7C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.238ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdUFMSDI_387 (to PHI2_c -) + + Delay: 1.209ns (29.3% logic, 70.7% route), 3 logic levels. + + Constraint Details: + + 1.209ns physical path delay SLICE_18 to SLICE_88 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.238ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7A.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7A.C0 to R5C7A.F0 SLICE_72 +ROUTE 2 0.392 R5C7A.F0 to R4C9A.CE PHI2_N_114_enable_7 (to PHI2_c) + -------- + 1.209 (29.3% logic, 70.7% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_88: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R4C9A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.270ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_380 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.241ns (35.9% logic, 64.1% route), 4 logic levels. + + Constraint Details: + + 1.241ns physical path delay SLICE_9 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.270ns + + Physical Path Details: + + Data path SLICE_9 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5B.CLK to R5C5B.Q0 SLICE_9 (from PHI2_c) +ROUTE 2 0.258 R5C5B.Q0 to R5C5B.B1 ADSubmitted +CTOF_DEL --- 0.092 R5C5B.B1 to R5C5B.F1 SLICE_9 +ROUTE 1 0.123 R5C5B.F1 to R5C5D.C1 n2080 +CTOF_DEL --- 0.092 R5C5D.C1 to R5C5D.F1 SLICE_77 +ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 +CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 +ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.241 (35.9% logic, 64.1% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_9: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.276ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_378 (from PHI2_c -) + Destination: FF Data in CmdSubmitted_384 (to PHI2_c -) + + Delay: 1.247ns (28.4% logic, 71.6% route), 3 logic levels. + + Constraint Details: + + 1.247ns physical path delay SLICE_18 to SLICE_19 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.276ns + + Physical Path Details: + + Data path SLICE_18 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5A.CLK to R5C5A.Q0 SLICE_18 (from PHI2_c) +ROUTE 1 0.238 R5C5A.Q0 to R5C5A.A1 CmdEnable +CTOF_DEL --- 0.092 R5C5A.A1 to R5C5A.F1 SLICE_18 +ROUTE 3 0.225 R5C5A.F1 to R5C7C.C0 XOR8MEG_N_112 +CTOF_DEL --- 0.092 R5C7C.C0 to R5C7C.F0 SLICE_90 +ROUTE 2 0.430 R5C7C.F0 to R9C8B.CE PHI2_N_114_enable_6 (to PHI2_c) + -------- + 1.247 (28.4% logic, 71.6% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R9C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.299ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_379 (from PHI2_c -) + Destination: FF Data in CmdEnable_378 (to PHI2_c -) + + Delay: 1.270ns (35.1% logic, 64.9% route), 4 logic levels. + + Constraint Details: + + 1.270ns physical path delay SLICE_14 to SLICE_18 meets + -0.029ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.029ns) by 1.299ns + + Physical Path Details: + + Data path SLICE_14 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C5C.CLK to R5C5C.Q0 SLICE_14 (from PHI2_c) +ROUTE 1 0.238 R5C5C.Q0 to R5C5C.A1 C1Submitted +CTOF_DEL --- 0.092 R5C5C.A1 to R5C5C.F1 SLICE_14 +ROUTE 1 0.172 R5C5C.F1 to R5C5D.B1 n2098 +CTOF_DEL --- 0.092 R5C5D.B1 to R5C5D.F1 SLICE_77 +ROUTE 1 0.253 R5C5D.F1 to R5C5D.B0 n2286 +CTOF_DEL --- 0.092 R5C5D.B0 to R5C5D.F0 SLICE_77 +ROUTE 1 0.161 R5C5D.F0 to R5C5A.CE PHI2_N_114_enable_8 (to PHI2_c) + -------- + 1.270 (35.1% logic, 64.9% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_18: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.790ns (weighted slack = 351.580ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG_381 (from PHI2_c -) + Destination: FF Data in RA11_358 (to PHI2_c +) + + Delay: 0.779ns (33.6% logic, 66.4% route), 2 logic levels. + + Constraint Details: + + 0.779ns physical path delay SLICE_96 to SLICE_31 meets + -0.011ns DIN_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.011ns) by 175.790ns + + Physical Path Details: + + Data path SLICE_96 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.170 R5C8B.CLK to R5C8B.Q0 SLICE_96 (from PHI2_c) +ROUTE 1 0.517 R5C8B.Q0 to R2C9A.B0 XOR8MEG +CTOF_DEL --- 0.092 R2C9A.B0 to R2C9A.F0 SLICE_31 +ROUTE 1 0.000 R2C9A.F0 to R2C9A.DI0 RA11_N_180 (to PHI2_c) + -------- + 0.779 (33.6% logic, 66.4% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_96: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C8B.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_31: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R2C9A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 176.484ns (weighted slack = 352.968ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i3 (from PHI2_c +) + Destination: FF Data in C1Submitted_379 (to PHI2_c -) + + Delay: 1.455ns (23.4% logic, 76.6% route), 3 logic levels. + + Constraint Details: + + 1.455ns physical path delay SLICE_98 to SLICE_14 meets + -0.029ns CE_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.029ns) by 176.484ns + + Physical Path Details: + + Data path SLICE_98 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C3A.CLK to R2C3A.Q1 SLICE_98 (from PHI2_c) +ROUTE 1 0.382 R2C3A.Q1 to R4C2A.B1 Bank_3 +CTOF_DEL --- 0.092 R4C2A.B1 to R4C2A.F1 SLICE_76 +ROUTE 4 0.556 R4C2A.F1 to R5C6A.B1 n1285 +CTOF_DEL --- 0.092 R5C6A.B1 to R5C6A.F1 SLICE_89 +ROUTE 1 0.176 R5C6A.F1 to R5C5C.CE PHI2_N_114_enable_1 (to PHI2_c) + -------- + 1.455 (23.4% logic, 76.6% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_98: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R2C3A.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_14: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.120 39.PADDI to R5C5C.CLK PHI2_c + -------- + 1.120 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 395 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i11 (from RCLK_c +) + Destination: FF Data in IS_FSM__i12 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_72 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q0 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R5C7A.Q0 to R5C7A.M1 n702 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i12 (from RCLK_c +) + Destination: FF Data in IS_FSM__i13 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_72 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_72 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C7A.CLK to R5C7A.Q1 SLICE_72 (from RCLK_c) +ROUTE 1 0.161 R5C7A.Q1 to R5C7C.M0 n701 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i7 (from RCLK_c +) + Destination: FF Data in IS_FSM__i8 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_73 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_73 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R9C8A.CLK to R9C8A.Q0 SLICE_73 (from RCLK_c) +ROUTE 1 0.161 R9C8A.Q0 to R9C8A.M1 n706 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i3 (from RCLK_c +) + Destination: FF Data in IS_FSM__i4 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_74 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_74 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C6C.CLK to R5C6C.Q0 SLICE_74 (from RCLK_c) +ROUTE 1 0.161 R5C6C.Q0 to R5C6C.M1 n710 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i6 (from RCLK_c +) + Destination: FF Data in IS_FSM__i7 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_73 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_73: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q1 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R9C8D.Q1 to R9C8A.M0 n707 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_73: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8A.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i5 (from RCLK_c +) + Destination: FF Data in IS_FSM__i6 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_75 to SLICE_75 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_75 to SLICE_75: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R9C8D.CLK to R9C8D.Q0 SLICE_75 (from RCLK_c) +ROUTE 1 0.161 R9C8D.Q0 to R9C8D.M1 n708 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_75: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R9C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q PHI2r_349 (from RCLK_c +) + Destination: FF Data in PHI2r2_350 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_85 to SLICE_78 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_85 to SLICE_78: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R10C8C.CLK to R10C8C.Q1 SLICE_85 (from RCLK_c) +ROUTE 1 0.161 R10C8C.Q1 to R10C8D.M1 PHI2r (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_85: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_78: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_87 to SLICE_74 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_87 to SLICE_74: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C6B.CLK to R5C6B.Q1 SLICE_87 (from RCLK_c) +ROUTE 1 0.161 R5C6B.Q1 to R5C6C.M0 n711 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_87: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6B.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_74: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C6C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.339ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i13 (from RCLK_c +) + Destination: FF Data in IS_FSM__i14 (to RCLK_c +) + + Delay: 0.318ns (49.4% logic, 50.6% route), 1 logic levels. + + Constraint Details: + + 0.318ns physical path delay SLICE_90 to SLICE_90 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.339ns + + Physical Path Details: + + Data path SLICE_90 to SLICE_90: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C7C.CLK to R5C7C.Q0 SLICE_90 (from RCLK_c) +ROUTE 1 0.161 R5C7C.Q0 to R5C7C.M1 n700 (to RCLK_c) + -------- + 0.318 (49.4% logic, 50.6% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_90: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R5C7C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.345ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q PHI2r2_350 (from RCLK_c +) + Destination: FF Data in PHI2r3_351 (to RCLK_c +) + + Delay: 0.324ns (48.5% logic, 51.5% route), 1 logic levels. + + Constraint Details: + + 0.324ns physical path delay SLICE_78 to SLICE_85 meets + -0.021ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.021ns) by 0.345ns + + Physical Path Details: + + Data path SLICE_78 to SLICE_85: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R10C8D.CLK to R10C8D.Q1 SLICE_78 (from RCLK_c) +ROUTE 3 0.167 R10C8D.Q1 to R10C8C.M0 PHI2r2 (to RCLK_c) + -------- + 0.324 (48.5% logic, 51.5% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_78: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8D.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_85: + + Name Fanout Delay (ns) Site Resource +ROUTE 39 0.435 86.PADDI to R10C8C.CLK RCLK_c + -------- + 0.435 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_373 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_55 and + 1.462ns delay SLICE_55 to RA[10] (totaling 1.949ns) meets + 0.000ns hold offset RCLK to RA[10] by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_55: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C5A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_55 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C5A.CLK to R2C5A.Q0 SLICE_55 (from RCLK_c) +ROUTE 1 0.197 R2C5A.Q0 to 87.PADDO n980 +DOPAD_DEL --- 1.108 87.PADDO to 87.PAD RA[10] + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.668ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.181ns (62.2% logic, 37.8% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.181ns delay SLICE_64 to RA[9] (totaling 2.668ns) meets + 0.000ns hold offset RCLK to RA[9] by 2.668ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D1 nRowColSel +CTOF_DEL --- 0.092 R4C9A.D1 to R4C9A.F1 SLICE_88 +ROUTE 1 0.625 R4C9A.F1 to 85.PADDO RA_c_9 +DOPAD_DEL --- 1.108 85.PADDO to 85.PAD RA[9] + -------- + 2.181 (62.2% logic, 37.8% route), 3 logic levels. + +Report: 2.668ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.689ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.202ns (61.6% logic, 38.4% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.202ns delay SLICE_64 to RA[8] (totaling 2.689ns) meets + 0.000ns hold offset RCLK to RA[8] by 2.689ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D0 nRowColSel +CTOF_DEL --- 0.092 R3C2B.D0 to R3C2B.F0 SLICE_95 +ROUTE 1 0.394 R3C2B.F0 to 96.PADDO RA_c_8 +DOPAD_DEL --- 1.108 96.PADDO to 96.PAD RA[8] + -------- + 2.202 (61.6% logic, 38.4% route), 3 logic levels. + +Report: 2.689ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.572ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.085ns (65.1% logic, 34.9% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.085ns delay SLICE_64 to RA[7] (totaling 2.572ns) meets + 0.000ns hold offset RCLK to RA[7] by 2.572ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.531 R5C9A.Q0 to R2C2A.C0 nRowColSel +CTOF_DEL --- 0.092 R2C2A.C0 to R2C2A.F0 SLICE_97 +ROUTE 1 0.197 R2C2A.F0 to 100.PADDO RA_c_7 +DOPAD_DEL --- 1.108 100.PADDO to 100.PAD RA[7] + -------- + 2.085 (65.1% logic, 34.9% route), 3 logic levels. + +Report: 2.572ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.652ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.165ns delay SLICE_64 to RA[6] (totaling 2.652ns) meets + 0.000ns hold offset RCLK to RA[6] by 2.652ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D0 nRowColSel +CTOF_DEL --- 0.092 R2C3A.D0 to R2C3A.F0 SLICE_98 +ROUTE 1 0.356 R2C3A.F0 to 91.PADDO RA_c_6 +DOPAD_DEL --- 1.108 91.PADDO to 91.PAD RA[6] + -------- + 2.165 (62.7% logic, 37.3% route), 3 logic levels. + +Report: 2.652ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.652ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.165ns (62.7% logic, 37.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.165ns delay SLICE_64 to RA[5] (totaling 2.652ns) meets + 0.000ns hold offset RCLK to RA[5] by 2.652ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.452 R5C9A.Q0 to R2C3A.D1 nRowColSel +CTOF_DEL --- 0.092 R2C3A.D1 to R2C3A.F1 SLICE_98 +ROUTE 1 0.356 R2C3A.F1 to 95.PADDO RA_c_5 +DOPAD_DEL --- 1.108 95.PADDO to 95.PAD RA[5] + -------- + 2.165 (62.7% logic, 37.3% route), 3 logic levels. + +Report: 2.652ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.776ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.289ns (59.3% logic, 40.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.289ns delay SLICE_64 to RA[4] (totaling 2.776ns) meets + 0.000ns hold offset RCLK to RA[4] by 2.776ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.178 R5C9A.Q0 to R5C9A.D1 nRowColSel +CTOF_DEL --- 0.092 R5C9A.D1 to R5C9A.F1 SLICE_64 +ROUTE 1 0.754 R5C9A.F1 to 99.PADDO RA_c_4 +DOPAD_DEL --- 1.108 99.PADDO to 99.PAD RA[4] + -------- + 2.289 (59.3% logic, 40.7% route), 3 logic levels. + +Report: 2.776ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.772ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.285ns (59.4% logic, 40.6% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.285ns delay SLICE_64 to RA[3] (totaling 2.772ns) meets + 0.000ns hold offset RCLK to RA[3] by 2.772ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.571 R5C9A.Q0 to R2C2C.D1 nRowColSel +CTOF_DEL --- 0.092 R2C2C.D1 to R2C2C.F1 SLICE_94 +ROUTE 1 0.357 R2C2C.F1 to 97.PADDO RA_c_3 +DOPAD_DEL --- 1.108 97.PADDO to 97.PAD RA[3] + -------- + 2.285 (59.4% logic, 40.6% route), 3 logic levels. + +Report: 2.772ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.787ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.300ns (59.0% logic, 41.0% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.300ns delay SLICE_64 to RA[2] (totaling 2.787ns) meets + 0.000ns hold offset RCLK to RA[2] by 2.787ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.451 R5C9A.Q0 to R3C2B.D1 nRowColSel +CTOF_DEL --- 0.092 R3C2B.D1 to R3C2B.F1 SLICE_95 +ROUTE 1 0.492 R3C2B.F1 to 94.PADDO RA_c_2 +DOPAD_DEL --- 1.108 94.PADDO to 94.PAD RA[2] + -------- + 2.300 (59.0% logic, 41.0% route), 3 logic levels. + +Report: 2.787ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.855ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.368ns (57.3% logic, 42.7% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.368ns delay SLICE_64 to RA[1] (totaling 2.855ns) meets + 0.000ns hold offset RCLK to RA[1] by 2.855ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.531 R5C9A.Q0 to R2C2C.C0 nRowColSel +CTOF_DEL --- 0.092 R2C2C.C0 to R2C2C.F0 SLICE_94 +ROUTE 1 0.480 R2C2C.F0 to 89.PADDO RA_c_1 +DOPAD_DEL --- 1.108 89.PADDO to 89.PAD RA[1] + -------- + 2.368 (57.3% logic, 42.7% route), 3 logic levels. + +Report: 2.855ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.893ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.406ns (56.4% logic, 43.6% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.406ns delay SLICE_64 to RA[0] (totaling 2.893ns) meets + 0.000ns hold offset RCLK to RA[0] by 2.893ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D1 nRowColSel +CTOF_DEL --- 0.092 R8C9C.D1 to R8C9C.F1 SLICE_92 +ROUTE 1 0.739 R8C9C.F1 to 98.PADDO RA_c_0 +DOPAD_DEL --- 1.108 98.PADDO to 98.PAD RA[0] + -------- + 2.406 (56.4% logic, 43.6% route), 3 logic levels. + +Report: 2.893ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_369 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_60 and + 1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRCS by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C9C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_60 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C9C.CLK to R2C9C.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.197 R2C9C.Q0 to 77.PADDO nRCS_c +DOPAD_DEL --- 1.108 77.PADDO to 77.PAD nRCS + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_368 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_34 and + 1.462ns delay SLICE_34 to RCKE (totaling 1.949ns) meets + 0.000ns hold offset RCLK to RCKE by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_34: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C7C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_34 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C7C.CLK to R2C7C.Q0 SLICE_34 (from RCLK_c) +ROUTE 4 0.197 R2C7C.Q0 to 82.PADDO RCKE_c +DOPAD_DEL --- 1.108 82.PADDO to 82.PAD RCKE + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.356ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_372 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 1.869ns (67.7% logic, 32.3% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_63 and + 1.869ns delay SLICE_63 to nRWE (totaling 2.356ns) meets + 0.000ns hold offset RCLK to nRWE by 2.356ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R10C9C.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_63 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R10C9C.CLK to R10C9C.Q0 SLICE_63 (from RCLK_c) +ROUTE 1 0.604 R10C9C.Q0 to 72.PADDO nRWE_c +DOPAD_DEL --- 1.108 72.PADDO to 72.PAD nRWE + -------- + 1.869 (67.7% logic, 32.3% route), 2 logic levels. + +Report: 2.356ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.363ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_370 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 1.876ns (67.4% logic, 32.6% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_61 and + 1.876ns delay SLICE_61 to nRRAS (totaling 2.363ns) meets + 0.000ns hold offset RCLK to nRRAS by 2.363ns + + Physical Path Details: + + Clock path RCLK to SLICE_61: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R3C2A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_61 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R3C2A.CLK to R3C2A.Q0 SLICE_61 (from RCLK_c) +ROUTE 2 0.611 R3C2A.Q0 to 73.PADDO nRRAS_c +DOPAD_DEL --- 1.108 73.PADDO to 73.PAD nRRAS + -------- + 1.876 (67.4% logic, 32.6% route), 2 logic levels. + +Report: 2.363ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.949ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_371 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 1.462ns (86.5% logic, 13.5% route), 2 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_58 and + 1.462ns delay SLICE_58 to nRCAS (totaling 1.949ns) meets + 0.000ns hold offset RCLK to nRCAS by 1.949ns + + Physical Path Details: + + Clock path RCLK to SLICE_58: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R2C9B.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_58 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R2C9B.CLK to R2C9B.Q0 SLICE_58 (from RCLK_c) +ROUTE 1 0.197 R2C9B.Q0 to 78.PADDO nRCAS_c +DOPAD_DEL --- 1.108 78.PADDO to 78.PAD nRCAS + -------- + 1.462 (86.5% logic, 13.5% route), 2 logic levels. + +Report: 1.949ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.523ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.036ns (66.7% logic, 33.3% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 2.036ns delay SLICE_64 to RDQMH (totaling 2.523ns) meets + 0.000ns hold offset RCLK to RDQMH by 2.523ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.199 R5C9A.Q0 to R4C9A.D0 nRowColSel +CTOF_DEL --- 0.092 R4C9A.D0 to R4C9A.F0 SLICE_88 +ROUTE 1 0.480 R4C9A.F0 to 76.PADDO RDQMH_c +DOPAD_DEL --- 1.108 76.PADDO to 76.PAD RDQMH + -------- + 2.036 (66.7% logic, 33.3% route), 3 logic levels. + +Report: 2.523ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.351ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_375 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 1.864ns (72.8% logic, 27.2% route), 3 logic levels. + + Clock Path Delay: 0.487ns (54.2% logic, 45.8% route), 1 logic levels. + + Constraint Details: + 0.487ns delay RCLK to SLICE_64 and + 1.864ns delay SLICE_64 to RDQML (totaling 2.351ns) meets + 0.000ns hold offset RCLK to RDQML by 2.351ns + + Physical Path Details: + + Clock path RCLK to SLICE_64: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.264 86.PAD to 86.PADDI RCLK +ROUTE 39 0.223 86.PADDI to R5C9A.CLK RCLK_c + -------- + 0.487 (54.2% logic, 45.8% route), 1 logic levels. + + Data path SLICE_64 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.157 R5C9A.CLK to R5C9A.Q0 SLICE_64 (from RCLK_c) +ROUTE 13 0.310 R5C9A.Q0 to R8C9C.D0 nRowColSel +CTOF_DEL --- 0.092 R8C9C.D0 to R8C9C.F0 SLICE_92 +ROUTE 1 0.197 R8C9C.F0 to 61.PADDO RDQML_c +DOPAD_DEL --- 1.108 61.PADDO to 61.PAD RDQML + -------- + 1.864 (72.8% logic, 27.2% route), 3 logic levels. + +Report: 2.351ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.668 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.689 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.572 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.652 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.776 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.772 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.787 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.855 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.893 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.356 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.363 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 1.949 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.523 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 2.351 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 4 clocks: + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 7 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 39 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 526 paths, 6 nets, and 444 connections (71.84% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + + + + +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log new file mode 100644 index 0000000..7932ec0 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_drc.log @@ -0,0 +1,15 @@ +Results of NGD DRC are available in RAM2GS_drc.log. +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... + + +Running DRC... + +DRC complete with no errors or warnings + +Design Results: + 304 blocks expanded +completed the first expansion +All blocks are expanded and NGD expansion is successful. +Writing NGD file RAM2GS_LCMXO640C_impl1.ngd. diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr new file mode 100644 index 0000000..0779fdc --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse.twr @@ -0,0 +1,311 @@ +-------------------------------------------------------------------------------- +Lattice Synthesis Timing Report, Version +Mon Aug 16 21:33:30 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Design: RAM2GS +Constraint file: +Report level: verbose report, limited to 3 items per constraint +-------------------------------------------------------------------------------- + + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c] + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c] + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c] + 122 items scored, 121 timing errors detected. +-------------------------------------------------------------------------------- + + +Error: The following path violates requirements by 10.378ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i5 (from PHI2_c +) + Destination: FD1P3AX SP CmdUFMCS_385 (to PHI2_c -) + + Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 12.614ns data_path Bank_i5 to CmdUFMCS_385 violates + 2.500ns delay constraint less + 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns + + Path Details: Bank_i5 to CmdUFMCS_385 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) +Route 1 e 1.220 Bank[5] +LUT4 --- 0.390 B to Z i1856_4_lut +Route 1 e 1.220 n2166 +LUT4 --- 0.390 B to Z i12_4_lut +Route 1 e 1.220 n26 +LUT4 --- 0.390 B to Z i13_4_lut +Route 4 e 1.552 n1285 +LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 +Route 3 e 1.483 n2290 +LUT4 --- 0.390 D to Z i3_4_lut +Route 3 e 1.483 XOR8MEG_N_112 +LUT4 --- 0.390 A to Z i2_3_lut_4_lut +Route 3 e 1.483 PHI2_N_114_enable_7 + -------- + 12.614 (23.4% logic, 76.6% route), 7 logic levels. + + +Error: The following path violates requirements by 10.378ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i5 (from PHI2_c +) + Destination: FD1P3AX SP CmdUFMSDI_387 (to PHI2_c -) + + Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates + 2.500ns delay constraint less + 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns + + Path Details: Bank_i5 to CmdUFMSDI_387 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) +Route 1 e 1.220 Bank[5] +LUT4 --- 0.390 B to Z i1856_4_lut +Route 1 e 1.220 n2166 +LUT4 --- 0.390 B to Z i12_4_lut +Route 1 e 1.220 n26 +LUT4 --- 0.390 B to Z i13_4_lut +Route 4 e 1.552 n1285 +LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 +Route 3 e 1.483 n2290 +LUT4 --- 0.390 D to Z i3_4_lut +Route 3 e 1.483 XOR8MEG_N_112 +LUT4 --- 0.390 A to Z i2_3_lut_4_lut +Route 3 e 1.483 PHI2_N_114_enable_7 + -------- + 12.614 (23.4% logic, 76.6% route), 7 logic levels. + + +Error: The following path violates requirements by 10.378ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i5 (from PHI2_c +) + Destination: FD1P3AX SP CmdUFMCLK_386 (to PHI2_c -) + + Delay: 12.614ns (23.4% logic, 76.6% route), 7 logic levels. + + Constraint Details: + + 12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates + 2.500ns delay constraint less + 0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns + + Path Details: Bank_i5 to CmdUFMCLK_386 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q Bank_i5 (from PHI2_c) +Route 1 e 1.220 Bank[5] +LUT4 --- 0.390 B to Z i1856_4_lut +Route 1 e 1.220 n2166 +LUT4 --- 0.390 B to Z i12_4_lut +Route 1 e 1.220 n26 +LUT4 --- 0.390 B to Z i13_4_lut +Route 4 e 1.552 n1285 +LUT4 --- 0.390 B to Z i1830_2_lut_rep_13 +Route 3 e 1.483 n2290 +LUT4 --- 0.390 D to Z i3_4_lut +Route 3 e 1.483 XOR8MEG_N_112 +LUT4 --- 0.390 A to Z i2_3_lut_4_lut +Route 3 e 1.483 PHI2_N_114_enable_7 + -------- + 12.614 (23.4% logic, 76.6% route), 7 logic levels. + +Warning: 12.878 ns is the maximum delay for this constraint. + + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c] + 369 items scored, 244 timing errors detected. +-------------------------------------------------------------------------------- + + +Error: The following path violates requirements by 6.291ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) + Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) + + Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. + + Constraint Details: + + 11.027ns data_path FS_577__i12 to LEDEN_392 violates + 5.000ns delay constraint less + 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns + + Path Details: FS_577__i12 to LEDEN_392 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) +Route 3 e 1.603 FS[12] +LUT4 --- 0.390 C to Z i4_4_lut +Route 3 e 1.483 n10 +LUT4 --- 0.390 B to Z i5_3_lut_rep_23 +Route 4 e 1.552 n2300 +LUT4 --- 0.390 B to Z i4_3_lut_4_lut +Route 1 e 1.220 n11 +LUT4 --- 0.390 C to Z i2_4_lut_adj_4 +Route 2 e 1.386 n2119 +LUT4 --- 0.390 C to Z i2_3_lut_3_lut +Route 1 e 1.220 RCLK_c_enable_25 + -------- + 11.027 (23.2% logic, 76.8% route), 6 logic levels. + + +Error: The following path violates requirements by 6.291ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_577__i12 (from RCLK_c +) + Destination: FD1P3AX SP n8MEGEN_391 (to RCLK_c +) + + Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. + + Constraint Details: + + 11.027ns data_path FS_577__i12 to n8MEGEN_391 violates + 5.000ns delay constraint less + 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns + + Path Details: FS_577__i12 to n8MEGEN_391 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q FS_577__i12 (from RCLK_c) +Route 3 e 1.603 FS[12] +LUT4 --- 0.390 C to Z i4_4_lut +Route 3 e 1.483 n10 +LUT4 --- 0.390 B to Z i5_3_lut_rep_23 +Route 4 e 1.552 n2300 +LUT4 --- 0.390 B to Z i4_3_lut_4_lut +Route 1 e 1.220 n11 +LUT4 --- 0.390 C to Z i2_4_lut_adj_4 +Route 2 e 1.386 n2119 +LUT4 --- 0.390 D to Z i1248_4_lut +Route 1 e 1.220 RCLK_c_enable_7 + -------- + 11.027 (23.2% logic, 76.8% route), 6 logic levels. + + +Error: The following path violates requirements by 6.291ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_577__i13 (from RCLK_c +) + Destination: FD1P3AX SP LEDEN_392 (to RCLK_c +) + + Delay: 11.027ns (23.2% logic, 76.8% route), 6 logic levels. + + Constraint Details: + + 11.027ns data_path FS_577__i13 to LEDEN_392 violates + 5.000ns delay constraint less + 0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns + + Path Details: FS_577__i13 to LEDEN_392 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.613 CK to Q FS_577__i13 (from RCLK_c) +Route 3 e 1.603 FS[13] +LUT4 --- 0.390 B to Z i4_4_lut +Route 3 e 1.483 n10 +LUT4 --- 0.390 B to Z i5_3_lut_rep_23 +Route 4 e 1.552 n2300 +LUT4 --- 0.390 B to Z i4_3_lut_4_lut +Route 1 e 1.220 n11 +LUT4 --- 0.390 C to Z i2_4_lut_adj_4 +Route 2 e 1.386 n2119 +LUT4 --- 0.390 C to Z i2_3_lut_3_lut +Route 1 e 1.220 RCLK_c_enable_25 + -------- + 11.027 (23.2% logic, 76.8% route), 6 logic levels. + +Warning: 11.291 ns is the maximum delay for this constraint. + + +Timing Report Summary +-------------- +-------------------------------------------------------------------------------- +Constraint | Constraint| Actual|Levels +-------------------------------------------------------------------------------- + | | | +create_clock -period 5.000000 -name | | | +clk3 [get_nets nCCAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk2 [get_nets nCRAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk1 [get_nets PHI2_c] | 5.000 ns| 25.756 ns| 7 * + | | | +create_clock -period 5.000000 -name | | | +clk0 [get_nets RCLK_c] | 5.000 ns| 11.291 ns| 6 * + | | | +-------------------------------------------------------------------------------- + + +2 constraints not met. + +-------------------------------------------------------------------------------- +Critical Nets | Loads| Errors| % of total +-------------------------------------------------------------------------------- +n1285 | 4| 112| 30.68% + | | | +n26 | 1| 70| 19.18% + | | | +RCLK_c_enable_23 | 16| 64| 17.53% + | | | +n2290 | 3| 64| 17.53% + | | | +XOR8MEG_N_112 | 3| 54| 14.79% + | | | +n2119 | 2| 48| 13.15% + | | | +n2166 | 1| 42| 11.51% + | | | +-------------------------------------------------------------------------------- + + +Timing summary: +--------------- + +Timing errors: 365 Score: 2309745 + +Constraints cover 495 paths, 177 nets, and 464 connections (66.5% coverage) + + +Peak memory: 52920320 bytes, TRCE: 1425408 bytes, DLYMAN: 163840 bytes +CPU_TIME_REPORT: 0 secs diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html new file mode 100644 index 0000000..93ec74e --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_lse_lsetwr.html @@ -0,0 +1,376 @@ + +Lattice Synthesis Timing Report + + +
    Lattice Synthesis Timing Report
    +--------------------------------------------------------------------------------
    +Lattice Synthesis Timing Report, Version  
    +Mon Aug 16 21:33:30 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Design:     RAM2GS
    +Constraint file:  
    +Report level:    verbose report, limited to 3 items per constraint
    +--------------------------------------------------------------------------------
    +
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c]
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c]
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c]
    +            122 items scored, 121 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Error:  The following path violates requirements by 10.378ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdUFMCS_385  (to PHI2_c -)
    +
    +   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     12.614ns data_path Bank_i5 to CmdUFMCS_385 violates
    +      2.500ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    +
    + Path Details: Bank_i5 to CmdUFMCS_385
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    +Route         1   e 1.220                                  Bank[5]
    +LUT4        ---     0.390              B to Z              i1856_4_lut
    +Route         1   e 1.220                                  n2166
    +LUT4        ---     0.390              B to Z              i12_4_lut
    +Route         1   e 1.220                                  n26
    +LUT4        ---     0.390              B to Z              i13_4_lut
    +Route         4   e 1.552                                  n1285
    +LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    +Route         3   e 1.483                                  n2290
    +LUT4        ---     0.390              D to Z              i3_4_lut
    +Route         3   e 1.483                                  XOR8MEG_N_112
    +LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    +Route         3   e 1.483                                  PHI2_N_114_enable_7
    +                  --------
    +                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    +
    +
    +Error:  The following path violates requirements by 10.378ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdUFMSDI_387  (to PHI2_c -)
    +
    +   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     12.614ns data_path Bank_i5 to CmdUFMSDI_387 violates
    +      2.500ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    +
    + Path Details: Bank_i5 to CmdUFMSDI_387
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    +Route         1   e 1.220                                  Bank[5]
    +LUT4        ---     0.390              B to Z              i1856_4_lut
    +Route         1   e 1.220                                  n2166
    +LUT4        ---     0.390              B to Z              i12_4_lut
    +Route         1   e 1.220                                  n26
    +LUT4        ---     0.390              B to Z              i13_4_lut
    +Route         4   e 1.552                                  n1285
    +LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    +Route         3   e 1.483                                  n2290
    +LUT4        ---     0.390              D to Z              i3_4_lut
    +Route         3   e 1.483                                  XOR8MEG_N_112
    +LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    +Route         3   e 1.483                                  PHI2_N_114_enable_7
    +                  --------
    +                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    +
    +
    +Error:  The following path violates requirements by 10.378ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i5  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdUFMCLK_386  (to PHI2_c -)
    +
    +   Delay:                  12.614ns  (23.4% logic, 76.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     12.614ns data_path Bank_i5 to CmdUFMCLK_386 violates
    +      2.500ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 2.236ns) by 10.378ns
    +
    + Path Details: Bank_i5 to CmdUFMCLK_386
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              Bank_i5 (from PHI2_c)
    +Route         1   e 1.220                                  Bank[5]
    +LUT4        ---     0.390              B to Z              i1856_4_lut
    +Route         1   e 1.220                                  n2166
    +LUT4        ---     0.390              B to Z              i12_4_lut
    +Route         1   e 1.220                                  n26
    +LUT4        ---     0.390              B to Z              i13_4_lut
    +Route         4   e 1.552                                  n1285
    +LUT4        ---     0.390              B to Z              i1830_2_lut_rep_13
    +Route         3   e 1.483                                  n2290
    +LUT4        ---     0.390              D to Z              i3_4_lut
    +Route         3   e 1.483                                  XOR8MEG_N_112
    +LUT4        ---     0.390              A to Z              i2_3_lut_4_lut
    +Route         3   e 1.483                                  PHI2_N_114_enable_7
    +                  --------
    +                   12.614  (23.4% logic, 76.6% route), 7 logic levels.
    +
    +Warning: 12.878 ns is the maximum delay for this constraint.
    +
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c]
    +            369 items scored, 244 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Error:  The following path violates requirements by 6.291ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    +   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    +
    +   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     11.027ns data_path FS_577__i12 to LEDEN_392 violates
    +      5.000ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    +
    + Path Details: FS_577__i12 to LEDEN_392
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    +Route         3   e 1.603                                  FS[12]
    +LUT4        ---     0.390              C to Z              i4_4_lut
    +Route         3   e 1.483                                  n10
    +LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    +Route         4   e 1.552                                  n2300
    +LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    +Route         1   e 1.220                                  n11
    +LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    +Route         2   e 1.386                                  n2119
    +LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    +Route         1   e 1.220                                  RCLK_c_enable_25
    +                  --------
    +                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    +
    +
    +Error:  The following path violates requirements by 6.291ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_577__i12  (from RCLK_c +)
    +   Destination:    FD1P3AX    SP             n8MEGEN_391  (to RCLK_c +)
    +
    +   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     11.027ns data_path FS_577__i12 to n8MEGEN_391 violates
    +      5.000ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    +
    + Path Details: FS_577__i12 to n8MEGEN_391
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              FS_577__i12 (from RCLK_c)
    +Route         3   e 1.603                                  FS[12]
    +LUT4        ---     0.390              C to Z              i4_4_lut
    +Route         3   e 1.483                                  n10
    +LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    +Route         4   e 1.552                                  n2300
    +LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    +Route         1   e 1.220                                  n11
    +LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    +Route         2   e 1.386                                  n2119
    +LUT4        ---     0.390              D to Z              i1248_4_lut
    +Route         1   e 1.220                                  RCLK_c_enable_7
    +                  --------
    +                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    +
    +
    +Error:  The following path violates requirements by 6.291ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_577__i13  (from RCLK_c +)
    +   Destination:    FD1P3AX    SP             LEDEN_392  (to RCLK_c +)
    +
    +   Delay:                  11.027ns  (23.2% logic, 76.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     11.027ns data_path FS_577__i13 to LEDEN_392 violates
    +      5.000ns delay constraint less
    +      0.264ns LCE_S requirement (totaling 4.736ns) by 6.291ns
    +
    + Path Details: FS_577__i13 to LEDEN_392
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.613             CK to Q              FS_577__i13 (from RCLK_c)
    +Route         3   e 1.603                                  FS[13]
    +LUT4        ---     0.390              B to Z              i4_4_lut
    +Route         3   e 1.483                                  n10
    +LUT4        ---     0.390              B to Z              i5_3_lut_rep_23
    +Route         4   e 1.552                                  n2300
    +LUT4        ---     0.390              B to Z              i4_3_lut_4_lut
    +Route         1   e 1.220                                  n11
    +LUT4        ---     0.390              C to Z              i2_4_lut_adj_4
    +Route         2   e 1.386                                  n2119
    +LUT4        ---     0.390              C to Z              i2_3_lut_3_lut
    +Route         1   e 1.220                                  RCLK_c_enable_25
    +                  --------
    +                   11.027  (23.2% logic, 76.8% route), 6 logic levels.
    +
    +Warning: 11.291 ns is the maximum delay for this constraint.
    +
    +
    +Timing Report Summary
    +--------------
    +--------------------------------------------------------------------------------
    +Constraint                              |   Constraint|       Actual|Levels
    +--------------------------------------------------------------------------------
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk1 [get_nets PHI2_c]                  |     5.000 ns|    25.756 ns|     7 *
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk0 [get_nets RCLK_c]                  |     5.000 ns|    11.291 ns|     6 *
    +                                        |             |             |
    +--------------------------------------------------------------------------------
    +
    +
    +2 constraints not met.
    +
    +--------------------------------------------------------------------------------
    +Critical Nets                           |   Loads|  Errors| % of total
    +--------------------------------------------------------------------------------
    +n1285                                   |       4|     112|     30.68%
    +                                        |        |        |
    +n26                                     |       1|      70|     19.18%
    +                                        |        |        |
    +RCLK_c_enable_23                        |      16|      64|     17.53%
    +                                        |        |        |
    +n2290                                   |       3|      64|     17.53%
    +                                        |        |        |
    +XOR8MEG_N_112                           |       3|      54|     14.79%
    +                                        |        |        |
    +n2119                                   |       2|      48|     13.15%
    +                                        |        |        |
    +n2166                                   |       1|      42|     11.51%
    +                                        |        |        |
    +--------------------------------------------------------------------------------
    +
    +
    +Timing summary:
    +---------------
    +
    +Timing errors: 365  Score: 2309745
    +
    +Constraints cover  495 paths, 177 nets, and 464 connections (66.5% coverage)
    +
    +
    +Peak memory: 52920320 bytes, TRCE: 1425408 bytes, DLYMAN: 163840 bytes
    +CPU_TIME_REPORT: 0 secs 
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v new file mode 100644 index 0000000..9cec3ba --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/RAM2GS_prim.v @@ -0,0 +1,789 @@ +// Verilog netlist produced by program LSE : version Diamond (64-bit) 3.12.0.240.2 +// Netlist written on Mon Aug 16 21:33:30 2021 +// +// Verilog Description of module RAM2GS +// + +module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, + LED, RBA, RA, RD, nRCS, RCLK, RCKE, nRWE, nRRAS, + nRCAS, RDQMH, RDQML, nUFMCS, UFMCLK, UFMSDI, UFMSDO) /* synthesis syn_module_defined=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1[8:14]) + input PHI2; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + input [9:0]MAin; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + input [1:0]CROW; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) + input [7:0]Din; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + output [7:0]Dout; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + input nCCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + input nCRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + input nFWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) + output LED; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) + output [1:0]RBA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + output [11:0]RA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + inout [7:0]RD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + output nRCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) + input RCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) + output RCKE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) + output nRWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) + output nRRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) + output nRCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) + output RDQMH; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) + output RDQML; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) + output nUFMCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) + output UFMCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) + output UFMSDI; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) + input UFMSDO; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) + + wire PHI2_c /* synthesis is_clock=1, SET_AS_NETWORK=PHI2_c */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + wire nCCAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + wire nCRAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + wire RCLK_c /* synthesis SET_AS_NETWORK=RCLK_c, is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) + wire nCCAS_N_3 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + wire nCRAS_N_9 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + wire PHI2_N_114 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(38[6:13]) + + wire GND_net, VCC_net, LEDEN, PHI2r, PHI2r2, PHI2r3, RASr, + RASr2, RASr3, CASr, CASr2, CASr3, FWEr, CBR, Din_c_7, + Din_c_6, Din_c_5, Din_c_4, Din_c_3, Din_c_2, Din_c_1, Din_c_0, + n2131, n33, PHI2_N_114_enable_2, n1; + wire [7:0]Bank; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(31[12:16]) + + wire CROW_c_1, CROW_c_0, MAin_c_9, MAin_c_8, MAin_c_7, MAin_c_6, + MAin_c_5, MAin_c_4, MAin_c_3, MAin_c_2, MAin_c_1, MAin_c_0, + nFWE_c, n8MEGEN, XOR8MEG, RCKEEN, RCKE_c, nRCS_c, nRRAS_c, + nRCAS_c, nRWE_c, RBA_c_1, RBA_c_0, nRowColSel, RA_c, n980; + wire [9:0]RowA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(51[12:16]) + + wire RA_c_9, RA_c_8, RA_c_7, RA_c_6, RA_c_5, RA_c_4, RA_c_3, + RA_c_2, RA_c_1, RA_c_0, RDQML_c, RDQMH_c; + wire [7:0]WRD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(59[12:15]) + + wire nUFMCS_c, UFMCLK_c, UFMSDI_c, UFMSDO_c, C1Submitted, ADSubmitted, + CmdEnable, CmdSubmitted, Cmdn8MEGEN, CmdUFMCLK, CmdUFMSDI, + CmdUFMCS, InitReady, Ready; + wire [17:0]FS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(86[13:15]) + + wire LED_N_90, RA11_N_180, n2164, n1895, n2294, n4, PHI2_N_114_enable_6, + n1881, RASr2_N_63, RCKE_N_128, nRowColSel_N_35, nRWE_N_178, + RCKEEN_N_126, nRowColSel_N_34, nRowColSel_N_33, nRowColSel_N_32, + nRowColSel_N_28, n1880, n4_adj_1, n2286, RCKEEN_N_117, nRWE_N_174, + RCKEEN_N_116, nRCS_N_135, nRCAS_N_161, nRWE_N_173, nRWE_N_172, + n1377, Ready_N_272, n2287, n26, Ready_N_268, nRCS_N_132, + nRCAS_N_157, nRWE_N_167, RCKEEN_N_115, n2290, n2289, n1361, + n1369, ADSubmitted_N_234, CmdEnable_N_236, C1Submitted_N_225, + XOR8MEG_N_112, n2098, PHI2_N_114_enable_1, n2248, Cmdn8MEGEN_N_248, + RCLK_c_enable_7, n2244, n2117, LEDEN_N_88, RCLK_c_enable_6, + UFMSDO_N_74, n2243, RCLK_c_enable_24, n8MEGEN_N_94, UFMCLK_N_212, + UFMSDI_N_219, n2242, n2114, n2080, PHI2_N_114_enable_7, n12, + n699, n700, n701, n702, n703, n705, n706, n707, n708, + n709, n710, n711, n11, n2076, n2119, n1368, n12_adj_2, + n1878, PHI2_N_114_enable_8, n2308, n2291, n2307, n11_adj_3, + n973, n1135, n78, n79, n80, n81, n82, n83, n84, n85, + n86, n87, n88, n89, n90, n91, n92, n93, n94, n95, + n1348, n50, n1877, RCLK_c_enable_23, n1876, n1875, n2293, + n2306, RCLK_c_enable_4, n2170, RCLK_c_enable_25, RCLK_c_enable_3, + n2128, n2103, n2304, n2386, n1879, n1874, n2310, n974, + n975, n962, n976, n2168, n977, n2245, n978, n2122, n979, + Dout_c, n2166, n2302, n2108, n2301, n2387, n1285, n2300, + n1628, n1627, n2299, n18, n2385, n2309, n2298, n2292, + n2297, n2154, n10, n2296, n2295; + + VHI i2 (.Z(VCC_net)); + INV i1963 (.A(nCCAS_c), .Z(nCCAS_N_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + FD1S3AX PHI2r2_350 (.D(PHI2r), .CK(RCLK_c), .Q(PHI2r2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam PHI2r2_350.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_4_lut (.A(XOR8MEG_N_112), .B(n2298), .C(n2296), + .D(Din_c_5), .Z(PHI2_N_114_enable_7)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; + defparam i2_3_lut_4_lut.init = 16'h0800; + ORCALUT4 i1_2_lut_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), .Z(n4)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1_2_lut_3_lut.init = 16'hfdfd; + FD1S3AX PHI2r3_351 (.D(PHI2r2), .CK(RCLK_c), .Q(PHI2r3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam PHI2r3_351.GSR = "ENABLED"; + FD1S3AX RASr_352 (.D(nCRAS_N_9), .CK(RCLK_c), .Q(RASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam RASr_352.GSR = "ENABLED"; + FD1S3AX RASr2_353 (.D(RASr), .CK(RCLK_c), .Q(RASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam RASr2_353.GSR = "ENABLED"; + FD1S3AX RASr3_354 (.D(RASr2), .CK(RCLK_c), .Q(RASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam RASr3_354.GSR = "ENABLED"; + FD1S3AX CASr_355 (.D(nCCAS_N_3), .CK(RCLK_c), .Q(CASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam CASr_355.GSR = "ENABLED"; + FD1S3AX CASr2_356 (.D(CASr), .CK(RCLK_c), .Q(CASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam CASr2_356.GSR = "ENABLED"; + FD1S3AX CASr3_357 (.D(CASr2), .CK(RCLK_c), .Q(CASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam CASr3_357.GSR = "ENABLED"; + FD1S3IX RA11_358 (.D(RA11_N_180), .CK(PHI2_c), .CD(n2307), .Q(RA_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam RA11_358.GSR = "ENABLED"; + FD1S3IX RowA_i0 (.D(MAin_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i0.GSR = "ENABLED"; + FD1S3AX WRD_i0 (.D(Din_c_0), .CK(nCCAS_N_3), .Q(WRD[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i0.GSR = "ENABLED"; + FD1S3AX FWEr_362 (.D(n2306), .CK(nCRAS_N_9), .Q(FWEr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam FWEr_362.GSR = "ENABLED"; + FD1S3AX CBR_363 (.D(nCCAS_N_3), .CK(nCRAS_N_9), .Q(CBR)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam CBR_363.GSR = "ENABLED"; + FD1S3IX ADSubmitted_380 (.D(n1361), .CK(PHI2_N_114), .CD(C1Submitted_N_225), + .Q(ADSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam ADSubmitted_380.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i5_3_lut (.A(RowA[4]), .B(MAin_c_4), .C(nRowColSel), + .Z(RA_c_4)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i5_3_lut.init = 16'hcaca; + ORCALUT4 i1_2_lut (.A(FS[10]), .B(n2076), .Z(RCLK_c_enable_6)) /* synthesis lut_function=(A (B)) */ ; + defparam i1_2_lut.init = 16'h8888; + CCU2 FS_577_add_4_10 (.A0(FS[8]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[9]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1877), + .COUT1(n1878), .S0(n87), .S1(n86)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_10.INIT0 = 16'hfaaa; + defparam FS_577_add_4_10.INIT1 = 16'hfaaa; + defparam FS_577_add_4_10.INJECT1_0 = "NO"; + defparam FS_577_add_4_10.INJECT1_1 = "NO"; + FD1S3AX RCKE_368 (.D(RCKE_N_128), .CK(RCLK_c), .Q(RCKE_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(141[9] 144[5]) + defparam RCKE_368.GSR = "ENABLED"; + FD1P3AY nRCS_369 (.D(nRCS_N_132), .SP(RCLK_c_enable_4), .CK(RCLK_c), + .Q(nRCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRCS_369.GSR = "ENABLED"; + FD1S3IX nRowColSel_375 (.D(n1368), .CK(RCLK_c), .CD(n2299), .Q(nRowColSel)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRowColSel_375.GSR = "ENABLED"; + ORCALUT4 n1_bdd_4_lut (.A(n1), .B(n1627), .C(nRWE_N_178), .D(nRowColSel_N_35), + .Z(nRWE_N_174)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))+!A (C+!(D))) */ ; + defparam n1_bdd_4_lut.init = 16'hf0dd; + ORCALUT4 i2_3_lut_rep_31 (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), + .Z(n2308)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ; + defparam i2_3_lut_rep_31.init = 16'h0808; + ORCALUT4 i1_2_lut_2_lut_4_lut (.A(PHI2r3), .B(CmdSubmitted), .C(PHI2r2), + .D(InitReady), .Z(RCLK_c_enable_24)) /* synthesis lut_function=(!(A (B (C (D))+!B (D))+!A (D))) */ ; + defparam i1_2_lut_2_lut_4_lut.init = 16'h08ff; + CCU2 FS_577_add_4_8 (.A0(FS[6]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[7]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1876), + .COUT1(n1877), .S0(n89), .S1(n88)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_8.INIT0 = 16'hfaaa; + defparam FS_577_add_4_8.INIT1 = 16'hfaaa; + defparam FS_577_add_4_8.INJECT1_0 = "NO"; + defparam FS_577_add_4_8.INJECT1_1 = "NO"; + ORCALUT4 i1_4_lut (.A(nRowColSel_N_34), .B(n1), .C(n2304), .D(nRowColSel_N_33), + .Z(n2117)) /* synthesis lut_function=(!(A+(B (C (D))+!B (C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1_4_lut.init = 16'h0544; + ORCALUT4 i3_4_lut (.A(MAin_c_1), .B(MAin_c_0), .C(CmdEnable), .D(n2290), + .Z(XOR8MEG_N_112)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; + defparam i3_4_lut.init = 16'h0040; + ORCALUT4 i4_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[6]), .D(n2168), + .Z(n11)) /* synthesis lut_function=((B+(C+!(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i4_3_lut_4_lut.init = 16'hfdff; + FD1S3IX S_FSM_i2 (.D(n1135), .CK(RCLK_c), .CD(n2302), .Q(nRowColSel_N_34)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i2.GSR = "ENABLED"; + ORCALUT4 i1_4_lut_adj_1 (.A(nRowColSel), .B(n1627), .C(nRowColSel_N_28), + .D(nRowColSel_N_32), .Z(n1368)) /* synthesis lut_function=(A (B+!(C (D)))+!A (B+!(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_4_lut_adj_1.init = 16'hcfee; + FD1S3AY nRRAS_370 (.D(n33), .CK(RCLK_c), .Q(nRRAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRRAS_370.GSR = "ENABLED"; + ORCALUT4 i1055_3_lut_4_lut (.A(MAin_c_1), .B(n2290), .C(ADSubmitted), + .D(ADSubmitted_N_234), .Z(n1361)) /* synthesis lut_function=(A (B (C+(D))+!B (D))+!A (C+(D))) */ ; + defparam i1055_3_lut_4_lut.init = 16'hffd0; + ORCALUT4 i2_3_lut (.A(FWEr), .B(CASr3), .C(CBR), .Z(nRowColSel_N_28)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(230[16:37]) + defparam i2_3_lut.init = 16'hfdfd; + BB Dout_pad_7__688 (.I(WRD[7]), .T(n962), .B(RD[7]), .O(n973)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + FD1P3AY nRCAS_371 (.D(nRCAS_N_157), .SP(RCLK_c_enable_4), .CK(RCLK_c), + .Q(nRCAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRCAS_371.GSR = "ENABLED"; + FD1P3AY nRWE_372 (.D(nRWE_N_167), .SP(RCLK_c_enable_3), .CK(RCLK_c), + .Q(nRWE_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam nRWE_372.GSR = "ENABLED"; + FD1S3JX RA10_373 (.D(n2128), .CK(RCLK_c), .PD(nRWE_N_172), .Q(n980)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam RA10_373.GSR = "ENABLED"; + FD1P3AX RCKEEN_374 (.D(RCKEEN_N_115), .SP(RCLK_c_enable_4), .CK(RCLK_c), + .Q(RCKEEN)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam RCKEEN_374.GSR = "ENABLED"; + ORCALUT4 i2_4_lut (.A(n2122), .B(n2295), .C(Din_c_2), .D(n2131), + .Z(C1Submitted_N_225)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; + defparam i2_4_lut.init = 16'h0008; + FD1S3IX RBA__i1 (.D(CROW_c_0), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RBA__i1.GSR = "ENABLED"; + ORCALUT4 Din_7__I_0_442_i6_2_lut_rep_32 (.A(Din_c_6), .B(Din_c_7), .Z(n2385)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam Din_7__I_0_442_i6_2_lut_rep_32.init = 16'heeee; + ORCALUT4 i1248_4_lut (.A(FS[5]), .B(n2308), .C(InitReady), .D(n2119), + .Z(RCLK_c_enable_7)) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1248_4_lut.init = 16'hc5c0; + ORCALUT4 i2_3_lut_4_lut_adj_2 (.A(nRowColSel_N_32), .B(n2299), .C(nRowColSel_N_34), + .D(nRowColSel_N_33), .Z(RCLK_c_enable_4)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i2_3_lut_4_lut_adj_2.init = 16'hfffe; + ORCALUT4 i1437_4_lut (.A(UFMSDO_c), .B(Cmdn8MEGEN), .C(FS[10]), .D(n4), + .Z(n8MEGEN_N_94)) /* synthesis lut_function=(A (B ((D)+!C))+!A (B+!((D)+!C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1437_4_lut.init = 16'hcc5c; + FD1P3AX IS_FSM__i0 (.D(Ready_N_272), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(nRCS_N_135)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i0.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_adj_3 (.A(RASr2), .B(RCKE_c), .Z(nRWE_N_178)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam i1_2_lut_adj_3.init = 16'hbbbb; + ORCALUT4 i2_4_lut_adj_4 (.A(n2294), .B(FS[10]), .C(n11), .D(n12), + .Z(n2119)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i2_4_lut_adj_4.init = 16'h0008; + FD1P3JX C1Submitted_379 (.D(n2386), .SP(PHI2_N_114_enable_1), .PD(C1Submitted_N_225), + .CK(PHI2_N_114), .Q(C1Submitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam C1Submitted_379.GSR = "ENABLED"; + FD1S3JX nUFMCS_388 (.D(n1348), .CK(RCLK_c), .PD(LEDEN_N_88), .Q(nUFMCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam nUFMCS_388.GSR = "ENABLED"; + ORCALUT4 m1_lut (.Z(n2387)) /* synthesis lut_function=1, syn_instantiated=1 */ ; + defparam m1_lut.init = 16'hffff; + ORCALUT4 i2_4_lut_adj_5 (.A(n2108), .B(MAin_c_1), .C(C1Submitted), + .D(MAin_c_0), .Z(n2098)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; + defparam i2_4_lut_adj_5.init = 16'h0800; + ORCALUT4 i5_4_lut (.A(FS[9]), .B(FS[4]), .C(FS[8]), .D(FS[7]), .Z(n12)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ; + defparam i5_4_lut.init = 16'hfffb; + FD1S3AX FS_577__i0 (.D(n95), .CK(RCLK_c), .Q(FS[0])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i0.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_adj_6 (.A(n2122), .B(ADSubmitted), .C(MAin_c_0), + .Z(n2080)) /* synthesis lut_function=(!((B+(C))+!A)) */ ; + defparam i2_3_lut_adj_6.init = 16'h0202; + ORCALUT4 i1419_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQMH_c)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(58[17:46]) + defparam i1419_2_lut.init = 16'hbbbb; + ORCALUT4 n50_bdd_4_lut_1911 (.A(n50), .B(RASr2), .C(RCKE_c), .D(nRowColSel_N_35), + .Z(n2242)) /* synthesis lut_function=(!(A (B (D)+!B (C (D)))+!A (B+(C+!(D))))) */ ; + defparam n50_bdd_4_lut_1911.init = 16'h03aa; + ORCALUT4 i1893_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQML_c)) /* synthesis lut_function=(!(A (B))) */ ; + defparam i1893_2_lut.init = 16'h7777; + FD1S3AX Bank_i0 (.D(Din_c_0), .CK(PHI2_c), .Q(Bank[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i0.GSR = "ENABLED"; + ORCALUT4 i1858_4_lut (.A(FS[1]), .B(FS[0]), .C(FS[2]), .D(FS[3]), + .Z(n2168)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i1858_4_lut.init = 16'h8000; + ORCALUT4 i1_2_lut_3_lut_adj_7 (.A(MAin_c_1), .B(n1285), .C(MAin_c_0), + .Z(n2131)) /* synthesis lut_function=((B+(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) + defparam i1_2_lut_3_lut_adj_7.init = 16'hfdfd; + ORCALUT4 i22_4_lut (.A(FS[4]), .B(CmdUFMCLK), .C(InitReady), .D(n2076), + .Z(UFMCLK_N_212)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i22_4_lut.init = 16'hc0ca; + ORCALUT4 i5_4_lut_adj_8 (.A(FS[14]), .B(FS[16]), .C(FS[13]), .D(FS[12]), + .Z(n12_adj_2)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i5_4_lut_adj_8.init = 16'h8000; + ORCALUT4 i1889_4_lut_then_4_lut (.A(n2117), .B(RCKE_c), .C(RASr2), + .D(nRowColSel_N_35), .Z(n2310)) /* synthesis lut_function=(!(A (B+(C+!(D)))+!A (B (D)+!B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1889_4_lut_then_4_lut.init = 16'h0355; + ORCALUT4 i1889_4_lut_else_4_lut (.A(InitReady), .B(nRCS_N_135), .C(RASr2), + .D(nRowColSel_N_35), .Z(n2309)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1889_4_lut_else_4_lut.init = 16'hdfff; + CCU2 FS_577_add_4_18 (.A0(FS[16]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[17]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1881), + .S0(n79), .S1(n78)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_18.INIT0 = 16'hfaaa; + defparam FS_577_add_4_18.INIT1 = 16'hfaaa; + defparam FS_577_add_4_18.INJECT1_0 = "NO"; + defparam FS_577_add_4_18.INJECT1_1 = "NO"; + ORCALUT4 UFMSDO_I_0_1_lut (.A(UFMSDO_c), .Z(UFMSDO_N_74)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(378[53:60]) + defparam UFMSDO_I_0_1_lut.init = 16'h5555; + FD1S3IX S_FSM_i3 (.D(n1135), .CK(RCLK_c), .CD(n1377), .Q(nRowColSel_N_33)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i3.GSR = "ENABLED"; + ORCALUT4 i1897_2_lut_rep_14_3_lut (.A(FS[11]), .B(n2300), .C(InitReady), + .Z(n2291)) /* synthesis lut_function=(!(A+(B+(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1897_2_lut_rep_14_3_lut.init = 16'h0101; + ORCALUT4 i1878_2_lut_3_lut_4_lut (.A(FS[11]), .B(n2300), .C(FS[10]), + .D(InitReady), .Z(LEDEN_N_88)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1878_2_lut_3_lut_4_lut.init = 16'h0001; + ORCALUT4 i1884_4_lut (.A(MAin_c_0), .B(n2290), .C(n2286), .D(MAin_c_1), + .Z(PHI2_N_114_enable_8)) /* synthesis lut_function=(!(A (B+(C))+!A (B+(C+!(D))))) */ ; + defparam i1884_4_lut.init = 16'h0302; + FD1S3AX PHI2r_349 (.D(PHI2_c), .CK(RCLK_c), .Q(PHI2r)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(91[9] 95[5]) + defparam PHI2r_349.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_rep_21_4_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_5), + .D(Din_c_4), .Z(n2298)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam i2_3_lut_rep_21_4_lut.init = 16'hfffe; + ORCALUT4 i1830_2_lut_rep_13 (.A(nFWE_c), .B(n1285), .Z(n2290)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1830_2_lut_rep_13.init = 16'heeee; + PFUMX i1912 (.BLUT(n2243), .ALUT(n2242), .C0(Ready), .Z(n2244)); + FD1S3AX S_FSM_i1 (.D(RASr2_N_63), .CK(RCLK_c), .Q(nRowColSel_N_35)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i1.GSR = "ENABLED"; + ORCALUT4 i1886_2_lut (.A(nRowColSel_N_32), .B(RASr2), .Z(n1135)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1886_2_lut.init = 16'h4444; + ORCALUT4 n50_bdd_4_lut (.A(n50), .B(InitReady), .C(RASr2), .D(nRowColSel_N_35), + .Z(n2243)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B (C)))) */ ; + defparam n50_bdd_4_lut.init = 16'h3fbf; + ORCALUT4 i1034_2_lut (.A(ADSubmitted_N_234), .B(C1Submitted_N_225), + .Z(CmdEnable_N_236)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1034_2_lut.init = 16'heeee; + ORCALUT4 i2_3_lut_4_lut_adj_9 (.A(Din_c_6), .B(Din_c_7), .C(XOR8MEG_N_112), + .D(Din_c_4), .Z(PHI2_N_114_enable_6)) /* synthesis lut_function=(!(A+(B+!(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam i2_3_lut_4_lut_adj_9.init = 16'h1000; + ORCALUT4 i1832_2_lut_rep_19_3_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_4), + .Z(n2296)) /* synthesis lut_function=(A+(B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(312[17:31]) + defparam i1832_2_lut_rep_19_3_lut.init = 16'hefef; + FD1S3IX S_FSM_i4 (.D(n1628), .CK(RCLK_c), .CD(RASr2_N_63), .Q(nRowColSel_N_32)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam S_FSM_i4.GSR = "ENABLED"; + ORCALUT4 i1424_2_lut_rep_27 (.A(FWEr), .B(CBR), .Z(n2304)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1424_2_lut_rep_27.init = 16'heeee; + ORCALUT4 i2_3_lut_adj_10 (.A(Din_c_3), .B(Din_c_6), .C(Din_c_5), .Z(n2122)) /* synthesis lut_function=(!(A+((C)+!B))) */ ; + defparam i2_3_lut_adj_10.init = 16'h0404; + ORCALUT4 i1429_2_lut_3_lut (.A(FWEr), .B(CBR), .C(RASr2), .Z(RCKEEN_N_126)) /* synthesis lut_function=(!(A (C)+!A (B (C)))) */ ; + defparam i1429_2_lut_3_lut.init = 16'h1f1f; + ORCALUT4 i4_4_lut (.A(FS[14]), .B(FS[13]), .C(FS[12]), .D(FS[15]), + .Z(n10)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i4_4_lut.init = 16'hfffe; + ORCALUT4 i5_3_lut_rep_23 (.A(FS[16]), .B(n10), .C(FS[17]), .Z(n2300)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i5_3_lut_rep_23.init = 16'hfefe; + ORCALUT4 i1_4_lut_adj_11 (.A(n2244), .B(n2297), .C(n18), .D(Ready), + .Z(n33)) /* synthesis lut_function=(A+(B (C+!(D))+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1_4_lut_adj_11.init = 16'hfaee; + ORCALUT4 i1_2_lut_rep_16_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), + .Z(n2293)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1_2_lut_rep_16_4_lut.init = 16'hfeff; + ORCALUT4 i3_4_lut_adj_12 (.A(CBR), .B(FWEr), .C(CASr2), .D(CASr3), + .Z(n1)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; + defparam i3_4_lut_adj_12.init = 16'h0040; + ORCALUT4 i1_2_lut_adj_13 (.A(nRowColSel_N_34), .B(nRowColSel_N_33), + .Z(n1627)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_adj_13.init = 16'heeee; + ORCALUT4 i1420_2_lut (.A(nCCAS_c), .B(nFWE_c), .Z(n962)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1420_2_lut.init = 16'heeee; + ORCALUT4 i1_1_lut_rep_29 (.A(nFWE_c), .Z(n2306)) /* synthesis lut_function=(!(A)) */ ; + defparam i1_1_lut_rep_29.init = 16'h5555; + ORCALUT4 Cmdn8MEGEN_I_84_4_lut (.A(Din_c_0), .B(n8MEGEN), .C(Din_c_5), + .D(n2296), .Z(Cmdn8MEGEN_N_248)) /* synthesis lut_function=(A (B (C+(D)))+!A (B+!(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(316[13] 322[7]) + defparam Cmdn8MEGEN_I_84_4_lut.init = 16'hccc5; + ORCALUT4 i1069_1_lut (.A(nRowColSel_N_34), .Z(n1377)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1069_1_lut.init = 16'h5555; + ORCALUT4 n2080_bdd_4_lut (.A(n2080), .B(n2098), .C(Din_c_2), .D(n2114), + .Z(n2286)) /* synthesis lut_function=(A (B (D)+!B !(C+!(D)))+!A (B (C (D)))) */ ; + defparam n2080_bdd_4_lut.init = 16'hca00; + ORCALUT4 RASr2_I_0_1_lut (.A(RASr2), .Z(RASr2_N_63)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[40:46]) + defparam RASr2_I_0_1_lut.init = 16'h5555; + ORCALUT4 i847_2_lut_4_lut (.A(n2385), .B(Din_c_4), .C(Din_c_5), .D(XOR8MEG_N_112), + .Z(PHI2_N_114_enable_2)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(310[8:22]) + defparam i847_2_lut_4_lut.init = 16'h0100; + ORCALUT4 i1_4_lut_adj_14 (.A(n2108), .B(MAin_c_0), .C(n4_adj_1), .D(n2289), + .Z(ADSubmitted_N_234)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) + defparam i1_4_lut_adj_14.init = 16'h0080; + ORCALUT4 i1_2_lut_adj_15 (.A(nRowColSel_N_33), .B(CASr2), .Z(n11_adj_3)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(48[6:16]) + defparam i1_2_lut_adj_15.init = 16'hbbbb; + FD1S3AX FS_577__i17 (.D(n78), .CK(RCLK_c), .Q(FS[17])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i17.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_3_lut_3_lut (.A(nFWE_c), .B(Din_c_2), .C(n2114), + .Z(n4_adj_1)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; + defparam i1_2_lut_3_lut_3_lut.init = 16'h4040; + FD1S3AX FS_577__i16 (.D(n79), .CK(RCLK_c), .Q(FS[16])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i16.GSR = "ENABLED"; + FD1S3AX FS_577__i15 (.D(n80), .CK(RCLK_c), .Q(FS[15])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i15.GSR = "ENABLED"; + ORCALUT4 nRWE_I_0_428_4_lut (.A(n2164), .B(nRWE_N_174), .C(Ready), + .D(n2292), .Z(nRWE_N_167)) /* synthesis lut_function=(A (B (C+(D))+!B !(C+!(D)))+!A (B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(232[12] 284[6]) + defparam nRWE_I_0_428_4_lut.init = 16'hcfc5; + ORCALUT4 i1257_3_lut (.A(n1895), .B(CmdUFMSDI), .C(InitReady), .Z(UFMSDI_N_219)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1257_3_lut.init = 16'hcaca; + ORCALUT4 RCKE_I_0_423_4_lut (.A(RASr), .B(RCKEEN), .C(RASr2), .D(RASr3), + .Z(RCKE_N_128)) /* synthesis lut_function=(A (B+!(C+!(D)))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(143[11:55]) + defparam RCKE_I_0_423_4_lut.init = 16'hcfc8; + FD1P3AX InitReady_367 (.D(n2387), .SP(RCLK_c_enable_6), .CK(RCLK_c), + .Q(InitReady)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(134[9] 138[5]) + defparam InitReady_367.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_adj_16 (.A(nRowColSel_N_32), .B(nRowColSel_N_33), + .Z(n1628)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_adj_16.init = 16'heeee; + ORCALUT4 i1854_2_lut (.A(nRCAS_N_161), .B(nRWE_N_173), .Z(n2164)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1854_2_lut.init = 16'heeee; + ORCALUT4 i1_2_lut_rep_17_4_lut (.A(FS[16]), .B(n10), .C(FS[17]), .D(FS[11]), + .Z(n2294)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(328[21:37]) + defparam i1_2_lut_rep_17_4_lut.init = 16'hfffe; + ORCALUT4 i1881_2_lut_rep_24 (.A(RASr2), .B(InitReady), .Z(n2301)) /* synthesis lut_function=(!(A (B))) */ ; + defparam i1881_2_lut_rep_24.init = 16'h7777; + GSR GSR_INST (.GSR(VCC_net)); + ORCALUT4 i1_2_lut_rep_18_2_lut (.A(nFWE_c), .B(n2114), .Z(n2295)) /* synthesis lut_function=(!(A+!(B))) */ ; + defparam i1_2_lut_rep_18_2_lut.init = 16'h4444; + FD1S3AX FS_577__i14 (.D(n81), .CK(RCLK_c), .Q(FS[14])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i14.GSR = "ENABLED"; + ORCALUT4 i2_3_lut_3_lut (.A(InitReady), .B(FS[5]), .C(n2119), .Z(RCLK_c_enable_25)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(384[16:26]) + defparam i2_3_lut_3_lut.init = 16'h4040; + ORCALUT4 i2_3_lut_adj_17 (.A(Din_c_6), .B(Din_c_5), .C(Din_c_3), .Z(n2108)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(309[7:24]) + defparam i2_3_lut_adj_17.init = 16'h4040; + ORCALUT4 i2_4_lut_adj_18 (.A(FS[6]), .B(n2293), .C(n2103), .D(FS[10]), + .Z(n1895)) /* synthesis lut_function=(!((B+((D)+!C))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam i2_4_lut_adj_18.init = 16'h0020; + ORCALUT4 i1_4_lut_adj_19 (.A(FS[8]), .B(FS[7]), .C(FS[5]), .D(FS[9]), + .Z(n2103)) /* synthesis lut_function=(!(A+(B (D)+!B !(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(339[4] 372[11]) + defparam i1_4_lut_adj_19.init = 16'h1044; + FD1P3AX XOR8MEG_381 (.D(Din_c_0), .SP(PHI2_N_114_enable_2), .CK(PHI2_N_114), + .Q(XOR8MEG)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam XOR8MEG_381.GSR = "ENABLED"; + FD1P3AX n8MEGEN_391 (.D(n8MEGEN_N_94), .SP(RCLK_c_enable_7), .CK(RCLK_c), + .Q(n8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam n8MEGEN_391.GSR = "ENABLED"; + FD1P3AX Ready_377 (.D(n2387), .SP(Ready_N_268), .CK(RCLK_c), .Q(Ready)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam Ready_377.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i2_3_lut (.A(RowA[1]), .B(MAin_c_1), .C(nRowColSel), + .Z(RA_c_1)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i2_3_lut.init = 16'hcaca; + FD1P3AX CmdUFMCLK_386 (.D(Din_c_1), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), + .Q(CmdUFMCLK)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdUFMCLK_386.GSR = "ENABLED"; + FD1P3AX CmdUFMSDI_387 (.D(Din_c_0), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), + .Q(CmdUFMSDI)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdUFMSDI_387.GSR = "ENABLED"; + FD1P3AX Cmdn8MEGEN_383 (.D(Cmdn8MEGEN_N_248), .SP(PHI2_N_114_enable_6), + .CK(PHI2_N_114), .Q(Cmdn8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam Cmdn8MEGEN_383.GSR = "ENABLED"; + FD1P3AX CmdSubmitted_384 (.D(n2387), .SP(PHI2_N_114_enable_6), .CK(PHI2_N_114), + .Q(CmdSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdSubmitted_384.GSR = "ENABLED"; + CCU2 FS_577_add_4_6 (.A0(FS[4]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[5]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1875), + .COUT1(n1876), .S0(n91), .S1(n90)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_6.INIT0 = 16'hfaaa; + defparam FS_577_add_4_6.INIT1 = 16'hfaaa; + defparam FS_577_add_4_6.INJECT1_0 = "NO"; + defparam FS_577_add_4_6.INJECT1_1 = "NO"; + FD1P3AX CmdUFMCS_385 (.D(Din_c_2), .SP(PHI2_N_114_enable_7), .CK(PHI2_N_114), + .Q(CmdUFMCS)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdUFMCS_385.GSR = "ENABLED"; + FD1S3AX FS_577__i13 (.D(n82), .CK(RCLK_c), .Q(FS[13])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i13.GSR = "ENABLED"; + ORCALUT4 i1875_2_lut (.A(nCRAS_c), .B(LEDEN), .Z(LED_N_90)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(13[15:34]) + defparam i1875_2_lut.init = 16'hbbbb; + FD1S3AX FS_577__i12 (.D(n83), .CK(RCLK_c), .Q(FS[12])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i12.GSR = "ENABLED"; + PFUMX RCKEEN_I_0_419 (.BLUT(RCKEEN_N_117), .ALUT(RCKEEN_N_126), .C0(nRowColSel_N_35), + .Z(RCKEEN_N_116)); + ORCALUT4 i1856_4_lut (.A(Bank[0]), .B(Bank[5]), .C(MAin_c_2), .D(Bank[6]), + .Z(n2166)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i1856_4_lut.init = 16'h8000; + FD1S3AX FS_577__i11 (.D(n84), .CK(RCLK_c), .Q(FS[11])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i11.GSR = "ENABLED"; + ORCALUT4 i1844_2_lut (.A(Bank[7]), .B(MAin_c_4), .Z(n2154)) /* synthesis lut_function=(A (B)) */ ; + defparam i1844_2_lut.init = 16'h8888; + ORCALUT4 RA11_I_53_3_lut (.A(Din_c_6), .B(XOR8MEG), .C(n8MEGEN), .Z(RA11_N_180)) /* synthesis lut_function=(A (B (C)+!B !(C))+!A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(99[22:51]) + defparam RA11_I_53_3_lut.init = 16'hc6c6; + ORCALUT4 Ready_bdd_3_lut_1922 (.A(nRCAS_N_161), .B(nRCS_N_135), .C(InitReady), + .Z(n2248)) /* synthesis lut_function=(A+(B+!(C))) */ ; + defparam Ready_bdd_3_lut_1922.init = 16'hefef; + FD1P3IX UFMSDI_390 (.D(UFMSDI_N_219), .SP(RCLK_c_enable_24), .CD(n2291), + .CK(RCLK_c), .Q(UFMSDI_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam UFMSDI_390.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i10_3_lut (.A(RowA[9]), .B(MAin_c_9), .C(nRowColSel), + .Z(RA_c_9)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i10_3_lut.init = 16'hcaca; + ORCALUT4 i604_1_lut_rep_30 (.A(Ready), .Z(n2307)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i604_1_lut_rep_30.init = 16'h5555; + FD1S3AX FS_577__i10 (.D(n85), .CK(RCLK_c), .Q(FS[10])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i10.GSR = "ENABLED"; + FD1S3AX FS_577__i9 (.D(n86), .CK(RCLK_c), .Q(FS[9])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i9.GSR = "ENABLED"; + FD1S3AX FS_577__i8 (.D(n87), .CK(RCLK_c), .Q(FS[8])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i8.GSR = "ENABLED"; + FD1S3AX FS_577__i7 (.D(n88), .CK(RCLK_c), .Q(FS[7])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i7.GSR = "ENABLED"; + FD1S3AX FS_577__i6 (.D(n89), .CK(RCLK_c), .Q(FS[6])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i6.GSR = "ENABLED"; + FD1S3AX FS_577__i5 (.D(n90), .CK(RCLK_c), .Q(FS[5])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i5.GSR = "ENABLED"; + FD1S3AX FS_577__i4 (.D(n91), .CK(RCLK_c), .Q(FS[4])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i4.GSR = "ENABLED"; + FD1S3AX FS_577__i3 (.D(n92), .CK(RCLK_c), .Q(FS[3])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i3.GSR = "ENABLED"; + FD1S3AX FS_577__i2 (.D(n93), .CK(RCLK_c), .Q(FS[2])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i2.GSR = "ENABLED"; + FD1S3AX FS_577__i1 (.D(n94), .CK(RCLK_c), .Q(FS[1])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577__i1.GSR = "ENABLED"; + FD1P3AX IS_FSM__i15 (.D(n699), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(Ready_N_272)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i15.GSR = "ENABLED"; + FD1P3AX IS_FSM__i14 (.D(n700), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n699)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i14.GSR = "ENABLED"; + FD1P3AX IS_FSM__i13 (.D(n701), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n700)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i13.GSR = "ENABLED"; + FD1P3AX IS_FSM__i12 (.D(n702), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n701)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i12.GSR = "ENABLED"; + FD1P3AX IS_FSM__i11 (.D(n703), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n702)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i11.GSR = "ENABLED"; + FD1P3AX IS_FSM__i10 (.D(nRWE_N_173), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n703)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i10.GSR = "ENABLED"; + FD1P3AX IS_FSM__i9 (.D(n705), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(nRWE_N_173)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i9.GSR = "ENABLED"; + FD1P3AX IS_FSM__i8 (.D(n706), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n705)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i8.GSR = "ENABLED"; + FD1P3AX IS_FSM__i7 (.D(n707), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n706)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i7.GSR = "ENABLED"; + FD1P3AX IS_FSM__i6 (.D(n708), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n707)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i6.GSR = "ENABLED"; + FD1P3AX IS_FSM__i5 (.D(n709), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n708)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i5.GSR = "ENABLED"; + FD1P3AX IS_FSM__i4 (.D(n710), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n709)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i4.GSR = "ENABLED"; + FD1P3AX IS_FSM__i3 (.D(n711), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n710)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i3.GSR = "ENABLED"; + FD1P3AX IS_FSM__i2 (.D(nRCAS_N_161), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(n711)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i2.GSR = "ENABLED"; + FD1P3AX IS_FSM__i1 (.D(nRCS_N_135), .SP(RCLK_c_enable_23), .CK(RCLK_c), + .Q(nRCAS_N_161)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263[11:15]) + defparam IS_FSM__i1.GSR = "ENABLED"; + FD1S3IX RBA__i2 (.D(CROW_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RBA_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RBA__i2.GSR = "ENABLED"; + FD1S3AX WRD_i7 (.D(Din_c_7), .CK(nCCAS_N_3), .Q(WRD[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i7.GSR = "ENABLED"; + FD1S3AX WRD_i6 (.D(Din_c_6), .CK(nCCAS_N_3), .Q(WRD[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i6.GSR = "ENABLED"; + FD1S3AX WRD_i5 (.D(Din_c_5), .CK(nCCAS_N_3), .Q(WRD[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i5.GSR = "ENABLED"; + FD1S3AX WRD_i4 (.D(Din_c_4), .CK(nCCAS_N_3), .Q(WRD[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i4.GSR = "ENABLED"; + FD1S3AX WRD_i3 (.D(Din_c_3), .CK(nCCAS_N_3), .Q(WRD[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i3.GSR = "ENABLED"; + FD1S3AX WRD_i2 (.D(Din_c_2), .CK(nCCAS_N_3), .Q(WRD[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i2.GSR = "ENABLED"; + FD1S3AX WRD_i1 (.D(Din_c_1), .CK(nCCAS_N_3), .Q(WRD[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(123[9] 125[5]) + defparam WRD_i1.GSR = "ENABLED"; + FD1S3JX RowA_i9 (.D(MAin_c_9), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i9.GSR = "ENABLED"; + FD1S3IX RowA_i8 (.D(MAin_c_8), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i8.GSR = "ENABLED"; + FD1S3IX RowA_i7 (.D(MAin_c_7), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i7.GSR = "ENABLED"; + FD1S3IX RowA_i6 (.D(MAin_c_6), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i6.GSR = "ENABLED"; + FD1S3JX RowA_i5 (.D(MAin_c_5), .CK(nCRAS_N_9), .PD(n2307), .Q(RowA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i5.GSR = "ENABLED"; + FD1S3IX RowA_i4 (.D(MAin_c_4), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i4.GSR = "ENABLED"; + FD1S3IX RowA_i3 (.D(MAin_c_3), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i3.GSR = "ENABLED"; + FD1S3IX RowA_i2 (.D(MAin_c_2), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i2.GSR = "ENABLED"; + FD1S3IX RowA_i1 (.D(MAin_c_1), .CK(nCRAS_N_9), .CD(n2307), .Q(RowA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(105[9] 120[5]) + defparam RowA_i1.GSR = "ENABLED"; + FD1S3AX Bank_i7 (.D(Din_c_7), .CK(PHI2_c), .Q(Bank[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i7.GSR = "ENABLED"; + ORCALUT4 i1_2_lut_rep_12 (.A(MAin_c_1), .B(n1285), .Z(n2289)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(80[15:31]) + defparam i1_2_lut_rep_12.init = 16'hdddd; + ORCALUT4 i1_2_lut_rep_15_3_lut_4_lut_4_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), + .C(InitReady), .D(RASr2), .Z(n2292)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_rep_15_3_lut_4_lut_4_lut.init = 16'hdfff; + ORCALUT4 i1_2_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_35), .C(RASr2), + .D(InitReady), .Z(RCLK_c_enable_23)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i1_2_lut_4_lut_4_lut.init = 16'h4000; + FD1S3AX Bank_i6 (.D(Din_c_6), .CK(PHI2_c), .Q(Bank[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i6.GSR = "ENABLED"; + FD1S3AX Bank_i5 (.D(Din_c_5), .CK(PHI2_c), .Q(Bank[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i5.GSR = "ENABLED"; + FD1S3AX Bank_i4 (.D(Din_c_4), .CK(PHI2_c), .Q(Bank[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i4.GSR = "ENABLED"; + FD1S3AX Bank_i3 (.D(Din_c_3), .CK(PHI2_c), .Q(Bank[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i3.GSR = "ENABLED"; + FD1S3AX Bank_i2 (.D(Din_c_2), .CK(PHI2_c), .Q(Bank[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i2.GSR = "ENABLED"; + FD1S3AX Bank_i1 (.D(Din_c_1), .CK(PHI2_c), .Q(Bank[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(98[9] 102[5]) + defparam Bank_i1.GSR = "ENABLED"; + BB Dout_pad_6__689 (.I(WRD[6]), .T(n962), .B(RD[6]), .O(n974)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_5__690 (.I(WRD[5]), .T(n962), .B(RD[5]), .O(n975)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_4__691 (.I(WRD[4]), .T(n962), .B(RD[4]), .O(n976)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_3__692 (.I(WRD[3]), .T(n962), .B(RD[3]), .O(n977)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_2__693 (.I(WRD[2]), .T(n962), .B(RD[2]), .O(n978)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + BB Dout_pad_1__694 (.I(WRD[1]), .T(n962), .B(RD[1]), .O(n979)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + ORCALUT4 nRWE_I_49_1_lut (.A(nRWE_N_173), .Z(nRWE_N_172)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(255[14] 262[8]) + defparam nRWE_I_49_1_lut.init = 16'h5555; + BB Dout_pad_0__695 (.I(WRD[0]), .T(n962), .B(RD[0]), .O(Dout_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(60[14:16]) + OB Dout_pad_7 (.I(n973), .O(Dout[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_6 (.I(n974), .O(Dout[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_5 (.I(n975), .O(Dout[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_4 (.I(n976), .O(Dout[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_3 (.I(n977), .O(Dout[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_2 (.I(n978), .O(Dout[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_1 (.I(n979), .O(Dout[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB Dout_pad_0 (.I(Dout_c), .O(Dout[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(27[15:19]) + OB LED_pad (.I(LED_N_90), .O(LED)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(12[9:12]) + OB RBA_pad_1 (.I(RBA_c_1), .O(RBA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + OB RBA_pad_0 (.I(RBA_c_0), .O(RBA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(47[19:22]) + OB RA_pad_11 (.I(RA_c), .O(RA[11])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_10 (.I(n980), .O(RA[10])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_9 (.I(RA_c_9), .O(RA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_8 (.I(RA_c_8), .O(RA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_7 (.I(RA_c_7), .O(RA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_6 (.I(RA_c_6), .O(RA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_5 (.I(RA_c_5), .O(RA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_4 (.I(RA_c_4), .O(RA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_3 (.I(RA_c_3), .O(RA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_2 (.I(RA_c_2), .O(RA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_1 (.I(RA_c_1), .O(RA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB RA_pad_0 (.I(RA_c_0), .O(RA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(52[16:18]) + OB nRCS_pad (.I(nRCS_c), .O(nRCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[13:17]) + OB RCKE_pad (.I(RCKE_c), .O(RCKE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(45[13:17]) + OB nRWE_pad (.I(nRWE_c), .O(nRWE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[45:49]) + OB nRRAS_pad (.I(nRRAS_c), .O(nRRAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[23:28]) + OB nRCAS_pad (.I(nRCAS_c), .O(nRCAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(46[34:39]) + OB RDQMH_pad (.I(RDQMH_c), .O(RDQMH)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[16:21]) + OB RDQML_pad (.I(RDQML_c), .O(RDQML)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(56[9:14]) + OB nUFMCS_pad (.I(nUFMCS_c), .O(nUFMCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(63[13:19]) + OB UFMCLK_pad (.I(UFMCLK_c), .O(UFMCLK)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(64[13:19]) + OB UFMSDI_pad (.I(UFMSDI_c), .O(UFMSDI)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(65[13:19]) + IB PHI2_pad (.I(PHI2), .O(PHI2_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + IB MAin_pad_9 (.I(MAin[9]), .O(MAin_c_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_8 (.I(MAin[8]), .O(MAin_c_8)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_7 (.I(MAin[7]), .O(MAin_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_6 (.I(MAin[6]), .O(MAin_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_5 (.I(MAin[5]), .O(MAin_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_4 (.I(MAin[4]), .O(MAin_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_3 (.I(MAin[3]), .O(MAin_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_2 (.I(MAin[2]), .O(MAin_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_1 (.I(MAin[1]), .O(MAin_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB MAin_pad_0 (.I(MAin[0]), .O(MAin_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(35[14:18]) + IB CROW_pad_1 (.I(CROW[1]), .O(CROW_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) + IB CROW_pad_0 (.I(CROW[0]), .O(CROW_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(34[14:18]) + IB Din_pad_7 (.I(Din[7]), .O(Din_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_6 (.I(Din[6]), .O(Din_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_5 (.I(Din[5]), .O(Din_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_4 (.I(Din[4]), .O(Din_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_3 (.I(Din[3]), .O(Din_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_2 (.I(Din[2]), .O(Din_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_1 (.I(Din[1]), .O(Din_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB Din_pad_0 (.I(Din[0]), .O(Din_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(26[14:17]) + IB nCCAS_pad (.I(nCCAS), .O(nCCAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[8:13]) + IB nCRAS_pad (.I(nCRAS), .O(nCRAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + IB nFWE_pad (.I(nFWE), .O(nFWE_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(36[8:12]) + IB RCLK_pad (.I(RCLK), .O(RCLK_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(41[8:12]) + IB UFMSDO_pad (.I(UFMSDO), .O(UFMSDO_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(66[8:14]) + ORCALUT4 MAin_9__I_0_400_i9_3_lut (.A(RowA[8]), .B(MAin_c_8), .C(nRowColSel), + .Z(RA_c_8)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i9_3_lut.init = 16'hcaca; + CCU2 FS_577_add_4_4 (.A0(FS[2]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[3]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1874), + .COUT1(n1875), .S0(n93), .S1(n92)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_4.INIT0 = 16'hfaaa; + defparam FS_577_add_4_4.INIT1 = 16'hfaaa; + defparam FS_577_add_4_4.INJECT1_0 = "NO"; + defparam FS_577_add_4_4.INJECT1_1 = "NO"; + ORCALUT4 i1_2_lut_3_lut_4_lut_4_lut (.A(Ready), .B(nRowColSel_N_32), + .C(n1627), .D(nRowColSel_N_35), .Z(RCLK_c_enable_3)) /* synthesis lut_function=((B+(C+(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i1_2_lut_3_lut_4_lut_4_lut.init = 16'hfffd; + FD1P3IX UFMCLK_389 (.D(UFMCLK_N_212), .SP(RCLK_c_enable_24), .CD(n2291), + .CK(RCLK_c), .Q(UFMCLK_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam UFMCLK_389.GSR = "ENABLED"; + ORCALUT4 i2_2_lut_rep_22_2_lut (.A(Ready), .B(nRowColSel_N_35), .Z(n2299)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(147[9] 285[5]) + defparam i2_2_lut_rep_22_2_lut.init = 16'hdddd; + ORCALUT4 i2_3_lut_4_lut_adj_20 (.A(n2297), .B(n2301), .C(nRCAS_N_161), + .D(Ready), .Z(n2128)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i2_3_lut_4_lut_adj_20.init = 16'hfffe; + ORCALUT4 i2_3_lut_adj_21 (.A(nRowColSel_N_33), .B(nRRAS_c), .C(nRowColSel_N_32), + .Z(n50)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i2_3_lut_adj_21.init = 16'hfefe; + ORCALUT4 MAin_9__I_0_400_i8_3_lut (.A(RowA[7]), .B(MAin_c_7), .C(nRowColSel), + .Z(RA_c_7)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i8_3_lut.init = 16'hcaca; + ORCALUT4 Ready_bdd_4_lut (.A(Ready), .B(n2117), .C(n2287), .D(nRowColSel_N_35), + .Z(nRCAS_N_157)) /* synthesis lut_function=(A (B (C (D))+!B (C+!(D)))+!A (C+!(D))) */ ; + defparam Ready_bdd_4_lut.init = 16'hf077; + ORCALUT4 i1366_3_lut (.A(InitReady), .B(RCKEEN_N_116), .C(Ready), + .Z(RCKEEN_N_115)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(84[6:11]) + defparam i1366_3_lut.init = 16'hcaca; + ORCALUT4 i6_4_lut (.A(FS[15]), .B(n12_adj_2), .C(FS[11]), .D(FS[17]), + .Z(n2076)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i6_4_lut.init = 16'h8000; + ORCALUT4 i1_4_lut_4_lut (.A(CBR), .B(n11_adj_3), .C(FWEr), .D(nRowColSel_N_34), + .Z(RCKEEN_N_117)) /* synthesis lut_function=(!(A+!(B (C+(D))+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(214[26:30]) + defparam i1_4_lut_4_lut.init = 16'h5540; + ORCALUT4 i1_2_lut_rep_11_3_lut (.A(nFWE_c), .B(n1285), .C(MAin_c_1), + .Z(PHI2_N_114_enable_1)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; + defparam i1_2_lut_rep_11_3_lut.init = 16'h1010; + CCU2 FS_577_add_4_2 (.A0(FS[0]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[1]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(GND_net), + .COUT1(n1874), .S0(n95), .S1(n94)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_2.INIT0 = 16'h0555; + defparam FS_577_add_4_2.INIT1 = 16'hfaaa; + defparam FS_577_add_4_2.INJECT1_0 = "NO"; + defparam FS_577_add_4_2.INJECT1_1 = "NO"; + ORCALUT4 i3_4_lut_adj_22 (.A(Din_c_0), .B(Din_c_1), .C(Din_c_4), .D(Din_c_7), + .Z(n2114)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ; + defparam i3_4_lut_adj_22.init = 16'h0200; + ORCALUT4 Ready_bdd_4_lut_1960 (.A(nRowColSel_N_32), .B(RASr2), .C(Ready_N_272), + .D(InitReady), .Z(n2245)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ; + defparam Ready_bdd_4_lut_1960.init = 16'h2000; + ORCALUT4 n2245_bdd_2_lut (.A(n2245), .B(Ready), .Z(Ready_N_268)) /* synthesis lut_function=(A+(B)) */ ; + defparam n2245_bdd_2_lut.init = 16'heeee; + ORCALUT4 n2248_bdd_4_lut_4_lut (.A(CBR), .B(RASr2), .C(Ready), .D(n2248), + .Z(n2287)) /* synthesis lut_function=(!(A (B (C+!(D)))+!A !((C+(D))+!B))) */ ; + defparam n2248_bdd_4_lut_4_lut.init = 16'h7f73; + FD1P3AX LEDEN_392 (.D(UFMSDO_N_74), .SP(RCLK_c_enable_25), .CK(RCLK_c), + .Q(LEDEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam LEDEN_392.GSR = "ENABLED"; + ORCALUT4 MAin_9__I_0_400_i7_3_lut (.A(RowA[6]), .B(MAin_c_6), .C(nRowColSel), + .Z(RA_c_6)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i7_3_lut.init = 16'hcaca; + ORCALUT4 MAin_9__I_0_400_i6_3_lut (.A(RowA[5]), .B(MAin_c_5), .C(nRowColSel), + .Z(RA_c_5)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i6_3_lut.init = 16'hcaca; + CCU2 FS_577_add_4_12 (.A0(FS[10]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[11]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1878), + .COUT1(n1879), .S0(n85), .S1(n84)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_12.INIT0 = 16'hfaaa; + defparam FS_577_add_4_12.INIT1 = 16'hfaaa; + defparam FS_577_add_4_12.INJECT1_0 = "NO"; + defparam FS_577_add_4_12.INJECT1_1 = "NO"; + FD1P3AX CmdEnable_378 (.D(CmdEnable_N_236), .SP(PHI2_N_114_enable_8), + .CK(PHI2_N_114), .Q(CmdEnable)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(288[9] 324[5]) + defparam CmdEnable_378.GSR = "ENABLED"; + CCU2 FS_577_add_4_16 (.A0(FS[14]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[15]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1880), + .COUT1(n1881), .S0(n81), .S1(n80)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_16.INIT0 = 16'hfaaa; + defparam FS_577_add_4_16.INIT1 = 16'hfaaa; + defparam FS_577_add_4_16.INJECT1_0 = "NO"; + defparam FS_577_add_4_16.INJECT1_1 = "NO"; + CCU2 FS_577_add_4_14 (.A0(FS[12]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[13]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n1879), + .COUT1(n1880), .S0(n83), .S1(n82)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136[9:13]) + defparam FS_577_add_4_14.INIT0 = 16'hfaaa; + defparam FS_577_add_4_14.INIT1 = 16'hfaaa; + defparam FS_577_add_4_14.INJECT1_0 = "NO"; + defparam FS_577_add_4_14.INJECT1_1 = "NO"; + ORCALUT4 MAin_9__I_0_400_i3_3_lut (.A(RowA[2]), .B(MAin_c_2), .C(nRowColSel), + .Z(RA_c_2)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i3_3_lut.init = 16'hcaca; + ORCALUT4 i1485_3_lut (.A(n2076), .B(n1369), .C(InitReady), .Z(n1348)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(83[6:15]) + defparam i1485_3_lut.init = 16'hcaca; + ORCALUT4 i1_2_lut_2_lut (.A(nRowColSel_N_35), .B(nRowColSel_N_34), .Z(n18)) /* synthesis lut_function=(!(A+!(B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_2_lut.init = 16'h4444; + ORCALUT4 i1_2_lut_rep_20_2_lut (.A(nRowColSel_N_35), .B(nRCS_N_135), + .Z(n2297)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1_2_lut_rep_20_2_lut.init = 16'hdddd; + ORCALUT4 i1062_3_lut (.A(nUFMCS_c), .B(CmdUFMCS), .C(n2308), .Z(n1369)) /* synthesis lut_function=(!(A (B (C))+!A (B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(327[9] 396[5]) + defparam i1062_3_lut.init = 16'h3a3a; + ORCALUT4 MAin_9__I_0_400_i4_3_lut (.A(RowA[3]), .B(MAin_c_3), .C(nRowColSel), + .Z(RA_c_3)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i4_3_lut.init = 16'hcaca; + ORCALUT4 MAin_9__I_0_400_i1_3_lut (.A(RowA[0]), .B(MAin_c_0), .C(nRowColSel), + .Z(RA_c_0)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(55[19:54]) + defparam MAin_9__I_0_400_i1_3_lut.init = 16'hcaca; + INV i1961 (.A(nCRAS_c), .Z(nCRAS_N_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(16[15:20]) + INV i1962 (.A(PHI2_c), .Z(PHI2_N_114)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(8[8:12]) + VLO i1 (.Z(GND_net)); + TSALL TSALL_INST (.TSALL(GND_net)); + ORCALUT4 i1070_1_lut_rep_25 (.A(nRowColSel_N_35), .Z(n2302)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131[13:16]) + defparam i1070_1_lut_rep_25.init = 16'h5555; + PUR PUR_INST (.PUR(VCC_net)); + defparam PUR_INST.RST_PULSE = 1; + ORCALUT4 i13_4_lut (.A(Bank[3]), .B(n26), .C(n2170), .D(MAin_c_5), + .Z(n1285)) /* synthesis lut_function=((B+!(C (D)))+!A) */ ; + defparam i13_4_lut.init = 16'hdfff; + ORCALUT4 i12_4_lut (.A(Bank[2]), .B(n2166), .C(n2154), .D(MAin_c_6), + .Z(n26)) /* synthesis lut_function=(A+!(B (C (D)))) */ ; + defparam i12_4_lut.init = 16'hbfff; + ORCALUT4 i1860_4_lut (.A(MAin_c_7), .B(MAin_c_3), .C(Bank[4]), .D(Bank[1]), + .Z(n2170)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i1860_4_lut.init = 16'h8000; + ORCALUT4 m0_lut (.Z(n2386)) /* synthesis lut_function=0, syn_instantiated=1 */ ; + defparam m0_lut.init = 16'h0000; + PFUMX i1934 (.BLUT(n2309), .ALUT(n2310), .C0(Ready), .Z(nRCS_N_132)); + +endmodule +// +// Verilog Description of module TSALL +// module not written out since it is a black-box. +// + +// +// Verilog Description of module PUR +// module not written out since it is a black-box. +// + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf new file mode 100644 index 0000000..15d3b42 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf @@ -0,0 +1,89 @@ +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 16.000000 ns ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +COMMERCIAL ; \ No newline at end of file diff --git a/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp new file mode 100644 index 0000000..0f2c679 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp @@ -0,0 +1,155 @@ +VOLTAGE 3.300 V; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; +IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 16.000000 ns ; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 new file mode 100644 index 0000000..3564159 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp0 @@ -0,0 +1,88 @@ +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 16.000000 ns ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 new file mode 100644 index 0000000..0f2c679 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf.prf_cdmp2 @@ -0,0 +1,155 @@ +VOLTAGE 3.300 V; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +IOBUF PORT "RD[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RD[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Dout[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "LED" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RBA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[11]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[10]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RA[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCKE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nRCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQMH" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RDQML" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nUFMCS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDI" IO_TYPE=LVCMOS25 ; +IOBUF PORT "PHI2" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[9]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[8]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "MAin[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "CROW[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[7]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[6]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[5]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[4]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[3]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[2]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[1]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "Din[0]" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCCAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nCRAS" IO_TYPE=LVCMOS25 ; +IOBUF PORT "nFWE" IO_TYPE=LVCMOS25 ; +IOBUF PORT "RCLK" IO_TYPE=LVCMOS25 ; +IOBUF PORT "UFMSDO" IO_TYPE=LVCMOS25 ; +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 16.000000 ns ; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nUFMCS" LOAD 15.000000 pF ; +OUTPUT PORT "UFMCLK" LOAD 15.000000 pF ; +OUTPUT PORT "UFMSDI" LOAD 15.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html new file mode 100644 index 0000000..5e379e0 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_hold.html @@ -0,0 +1,2080 @@ + + + + + + + +
    
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2
    +Mon Aug 16 20:38:58 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Design file:     RAM2GS
    +Device,speed:    LCMXO640C,M
    +Report level:    verbose report, limited to 10 items per preference
    +--------------------------------------------------------------------------------
    +
    +
    +Derating parameters
    +-------------------
    +Voltage:    3.300 V
    +
    +
    +
    +================================================================================
    +Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 0.447ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    +   Destination:    FF         Data in        ADSubmitted_375  (to PHI2_c -)
    +
    +   Delay:               0.424ns  (61.8% logic, 38.2% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.424ns physical path delay SLICE_9 to SLICE_9 meets
    +     -0.023ns DIN_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.023ns) by 0.447ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_9 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C5C.CLK to       R4C5C.Q0 SLICE_9 (from PHI2_c)
    +ROUTE         2     0.162       R4C5C.Q0 to R4C5C.A0       ADSubmitted
    +CTOF_DEL    ---     0.092       R4C5C.A0 to       R4C5C.F0 SLICE_9
    +ROUTE         1     0.000       R4C5C.F0 to R4C5C.DI0      n1355 (to PHI2_c)
    +                  --------
    +                    0.424   (61.8% logic, 38.2% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C5C.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C5C.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.244ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              C1Submitted_374  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    +
    +   Delay:               1.215ns  (41.6% logic, 58.4% route), 4 logic levels.
    +
    + Constraint Details:
    +
    +      1.215ns physical path delay SLICE_14 to SLICE_18 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.244ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_14 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C5A.CLK to       R4C5A.Q0 SLICE_14 (from PHI2_c)
    +ROUTE         1     0.222       R4C5A.Q0 to R4C6C.C1       C1Submitted
    +CTOOFX_DEL  ---     0.151       R4C6C.C1 to     R4C6C.OFX0 i26/SLICE_70
    +ROUTE         1     0.204     R4C6C.OFX0 to R4C6D.C1       n13
    +CTOF_DEL    ---     0.092       R4C6D.C1 to       R4C6D.F1 SLICE_80
    +ROUTE         1     0.123       R4C6D.F1 to R4C6D.C0       n6
    +CTOF_DEL    ---     0.092       R4C6D.C0 to       R4C6D.F0 SLICE_80
    +ROUTE         1     0.161       R4C6D.F0 to R4C6B.CE       PHI2_N_114_enable_8 (to PHI2_c)
    +                  --------
    +                    1.215   (41.6% logic, 58.4% route), 4 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_14:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C5A.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.249ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdSubmitted_378  (to PHI2_c -)
    +
    +   Delay:               1.220ns  (29.0% logic, 71.0% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.220ns physical path delay SLICE_18 to SLICE_19 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.249ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    +CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     0.132       R4C5B.F1 to R4C5B.C0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R4C5B.C0 to       R4C5B.F0 SLICE_76
    +ROUTE         2     0.463       R4C5B.F0 to R7C7C.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                    1.220   (29.0% logic, 71.0% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_19:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R7C7C.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.287ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              ADSubmitted_375  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdEnable_373  (to PHI2_c -)
    +
    +   Delay:               1.258ns  (40.5% logic, 59.5% route), 4 logic levels.
    +
    + Constraint Details:
    +
    +      1.258ns physical path delay SLICE_9 to SLICE_18 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.287ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_9 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C5C.CLK to       R4C5C.Q0 SLICE_9 (from PHI2_c)
    +ROUTE         2     0.261       R4C5C.Q0 to R4C6C.A0       ADSubmitted
    +CTOOFX_DEL  ---     0.155       R4C6C.A0 to     R4C6C.OFX0 i26/SLICE_70
    +ROUTE         1     0.204     R4C6C.OFX0 to R4C6D.C1       n13
    +CTOF_DEL    ---     0.092       R4C6D.C1 to       R4C6D.F1 SLICE_80
    +ROUTE         1     0.123       R4C6D.F1 to R4C6D.C0       n6
    +CTOF_DEL    ---     0.092       R4C6D.C0 to       R4C6D.F0 SLICE_80
    +ROUTE         1     0.161       R4C6D.F0 to R4C6B.CE       PHI2_N_114_enable_8 (to PHI2_c)
    +                  --------
    +                    1.258   (40.5% logic, 59.5% route), 4 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_9:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C5C.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.372ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        Cmdn8MEGEN_377  (to PHI2_c -)
    +
    +   Delay:               1.343ns  (26.4% logic, 73.6% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.343ns physical path delay SLICE_18 to SLICE_23 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.372ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    +CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     0.132       R4C5B.F1 to R4C5B.C0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R4C5B.C0 to       R4C5B.F0 SLICE_76
    +ROUTE         2     0.586       R4C5B.F0 to R6C6A.CE       PHI2_N_114_enable_6 (to PHI2_c)
    +                  --------
    +                    1.343   (26.4% logic, 73.6% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_23:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R6C6A.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.447ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        XOR8MEG_376  (to PHI2_c -)
    +
    +   Delay:               1.418ns  (25.0% logic, 75.0% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.418ns physical path delay SLICE_18 to SLICE_94 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.447ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    +CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     0.383       R4C5B.F1 to R7C6D.C0       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R7C6D.C0 to       R7C6D.F0 SLICE_97
    +ROUTE         1     0.410       R7C6D.F0 to R8C9B.CE       PHI2_N_114_enable_2 (to PHI2_c)
    +                  --------
    +                    1.418   (25.0% logic, 75.0% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R8C9B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.656ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:               1.627ns  (21.8% logic, 78.2% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.627ns physical path delay SLICE_18 to SLICE_77 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.656ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    +CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     0.335       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     0.667       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                    1.627   (21.8% logic, 78.2% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R9C9A.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 1.779ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              CmdEnable_373  (from PHI2_c -)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:               1.750ns  (20.2% logic, 79.8% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.750ns physical path delay SLICE_18 to SLICE_83 meets
    +     -0.029ns CE_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.029ns) by 1.779ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_18 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R4C6B.CLK to       R4C6B.Q0 SLICE_18 (from PHI2_c)
    +ROUTE         1     0.271       R4C6B.Q0 to R4C5B.B1       CmdEnable
    +CTOF_DEL    ---     0.092       R4C5B.B1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     0.335       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.092       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     0.790       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                    1.750   (20.2% logic, 79.8% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_18:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C6B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R5C8B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 175.792ns (weighted slack = 351.584ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              XOR8MEG_376  (from PHI2_c -)
    +   Destination:    FF         Data in        RA11_353  (to PHI2_c +)
    +
    +   Delay:               0.781ns  (33.5% logic, 66.5% route), 2 logic levels.
    +
    + Constraint Details:
    +
    +      0.781ns physical path delay SLICE_94 to SLICE_31 meets
    +     -0.011ns DIN_HLD and
    +    -175.000ns delay constraint less
    +      0.000ns skew requirement (totaling -175.011ns) by 175.792ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_94 to SLICE_31:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.170      R8C9B.CLK to       R8C9B.Q0 SLICE_94 (from PHI2_c)
    +ROUTE         1     0.519       R8C9B.Q0 to R2C9A.B0       XOR8MEG
    +CTOF_DEL    ---     0.092       R2C9A.B0 to       R2C9A.F0 SLICE_31
    +ROUTE         1     0.000       R2C9A.F0 to R2C9A.DI0      RA11_N_180 (to PHI2_c)
    +                  --------
    +                    0.781   (33.5% logic, 66.5% route), 2 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_94:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R8C9B.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_31:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R2C9A.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 176.113ns (weighted slack = 352.226ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i3  (from PHI2_c +)
    +   Destination:    FF         Data in        C1Submitted_374  (to PHI2_c -)
    +
    +   Delay:               1.084ns  (31.5% logic, 68.5% route), 3 logic levels.
    +
    + Constraint Details:
    +
    +      1.084ns physical path delay SLICE_92 to SLICE_14 meets
    +     -0.029ns CE_HLD and
    +    -175.000ns delay constraint less
    +      0.000ns skew requirement (totaling -175.029ns) by 176.113ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_92 to SLICE_14:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C3A.CLK to       R2C3A.Q1 SLICE_92 (from PHI2_c)
    +ROUTE         1     0.344       R2C3A.Q1 to R2C5C.A1       Bank_3
    +CTOF_DEL    ---     0.092       R2C5C.A1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.132       R2C5C.F1 to R2C5C.C0       n1279
    +CTOF_DEL    ---     0.092       R2C5C.C0 to       R2C5C.F0 SLICE_74
    +ROUTE         1     0.267       R2C5C.F0 to R4C5A.CE       PHI2_N_114_enable_1 (to PHI2_c)
    +                  --------
    +                    1.084   (31.5% logic, 68.5% route), 3 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_92:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R2C3A.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_14:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     1.120       39.PADDI to R4C5A.CLK      PHI2_c
    +                  --------
    +                    1.120   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: PERIOD NET "RCLK_c" 16.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i2  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i3  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_101 to SLICE_101 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_101 to SLICE_101:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6C.CLK to       R2C6C.Q0 SLICE_101 (from RCLK_c)
    +ROUTE         1     0.161       R2C6C.Q0 to R2C6C.M1       n705 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_101:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C6C.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_101:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C6C.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i3  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i4  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_101 to SLICE_81 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_101 to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6C.CLK to       R2C6C.Q1 SLICE_101 (from RCLK_c)
    +ROUTE         1     0.161       R2C6C.Q1 to R2C6B.M0       n704 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_101:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C6C.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C6B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i6  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i7  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_80 to SLICE_80 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_80 to SLICE_80:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R4C6D.CLK to       R4C6D.Q0 SLICE_80 (from RCLK_c)
    +ROUTE         1     0.161       R4C6D.Q0 to R4C6D.M1       n701 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_80:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R4C6D.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_80:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R4C6D.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i4  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i5  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_81 to SLICE_81 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_81 to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6B.CLK to       R2C6B.Q0 SLICE_81 (from RCLK_c)
    +ROUTE         1     0.161       R2C6B.Q0 to R2C6B.M1       n703 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C6B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_81:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C6B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i12  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i13  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_84 to SLICE_84 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_84 to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C5B.CLK to       R2C5B.Q0 SLICE_84 (from RCLK_c)
    +ROUTE         1     0.161       R2C5B.Q0 to R2C5B.M1       n695 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C5B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_84:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C5B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i8  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i9  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_95 to SLICE_95 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_95 to SLICE_95:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R8C9C.CLK to       R8C9C.Q0 SLICE_95 (from RCLK_c)
    +ROUTE         1     0.161       R8C9C.Q0 to R8C9C.M1       n699 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_95:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R8C9C.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_95:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R8C9C.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i10  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i11  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_96 to SLICE_96 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_96 to SLICE_96:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R4C7A.CLK to       R4C7A.Q0 SLICE_96 (from RCLK_c)
    +ROUTE         1     0.161       R4C7A.Q0 to R4C7A.M1       n697 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_96:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R4C7A.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_96:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R4C7A.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.339ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i14  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i15  (to RCLK_c +)
    +
    +   Delay:               0.318ns  (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.318ns physical path delay SLICE_99 to SLICE_99 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.339ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_99 to SLICE_99:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C7B.CLK to       R2C7B.Q0 SLICE_99 (from RCLK_c)
    +ROUTE         1     0.161       R2C7B.Q0 to R2C7B.M1       n693 (to RCLK_c)
    +                  --------
    +                    0.318   (49.4% logic, 50.6% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_99:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C7B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_99:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R2C7B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.345ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RASr2_348  (from RCLK_c +)
    +   Destination:    FF         Data in        RASr3_349  (to RCLK_c +)
    +
    +   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.324ns physical path delay SLICE_61 to SLICE_29 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_61 to SLICE_29:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R4C9B.CLK to       R4C9B.Q1 SLICE_61 (from RCLK_c)
    +ROUTE        16     0.167       R4C9B.Q1 to R4C9D.M1       RASr2 (to RCLK_c)
    +                  --------
    +                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_61:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R4C9B.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_29:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R4C9D.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 0.345ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              IS_FSM__i0  (from RCLK_c +)
    +   Destination:    FF         Data in        IS_FSM__i1  (to RCLK_c +)
    +
    +   Delay:               0.324ns  (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Constraint Details:
    +
    +      0.324ns physical path delay SLICE_87 to SLICE_87 meets
    +     -0.021ns M_HLD and
    +      0.000ns delay constraint less
    +      0.000ns skew requirement (totaling -0.021ns) by 0.345ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_87 to SLICE_87:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R6C9A.CLK to       R6C9A.Q0 SLICE_87 (from RCLK_c)
    +ROUTE         6     0.167       R6C9A.Q0 to R6C9A.M1       nRCS_N_135 (to RCLK_c)
    +                  --------
    +                    0.324   (48.5% logic, 51.5% route), 1 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_87:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R6C9A.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_87:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     0.435       86.PADDI to R6C9A.CLK      RCLK_c
    +                  --------
    +                    0.435   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.949ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA10_368  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[10]
    +
    +   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_55 and
    +      1.462ns delay SLICE_55 to RA[10] (totaling 1.949ns) meets
    +      0.000ns hold offset RCLK to RA[10] by 1.949ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_55:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C5A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_55 to RA[10]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C5A.CLK to       R2C5A.Q0 SLICE_55 (from RCLK_c)
    +ROUTE         1     0.197       R2C5A.Q0 to 87.PADDO       n974
    +DOPAD_DEL   ---     1.108       87.PADDO to         87.PAD RA[10]
    +                  --------
    +                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    1.949ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.844ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[9]
    +
    +   Data Path Delay:     2.357ns  (57.6% logic, 42.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.357ns delay SLICE_64 to RA[9] (totaling 2.844ns) meets
    +      0.000ns hold offset RCLK to RA[9] by 2.844ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[9]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.473       R2C6A.Q0 to R6C9A.D1       nRowColSel
    +CTOF_DEL    ---     0.092       R6C9A.D1 to       R6C9A.F1 SLICE_87
    +ROUTE         1     0.527       R6C9A.F1 to 85.PADDO       RA_c_9
    +DOPAD_DEL   ---     1.108       85.PADDO to         85.PAD RA[9]
    +                  --------
    +                    2.357   (57.6% logic, 42.4% route), 3 logic levels.
    +
    +Report:    2.844ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.575ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[8]
    +
    +   Data Path Delay:     2.088ns  (65.0% logic, 35.0% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.088ns delay SLICE_64 to RA[8] (totaling 2.575ns) meets
    +      0.000ns hold offset RCLK to RA[8] by 2.575ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[8]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.375       R2C6A.Q0 to R2C2A.C0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2A.C0 to       R2C2A.F0 SLICE_98
    +ROUTE         1     0.356       R2C2A.F0 to 96.PADDO       RA_c_8
    +DOPAD_DEL   ---     1.108       96.PADDO to         96.PAD RA[8]
    +                  --------
    +                    2.088   (65.0% logic, 35.0% route), 3 logic levels.
    +
    +Report:    2.575ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.673ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[7]
    +
    +   Data Path Delay:     2.186ns  (62.1% logic, 37.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.186ns delay SLICE_64 to RA[7] (totaling 2.673ns) meets
    +      0.000ns hold offset RCLK to RA[7] by 2.673ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[7]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.226       R2C6A.Q0 to R2C6A.C1       nRowColSel
    +CTOF_DEL    ---     0.092       R2C6A.C1 to       R2C6A.F1 SLICE_64
    +ROUTE         1     0.603       R2C6A.F1 to 100.PADDO      RA_c_7
    +DOPAD_DEL   ---     1.108      100.PADDO to        100.PAD RA[7]
    +                  --------
    +                    2.186   (62.1% logic, 37.9% route), 3 logic levels.
    +
    +Report:    2.673ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.687ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[6]
    +
    +   Data Path Delay:     2.200ns  (61.7% logic, 38.3% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.200ns delay SLICE_64 to RA[6] (totaling 2.687ns) meets
    +      0.000ns hold offset RCLK to RA[6] by 2.687ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[6]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.375       R2C6A.Q0 to R2C2A.C1       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2A.C1 to       R2C2A.F1 SLICE_98
    +ROUTE         1     0.468       R2C2A.F1 to 91.PADDO       RA_c_6
    +DOPAD_DEL   ---     1.108       91.PADDO to         91.PAD RA[6]
    +                  --------
    +                    2.200   (61.7% logic, 38.3% route), 3 logic levels.
    +
    +Report:    2.687ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.915ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[5]
    +
    +   Data Path Delay:     2.428ns  (55.9% logic, 44.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.428ns delay SLICE_64 to RA[5] (totaling 2.915ns) meets
    +      0.000ns hold offset RCLK to RA[5] by 2.915ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[5]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.447       R2C6A.Q0 to R8C9C.D1       nRowColSel
    +CTOF_DEL    ---     0.092       R8C9C.D1 to       R8C9C.F1 SLICE_95
    +ROUTE         1     0.624       R8C9C.F1 to 95.PADDO       RA_c_5
    +DOPAD_DEL   ---     1.108       95.PADDO to         95.PAD RA[5]
    +                  --------
    +                    2.428   (55.9% logic, 44.1% route), 3 logic levels.
    +
    +Report:    2.915ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.574ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[4]
    +
    +   Data Path Delay:     2.087ns  (65.0% logic, 35.0% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.087ns delay SLICE_64 to RA[4] (totaling 2.574ns) meets
    +      0.000ns hold offset RCLK to RA[4] by 2.574ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[4]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.375       R2C6A.Q0 to R2C2C.C1       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2C.C1 to       R2C2C.F1 SLICE_93
    +ROUTE         1     0.355       R2C2C.F1 to 99.PADDO       RA_c_4
    +DOPAD_DEL   ---     1.108       99.PADDO to         99.PAD RA[4]
    +                  --------
    +                    2.087   (65.0% logic, 35.0% route), 3 logic levels.
    +
    +Report:    2.574ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.622ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[3]
    +
    +   Data Path Delay:     2.135ns  (63.6% logic, 36.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.135ns delay SLICE_64 to RA[3] (totaling 2.622ns) meets
    +      0.000ns hold offset RCLK to RA[3] by 2.622ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[3]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.310       R2C6A.Q0 to R2C3A.D1       nRowColSel
    +CTOF_DEL    ---     0.092       R2C3A.D1 to       R2C3A.F1 SLICE_92
    +ROUTE         1     0.468       R2C3A.F1 to 97.PADDO       RA_c_3
    +DOPAD_DEL   ---     1.108       97.PADDO to         97.PAD RA[3]
    +                  --------
    +                    2.135   (63.6% logic, 36.4% route), 3 logic levels.
    +
    +Report:    2.622ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.698ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[2]
    +
    +   Data Path Delay:     2.211ns  (61.4% logic, 38.6% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.211ns delay SLICE_64 to RA[2] (totaling 2.698ns) meets
    +      0.000ns hold offset RCLK to RA[2] by 2.698ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[2]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.375       R2C6A.Q0 to R2C2B.C0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2B.C0 to       R2C2B.F0 SLICE_90
    +ROUTE         1     0.479       R2C2B.F0 to 94.PADDO       RA_c_2
    +DOPAD_DEL   ---     1.108       94.PADDO to         94.PAD RA[2]
    +                  --------
    +                    2.211   (61.4% logic, 38.6% route), 3 logic levels.
    +
    +Report:    2.698ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.622ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[1]
    +
    +   Data Path Delay:     2.135ns  (63.6% logic, 36.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.135ns delay SLICE_64 to RA[1] (totaling 2.622ns) meets
    +      0.000ns hold offset RCLK to RA[1] by 2.622ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[1]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.310       R2C6A.Q0 to R2C3A.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C3A.D0 to       R2C3A.F0 SLICE_92
    +ROUTE         1     0.468       R2C3A.F0 to 89.PADDO       RA_c_1
    +DOPAD_DEL   ---     1.108       89.PADDO to         89.PAD RA[1]
    +                  --------
    +                    2.135   (63.6% logic, 36.4% route), 3 logic levels.
    +
    +Report:    2.622ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.573ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[0]
    +
    +   Data Path Delay:     2.086ns  (65.1% logic, 34.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.086ns delay SLICE_64 to RA[0] (totaling 2.573ns) meets
    +      0.000ns hold offset RCLK to RA[0] by 2.573ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[0]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.375       R2C6A.Q0 to R2C2C.C0       nRowColSel
    +CTOF_DEL    ---     0.092       R2C2C.C0 to       R2C2C.F0 SLICE_93
    +ROUTE         1     0.354       R2C2C.F0 to 98.PADDO       RA_c_0
    +DOPAD_DEL   ---     1.108       98.PADDO to         98.PAD RA[0]
    +                  --------
    +                    2.086   (65.1% logic, 34.9% route), 3 logic levels.
    +
    +Report:    2.573ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.949ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCS
    +
    +   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_60 and
    +      1.462ns delay SLICE_60 to nRCS (totaling 1.949ns) meets
    +      0.000ns hold offset RCLK to nRCS by 1.949ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_60:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C9C.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_60 to nRCS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C9C.CLK to       R2C9C.Q0 SLICE_60 (from RCLK_c)
    +ROUTE         1     0.197       R2C9C.Q0 to 77.PADDO       nRCS_c
    +DOPAD_DEL   ---     1.108       77.PADDO to         77.PAD nRCS
    +                  --------
    +                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    1.949ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.949ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    +   Destination:    Port       Pad            RCKE
    +
    +   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_34 and
    +      1.462ns delay SLICE_34 to RCKE (totaling 1.949ns) meets
    +      0.000ns hold offset RCLK to RCKE by 1.949ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C7C.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_34 to RCKE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C7C.CLK to       R2C7C.Q0 SLICE_34 (from RCLK_c)
    +ROUTE         4     0.197       R2C7C.Q0 to 82.PADDO       RCKE_c
    +DOPAD_DEL   ---     1.108       82.PADDO to         82.PAD RCKE
    +                  --------
    +                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    1.949ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.232ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    +   Destination:    Port       Pad            nRWE
    +
    +   Data Path Delay:     1.745ns  (72.5% logic, 27.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_63 and
    +      1.745ns delay SLICE_63 to nRWE (totaling 2.232ns) meets
    +      0.000ns hold offset RCLK to nRWE by 2.232ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_63:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C7A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_63 to nRWE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C7A.CLK to       R2C7A.Q0 SLICE_63 (from RCLK_c)
    +ROUTE         1     0.480       R2C7A.Q0 to 72.PADDO       nRWE_c
    +DOPAD_DEL   ---     1.108       72.PADDO to         72.PAD nRWE
    +                  --------
    +                    1.745   (72.5% logic, 27.5% route), 2 logic levels.
    +
    +Report:    2.232ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.236ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    +   Destination:    Port       Pad            nRRAS
    +
    +   Data Path Delay:     1.749ns  (72.3% logic, 27.7% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_61 and
    +      1.749ns delay SLICE_61 to nRRAS (totaling 2.236ns) meets
    +      0.000ns hold offset RCLK to nRRAS by 2.236ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_61:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R4C9B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_61 to nRRAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R4C9B.CLK to       R4C9B.Q0 SLICE_61 (from RCLK_c)
    +ROUTE         2     0.484       R4C9B.Q0 to 73.PADDO       nRRAS_c
    +DOPAD_DEL   ---     1.108       73.PADDO to         73.PAD nRRAS
    +                  --------
    +                    1.749   (72.3% logic, 27.7% route), 2 logic levels.
    +
    +Report:    2.236ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.949ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCAS
    +
    +   Data Path Delay:     1.462ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_58 and
    +      1.462ns delay SLICE_58 to nRCAS (totaling 1.949ns) meets
    +      0.000ns hold offset RCLK to nRCAS by 1.949ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_58:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C9B.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_58 to nRCAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C9B.CLK to       R2C9B.Q0 SLICE_58 (from RCLK_c)
    +ROUTE         1     0.197       R2C9B.Q0 to 78.PADDO       nRCAS_c
    +DOPAD_DEL   ---     1.108       78.PADDO to         78.PAD nRCAS
    +                  --------
    +                    1.462   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    1.949ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.711ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQMH
    +
    +   Data Path Delay:     2.224ns  (61.0% logic, 39.0% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.224ns delay SLICE_64 to RDQMH (totaling 2.711ns) meets
    +      0.000ns hold offset RCLK to RDQMH by 2.711ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQMH:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.473       R2C6A.Q0 to R6C9A.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R6C9A.D0 to       R6C9A.F0 SLICE_87
    +ROUTE         1     0.394       R6C9A.F0 to 76.PADDO       RDQMH_c
    +DOPAD_DEL   ---     1.108       76.PADDO to         76.PAD RDQMH
    +                  --------
    +                    2.224   (61.0% logic, 39.0% route), 3 logic levels.
    +
    +Report:    2.711ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ;
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.488ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQML
    +
    +   Data Path Delay:     2.001ns  (67.8% logic, 32.2% route), 3 logic levels.
    +
    +   Clock Path Delay:    0.487ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      0.487ns delay RCLK to SLICE_64 and
    +      2.001ns delay SLICE_64 to RDQML (totaling 2.488ns) meets
    +      0.000ns hold offset RCLK to RDQML by 2.488ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.264         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.223       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    0.487   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQML:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.157      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.447       R2C6A.Q0 to R8C9C.D0       nRowColSel
    +CTOF_DEL    ---     0.092       R8C9C.D0 to       R8C9C.F0 SLICE_95
    +ROUTE         1     0.197       R8C9C.F0 to 61.PADDO       RDQML_c
    +DOPAD_DEL   ---     1.108       61.PADDO to         61.PAD RDQML
    +                  --------
    +                    2.001   (67.8% logic, 32.2% route), 3 logic levels.
    +
    +Report:    2.488ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +Report Summary
    +--------------
    +----------------------------------------------------------------------------
    +Preference(MIN Delays)                  |   Constraint|       Actual|Levels
    +----------------------------------------------------------------------------
    +                                        |             |             |
    +PERIOD NET "PHI2_c" 350.000000 ns  ;    |            -|            -|   2  
    +                                        |             |             |
    +PERIOD NET "nCCAS_c" 350.000000 ns  ;   |            -|            -|   0  
    +                                        |             |             |
    +PERIOD NET "nCRAS_c" 350.000000 ns  ;   |            -|            -|   0  
    +                                        |             |             |
    +PERIOD NET "RCLK_c" 16.000000 ns  ;     |            -|            -|   1  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ;                     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.844 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.575 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.673 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.687 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.915 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.574 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.622 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.698 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.622 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.573 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.232 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.236 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     1.949 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.711 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |     0.000 ns|     2.488 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ;                        |            -|            -|   0  
    +                                        |             |             |
    +----------------------------------------------------------------------------
    +
    +
    +All preferences were met.
    +
    +
    +Clock Domains Analysis
    +------------------------
    +
    +Found 4 clocks:
    +
    +Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    +   No transfer within this clock domain is found
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    +   No transfer within this clock domain is found
    +
    +Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    +   Covered under: PERIOD NET "RCLK_c" 16.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +   Clock Domain: PHI2_c   Source: PHI2.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    +   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +
    +Timing summary (Hold):
    +---------------
    +
    +Timing errors: 0  Score: 0
    +Cumulative negative slack: 0
    +
    +Constraints cover 520 paths, 6 nets, and 440 connections (71.54% coverage)
    +
    +
    +
    +Timing summary (Setup and Hold):
    +---------------
    +
    +Timing errors: 0 (setup), 0 (hold)
    +Score: 0 (setup), 0 (hold)
    +Cumulative negative slack: 0 (0+0)
    diff --git a/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html
    new file mode 100644
    index 0000000..9090020
    --- /dev/null
    +++ b/CPLD/LCMXO/LCMXO640C/impl1/Untitled.tpf_setup.html
    @@ -0,0 +1,3314 @@
    +
    +
    +
    +
    +
    +
    +
    +
    
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    +Mon Aug 16 20:38:58 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Design file:     RAM2GS
    +Device,speed:    LCMXO640C,3
    +Report level:    verbose report, limited to 10 items per preference
    +--------------------------------------------------------------------------------
    +
    +
    +Derating parameters
    +-------------------
    +Voltage:    3.300 V
    +
    +
    +
    +================================================================================
    +Preference: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 163.925ns (weighted slack = 327.850ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:              10.810ns  (25.8% logic, 74.2% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     10.810ns physical path delay SLICE_98 to SLICE_83 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 163.925ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q0 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.018       R2C2A.Q0 to R2C2B.B1       Bank_6
    +CTOF_DEL    ---     0.371       R2C2B.B1 to       R2C2B.F1 SLICE_90
    +ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    +CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    +ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    +CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.810   (25.8% logic, 74.2% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.114ns (weighted slack = 328.228ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i7  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:              10.621ns  (26.2% logic, 73.8% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     10.621ns physical path delay SLICE_98 to SLICE_83 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.114ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q1 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.487       R2C2A.Q1 to R2C5B.A0       Bank_7
    +CTOF_DEL    ---     0.371       R2C5B.A0 to       R2C5B.F0 SLICE_84
    +ROUTE         1     0.497       R2C5B.F0 to R2C5B.C1       n2136
    +CTOF_DEL    ---     0.371       R2C5B.C1 to       R2C5B.F1 SLICE_84
    +ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    +CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.621   (26.2% logic, 73.8% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.237ns (weighted slack = 328.474ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i4  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:              10.498ns  (23.0% logic, 77.0% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     10.498ns physical path delay SLICE_90 to SLICE_83 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.237ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_90 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_90 (from PHI2_c)
    +ROUTE         1     1.643       R2C2B.Q0 to R2C6C.B0       Bank_4
    +CTOF_DEL    ---     0.371       R2C6C.B0 to       R2C6C.F0 SLICE_101
    +ROUTE         1     0.893       R2C6C.F0 to R2C5C.C1       n2162
    +CTOF_DEL    ---     0.371       R2C5C.C1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.498   (23.0% logic, 77.0% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_90:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.307ns (weighted slack = 328.614ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:              10.428ns  (26.7% logic, 73.3% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     10.428ns physical path delay SLICE_90 to SLICE_83 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.307ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_90 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q1 SLICE_90 (from PHI2_c)
    +ROUTE         1     0.636       R2C2B.Q1 to R2C2B.A1       Bank_5
    +CTOF_DEL    ---     0.371       R2C2B.A1 to       R2C2B.F1 SLICE_90
    +ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    +CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    +ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    +CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.428   (26.7% logic, 73.3% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_90:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.317ns (weighted slack = 328.634ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i0  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:              10.418ns  (26.7% logic, 73.3% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     10.418ns physical path delay SLICE_93 to SLICE_83 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.317ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_93 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q0 SLICE_93 (from PHI2_c)
    +ROUTE         1     0.626       R2C2C.Q0 to R2C2B.D1       Bank_0
    +CTOF_DEL    ---     0.371       R2C2B.D1 to       R2C2B.F1 SLICE_90
    +ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    +CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    +ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    +CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.418   (26.7% logic, 73.3% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_93:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2C.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.386ns (weighted slack = 328.772ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i6  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:              10.349ns  (26.9% logic, 73.1% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     10.349ns physical path delay SLICE_98 to SLICE_77 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.386ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q0 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.018       R2C2A.Q0 to R2C2B.B1       Bank_6
    +CTOF_DEL    ---     0.371       R2C2B.B1 to       R2C2B.F1 SLICE_90
    +ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    +CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    +ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    +CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.349   (26.9% logic, 73.1% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.575ns (weighted slack = 329.150ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i7  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:              10.160ns  (27.4% logic, 72.6% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +     10.160ns physical path delay SLICE_98 to SLICE_77 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.575ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_98 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2A.CLK to       R2C2A.Q1 SLICE_98 (from PHI2_c)
    +ROUTE         1     1.487       R2C2A.Q1 to R2C5B.A0       Bank_7
    +CTOF_DEL    ---     0.371       R2C5B.A0 to       R2C5B.F0 SLICE_84
    +ROUTE         1     0.497       R2C5B.F0 to R2C5B.C1       n2136
    +CTOF_DEL    ---     0.371       R2C5B.C1 to       R2C5B.F1 SLICE_84
    +ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    +CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.160   (27.4% logic, 72.6% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_98:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.629ns (weighted slack = 329.258ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i1  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMCS_379  (to PHI2_c -)
    +                   FF                        CmdUFMCLK_380
    +
    +   Delay:              10.106ns  (23.9% logic, 76.1% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     10.106ns physical path delay SLICE_93 to SLICE_83 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.629ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_93 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2C.CLK to       R2C2C.Q1 SLICE_93 (from PHI2_c)
    +ROUTE         1     1.251       R2C2C.Q1 to R2C6C.D0       Bank_1
    +CTOF_DEL    ---     0.371       R2C6C.D0 to       R2C6C.F0 SLICE_101
    +ROUTE         1     0.893       R2C6C.F0 to R2C5C.C1       n2162
    +CTOF_DEL    ---     0.371       R2C5C.C1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     3.021       R5C2A.F1 to R5C8B.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.106   (23.9% logic, 76.1% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_93:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2C.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_83:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R5C8B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.698ns (weighted slack = 329.396ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i4  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:              10.037ns  (24.1% logic, 75.9% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +     10.037ns physical path delay SLICE_90 to SLICE_77 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.698ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_90 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q0 SLICE_90 (from PHI2_c)
    +ROUTE         1     1.643       R2C2B.Q0 to R2C6C.B0       Bank_4
    +CTOF_DEL    ---     0.371       R2C6C.B0 to       R2C6C.F0 SLICE_101
    +ROUTE         1     0.893       R2C6C.F0 to R2C5C.C1       n2162
    +CTOF_DEL    ---     0.371       R2C5C.C1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                   10.037   (24.1% logic, 75.9% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_90:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 164.768ns (weighted slack = 329.536ns)
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              Bank_i5  (from PHI2_c +)
    +   Destination:    FF         Data in        CmdUFMSDI_381  (to PHI2_c -)
    +
    +   Delay:               9.967ns  (28.0% logic, 72.0% route), 7 logic levels.
    +
    + Constraint Details:
    +
    +      9.967ns physical path delay SLICE_90 to SLICE_77 meets
    +    175.000ns delay constraint less
    +      0.000ns skew and
    +      0.265ns CE_SET requirement (totaling 174.735ns) by 164.768ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_90 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C2B.CLK to       R2C2B.Q1 SLICE_90 (from PHI2_c)
    +ROUTE         1     0.636       R2C2B.Q1 to R2C2B.A1       Bank_5
    +CTOF_DEL    ---     0.371       R2C2B.A1 to       R2C2B.F1 SLICE_90
    +ROUTE         1     1.155       R2C2B.F1 to R2C5B.D1       n2160
    +CTOF_DEL    ---     0.371       R2C5B.D1 to       R2C5B.F1 SLICE_84
    +ROUTE         1     0.304       R2C5B.F1 to R2C5C.D1       n26
    +CTOF_DEL    ---     0.371       R2C5C.D1 to       R2C5C.F1 SLICE_74
    +ROUTE         5     0.924       R2C5C.F1 to R4C5C.C1       n1279
    +CTOF_DEL    ---     0.371       R4C5C.C1 to       R4C5C.F1 SLICE_9
    +ROUTE         2     0.320       R4C5C.F1 to R4C5B.D1       n2288
    +CTOF_DEL    ---     0.371       R4C5B.D1 to       R4C5B.F1 SLICE_76
    +ROUTE         3     1.282       R4C5B.F1 to R5C2A.D1       XOR8MEG_N_112
    +CTOF_DEL    ---     0.371       R5C2A.D1 to       R5C2A.F1 SLICE_73
    +ROUTE         2     2.560       R5C2A.F1 to R9C9A.CE       PHI2_N_114_enable_7 (to PHI2_c)
    +                  --------
    +                    9.967   (28.0% logic, 72.0% route), 7 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path PHI2 to SLICE_90:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R2C2B.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path PHI2 to SLICE_77:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        14     3.671       39.PADDI to R9C9A.CLK      PHI2_c
    +                  --------
    +                    3.671   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +Report:   22.150ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCCAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 348.000ns
    +         The internal maximum frequency of the following component is 500.000 MHz
    +
    + Logical Details:  Cell type  Pin name       Component name
    +
    +   Destination:    FSLICE     CLK            SLICE_73
    +
    +   Delay:               2.000ns -- based on Minimum Pulse Width
    +
    +Report:    2.000ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "nCRAS_c" 350.000000 ns  ;
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 348.000ns
    +         The internal maximum frequency of the following component is 500.000 MHz
    +
    + Logical Details:  Cell type  Pin name       Component name
    +
    +   Destination:    FSLICE     CLK            SLICE_74
    +
    +   Delay:               2.000ns -- based on Minimum Pulse Width
    +
    +Report:    2.000ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: PERIOD NET "RCLK_c" 16.000000 ns  ;
    +            10 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    + 
    +
    +Passed: The following path meets requirements by 7.341ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               8.415ns  (28.7% logic, 71.3% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.415ns physical path delay SLICE_7 to SLICE_56 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 7.341ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q1 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.475       R8C8D.Q1 to R8C9D.B1       FS_15
    +CTOF_DEL    ---     0.371       R8C9D.B1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    +CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    +ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    +CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    +CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    +ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    8.415   (28.7% logic, 71.3% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 7.520ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               8.236ns  (29.3% logic, 70.7% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.236ns physical path delay SLICE_7 to SLICE_85 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 7.520ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q1 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.475       R8C8D.Q1 to R8C9D.B1       FS_15
    +CTOF_DEL    ---     0.371       R8C9D.B1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    +CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    +ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    +CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.903       R9C9A.F1 to R10C9A.C1      n2111
    +CTOF_DEL    ---     0.371      R10C9A.C1 to      R10C9A.F1 SLICE_100
    +ROUTE         1     0.703      R10C9A.F1 to R9C9D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    8.236   (29.3% logic, 70.7% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C9D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 7.549ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               8.207ns  (29.4% logic, 70.6% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.207ns physical path delay SLICE_7 to SLICE_56 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 7.549ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q0 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.267       R8C8D.Q0 to R8C9D.C1       FS_14
    +CTOF_DEL    ---     0.371       R8C9D.C1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    +CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    +ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    +CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    +CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    +ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    8.207   (29.4% logic, 70.6% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 7.728ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i14  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               8.028ns  (30.1% logic, 69.9% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      8.028ns physical path delay SLICE_7 to SLICE_85 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 7.728ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q0 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.267       R8C8D.Q0 to R8C9D.C1       FS_14
    +CTOF_DEL    ---     0.371       R8C9D.C1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    +CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    +ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    +CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.903       R9C9A.F1 to R10C9A.C1      n2111
    +CTOF_DEL    ---     0.371      R10C9A.C1 to      R10C9A.F1 SLICE_100
    +ROUTE         1     0.703      R10C9A.F1 to R9C9D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    8.028   (30.1% logic, 69.9% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C9D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 7.768ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               7.988ns  (30.2% logic, 69.8% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.988ns physical path delay SLICE_8 to SLICE_56 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 7.768ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_8 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8C.CLK to       R8C8C.Q1 SLICE_8 (from RCLK_c)
    +ROUTE         3     1.048       R8C8C.Q1 to R8C9D.A1       FS_13
    +CTOF_DEL    ---     0.371       R8C9D.A1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    +CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    +ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    +CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    +CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    +ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    7.988   (30.2% logic, 69.8% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_8:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8C.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 7.947ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i13  (from RCLK_c +)
    +   Destination:    FF         Data in        LEDEN_386  (to RCLK_c +)
    +
    +   Delay:               7.809ns  (30.9% logic, 69.1% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.809ns physical path delay SLICE_8 to SLICE_85 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 7.947ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_8 to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8C.CLK to       R8C8C.Q1 SLICE_8 (from RCLK_c)
    +ROUTE         3     1.048       R8C8C.Q1 to R8C9D.A1       FS_13
    +CTOF_DEL    ---     0.371       R8C9D.A1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    +CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    +ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    +CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.903       R9C9A.F1 to R10C9A.C1      n2111
    +CTOF_DEL    ---     0.371      R10C9A.C1 to      R10C9A.F1 SLICE_100
    +ROUTE         1     0.703      R10C9A.F1 to R9C9D.CE       RCLK_c_enable_25 (to RCLK_c)
    +                  --------
    +                    7.809   (30.9% logic, 69.1% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_8:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8C.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_85:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C9D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 8.079ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i15  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               7.677ns  (26.6% logic, 73.4% route), 5 logic levels.
    +
    + Constraint Details:
    +
    +      7.677ns physical path delay SLICE_7 to SLICE_56 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 8.079ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_7 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8D.CLK to       R8C8D.Q1 SLICE_7 (from RCLK_c)
    +ROUTE         3     1.475       R8C8D.Q1 to R8C9D.B1       FS_15
    +CTOF_DEL    ---     0.371       R8C9D.B1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     0.989       R8C9D.F1 to R8C9B.A1       n10
    +CTOF_DEL    ---     0.371       R8C9B.A1 to       R8C9B.F1 SLICE_94
    +ROUTE         1     1.384       R8C9B.F1 to R9C9A.A1       n2292
    +CTOF_DEL    ---     0.371       R9C9A.A1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    +CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    +ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    7.677   (26.6% logic, 73.4% route), 5 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_7:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 8.092ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i12  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               7.664ns  (31.5% logic, 68.5% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.664ns physical path delay SLICE_8 to SLICE_56 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 8.092ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_8 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C8C.CLK to       R8C8C.Q0 SLICE_8 (from RCLK_c)
    +ROUTE         3     0.724       R8C8C.Q0 to R8C9D.D1       FS_12
    +CTOF_DEL    ---     0.371       R8C9D.D1 to       R8C9D.F1 SLICE_78
    +ROUTE         3     1.057       R8C9D.F1 to R6C9B.A1       n10
    +CTOF_DEL    ---     0.371       R6C9B.A1 to       R6C9B.F1 SLICE_75
    +ROUTE         4     0.528       R6C9B.F1 to R6C9B.C0       n2298
    +CTOF_DEL    ---     0.371       R6C9B.C0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    +CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    +ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    7.664   (31.5% logic, 68.5% route), 6 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_8:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C8C.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 8.177ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i1  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               7.579ns  (27.0% logic, 73.0% route), 5 logic levels.
    +
    + Constraint Details:
    +
    +      7.579ns physical path delay SLICE_5 to SLICE_56 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 8.177ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_5 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C7A.CLK to       R8C7A.Q1 SLICE_5 (from RCLK_c)
    +ROUTE         2     1.108       R8C7A.Q1 to R7C7D.B1       FS_1
    +CTOF_DEL    ---     0.371       R7C7D.B1 to       R7C7D.F1 SLICE_68
    +ROUTE         1     1.487       R7C7D.F1 to R6C9B.A0       n2164
    +CTOF_DEL    ---     0.371       R6C9B.A0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    +CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    +ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    7.579   (27.0% logic, 73.0% route), 5 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_5:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C7A.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    + 
    +
    +Passed: The following path meets requirements by 8.243ns
    + 
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              FS_571__i2  (from RCLK_c +)
    +   Destination:    FF         Data in        n8MEGEN_385  (to RCLK_c +)
    +
    +   Delay:               7.513ns  (27.2% logic, 72.8% route), 5 logic levels.
    +
    + Constraint Details:
    +
    +      7.513ns physical path delay SLICE_4 to SLICE_56 meets
    +     16.000ns delay constraint less
    +      0.000ns skew and
    +      0.244ns CE_SET requirement (totaling 15.756ns) by 8.243ns
    +
    + Physical Path Details:
    +
    +      Data path SLICE_4 to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R8C7B.CLK to       R8C7B.Q0 SLICE_4 (from RCLK_c)
    +ROUTE         2     1.042       R8C7B.Q0 to R7C7D.A1       FS_2
    +CTOF_DEL    ---     0.371       R7C7D.A1 to       R7C7D.F1 SLICE_68
    +ROUTE         1     1.487       R7C7D.F1 to R6C9B.A0       n2164
    +CTOF_DEL    ---     0.371       R6C9B.A0 to       R6C9B.F0 SLICE_75
    +ROUTE         1     1.155       R6C9B.F0 to R9C9A.D1       n11
    +CTOF_DEL    ---     0.371       R9C9A.D1 to       R9C9A.F1 SLICE_77
    +ROUTE         2     0.712       R9C9A.F1 to R9C9A.B0       n2111
    +CTOF_DEL    ---     0.371       R9C9A.B0 to       R9C9A.F0 SLICE_77
    +ROUTE         1     1.073       R9C9A.F0 to R9C8D.CE       RCLK_c_enable_7 (to RCLK_c)
    +                  --------
    +                    7.513   (27.2% logic, 72.8% route), 5 logic levels.
    +
    + Clock Skew Details: 
    +
    +      Source Clock Path RCLK to SLICE_4:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R8C7B.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +      Destination Clock Path RCLK to SLICE_56:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +ROUTE        39     1.425       86.PADDI to R9C8D.CLK      RCLK_c
    +                  --------
    +                    1.425   (0.0% logic, 100.0% route), 0 logic levels.
    +
    +Report:    8.659ns is the minimum period for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 4.999ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA10_368  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[10]
    +
    +   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_55 and
    +      5.013ns delay SLICE_55 to RA[10] (totaling 7.501ns) meets
    +     12.500ns offset RCLK to RA[10] by 4.999ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_55:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C5A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_55 to RA[10]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C5A.CLK to       R2C5A.Q0 SLICE_55 (from RCLK_c)
    +ROUTE         1     0.817       R2C5A.Q0 to 87.PADDO       n974
    +DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    +                  --------
    +                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    +
    +Report:    7.501ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 6.396ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RA10_368  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[10]
    +
    +   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_55 and
    +      4.797ns delay SLICE_55 to RA[10] (totaling 6.396ns) meets
    +      0.000ns hold offset RCLK to RA[10] by 6.396ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_55:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C5A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_55 to RA[10]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C5A.CLK to       R2C5A.Q0 SLICE_55 (from RCLK_c)
    +ROUTE         1     0.646       R2C5A.Q0 to 87.PADDO       n974
    +DOPAD_DEL   ---     3.636       87.PADDO to         87.PAD RA[10]
    +                  --------
    +                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    6.396ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.477ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[9]
    +
    +   Data Path Delay:     8.535ns  (53.5% logic, 46.5% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      8.535ns delay SLICE_64 to RA[9] (totaling 11.023ns) meets
    +     12.500ns offset RCLK to RA[9] by 1.477ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[9]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.796       R2C6A.Q0 to R6C9A.D1       nRowColSel
    +CTOF_DEL    ---     0.371       R6C9A.D1 to       R6C9A.F1 SLICE_87
    +ROUTE         1     2.172       R6C9A.F1 to 85.PADDO       RA_c_9
    +DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    +                  --------
    +                    8.535   (53.5% logic, 46.5% route), 3 logic levels.
    +
    +Report:   11.023ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 9.323ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[9]
    +
    +   Data Path Delay:     7.724ns  (57.6% logic, 42.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.724ns delay SLICE_64 to RA[9] (totaling 9.323ns) meets
    +      0.000ns hold offset RCLK to RA[9] by 9.323ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[9]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.549       R2C6A.Q0 to R6C9A.D1       nRowColSel
    +CTOF_DEL    ---     0.301       R6C9A.D1 to       R6C9A.F1 SLICE_87
    +ROUTE         1     1.723       R6C9A.F1 to 85.PADDO       RA_c_9
    +DOPAD_DEL   ---     3.636       85.PADDO to         85.PAD RA[9]
    +                  --------
    +                    7.724   (57.6% logic, 42.4% route), 3 logic levels.
    +
    +Report:    9.323ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.460ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[8]
    +
    +   Data Path Delay:     7.552ns  (60.5% logic, 39.5% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      7.552ns delay SLICE_64 to RA[8] (totaling 10.040ns) meets
    +     12.500ns offset RCLK to RA[8] by 2.460ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[8]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.459       R2C6A.Q0 to R2C2A.C0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2A.C0 to       R2C2A.F0 SLICE_98
    +ROUTE         1     1.526       R2C2A.F0 to 96.PADDO       RA_c_8
    +DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    +                  --------
    +                    7.552   (60.5% logic, 39.5% route), 3 logic levels.
    +
    +Report:   10.040ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.446ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[8]
    +
    +   Data Path Delay:     6.847ns  (65.0% logic, 35.0% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      6.847ns delay SLICE_64 to RA[8] (totaling 8.446ns) meets
    +      0.000ns hold offset RCLK to RA[8] by 8.446ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[8]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.230       R2C6A.Q0 to R2C2A.C0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2A.C0 to       R2C2A.F0 SLICE_98
    +ROUTE         1     1.165       R2C2A.F0 to 96.PADDO       RA_c_8
    +DOPAD_DEL   ---     3.636       96.PADDO to         96.PAD RA[8]
    +                  --------
    +                    6.847   (65.0% logic, 35.0% route), 3 logic levels.
    +
    +Report:    8.446ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.106ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[7]
    +
    +   Data Path Delay:     7.906ns  (57.8% logic, 42.2% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      7.906ns delay SLICE_64 to RA[7] (totaling 10.394ns) meets
    +     12.500ns offset RCLK to RA[7] by 2.106ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[7]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.895       R2C6A.Q0 to R2C6A.C1       nRowColSel
    +CTOF_DEL    ---     0.371       R2C6A.C1 to       R2C6A.F1 SLICE_64
    +ROUTE         1     2.444       R2C6A.F1 to 100.PADDO      RA_c_7
    +DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    +                  --------
    +                    7.906   (57.8% logic, 42.2% route), 3 logic levels.
    +
    +Report:   10.394ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.766ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[7]
    +
    +   Data Path Delay:     7.167ns  (62.1% logic, 37.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.167ns delay SLICE_64 to RA[7] (totaling 8.766ns) meets
    +      0.000ns hold offset RCLK to RA[7] by 8.766ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[7]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     0.741       R2C6A.Q0 to R2C6A.C1       nRowColSel
    +CTOF_DEL    ---     0.301       R2C6A.C1 to       R2C6A.F1 SLICE_64
    +ROUTE         1     1.974       R2C6A.F1 to 100.PADDO      RA_c_7
    +DOPAD_DEL   ---     3.636      100.PADDO to        100.PAD RA[7]
    +                  --------
    +                    7.167   (62.1% logic, 37.9% route), 3 logic levels.
    +
    +Report:    8.766ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.002ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[6]
    +
    +   Data Path Delay:     8.010ns  (57.0% logic, 43.0% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      8.010ns delay SLICE_64 to RA[6] (totaling 10.498ns) meets
    +     12.500ns offset RCLK to RA[6] by 2.002ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[6]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.459       R2C6A.Q0 to R2C2A.C1       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2A.C1 to       R2C2A.F1 SLICE_98
    +ROUTE         1     1.984       R2C2A.F1 to 91.PADDO       RA_c_6
    +DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    +                  --------
    +                    8.010   (57.0% logic, 43.0% route), 3 logic levels.
    +
    +Report:   10.498ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.813ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[6]
    +
    +   Data Path Delay:     7.214ns  (61.7% logic, 38.3% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.214ns delay SLICE_64 to RA[6] (totaling 8.813ns) meets
    +      0.000ns hold offset RCLK to RA[6] by 8.813ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[6]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.230       R2C6A.Q0 to R2C2A.C1       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2A.C1 to       R2C2A.F1 SLICE_98
    +ROUTE         1     1.532       R2C2A.F1 to 91.PADDO       RA_c_6
    +DOPAD_DEL   ---     3.636       91.PADDO to         91.PAD RA[6]
    +                  --------
    +                    7.214   (61.7% logic, 38.3% route), 3 logic levels.
    +
    +Report:    8.813ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.141ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[5]
    +
    +   Data Path Delay:     8.871ns  (51.5% logic, 48.5% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      8.871ns delay SLICE_64 to RA[5] (totaling 11.359ns) meets
    +     12.500ns offset RCLK to RA[5] by 1.141ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[5]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.736       R2C6A.Q0 to R8C9C.D1       nRowColSel
    +CTOF_DEL    ---     0.371       R8C9C.D1 to       R8C9C.F1 SLICE_95
    +ROUTE         1     2.568       R8C9C.F1 to 95.PADDO       RA_c_5
    +DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    +                  --------
    +                    8.871   (51.5% logic, 48.5% route), 3 logic levels.
    +
    +Report:   11.359ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 9.559ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[5]
    +
    +   Data Path Delay:     7.960ns  (55.9% logic, 44.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.960ns delay SLICE_64 to RA[5] (totaling 9.559ns) meets
    +      0.000ns hold offset RCLK to RA[5] by 9.559ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[5]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.466       R2C6A.Q0 to R8C9C.D1       nRowColSel
    +CTOF_DEL    ---     0.301       R8C9C.D1 to       R8C9C.F1 SLICE_95
    +ROUTE         1     2.042       R8C9C.F1 to 95.PADDO       RA_c_5
    +DOPAD_DEL   ---     3.636       95.PADDO to         95.PAD RA[5]
    +                  --------
    +                    7.960   (55.9% logic, 44.1% route), 3 logic levels.
    +
    +Report:    9.559ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.458ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[4]
    +
    +   Data Path Delay:     7.554ns  (60.5% logic, 39.5% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      7.554ns delay SLICE_64 to RA[4] (totaling 10.042ns) meets
    +     12.500ns offset RCLK to RA[4] by 2.458ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[4]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.459       R2C6A.Q0 to R2C2C.C1       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2C.C1 to       R2C2C.F1 SLICE_93
    +ROUTE         1     1.528       R2C2C.F1 to 99.PADDO       RA_c_4
    +DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    +                  --------
    +                    7.554   (60.5% logic, 39.5% route), 3 logic levels.
    +
    +Report:   10.042ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.445ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[4]
    +
    +   Data Path Delay:     6.846ns  (65.0% logic, 35.0% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      6.846ns delay SLICE_64 to RA[4] (totaling 8.445ns) meets
    +      0.000ns hold offset RCLK to RA[4] by 8.445ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[4]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.230       R2C6A.Q0 to R2C2C.C1       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2C.C1 to       R2C2C.F1 SLICE_93
    +ROUTE         1     1.164       R2C2C.F1 to 99.PADDO       RA_c_4
    +DOPAD_DEL   ---     3.636       99.PADDO to         99.PAD RA[4]
    +                  --------
    +                    6.846   (65.0% logic, 35.0% route), 3 logic levels.
    +
    +Report:    8.445ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.216ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[3]
    +
    +   Data Path Delay:     7.796ns  (58.6% logic, 41.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      7.796ns delay SLICE_64 to RA[3] (totaling 10.284ns) meets
    +     12.500ns offset RCLK to RA[3] by 2.216ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[3]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.245       R2C6A.Q0 to R2C3A.D1       nRowColSel
    +CTOF_DEL    ---     0.371       R2C3A.D1 to       R2C3A.F1 SLICE_92
    +ROUTE         1     1.984       R2C3A.F1 to 97.PADDO       RA_c_3
    +DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    +                  --------
    +                    7.796   (58.6% logic, 41.4% route), 3 logic levels.
    +
    +Report:   10.284ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.599ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[3]
    +
    +   Data Path Delay:     7.000ns  (63.6% logic, 36.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.000ns delay SLICE_64 to RA[3] (totaling 8.599ns) meets
    +      0.000ns hold offset RCLK to RA[3] by 8.599ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[3]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.016       R2C6A.Q0 to R2C3A.D1       nRowColSel
    +CTOF_DEL    ---     0.301       R2C3A.D1 to       R2C3A.F1 SLICE_92
    +ROUTE         1     1.532       R2C3A.F1 to 97.PADDO       RA_c_3
    +DOPAD_DEL   ---     3.636       97.PADDO to         97.PAD RA[3]
    +                  --------
    +                    7.000   (63.6% logic, 36.4% route), 3 logic levels.
    +
    +Report:    8.599ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.999ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[2]
    +
    +   Data Path Delay:     8.013ns  (57.0% logic, 43.0% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      8.013ns delay SLICE_64 to RA[2] (totaling 10.501ns) meets
    +     12.500ns offset RCLK to RA[2] by 1.999ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[2]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.459       R2C6A.Q0 to R2C2B.C0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2B.C0 to       R2C2B.F0 SLICE_90
    +ROUTE         1     1.987       R2C2B.F0 to 94.PADDO       RA_c_2
    +DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    +                  --------
    +                    8.013   (57.0% logic, 43.0% route), 3 logic levels.
    +
    +Report:   10.501ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.849ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[2]
    +
    +   Data Path Delay:     7.250ns  (61.4% logic, 38.6% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.250ns delay SLICE_64 to RA[2] (totaling 8.849ns) meets
    +      0.000ns hold offset RCLK to RA[2] by 8.849ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[2]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.230       R2C6A.Q0 to R2C2B.C0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2B.C0 to       R2C2B.F0 SLICE_90
    +ROUTE         1     1.568       R2C2B.F0 to 94.PADDO       RA_c_2
    +DOPAD_DEL   ---     3.636       94.PADDO to         94.PAD RA[2]
    +                  --------
    +                    7.250   (61.4% logic, 38.6% route), 3 logic levels.
    +
    +Report:    8.849ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.216ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[1]
    +
    +   Data Path Delay:     7.796ns  (58.6% logic, 41.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      7.796ns delay SLICE_64 to RA[1] (totaling 10.284ns) meets
    +     12.500ns offset RCLK to RA[1] by 2.216ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[1]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.245       R2C6A.Q0 to R2C3A.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C3A.D0 to       R2C3A.F0 SLICE_92
    +ROUTE         1     1.984       R2C3A.F0 to 89.PADDO       RA_c_1
    +DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    +                  --------
    +                    7.796   (58.6% logic, 41.4% route), 3 logic levels.
    +
    +Report:   10.284ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.599ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[1]
    +
    +   Data Path Delay:     7.000ns  (63.6% logic, 36.4% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.000ns delay SLICE_64 to RA[1] (totaling 8.599ns) meets
    +      0.000ns hold offset RCLK to RA[1] by 8.599ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[1]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.016       R2C6A.Q0 to R2C3A.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C3A.D0 to       R2C3A.F0 SLICE_92
    +ROUTE         1     1.532       R2C3A.F0 to 89.PADDO       RA_c_1
    +DOPAD_DEL   ---     3.636       89.PADDO to         89.PAD RA[1]
    +                  --------
    +                    7.000   (63.6% logic, 36.4% route), 3 logic levels.
    +
    +Report:    8.599ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.454ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[0]
    +
    +   Data Path Delay:     7.558ns  (60.4% logic, 39.6% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      7.558ns delay SLICE_64 to RA[0] (totaling 10.046ns) meets
    +     12.500ns offset RCLK to RA[0] by 2.454ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[0]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.459       R2C6A.Q0 to R2C2C.C0       nRowColSel
    +CTOF_DEL    ---     0.371       R2C2C.C0 to       R2C2C.F0 SLICE_93
    +ROUTE         1     1.532       R2C2C.F0 to 98.PADDO       RA_c_0
    +DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    +                  --------
    +                    7.558   (60.4% logic, 39.6% route), 3 logic levels.
    +
    +Report:   10.046ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.442ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RA[0]
    +
    +   Data Path Delay:     6.843ns  (65.1% logic, 34.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      6.843ns delay SLICE_64 to RA[0] (totaling 8.442ns) meets
    +      0.000ns hold offset RCLK to RA[0] by 8.442ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RA[0]:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.230       R2C6A.Q0 to R2C2C.C0       nRowColSel
    +CTOF_DEL    ---     0.301       R2C2C.C0 to       R2C2C.F0 SLICE_93
    +ROUTE         1     1.161       R2C2C.F0 to 98.PADDO       RA_c_0
    +DOPAD_DEL   ---     3.636       98.PADDO to         98.PAD RA[0]
    +                  --------
    +                    6.843   (65.1% logic, 34.9% route), 3 logic levels.
    +
    +Report:    8.442ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 4.999ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCS
    +
    +   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_60 and
    +      5.013ns delay SLICE_60 to nRCS (totaling 7.501ns) meets
    +     12.500ns offset RCLK to nRCS by 4.999ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_60:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C9C.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_60 to nRCS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C9C.CLK to       R2C9C.Q0 SLICE_60 (from RCLK_c)
    +ROUTE         1     0.817       R2C9C.Q0 to 77.PADDO       nRCS_c
    +DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    +                  --------
    +                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    +
    +Report:    7.501ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 6.396ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCS_364  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCS
    +
    +   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_60 and
    +      4.797ns delay SLICE_60 to nRCS (totaling 6.396ns) meets
    +      0.000ns hold offset RCLK to nRCS by 6.396ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_60:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C9C.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_60 to nRCS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C9C.CLK to       R2C9C.Q0 SLICE_60 (from RCLK_c)
    +ROUTE         1     0.646       R2C9C.Q0 to 77.PADDO       nRCS_c
    +DOPAD_DEL   ---     3.636       77.PADDO to         77.PAD nRCS
    +                  --------
    +                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    6.396ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 4.999ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    +   Destination:    Port       Pad            RCKE
    +
    +   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_34 and
    +      5.013ns delay SLICE_34 to RCKE (totaling 7.501ns) meets
    +     12.500ns offset RCLK to RCKE by 4.999ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C7C.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_34 to RCKE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C7C.CLK to       R2C7C.Q0 SLICE_34 (from RCLK_c)
    +ROUTE         4     0.817       R2C7C.Q0 to 82.PADDO       RCKE_c
    +DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    +                  --------
    +                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    +
    +Report:    7.501ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 6.396ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              RCKE_363  (from RCLK_c +)
    +   Destination:    Port       Pad            RCKE
    +
    +   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_34 and
    +      4.797ns delay SLICE_34 to RCKE (totaling 6.396ns) meets
    +      0.000ns hold offset RCLK to RCKE by 6.396ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_34:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C7C.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_34 to RCKE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C7C.CLK to       R2C7C.Q0 SLICE_34 (from RCLK_c)
    +ROUTE         4     0.646       R2C7C.Q0 to 82.PADDO       RCKE_c
    +DOPAD_DEL   ---     3.636       82.PADDO to         82.PAD RCKE
    +                  --------
    +                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    6.396ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 3.833ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    +   Destination:    Port       Pad            nRWE
    +
    +   Data Path Delay:     6.179ns  (67.9% logic, 32.1% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_63 and
    +      6.179ns delay SLICE_63 to nRWE (totaling 8.667ns) meets
    +     12.500ns offset RCLK to nRWE by 3.833ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_63:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C7A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_63 to nRWE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C7A.CLK to       R2C7A.Q0 SLICE_63 (from RCLK_c)
    +ROUTE         1     1.983       R2C7A.Q0 to 72.PADDO       nRWE_c
    +DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    +                  --------
    +                    6.179   (67.9% logic, 32.1% route), 2 logic levels.
    +
    +Report:    8.667ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 7.321ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRWE_367  (from RCLK_c +)
    +   Destination:    Port       Pad            nRWE
    +
    +   Data Path Delay:     5.722ns  (72.5% logic, 27.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_63 and
    +      5.722ns delay SLICE_63 to nRWE (totaling 7.321ns) meets
    +      0.000ns hold offset RCLK to nRWE by 7.321ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_63:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C7A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_63 to nRWE:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C7A.CLK to       R2C7A.Q0 SLICE_63 (from RCLK_c)
    +ROUTE         1     1.571       R2C7A.Q0 to 72.PADDO       nRWE_c
    +DOPAD_DEL   ---     3.636       72.PADDO to         72.PAD nRWE
    +                  --------
    +                    5.722   (72.5% logic, 27.5% route), 2 logic levels.
    +
    +Report:    7.321ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 3.813ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    +   Destination:    Port       Pad            nRRAS
    +
    +   Data Path Delay:     6.199ns  (67.7% logic, 32.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_61 and
    +      6.199ns delay SLICE_61 to nRRAS (totaling 8.687ns) meets
    +     12.500ns offset RCLK to nRRAS by 3.813ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_61:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R4C9B.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_61 to nRRAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R4C9B.CLK to       R4C9B.Q0 SLICE_61 (from RCLK_c)
    +ROUTE         2     2.003       R4C9B.Q0 to 73.PADDO       nRRAS_c
    +DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    +                  --------
    +                    6.199   (67.7% logic, 32.3% route), 2 logic levels.
    +
    +Report:    8.687ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 7.334ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRRAS_365  (from RCLK_c +)
    +   Destination:    Port       Pad            nRRAS
    +
    +   Data Path Delay:     5.735ns  (72.4% logic, 27.6% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_61 and
    +      5.735ns delay SLICE_61 to nRRAS (totaling 7.334ns) meets
    +      0.000ns hold offset RCLK to nRRAS by 7.334ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_61:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R4C9B.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_61 to nRRAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R4C9B.CLK to       R4C9B.Q0 SLICE_61 (from RCLK_c)
    +ROUTE         2     1.584       R4C9B.Q0 to 73.PADDO       nRRAS_c
    +DOPAD_DEL   ---     3.636       73.PADDO to         73.PAD nRRAS
    +                  --------
    +                    5.735   (72.4% logic, 27.6% route), 2 logic levels.
    +
    +Report:    7.334ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 4.999ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCAS
    +
    +   Data Path Delay:     5.013ns  (83.7% logic, 16.3% route), 2 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_58 and
    +      5.013ns delay SLICE_58 to nRCAS (totaling 7.501ns) meets
    +     12.500ns offset RCLK to nRCAS by 4.999ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_58:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C9B.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_58 to nRCAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C9B.CLK to       R2C9B.Q0 SLICE_58 (from RCLK_c)
    +ROUTE         1     0.817       R2C9B.Q0 to 78.PADDO       nRCAS_c
    +DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    +                  --------
    +                    5.013   (83.7% logic, 16.3% route), 2 logic levels.
    +
    +Report:    7.501ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 6.396ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRCAS_366  (from RCLK_c +)
    +   Destination:    Port       Pad            nRCAS
    +
    +   Data Path Delay:     4.797ns  (86.5% logic, 13.5% route), 2 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_58 and
    +      4.797ns delay SLICE_58 to nRCAS (totaling 6.396ns) meets
    +      0.000ns hold offset RCLK to nRCAS by 6.396ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_58:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C9B.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_58 to nRCAS:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C9B.CLK to       R2C9B.Q0 SLICE_58 (from RCLK_c)
    +ROUTE         1     0.646       R2C9B.Q0 to 78.PADDO       nRCAS_c
    +DOPAD_DEL   ---     3.636       78.PADDO to         78.PAD nRCAS
    +                  --------
    +                    4.797   (86.5% logic, 13.5% route), 2 logic levels.
    +
    +Report:    6.396ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 1.989ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQMH
    +
    +   Data Path Delay:     8.023ns  (56.9% logic, 43.1% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      8.023ns delay SLICE_64 to RDQMH (totaling 10.511ns) meets
    +     12.500ns offset RCLK to RDQMH by 1.989ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQMH:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.796       R2C6A.Q0 to R6C9A.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R6C9A.D0 to       R6C9A.F0 SLICE_87
    +ROUTE         1     1.660       R6C9A.F0 to 76.PADDO       RDQMH_c
    +DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    +                  --------
    +                    8.023   (56.9% logic, 43.1% route), 3 logic levels.
    +
    +Report:   10.511ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.888ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQMH
    +
    +   Data Path Delay:     7.289ns  (61.1% logic, 38.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      7.289ns delay SLICE_64 to RDQMH (totaling 8.888ns) meets
    +      0.000ns hold offset RCLK to RDQMH by 8.888ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQMH:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.549       R2C6A.Q0 to R6C9A.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R6C9A.D0 to       R6C9A.F0 SLICE_87
    +ROUTE         1     1.288       R6C9A.F0 to 76.PADDO       RDQMH_c
    +DOPAD_DEL   ---     3.636       76.PADDO to         76.PAD RDQMH
    +                  --------
    +                    7.289   (61.1% logic, 38.9% route), 3 logic levels.
    +
    +Report:    8.888ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 2.892ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQML
    +
    +   Data Path Delay:     7.120ns  (64.1% logic, 35.9% route), 3 logic levels.
    +
    +   Clock Path Delay:    2.488ns  (42.7% logic, 57.3% route), 1 logic levels.
    +
    + Constraint Details:
    +      2.488ns delay RCLK to SLICE_64 and
    +      7.120ns delay SLICE_64 to RDQML (totaling 9.608ns) meets
    +     12.500ns offset RCLK to RDQML by 2.892ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     1.063         86.PAD to       86.PADDI RCLK
    +ROUTE        39     1.425       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    2.488   (42.7% logic, 57.3% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQML:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.560      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.736       R2C6A.Q0 to R8C9C.D0       nRowColSel
    +CTOF_DEL    ---     0.371       R8C9C.D0 to       R8C9C.F0 SLICE_95
    +ROUTE         1     0.817       R8C9C.F0 to 61.PADDO       RDQML_c
    +DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    +                  --------
    +                    7.120   (64.1% logic, 35.9% route), 3 logic levels.
    +
    +Report:    9.608ns is the minimum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            1 item scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Passed:  The following path meets requirements by 8.163ns
    +
    + Logical Details:  Cell type  Pin type       Cell/ASIC name  (clock net +/-)
    +
    +   Source:         FF         Q              nRowColSel_370  (from RCLK_c +)
    +   Destination:    Port       Pad            RDQML
    +
    +   Data Path Delay:     6.564ns  (67.8% logic, 32.2% route), 3 logic levels.
    +
    +   Clock Path Delay:    1.599ns  (54.2% logic, 45.8% route), 1 logic levels.
    +
    + Constraint Details:
    +      1.599ns delay RCLK to SLICE_64 and
    +      6.564ns delay SLICE_64 to RDQML (totaling 8.163ns) meets
    +      0.000ns hold offset RCLK to RDQML by 8.163ns
    +
    + Physical Path Details:
    +
    +      Clock path RCLK to SLICE_64:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +PADI_DEL    ---     0.867         86.PAD to       86.PADDI RCLK
    +ROUTE        39     0.732       86.PADDI to R2C6A.CLK      RCLK_c
    +                  --------
    +                    1.599   (54.2% logic, 45.8% route), 1 logic levels.
    +
    +      Data path SLICE_64 to RDQML:
    +
    +   Name    Fanout   Delay (ns)          Site               Resource
    +REG_DEL     ---     0.515      R2C6A.CLK to       R2C6A.Q0 SLICE_64 (from RCLK_c)
    +ROUTE        13     1.466       R2C6A.Q0 to R8C9C.D0       nRowColSel
    +CTOF_DEL    ---     0.301       R8C9C.D0 to       R8C9C.F0 SLICE_95
    +ROUTE         1     0.646       R8C9C.F0 to 61.PADDO       RDQML_c
    +DOPAD_DEL   ---     3.636       61.PADDO to         61.PAD RDQML
    +                  --------
    +                    6.564   (67.8% logic, 32.2% route), 3 logic levels.
    +
    +Report:    8.163ns is the maximum offset for this preference.
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Setup Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; Hold Analysis.
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +Report Summary
    +--------------
    +----------------------------------------------------------------------------
    +Preference                              |   Constraint|       Actual|Levels
    +----------------------------------------------------------------------------
    +                                        |             |             |
    +PERIOD NET "PHI2_c" 350.000000 ns  ;    |   350.000 ns|    22.150 ns|   7  
    +                                        |             |             |
    +PERIOD NET "nCCAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    +                                        |             |             |
    +PERIOD NET "nCRAS_c" 350.000000 ns  ;   |   350.000 ns|     2.000 ns|   0  
    +                                        |             |             |
    +PERIOD NET "RCLK_c" 16.000000 ns  ;     |    16.000 ns|     8.659 ns|   6  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[0]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[7]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[6]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[5]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[4]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[3]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[2]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Setup Analysis.     |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "Dout[1]" 12.500000   |             |             |
    +ns CLKPORT "RCLK" ; Hold Analysis.      |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    11.023 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.323 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.040 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.446 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.394 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.766 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.498 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.813 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    11.359 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     9.559 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.042 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.445 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.284 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.599 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.501 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.849 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.284 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.599 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.046 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.442 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.667 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns   |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.321 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     8.687 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     7.334 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     7.501 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     6.396 ns|   2  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|    10.511 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.888 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |    12.500 ns|     9.608 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |     0.000 ns|     8.163 ns|   3  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Setup Analysis.        |            -|            -|   0  
    +                                        |             |             |
    +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns  |             |             |
    +CLKPORT "RCLK" ; Hold Analysis.         |            -|            -|   0  
    +                                        |             |             |
    +----------------------------------------------------------------------------
    +
    +
    +All preferences were met.
    +
    +
    +Clock Domains Analysis
    +------------------------
    +
    +Found 4 clocks:
    +
    +Clock Domain: nCRAS_c   Source: nCRAS.PAD   Loads: 9
    +   No transfer within this clock domain is found
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: nCCAS_c   Source: nCCAS.PAD   Loads: 7
    +   No transfer within this clock domain is found
    +
    +Clock Domain: RCLK_c   Source: RCLK.PAD   Loads: 39
    +   Covered under: PERIOD NET "RCLK_c" 16.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: nCRAS_c   Source: nCRAS.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +   Clock Domain: PHI2_c   Source: PHI2.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +Clock Domain: PHI2_c   Source: PHI2.PAD   Loads: 14
    +   Covered under: PERIOD NET "PHI2_c" 350.000000 ns  ;
    +
    +   Data transfers from:
    +   Clock Domain: RCLK_c   Source: RCLK.PAD
    +      Not reported because source and destination domains are unrelated.
    +      To report these transfers please refer to preference CLKSKEWDIFF to define
    +      external clock skew between clock ports.
    +
    +
    +Timing summary (Setup):
    +---------------
    +
    +Timing errors: 0  Score: 0
    +Cumulative negative slack: 0
    +
    +Constraints cover 538 paths, 6 nets, and 440 connections (71.54% coverage)
    +
    diff --git a/CPLD/LCMXO/LCMXO640C/impl1/automake.log b/CPLD/LCMXO/LCMXO640C/impl1/automake.log
    new file mode 100644
    index 0000000..1165506
    --- /dev/null
    +++ b/CPLD/LCMXO/LCMXO640C/impl1/automake.log
    @@ -0,0 +1,528 @@
    +
    +ibisgen "RAM2GS_LCMXO640C_impl1.pad" "C:/lscc/diamond/3.12/cae_library/ibis/machxo.ibs"   
    +IBIS Models Generator: Lattice Diamond (64-bit) 3.12.0.240.2
    +
    +Mon Aug 16 21:36:33 2021
    +
    +Comp: CROW[0]
    + Site: 32
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: CROW[1]
    + Site: 34
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[0]
    + Site: 21
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[1]
    + Site: 15
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[2]
    + Site: 14
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[3]
    + Site: 16
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[4]
    + Site: 18
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[5]
    + Site: 17
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[6]
    + Site: 20
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Din[7]
    + Site: 19
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: Dout[0]
    + Site: 1
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[1]
    + Site: 7
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[2]
    + Site: 8
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[3]
    + Site: 6
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[4]
    + Site: 4
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[5]
    + Site: 5
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[6]
    + Site: 2
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: Dout[7]
    + Site: 3
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: LED
    + Site: 57
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=16mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: MAin[0]
    + Site: 23
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[1]
    + Site: 38
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[2]
    + Site: 37
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[3]
    + Site: 47
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[4]
    + Site: 46
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[5]
    + Site: 45
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[6]
    + Site: 49
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[7]
    + Site: 44
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[8]
    + Site: 50
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: MAin[9]
    + Site: 51
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: PHI2
    + Site: 39
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: RA[0]
    + Site: 98
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[10]
    + Site: 87
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[11]
    + Site: 79
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[1]
    + Site: 89
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[2]
    + Site: 94
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[3]
    + Site: 97
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[4]
    + Site: 99
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[5]
    + Site: 95
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[6]
    + Site: 91
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[7]
    + Site: 100
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[8]
    + Site: 96
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RA[9]
    + Site: 85
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RBA[0]
    + Site: 63
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RBA[1]
    + Site: 83
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RCKE
    + Site: 82
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RCLK
    + Site: 86
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: RDQMH
    + Site: 76
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RDQML
    + Site: 61
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: RD[0]
    + Site: 64
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[1]
    + Site: 65
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[2]
    + Site: 66
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[3]
    + Site: 67
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[4]
    + Site: 68
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[5]
    + Site: 69
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[6]
    + Site: 70
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: RD[7]
    + Site: 71
    + Type: BIDI
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    + PULL=KEEPER 
    +-----------------------
    +Comp: UFMCLK
    + Site: 58
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: UFMSDI
    + Site: 56
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: UFMSDO
    + Site: 55
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    + PULL=KEEPER 
    +-----------------------
    +Comp: nCCAS
    + Site: 27
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: nCRAS
    + Site: 43
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: nFWE
    + Site: 22
    + Type: IN
    + IO_TYPE=LVTTL33 
    + SLEW=FAST 
    +-----------------------
    +Comp: nRCAS
    + Site: 78
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nRCS
    + Site: 77
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nRRAS
    + Site: 73
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nRWE
    + Site: 72
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Comp: nUFMCS
    + Site: 53
    + Type: OUT
    + IO_TYPE=LVTTL33 
    + DRIVE=4mA 
    + SLEW=SLOW 
    +-----------------------
    +Created design models.
    +
    +
    +Generating: C:\Users\Dog\Documents\GitHub\RAM2GS\CPLD\LCMXO\LCMXO640C\impl1\IBIS\RAM2GS_LCMXO640C_im~.ibs
    +
    +
    +    
    +
    +tmcheck -par "RAM2GS_LCMXO640C_impl1.par" 
    +
    +bitgen -w "RAM2GS_LCMXO640C_impl1.ncd" -f "RAM2GS_LCMXO640C_impl1.t2b" "RAM2GS_LCMXO640C_impl1.prf"
    +
    +
    +BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +
    +Loading design for application Bitgen from file RAM2GS_LCMXO640C_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application Bitgen from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +
    +Running DRC.
    +DRC detected 0 errors and 0 warnings.
    +Reading Preference File from RAM2GS_LCMXO640C_impl1.prf.
    +
    +Preference Summary:
    ++---------------------------------+---------------------------------+
    +|  Preference                     |  Current Setting                |
    ++---------------------------------+---------------------------------+
    +|                  CONFIG_SECURE  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    +|                          INBUF  |                           ON**  |
    ++---------------------------------+---------------------------------+
    +|                             ES  |                           No**  |
    ++---------------------------------+---------------------------------+
    + *  Default setting.
    + ** The specified setting matches the default setting.
    +
    +
    +Creating bit map...
    +Saving bit stream in "RAM2GS_LCMXO640C_impl1.bit".
    +Total CPU Time: 0 secs 
    +Total REAL Time: 0 secs 
    +Peak Memory Usage: 46 MB
    +
    +ddtcmd -dev LCMXO640C-XXT100 -if "RAM2GS_LCMXO640C_impl1.bit" -oft -jed -of "RAM2GS_LCMXO640C_impl1.jed"  -comment "RAM2GS_LCMXO640C_impl1.alt" 
    +Lattice Diamond Deployment Tool 3.12 Command Line
    +
    +Loading Programmer Device Database...
    +
    +Generating JED.....
    +Device Name: LCMXO640C-XXT100
    +Reading Input File: RAM2GS_LCMXO640C_impl1.bit
    +Output File: RAM2GS_LCMXO640C_impl1.jed
    +Comment file RAM2GS_LCMXO640C_impl1.alt.
    +Generating JEDEC.....
    +File RAM2GS_LCMXO640C_impl1.jed generated successfully.
    +Lattice Diamond Deployment Tool has exited successfully.
    +
    diff --git a/CPLD/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html
    new file mode 100644
    index 0000000..ef94b54
    --- /dev/null
    +++ b/CPLD/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html
    @@ -0,0 +1,9 @@
    +         	                                   	                                                	                                                 	                                                  	
    Setting log file to 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1/hdla_gen_hierarchy.html'.
    +Starting: parse design source files
    +(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v'
    +(VERI-1482) Analyzing Verilog file 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v'
    +INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
    +INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v(1,1-397,10) (VERI-9000) elaborating module 'RAM2GS'
    +Done: design load finished with (0) errors, and (0) warnings
    +
    +
    \ No newline at end of file diff --git a/CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior b/CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior new file mode 100644 index 0000000..4473ca1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1.ior @@ -0,0 +1,137 @@ +Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO640C +Package: TQFP100 +Performance: 4 +Package Status: Final Version 1.17. +Performance Hardware Data Status: Version 1.124. +Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO640C +Package: TQFP100 +Performance: 5 +Package Status: Final Version 1.17. +Performance Hardware Data Status: Version 1.124. +Loading design for application iotiming from file ram2gs_lcmxo640c_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO640C +Package: TQFP100 +Performance: M +Package Status: Final Version 1.17. +Performance Hardware Data Status: Version 1.124. +// Design: RAM2GS +// Package: TQFP100 +// ncd File: ram2gs_lcmxo640c_impl1.ncd +// Version: Diamond (64-bit) 3.12.0.240.2 +// Written on Mon Aug 16 21:33:38 2021 +// M: Minimum Performance Grade +// iotiming RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml + +I/O Timing Report (All units are in ns) + +Worst Case Results across Performance Grades (M, 5, 4, 3): + +// Input Setup and Hold Times + +Port Clock Edge Setup Performance_Grade Hold Performance_Grade +---------------------------------------------------------------------- +CROW[0] nCRAS F 0.474 3 1.625 3 +CROW[1] nCRAS F 0.104 3 1.925 3 +Din[0] PHI2 F 6.682 3 1.613 3 +Din[0] nCCAS F -0.028 M 2.082 3 +Din[1] PHI2 F 6.886 3 2.631 3 +Din[1] nCCAS F -0.025 M 2.074 3 +Din[2] PHI2 F 5.426 3 1.921 3 +Din[2] nCCAS F 1.175 3 1.003 3 +Din[3] PHI2 F 5.699 3 1.852 3 +Din[3] nCCAS F 0.331 3 1.707 3 +Din[4] PHI2 F 6.556 3 1.438 3 +Din[4] nCCAS F 0.706 3 1.406 3 +Din[5] PHI2 F 6.281 3 1.959 3 +Din[5] nCCAS F 0.246 3 1.807 3 +Din[6] PHI2 F 5.585 3 1.441 3 +Din[6] nCCAS F 0.799 3 1.341 3 +Din[7] PHI2 F 7.980 3 1.725 3 +Din[7] nCCAS F 0.333 3 1.707 3 +MAin[0] PHI2 F 4.994 3 1.265 3 +MAin[0] nCRAS F 0.662 3 1.471 3 +MAin[1] PHI2 F 6.056 3 1.867 3 +MAin[1] nCRAS F 1.180 3 0.990 3 +MAin[2] PHI2 F 10.634 3 -1.183 M +MAin[2] nCRAS F -0.218 M 2.631 3 +MAin[3] PHI2 F 10.902 3 -1.260 M +MAin[3] nCRAS F 0.208 3 1.826 3 +MAin[4] PHI2 F 10.204 3 -1.072 M +MAin[4] nCRAS F -0.218 M 2.628 3 +MAin[5] PHI2 F 7.043 3 -0.270 M +MAin[5] nCRAS F 0.123 3 1.925 3 +MAin[6] PHI2 F 9.465 3 -0.885 M +MAin[6] nCRAS F 0.584 3 1.522 3 +MAin[7] PHI2 F 9.683 3 -0.938 M +MAin[7] nCRAS F -0.218 M 2.628 3 +MAin[8] nCRAS F 0.316 3 1.758 3 +MAin[9] nCRAS F -0.058 M 2.185 3 +PHI2 RCLK R 1.456 3 0.038 3 +UFMSDO RCLK R 2.953 3 -0.147 M +nCCAS RCLK R 2.435 3 -0.244 M +nCCAS nCRAS F 0.156 3 1.902 3 +nCRAS RCLK R 5.307 3 -0.787 M +nFWE PHI2 F 5.614 3 1.072 3 +nFWE nCRAS F -0.101 M 2.317 3 + + +// Clock to Output Delay + +Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade +------------------------------------------------------------------------ +LED RCLK R 11.635 3 3.019 M +RA[0] RCLK R 11.287 3 2.893 M +RA[0] nCRAS F 15.323 3 3.902 M +RA[10] RCLK R 7.501 3 1.949 M +RA[11] PHI2 R 9.747 3 2.487 M +RA[1] RCLK R 11.083 3 2.855 M +RA[1] nCRAS F 12.034 3 3.047 M +RA[2] RCLK R 10.857 3 2.787 M +RA[2] nCRAS F 13.411 3 3.403 M +RA[3] RCLK R 10.775 3 2.772 M +RA[3] nCRAS F 12.977 3 3.296 M +RA[4] RCLK R 10.758 3 2.776 M +RA[4] nCRAS F 14.192 3 3.619 M +RA[5] RCLK R 10.334 3 2.652 M +RA[5] nCRAS F 13.484 3 3.424 M +RA[6] RCLK R 10.334 3 2.652 M +RA[6] nCRAS F 12.972 3 3.291 M +RA[7] RCLK R 9.917 3 2.572 M +RA[7] nCRAS F 12.327 3 3.147 M +RA[8] RCLK R 10.465 3 2.689 M +RA[8] nCRAS F 12.559 3 3.170 M +RA[9] RCLK R 10.412 3 2.668 M +RA[9] nCRAS F 14.019 3 3.564 M +RBA[0] nCRAS F 11.063 3 2.809 M +RBA[1] nCRAS F 11.902 3 3.028 M +RCKE RCLK R 7.501 3 1.949 M +RDQMH RCLK R 9.831 3 2.523 M +RDQML RCLK R 9.117 3 2.351 M +RD[0] nCCAS F 12.575 3 3.249 M +RD[1] nCCAS F 13.016 3 3.365 M +RD[2] nCCAS F 11.602 3 2.993 M +RD[3] nCCAS F 10.893 3 2.834 M +RD[4] nCCAS F 12.063 3 3.116 M +RD[5] nCCAS F 12.555 3 3.242 M +RD[6] nCCAS F 12.537 3 3.240 M +RD[7] nCCAS F 12.524 3 3.239 M +UFMCLK RCLK R 8.079 3 2.126 M +UFMSDI RCLK R 8.079 3 2.126 M +nRCAS RCLK R 7.501 3 1.949 M +nRCS RCLK R 7.501 3 1.949 M +nRRAS RCLK R 9.175 3 2.363 M +nRWE RCLK R 9.141 3 2.356 M +nUFMCS RCLK R 8.804 3 2.290 M +WARNING: you must also run trce with hold speed: 3 +WARNING: you must also run trce with setup speed: M diff --git a/CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd b/CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd new file mode 100644 index 0000000..735293f --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/ram2gs_lcmxo640c_impl1_trce.asd @@ -0,0 +1,91 @@ +[ActiveSupport TRCE] +; Setup Analysis +Period_0 = 27.276 ns (350.000 ns); +Period_1 = 2.000 ns (350.000 ns); +Period_2 = 2.000 ns (350.000 ns); +Period_3 = 9.443 ns (16.000 ns); +Tco_4 = - (-); +Tco_5 = - (-); +Tco_6 = - (-); +Tco_7 = - (-); +Tco_8 = - (-); +Tco_9 = - (-); +Tco_10 = - (-); +Tco_11 = - (-); +Tco_12 = - (-); +Tco_13 = - (-); +Tco_14 = - (-); +Tco_15 = - (-); +Tco_16 = 7.501 ns (12.500 ns); +Tco_17 = 10.412 ns (12.500 ns); +Tco_18 = 10.465 ns (12.500 ns); +Tco_19 = 9.917 ns (12.500 ns); +Tco_20 = 10.334 ns (12.500 ns); +Tco_21 = 10.334 ns (12.500 ns); +Tco_22 = 10.758 ns (12.500 ns); +Tco_23 = 10.775 ns (12.500 ns); +Tco_24 = 10.857 ns (12.500 ns); +Tco_25 = 11.083 ns (12.500 ns); +Tco_26 = 11.287 ns (12.500 ns); +Tco_27 = 7.501 ns (12.500 ns); +Tco_28 = 7.501 ns (12.500 ns); +Tco_29 = 9.141 ns (12.500 ns); +Tco_30 = 9.175 ns (12.500 ns); +Tco_31 = 7.501 ns (12.500 ns); +Tco_32 = 9.831 ns (12.500 ns); +Tco_33 = 9.117 ns (12.500 ns); +Tco_34 = - (-); +Tco_35 = - (-); +Tco_36 = - (-); +Tco_37 = - (-); +Tco_38 = - (-); +Tco_39 = - (-); +Tco_40 = - (-); +Failed = 0 (Total 41); +Clock_ports = 4; +Clock_nets = 4; +; Hold Analysis +Period_0 = - (-); +Period_1 = - (-); +Period_2 = - (-); +Period_3 = - (-); +Tco_4 = - (-); +Tco_5 = - (-); +Tco_6 = - (-); +Tco_7 = - (-); +Tco_8 = - (-); +Tco_9 = - (-); +Tco_10 = - (-); +Tco_11 = - (-); +Tco_12 = - (-); +Tco_13 = - (-); +Tco_14 = - (-); +Tco_15 = - (-); +Tco_16 = 1.949 ns (0.000 ns); +Tco_17 = 2.668 ns (0.000 ns); +Tco_18 = 2.689 ns (0.000 ns); +Tco_19 = 2.572 ns (0.000 ns); +Tco_20 = 2.652 ns (0.000 ns); +Tco_21 = 2.652 ns (0.000 ns); +Tco_22 = 2.776 ns (0.000 ns); +Tco_23 = 2.772 ns (0.000 ns); +Tco_24 = 2.787 ns (0.000 ns); +Tco_25 = 2.855 ns (0.000 ns); +Tco_26 = 2.893 ns (0.000 ns); +Tco_27 = 1.949 ns (0.000 ns); +Tco_28 = 1.949 ns (0.000 ns); +Tco_29 = 2.356 ns (0.000 ns); +Tco_30 = 2.363 ns (0.000 ns); +Tco_31 = 1.949 ns (0.000 ns); +Tco_32 = 2.523 ns (0.000 ns); +Tco_33 = 2.351 ns (0.000 ns); +Tco_34 = - (-); +Tco_35 = - (-); +Tco_36 = - (-); +Tco_37 = - (-); +Tco_38 = - (-); +Tco_39 = - (-); +Tco_40 = - (-); +Failed = 0 (Total 41); +Clock_ports = 4; +Clock_nets = 4; diff --git a/CPLD/LCMXO/LCMXO640C/impl1/synthesis.log b/CPLD/LCMXO/LCMXO640C/impl1/synthesis.log new file mode 100644 index 0000000..f808002 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/synthesis.log @@ -0,0 +1,239 @@ +synthesis: version Diamond (64-bit) 3.12.0.240.2 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Mon Aug 16 21:33:29 2021 + + +Command Line: synthesis -f RAM2GS_LCMXO640C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml + +Synthesis options: +The -a option is MachXO. +The -s option is 3. +The -t option is TQFP100. +The -d option is LCMXO640C. +Using package TQFP100. +Using performance grade 3. + + +########################################################## + +### Lattice Family : MachXO + +### Device : LCMXO640C + +### Package : TQFP100 + +### Speed : 3 + +########################################################## + + + +INFO - synthesis: User-Selected Strategy Settings +Optimization goal = Balanced +Top-level module name = RAM2GS. +Target frequency = 200.000000 MHz. +Maximum fanout = 1000. +Timing path count = 3 +BRAM utilization = 100.000000 % +DSP usage = true +DSP utilization = 100.000000 % +fsm_encoding_style = auto +resolve_mixed_drivers = 0 +fix_gated_clocks = 1 + +Mux style = Auto +Use Carry Chain = true +carry_chain_length = 0 +Loop Limit = 1950. +Use IO Insertion = TRUE +Use IO Reg = AUTO + +Resource Sharing = TRUE +Propagate Constants = TRUE +Remove Duplicate Registers = TRUE +force_gsr = auto +ROM style = auto +RAM style = auto +The -comp option is FALSE. +The -syn option is FALSE. +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added) +-p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added) +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1 (searchpath added) +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added) +Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v +NGD file = RAM2GS_LCMXO640C_impl1.ngd +-sdc option: SDC file input not used. +-lpf option: Output file option is ON. +Hardtimer checking is enabled (default). The -dt option is not used. +The -r option is OFF. [ Remove LOC Properties is OFF. ] +Technology check ok... + +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 +Compile design. +Compile Design Begin +Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482 +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482 +Top module name (Verilog): RAM2GS +INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209 +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... +Loading device for application map from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.17. +Top-level module name = RAM2GS. +INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding +original encoding -> new encoding (one-hot encoding) + + 0000 -> 0000000000000001 + + 0001 -> 0000000000000010 + + 0010 -> 0000000000000100 + + 0011 -> 0000000000001000 + + 0100 -> 0000000000010000 + + 0101 -> 0000000000100000 + + 0110 -> 0000000001000000 + + 0111 -> 0000000010000000 + + 1000 -> 0000000100000000 + + 1001 -> 0000001000000000 + + 1010 -> 0000010000000000 + + 1011 -> 0000100000000000 + + 1100 -> 0001000000000000 + + 1101 -> 0010000000000000 + + 1110 -> 0100000000000000 + + 1111 -> 1000000000000000 + +INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding +original encoding -> new encoding (one-hot encoding) + + 00 -> 0001 + + 01 -> 0010 + + 10 -> 0100 + + 11 -> 1000 + + + + +GSR will not be inferred because no asynchronous signal was found in the netlist. +WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored. +Applying 200.000000 MHz constraint to all clocks + +WARNING - synthesis: No user .sdc file. +Results of NGD DRC are available in RAM2GS_drc.log. +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... +All blocks are expanded and NGD expansion is successful. +Writing NGD file RAM2GS_LCMXO640C_impl1.ngd. + +################### Begin Area Report (RAM2GS)###################### +Number of register bits => 102 of 862 (11 % ) +BB => 8 +CCU2 => 9 +FD1P3AX => 28 +FD1P3AY => 3 +FD1P3IX => 2 +FD1P3JX => 1 +FD1S3AX => 47 +FD1S3AY => 1 +FD1S3IX => 16 +FD1S3JX => 4 +GSR => 1 +IB => 26 +INV => 3 +OB => 33 +ORCALUT4 => 116 +PFUMX => 3 +################### End Area Report ################## + +################### Begin BlackBox Report ###################### +TSALL => 1 +################### End BlackBox Report ################## + +################### Begin Clock Report ###################### +Clock Nets +Number of Clocks: 4 + Net : RCLK_c, loads : 62 + Net : PHI2_c, loads : 11 + Net : nCCAS_c, loads : 2 + Net : nCRAS_c, loads : 2 +Clock Enable Nets +Number of Clock Enables: 13 +Top 10 highest fanout Clock Enables: + Net : RCLK_c_enable_23, loads : 16 + Net : RCLK_c_enable_4, loads : 3 + Net : PHI2_N_114_enable_7, loads : 3 + Net : RCLK_c_enable_24, loads : 2 + Net : PHI2_N_114_enable_6, loads : 2 + Net : RCLK_c_enable_7, loads : 1 + Net : RCLK_c_enable_6, loads : 1 + Net : RCLK_c_enable_3, loads : 1 + Net : PHI2_N_114_enable_2, loads : 1 + Net : PHI2_N_114_enable_1, loads : 1 +Highest fanout non-clock nets +Top 10 highest fanout non-clock nets: + Net : InitReady, loads : 17 + Net : RCLK_c_enable_23, loads : 16 + Net : RASr2, loads : 15 + Net : nCRAS_N_9, loads : 15 + Net : nRowColSel_N_35, loads : 14 + Net : nRowColSel, loads : 13 + Net : Ready, loads : 13 + Net : n2307, loads : 13 + Net : nCCAS_N_3, loads : 10 + Net : Din_c_6, loads : 9 +################### End Clock Report ################## + +Timing Report Summary +-------------- +-------------------------------------------------------------------------------- +Constraint | Constraint| Actual|Levels +-------------------------------------------------------------------------------- + | | | +create_clock -period 5.000000 -name | | | +clk3 [get_nets nCCAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk2 [get_nets nCRAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk1 [get_nets PHI2_c] | 200.000 MHz| 38.826 MHz| 7 * + | | | +create_clock -period 5.000000 -name | | | +clk0 [get_nets RCLK_c] | 200.000 MHz| 88.566 MHz| 6 * + | | | +-------------------------------------------------------------------------------- + + +2 constraints not met. + + +Peak Memory Usage: 50.699 MB + +-------------------------------------------------------------- +Elapsed CPU time for LSE flow : 0.515 secs +-------------------------------------------------------------- diff --git a/CPLD/LCMXO/LCMXO640C/impl1/synthesis_lse.html b/CPLD/LCMXO/LCMXO640C/impl1/synthesis_lse.html new file mode 100644 index 0000000..23a4fc7 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/synthesis_lse.html @@ -0,0 +1,304 @@ + +Synthesis and Ngdbuild Report + + +
    Synthesis and Ngdbuild  Report
    +synthesis:  version Diamond (64-bit) 3.12.0.240.2
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Mon Aug 16 21:33:29 2021
    +
    +
    +Command Line:  synthesis -f RAM2GS_LCMXO640C_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml 
    +
    +Synthesis options:
    +The -a option is MachXO.
    +The -s option is 3.
    +The -t option is TQFP100.
    +The -d option is LCMXO640C.
    +Using package TQFP100.
    +Using performance grade 3.
    +                                                          
    +
    +##########################################################
    +
    +### Lattice Family : MachXO
    +
    +### Device  : LCMXO640C
    +
    +### Package : TQFP100
    +
    +### Speed   : 3
    +
    +##########################################################
    +
    +                                                          
    +
    +INFO - synthesis: User-Selected Strategy Settings
    +Optimization goal = Balanced
    +Top-level module name = RAM2GS.
    +Target frequency = 200.000000 MHz.
    +Maximum fanout = 1000.
    +Timing path count = 3
    +BRAM utilization = 100.000000 %
    +DSP usage = true
    +DSP utilization = 100.000000 %
    +fsm_encoding_style = auto
    +resolve_mixed_drivers = 0
    +fix_gated_clocks = 1
    +
    +Mux style = Auto
    +Use Carry Chain = true
    +carry_chain_length = 0
    +Loop Limit = 1950.
    +Use IO Insertion = TRUE
    +Use IO Reg = AUTO
    +
    +Resource Sharing = TRUE
    +Propagate Constants = TRUE
    +Remove Duplicate Registers = TRUE
    +force_gsr = auto
    +ROM style = auto
    +RAM style = auto
    +The -comp option is FALSE.
    +The -syn option is FALSE.
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added)
    +-p C:/lscc/diamond/3.12/ispfpga/mj5g00/data (searchpath added)
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/impl1 (searchpath added)
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C (searchpath added)
    +Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/RAM2GS-LCMXO.v
    +NGD file = RAM2GS_LCMXO640C_impl1.ngd
    +-sdc option: SDC file input not used.
    +-lpf option: Output file option is ON.
    +Hardtimer checking is enabled (default). The -dt option is not used.
    +The -r option is OFF. [ Remove LOC Properties is OFF. ]
    +Technology check ok...
    +
    +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    +Compile design.
    +Compile Design Begin
    +Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v. VERI-1482
    +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v. VERI-1482
    +Top module name (Verilog): RAM2GS
    +INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(1): compiling module RAM2GS. VERI-1018
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(131): expression size 32 truncated to fit in target size 2. VERI-1209
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(136): expression size 32 truncated to fit in target size 18. VERI-1209
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v(263): expression size 32 truncated to fit in target size 4. VERI-1209
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    +Loading device for application map from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.17.
    +Top-level module name = RAM2GS.
    +INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding
    +original encoding -> new encoding (one-hot encoding)
    +
    + 0000 -> 0000000000000001
    +
    + 0001 -> 0000000000000010
    +
    + 0010 -> 0000000000000100
    +
    + 0011 -> 0000000000001000
    +
    + 0100 -> 0000000000010000
    +
    + 0101 -> 0000000000100000
    +
    + 0110 -> 0000000001000000
    +
    + 0111 -> 0000000010000000
    +
    + 1000 -> 0000000100000000
    +
    + 1001 -> 0000001000000000
    +
    + 1010 -> 0000010000000000
    +
    + 1011 -> 0000100000000000
    +
    + 1100 -> 0001000000000000
    +
    + 1101 -> 0010000000000000
    +
    + 1110 -> 0100000000000000
    +
    + 1111 -> 1000000000000000
    +
    +INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding
    +original encoding -> new encoding (one-hot encoding)
    +
    + 00 -> 0001
    +
    + 01 -> 0010
    +
    + 10 -> 0100
    +
    + 11 -> 1000
    +
    +
    +
    +
    +GSR will not be inferred because no asynchronous signal was found in the netlist.
    +WARNING - synthesis: Initial value found on instance C1Submitted_379 will be ignored.
    +Applying 200.000000 MHz constraint to all clocks
    +
    +WARNING - synthesis: No user .sdc file.
    +Results of NGD DRC are available in RAM2GS_drc.log.
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mj5g00/data/mj5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    +All blocks are expanded and NGD expansion is successful.
    +Writing NGD file RAM2GS_LCMXO640C_impl1.ngd.
    +
    +################### Begin Area Report (RAM2GS)######################
    +Number of register bits => 102 of 862 (11 % )
    +BB => 8
    +CCU2 => 9
    +FD1P3AX => 28
    +FD1P3AY => 3
    +FD1P3IX => 2
    +FD1P3JX => 1
    +FD1S3AX => 47
    +FD1S3AY => 1
    +FD1S3IX => 16
    +FD1S3JX => 4
    +GSR => 1
    +IB => 26
    +INV => 3
    +OB => 33
    +ORCALUT4 => 116
    +PFUMX => 3
    +################### End Area Report ##################
    +
    +################### Begin BlackBox Report ######################
    +TSALL => 1
    +################### End BlackBox Report ##################
    +
    +################### Begin Clock Report ######################
    +Clock Nets
    +Number of Clocks: 4
    +  Net : RCLK_c, loads : 62
    +  Net : PHI2_c, loads : 11
    +  Net : nCCAS_c, loads : 2
    +  Net : nCRAS_c, loads : 2
    +Clock Enable Nets
    +Number of Clock Enables: 13
    +Top 10 highest fanout Clock Enables:
    +  Net : RCLK_c_enable_23, loads : 16
    +  Net : RCLK_c_enable_4, loads : 3
    +  Net : PHI2_N_114_enable_7, loads : 3
    +  Net : RCLK_c_enable_24, loads : 2
    +  Net : PHI2_N_114_enable_6, loads : 2
    +  Net : RCLK_c_enable_7, loads : 1
    +  Net : RCLK_c_enable_6, loads : 1
    +  Net : RCLK_c_enable_3, loads : 1
    +  Net : PHI2_N_114_enable_2, loads : 1
    +  Net : PHI2_N_114_enable_1, loads : 1
    +Highest fanout non-clock nets
    +Top 10 highest fanout non-clock nets:
    +  Net : InitReady, loads : 17
    +  Net : RCLK_c_enable_23, loads : 16
    +  Net : RASr2, loads : 15
    +  Net : nCRAS_N_9, loads : 15
    +  Net : nRowColSel_N_35, loads : 14
    +  Net : nRowColSel, loads : 13
    +  Net : Ready, loads : 13
    +  Net : n2307, loads : 13
    +  Net : nCCAS_N_3, loads : 10
    +  Net : Din_c_6, loads : 9
    +################### End Clock Report ##################
    +
    +Timing Report Summary
    +--------------
    +--------------------------------------------------------------------------------
    +Constraint                              |   Constraint|       Actual|Levels
    +--------------------------------------------------------------------------------
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk1 [get_nets PHI2_c]                  |  200.000 MHz|   38.826 MHz|     7 *
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk0 [get_nets RCLK_c]                  |  200.000 MHz|   88.566 MHz|     6 *
    +                                        |             |             |
    +--------------------------------------------------------------------------------
    +
    +
    +2 constraints not met.
    +
    +
    +Peak Memory Usage: 50.699  MB
    +
    +--------------------------------------------------------------
    +Elapsed CPU time for LSE flow : 0.515  secs
    +--------------------------------------------------------------
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list b/CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list new file mode 100644 index 0000000..29f9161 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_cp_file_list @@ -0,0 +1,252 @@ +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v diff --git a/CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file b/CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file new file mode 100644 index 0000000..47217b1 --- /dev/null +++ b/CPLD/LCMXO/LCMXO640C/impl1/xxx_lse_sign_file @@ -0,0 +1,252 @@ +LSE_CPS_ID_1 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" +LSE_CPS_ID_2 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_3 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_4 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_5 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_6 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_7 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_8 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_9 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_10 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_11 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_12 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_13 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_14 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_15 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_16 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_17 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_18 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_19 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:141[9] 144[5]" +LSE_CPS_ID_20 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_21 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_22 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_23 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_24 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_25 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_26 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_27 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_28 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:230[16:37]" +LSE_CPS_ID_29 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_30 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_31 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_32 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_33 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_34 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_35 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_36 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_37 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_38 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_39 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_40 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_41 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_42 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_43 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_44 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_45 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:58[17:46]" +LSE_CPS_ID_46 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_47 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" +LSE_CPS_ID_48 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_49 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_50 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_51 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_52 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:378[53:60]" +LSE_CPS_ID_53 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_54 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_55 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_56 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:91[9] 95[5]" +LSE_CPS_ID_57 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_58 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_59 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_60 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_61 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:312[17:31]" +LSE_CPS_ID_62 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_63 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_64 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_65 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_66 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_67 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_68 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:316[13] 322[7]" +LSE_CPS_ID_69 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_70 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[40:46]" +LSE_CPS_ID_71 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:310[8:22]" +LSE_CPS_ID_72 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" +LSE_CPS_ID_73 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:48[6:16]" +LSE_CPS_ID_74 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_75 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_76 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_77 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:232[12] 284[6]" +LSE_CPS_ID_78 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_79 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:143[11:55]" +LSE_CPS_ID_80 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:134[9] 138[5]" +LSE_CPS_ID_81 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_82 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:328[21:37]" +LSE_CPS_ID_83 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_84 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:384[16:26]" +LSE_CPS_ID_85 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:309[7:24]" +LSE_CPS_ID_86 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_87 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:339[4] 372[11]" +LSE_CPS_ID_88 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_89 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_90 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_91 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_92 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_93 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_94 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_95 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_96 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_97 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_98 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_99 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:13[15:34]" +LSE_CPS_ID_100 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_101 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_102 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:99[22:51]" +LSE_CPS_ID_103 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_104 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_105 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_106 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_107 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_108 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_109 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_110 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_111 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_112 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_113 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_114 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_115 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_116 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_117 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_118 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_119 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_120 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_121 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_122 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_123 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_124 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_125 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_126 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_127 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_128 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_129 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_130 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:263[11:15]" +LSE_CPS_ID_131 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_132 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_133 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_134 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_135 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_136 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_137 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_138 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:123[9] 125[5]" +LSE_CPS_ID_139 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_140 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_141 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_142 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_143 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_144 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_145 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_146 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_147 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:105[9] 120[5]" +LSE_CPS_ID_148 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_149 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:80[15:31]" +LSE_CPS_ID_150 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_151 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_152 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_153 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_154 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_155 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_156 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_157 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:98[9] 102[5]" +LSE_CPS_ID_158 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_159 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_160 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_161 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_162 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_163 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_164 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:255[14] 262[8]" +LSE_CPS_ID_165 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:60[14:16]" +LSE_CPS_ID_166 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_167 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_168 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_169 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_170 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_171 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_172 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_173 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:27[15:19]" +LSE_CPS_ID_174 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:12[9:12]" +LSE_CPS_ID_175 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" +LSE_CPS_ID_176 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:47[19:22]" +LSE_CPS_ID_177 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_178 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_179 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_180 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_181 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_182 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_183 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_184 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_185 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_186 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_187 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_188 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:52[16:18]" +LSE_CPS_ID_189 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[13:17]" +LSE_CPS_ID_190 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:45[13:17]" +LSE_CPS_ID_191 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[45:49]" +LSE_CPS_ID_192 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[23:28]" +LSE_CPS_ID_193 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:46[34:39]" +LSE_CPS_ID_194 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[16:21]" +LSE_CPS_ID_195 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:56[9:14]" +LSE_CPS_ID_196 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:63[13:19]" +LSE_CPS_ID_197 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:64[13:19]" +LSE_CPS_ID_198 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:65[13:19]" +LSE_CPS_ID_199 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" +LSE_CPS_ID_200 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_201 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_202 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_203 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_204 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_205 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_206 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_207 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_208 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_209 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:35[14:18]" +LSE_CPS_ID_210 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" +LSE_CPS_ID_211 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:34[14:18]" +LSE_CPS_ID_212 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_213 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_214 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_215 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_216 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_217 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_218 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_219 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:26[14:17]" +LSE_CPS_ID_220 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[8:13]" +LSE_CPS_ID_221 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" +LSE_CPS_ID_222 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:36[8:12]" +LSE_CPS_ID_223 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:41[8:12]" +LSE_CPS_ID_224 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:66[8:14]" +LSE_CPS_ID_225 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_226 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_227 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_228 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_229 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:147[9] 285[5]" +LSE_CPS_ID_230 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_231 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_232 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_233 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:84[6:11]" +LSE_CPS_ID_234 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:214[26:30]" +LSE_CPS_ID_235 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_236 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_237 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_238 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_239 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_240 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:288[9] 324[5]" +LSE_CPS_ID_241 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_242 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:136[9:13]" +LSE_CPS_ID_243 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_244 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:83[6:15]" +LSE_CPS_ID_245 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_246 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" +LSE_CPS_ID_247 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:327[9] 396[5]" +LSE_CPS_ID_248 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_249 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:55[19:54]" +LSE_CPS_ID_250 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:16[15:20]" +LSE_CPS_ID_251 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:8[8:12]" +LSE_CPS_ID_252 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo/ram2gs-lcmxo.v:131[13:16]" diff --git a/CPLD/RAM4GS-ExtSPI.v b/CPLD/LCMXO/RAM2GS-LCMXO.v old mode 100755 new mode 100644 similarity index 94% rename from CPLD/RAM4GS-ExtSPI.v rename to CPLD/LCMXO/RAM2GS-LCMXO.v index 9677572..fce50cf --- a/CPLD/RAM4GS-ExtSPI.v +++ b/CPLD/LCMXO/RAM2GS-LCMXO.v @@ -1,4 +1,4 @@ -module RAM4GS(PHI2, MAin, CROW, Din, Dout, +module RAM2GS(PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, LED, RBA, RA, RD, nRCS, RCLK, RCKE, nRWE, nRRAS, nRCAS, RDQMH, RDQML, @@ -9,7 +9,8 @@ module RAM4GS(PHI2, MAin, CROW, Din, Dout, /* Activity LED */ reg LEDEN = 0; - output LED = ~(~nCRAS && LEDEN); + output LED; + assign LED = ~(~nCRAS && LEDEN); /* Async. DRAM Control Inputs */ input nCCAS, nCRAS; @@ -23,7 +24,8 @@ module RAM4GS(PHI2, MAin, CROW, Din, Dout, /* 65816 Data */ input [7:0] Din; - output [7:0] Dout = RD[7:0]; + output [7:0] Dout; + assign Dout[7:0] = RD[7:0]; /* Latched 65816 Bank Address */ reg [7:0] Bank; @@ -51,8 +53,9 @@ module RAM4GS(PHI2, MAin, CROW, Din, Dout, assign RA[11] = RA11; assign RA[10] = RA10; assign RA[9:0] = ~nRowColSel ? RowA[9:0] : MAin[9:0]; - output RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; - output RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; + output RDQML, RDQMH; + assign RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; + assign RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; reg [7:0] WRD; inout [7:0] RD = (~nCCAS & ~nFWE) ? WRD[7:0] : 8'bZ; @@ -67,6 +70,7 @@ module RAM4GS(PHI2, MAin, CROW, Din, Dout, reg ADSubmitted = 0; reg CmdEnable = 0; reg CmdSubmitted = 0; + reg CmdLEDEN = 0; reg Cmdn8MEGEN = 0; reg CmdUFMCLK = 0; reg CmdUFMSDI = 0; @@ -306,9 +310,11 @@ module RAM4GS(PHI2, MAin, CROW, Din, Dout, if (Din[7:4]==4'h0) begin XOR8MEG <= Din[0]; end else if (Din[7:4]==4'h1) begin + CmdLEDEN <= ~Din[1]; Cmdn8MEGEN <= ~Din[0]; CmdSubmitted <= 1'b1; - end else if (Din[7:4]==4'h3) begin + end else if (Din[7:4]==4'h3 && ~Din[3]) begin + CmdLEDEN <= LEDEN; Cmdn8MEGEN <= n8MEGEN; CmdUFMCS <= Din[2]; CmdUFMCLK <= Din[1]; @@ -372,17 +378,22 @@ module RAM4GS(PHI2, MAin, CROW, Din, Dout, // Latch n8MEGEN and LEDEN if (FS[9:5]==5'h00 && FS[4:0]==5'h1F) n8MEGEN <= ~UFMSDO; if (FS[9:5]==5'h01 && FS[4:0]==5'h1F) LEDEN <= ~UFMSDO; - end else if (~InitReady && FS[17:10]!=8'hFE && FS[17:10]!=8'hFF) begin + end else if (~InitReady && FS[17:10]==8'h04) begin nUFMCS <= 1'b0; UFMCLK <= FS[4]; UFMSDI <= 1'b0; + end else if (~InitReady && FS[17:10]==8'h05) begin + nUFMCS <= 1'b1; + UFMCLK <= FS[4]; + UFMSDI <= 1'b0; end else if (~InitReady) begin nUFMCS <= 1'b1; UFMCLK <= 1'b0; UFMSDI <= 1'b0; end else if (~PHI2r2 & PHI2r3 & CmdSubmitted) begin // Set user command signals after PHI2 falls - // Cmdn8MEGEN, CmdUFMCS, CmdUFMCLK, CmdUFMSDI + // CmdnLEDEN, Cmdn8MEGEN, CmdUFMCS, CmdUFMCLK, CmdUFMSDI + LEDEN <= CmdLEDEN; n8MEGEN <= Cmdn8MEGEN; nUFMCS <= ~CmdUFMCS; UFMCLK <= CmdUFMCLK; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/.run_manager.ini b/CPLD/LCMXO2/LCMXO2-640HC/.run_manager.ini new file mode 100644 index 0000000..8c0aa7b --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/.run_manager.ini @@ -0,0 +1,9 @@ +[Runmanager] +Geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x1\x1c\0\0\0\xd8\0\0\0\0\0\0\0\0\xff\xff\xff\xff\xff\xff\xff\xff\0\0\0\0\0\0) +windowState=@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\0\0\0\0\0\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x1\0\0\0\0\0\0\0\x1\xff\xff\xff\xff\x3\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0) +headerState=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x1\0\0\0\x1\0\0\0\0\x1\0\0\0\0\0\0\0\0\0\0\0\x16\0\xe0?\0\0\0\t\0\0\0\x10\0\0\0\x64\0\0\0\xf\0\0\0\x64\0\0\0\xe\0\0\0\x64\0\0\0\r\0\0\0\x64\0\0\0\x15\0\0\0\x64\0\0\0\x14\0\0\0\x64\0\0\0\x13\0\0\0\x64\0\0\0\x12\0\0\0\x64\0\0\0\x11\0\0\0\x64\0\0\x4\xd3\0\0\0\x16\x1\x1\0\x1\0\0\0\0\0\0\0\0\0\0\0\0\x64\xff\xff\xff\xff\0\0\0\x81\0\0\0\0\0\0\0\x3\0\0\0#\0\0\0\x1\0\0\0\x2\0\0\x4\xb0\0\0\0\f\0\0\0\0\0\0\0\0\0\0\0\t\0\0\0\0) + +[impl1%3CStrategy1%3E] +isChecked=false +isHidden=false +isExpanded=false diff --git a/CPLD/LCMXO2/LCMXO2-640HC/.setting.ini b/CPLD/LCMXO2/LCMXO2-640HC/.setting.ini new file mode 100644 index 0000000..449a648 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/.setting.ini @@ -0,0 +1,4 @@ +[General] +Map.auto_tasks=MapTrace +Export.auto_tasks=IBIS, Bitgen, Jedecgen +PAR.auto_tasks=PARTrace, IOTiming diff --git a/CPLD/LCMXO2/LCMXO2-640HC/EFB.edn b/CPLD/LCMXO2/LCMXO2-640HC/EFB.edn new file mode 100644 index 0000000..13d44b1 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/EFB.edn @@ -0,0 +1,550 @@ +(edif EFB + (edifVersion 2 0 0) + (edifLevel 0) + (keywordMap (keywordLevel 0)) + (status + (written + (timestamp 2021 8 17 5 48 29) + (program "SCUBA" (version "Diamond (64-bit) 3.12.0.240.2")))) + (comment "C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n EFB -lang verilog -synth lse -bus_exp 7 -bb -type efb -arch xo2c00 -freq 16 -ufm -ufm_ebr 190 -mem_size 1 -ufm_0 -wb -dev 640 ") + (library ORCLIB + (edifLevel 0) + (technology + (numberDefinition)) + (cell VHI + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell VLO + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port Z + (direction OUTPUT))))) + (cell EFB + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port WBCLKI + (direction INPUT)) + (port WBRSTI + (direction INPUT)) + (port WBCYCI + (direction INPUT)) + (port WBSTBI + (direction INPUT)) + (port WBWEI + (direction INPUT)) + (port WBADRI7 + (direction INPUT)) + (port WBADRI6 + (direction INPUT)) + (port WBADRI5 + (direction INPUT)) + (port WBADRI4 + (direction INPUT)) + (port WBADRI3 + (direction INPUT)) + (port WBADRI2 + (direction INPUT)) + (port WBADRI1 + (direction INPUT)) + (port WBADRI0 + (direction INPUT)) + (port WBDATI7 + (direction INPUT)) + (port WBDATI6 + (direction INPUT)) + (port WBDATI5 + (direction INPUT)) + (port WBDATI4 + (direction INPUT)) + (port WBDATI3 + (direction INPUT)) + (port WBDATI2 + (direction INPUT)) + (port WBDATI1 + (direction INPUT)) + (port WBDATI0 + (direction INPUT)) + (port PLL0DATI7 + (direction INPUT)) + (port PLL0DATI6 + (direction INPUT)) + (port PLL0DATI5 + (direction INPUT)) + (port PLL0DATI4 + (direction INPUT)) + (port PLL0DATI3 + (direction INPUT)) + (port PLL0DATI2 + (direction INPUT)) + (port PLL0DATI1 + (direction INPUT)) + (port PLL0DATI0 + (direction INPUT)) + (port PLL0ACKI + (direction INPUT)) + (port PLL1DATI7 + (direction INPUT)) + (port PLL1DATI6 + (direction INPUT)) + (port PLL1DATI5 + (direction INPUT)) + (port PLL1DATI4 + (direction INPUT)) + (port PLL1DATI3 + (direction INPUT)) + (port PLL1DATI2 + (direction INPUT)) + (port PLL1DATI1 + (direction INPUT)) + (port PLL1DATI0 + (direction INPUT)) + (port PLL1ACKI + (direction INPUT)) + (port I2C1SCLI + (direction INPUT)) + (port I2C1SDAI + (direction INPUT)) + (port I2C2SCLI + (direction INPUT)) + (port I2C2SDAI + (direction INPUT)) + (port SPISCKI + (direction INPUT)) + (port SPIMISOI + (direction INPUT)) + (port SPIMOSII + (direction INPUT)) + (port SPISCSN + (direction INPUT)) + (port TCCLKI + (direction INPUT)) + (port TCRSTN + (direction INPUT)) + (port TCIC + (direction INPUT)) + (port UFMSN + (direction INPUT)) + (port WBDATO7 + (direction OUTPUT)) + (port WBDATO6 + (direction OUTPUT)) + (port WBDATO5 + (direction OUTPUT)) + (port WBDATO4 + (direction OUTPUT)) + (port WBDATO3 + (direction OUTPUT)) + (port WBDATO2 + (direction OUTPUT)) + (port WBDATO1 + (direction OUTPUT)) + (port WBDATO0 + (direction OUTPUT)) + (port WBACKO + (direction OUTPUT)) + (port PLLCLKO + (direction OUTPUT)) + (port PLLRSTO + (direction OUTPUT)) + (port PLL0STBO + (direction OUTPUT)) + (port PLL1STBO + (direction OUTPUT)) + (port PLLWEO + (direction OUTPUT)) + (port PLLADRO4 + (direction OUTPUT)) + (port PLLADRO3 + (direction OUTPUT)) + (port PLLADRO2 + (direction OUTPUT)) + (port PLLADRO1 + (direction OUTPUT)) + (port PLLADRO0 + (direction OUTPUT)) + (port PLLDATO7 + (direction OUTPUT)) + (port PLLDATO6 + (direction OUTPUT)) + (port PLLDATO5 + (direction OUTPUT)) + (port PLLDATO4 + (direction OUTPUT)) + (port PLLDATO3 + (direction OUTPUT)) + (port PLLDATO2 + (direction OUTPUT)) + (port PLLDATO1 + (direction OUTPUT)) + (port PLLDATO0 + (direction OUTPUT)) + (port I2C1SCLO + (direction OUTPUT)) + (port I2C1SCLOEN + (direction OUTPUT)) + (port I2C1SDAO + (direction OUTPUT)) + (port I2C1SDAOEN + (direction OUTPUT)) + (port I2C2SCLO + (direction OUTPUT)) + (port I2C2SCLOEN + (direction OUTPUT)) + (port I2C2SDAO + (direction OUTPUT)) + (port I2C2SDAOEN + (direction OUTPUT)) + (port I2C1IRQO + (direction OUTPUT)) + (port I2C2IRQO + (direction OUTPUT)) + (port SPISCKO + (direction OUTPUT)) + (port SPISCKEN + (direction OUTPUT)) + (port SPIMISOO + (direction OUTPUT)) + (port SPIMISOEN + (direction OUTPUT)) + (port SPIMOSIO + (direction OUTPUT)) + (port SPIMOSIEN + (direction OUTPUT)) + (port SPIMCSN7 + (direction OUTPUT)) + (port SPIMCSN6 + (direction OUTPUT)) + (port SPIMCSN5 + (direction OUTPUT)) + (port SPIMCSN4 + (direction OUTPUT)) + (port SPIMCSN3 + (direction OUTPUT)) + (port SPIMCSN2 + (direction OUTPUT)) + (port SPIMCSN1 + (direction OUTPUT)) + (port SPIMCSN0 + (direction OUTPUT)) + (port SPICSNEN + (direction OUTPUT)) + (port SPIIRQO + (direction OUTPUT)) + (port TCINT + (direction OUTPUT)) + (port TCOC + (direction OUTPUT)) + (port WBCUFMIRQ + (direction OUTPUT)) + (port CFGWAKE + (direction OUTPUT)) + (port CFGSTDBY + (direction OUTPUT))))) + (cell EFB + (cellType GENERIC) + (view view1 + (viewType NETLIST) + (interface + (port wb_clk_i + (direction INPUT)) + (port wb_rst_i + (direction INPUT)) + (port wb_cyc_i + (direction INPUT)) + (port wb_stb_i + (direction INPUT)) + (port wb_we_i + (direction INPUT)) + (port (array (rename wb_adr_i "wb_adr_i(7:0)") 8) + (direction INPUT)) + (port (array (rename wb_dat_i "wb_dat_i(7:0)") 8) + (direction INPUT)) + (port (array (rename wb_dat_o "wb_dat_o(7:0)") 8) + (direction OUTPUT)) + (port wb_ack_o + (direction OUTPUT)) + (port wbc_ufm_irq + (direction OUTPUT))) + (property NGD_DRC_MASK (integer 1)) + (contents + (instance scuba_vhi_inst + (viewRef view1 + (cellRef VHI))) + (instance scuba_vlo_inst + (viewRef view1 + (cellRef VLO))) + (instance EFBInst_0 + (viewRef view1 + (cellRef EFB)) + (property UFM_INIT_FILE_FORMAT + (string "HEX")) + (property UFM_INIT_FILE_NAME + (string "NONE")) + (property UFM_INIT_ALL_ZEROS + (string "ENABLED")) + (property UFM_INIT_START_PAGE + (string "190")) + (property UFM_INIT_PAGES + (string "1")) + (property DEV_DENSITY + (string "640L")) + (property EFB_UFM + (string "ENABLED")) + (property TC_ICAPTURE + (string "DISABLED")) + (property TC_OVERFLOW + (string "DISABLED")) + (property TC_ICR_INT + (string "OFF")) + (property TC_OCR_INT + (string "OFF")) + (property TC_OV_INT + (string "OFF")) + (property TC_TOP_SEL + (string "OFF")) + (property TC_RESETN + (string "ENABLED")) + (property TC_OC_MODE + (string "TOGGLE")) + (property TC_OCR_SET + (string "32767")) + (property TC_TOP_SET + (string "65535")) + (property GSR + (string "ENABLED")) + (property TC_CCLK_SEL + (string "1")) + (property TC_MODE + (string "CTCM")) + (property TC_SCLK_SEL + (string "PCLOCK")) + (property EFB_TC_PORTMODE + (string "WB")) + (property EFB_TC + (string "DISABLED")) + (property SPI_WAKEUP + (string "DISABLED")) + (property SPI_INTR_RXOVR + (string "DISABLED")) + (property SPI_INTR_TXOVR + (string "DISABLED")) + (property SPI_INTR_RXRDY + (string "DISABLED")) + (property SPI_INTR_TXRDY + (string "DISABLED")) + (property SPI_SLAVE_HANDSHAKE + (string "DISABLED")) + (property SPI_PHASE_ADJ + (string "DISABLED")) + (property SPI_CLK_INV + (string "DISABLED")) + (property SPI_LSB_FIRST + (string "DISABLED")) + (property SPI_CLK_DIVIDER + (string "1")) + (property SPI_MODE + (string "MASTER")) + (property EFB_SPI + (string "DISABLED")) + (property I2C2_WAKEUP + (string "DISABLED")) + (property I2C2_GEN_CALL + (string "DISABLED")) + (property I2C2_CLK_DIVIDER + (string "1")) + (property I2C2_BUS_PERF + (string "100kHz")) + (property I2C2_SLAVE_ADDR + (string "0b1000010")) + (property I2C2_ADDRESSING + (string "7BIT")) + (property EFB_I2C2 + (string "DISABLED")) + (property I2C1_WAKEUP + (string "DISABLED")) + (property I2C1_GEN_CALL + (string "DISABLED")) + (property I2C1_CLK_DIVIDER + (string "1")) + (property I2C1_BUS_PERF + (string "100kHz")) + (property I2C1_SLAVE_ADDR + (string "0b1000001")) + (property I2C1_ADDRESSING + (string "7BIT")) + (property EFB_I2C1 + (string "DISABLED")) + (property EFB_WB_CLK_FREQ + (string "16.0"))) + (net scuba_vhi + (joined + (portRef Z (instanceRef scuba_vhi_inst)) + (portRef UFMSN (instanceRef EFBInst_0)))) + (net scuba_vlo + (joined + (portRef Z (instanceRef scuba_vlo_inst)) + (portRef PLL1DATI7 (instanceRef EFBInst_0)) + (portRef PLL1DATI6 (instanceRef EFBInst_0)) + (portRef PLL1DATI5 (instanceRef EFBInst_0)) + (portRef PLL1DATI4 (instanceRef EFBInst_0)) + (portRef PLL1DATI3 (instanceRef EFBInst_0)) + (portRef PLL1DATI2 (instanceRef EFBInst_0)) + (portRef PLL1DATI1 (instanceRef EFBInst_0)) + (portRef PLL1DATI0 (instanceRef EFBInst_0)) + (portRef PLL1ACKI (instanceRef EFBInst_0)) + (portRef PLL0DATI7 (instanceRef EFBInst_0)) + (portRef PLL0DATI6 (instanceRef EFBInst_0)) + (portRef PLL0DATI5 (instanceRef EFBInst_0)) + (portRef PLL0DATI4 (instanceRef EFBInst_0)) + (portRef PLL0DATI3 (instanceRef EFBInst_0)) + (portRef PLL0DATI2 (instanceRef EFBInst_0)) + (portRef PLL0DATI1 (instanceRef EFBInst_0)) + (portRef PLL0DATI0 (instanceRef EFBInst_0)) + (portRef PLL0ACKI (instanceRef EFBInst_0)) + (portRef TCIC (instanceRef EFBInst_0)) + (portRef TCRSTN (instanceRef EFBInst_0)) + (portRef TCCLKI (instanceRef EFBInst_0)) + (portRef SPISCSN (instanceRef EFBInst_0)) + (portRef SPIMOSII (instanceRef EFBInst_0)) + (portRef SPIMISOI (instanceRef EFBInst_0)) + (portRef SPISCKI (instanceRef EFBInst_0)) + (portRef I2C2SDAI (instanceRef EFBInst_0)) + (portRef I2C2SCLI (instanceRef EFBInst_0)) + (portRef I2C1SDAI (instanceRef EFBInst_0)) + (portRef I2C1SCLI (instanceRef EFBInst_0)))) + (net wbc_ufm_irq + (joined + (portRef wbc_ufm_irq) + (portRef WBCUFMIRQ (instanceRef EFBInst_0)))) + (net wb_ack_o + (joined + (portRef wb_ack_o) + (portRef WBACKO (instanceRef EFBInst_0)))) + (net wb_dat_o7 + (joined + (portRef (member wb_dat_o 0)) + (portRef WBDATO7 (instanceRef EFBInst_0)))) + (net wb_dat_o6 + (joined + (portRef (member wb_dat_o 1)) + (portRef WBDATO6 (instanceRef EFBInst_0)))) + (net wb_dat_o5 + (joined + (portRef (member wb_dat_o 2)) + (portRef WBDATO5 (instanceRef EFBInst_0)))) + (net wb_dat_o4 + (joined + (portRef (member wb_dat_o 3)) + (portRef WBDATO4 (instanceRef EFBInst_0)))) + (net wb_dat_o3 + (joined + (portRef (member wb_dat_o 4)) + (portRef WBDATO3 (instanceRef EFBInst_0)))) + (net wb_dat_o2 + (joined + (portRef (member wb_dat_o 5)) + (portRef WBDATO2 (instanceRef EFBInst_0)))) + (net wb_dat_o1 + (joined + (portRef (member wb_dat_o 6)) + (portRef WBDATO1 (instanceRef EFBInst_0)))) + (net wb_dat_o0 + (joined + (portRef (member wb_dat_o 7)) + (portRef WBDATO0 (instanceRef EFBInst_0)))) + (net wb_dat_i7 + (joined + (portRef (member wb_dat_i 0)) + (portRef WBDATI7 (instanceRef EFBInst_0)))) + (net wb_dat_i6 + (joined + (portRef (member wb_dat_i 1)) + (portRef WBDATI6 (instanceRef EFBInst_0)))) + (net wb_dat_i5 + (joined + (portRef (member wb_dat_i 2)) + (portRef WBDATI5 (instanceRef EFBInst_0)))) + (net wb_dat_i4 + (joined + (portRef (member wb_dat_i 3)) + (portRef WBDATI4 (instanceRef EFBInst_0)))) + (net wb_dat_i3 + (joined + (portRef (member wb_dat_i 4)) + (portRef WBDATI3 (instanceRef EFBInst_0)))) + (net wb_dat_i2 + (joined + (portRef (member wb_dat_i 5)) + (portRef WBDATI2 (instanceRef EFBInst_0)))) + (net wb_dat_i1 + (joined + (portRef (member wb_dat_i 6)) + (portRef WBDATI1 (instanceRef EFBInst_0)))) + (net wb_dat_i0 + (joined + (portRef (member wb_dat_i 7)) + (portRef WBDATI0 (instanceRef EFBInst_0)))) + (net wb_adr_i7 + (joined + (portRef (member wb_adr_i 0)) + (portRef WBADRI7 (instanceRef EFBInst_0)))) + (net wb_adr_i6 + (joined + (portRef (member wb_adr_i 1)) + (portRef WBADRI6 (instanceRef EFBInst_0)))) + (net wb_adr_i5 + (joined + (portRef (member wb_adr_i 2)) + (portRef WBADRI5 (instanceRef EFBInst_0)))) + (net wb_adr_i4 + (joined + (portRef (member wb_adr_i 3)) + (portRef WBADRI4 (instanceRef EFBInst_0)))) + (net wb_adr_i3 + (joined + (portRef (member wb_adr_i 4)) + (portRef WBADRI3 (instanceRef EFBInst_0)))) + (net wb_adr_i2 + (joined + (portRef (member wb_adr_i 5)) + (portRef WBADRI2 (instanceRef EFBInst_0)))) + (net wb_adr_i1 + (joined + (portRef (member wb_adr_i 6)) + (portRef WBADRI1 (instanceRef EFBInst_0)))) + (net wb_adr_i0 + (joined + (portRef (member wb_adr_i 7)) + (portRef WBADRI0 (instanceRef EFBInst_0)))) + (net wb_we_i + (joined + (portRef wb_we_i) + (portRef WBWEI (instanceRef EFBInst_0)))) + (net wb_stb_i + (joined + (portRef wb_stb_i) + (portRef WBSTBI (instanceRef EFBInst_0)))) + (net wb_cyc_i + (joined + (portRef wb_cyc_i) + (portRef WBCYCI (instanceRef EFBInst_0)))) + (net wb_rst_i + (joined + (portRef wb_rst_i) + (portRef WBRSTI (instanceRef EFBInst_0)))) + (net wb_clk_i + (joined + (portRef wb_clk_i) + (portRef WBCLKI (instanceRef EFBInst_0)))))))) + (design EFB + (cellRef EFB + (libraryRef ORCLIB))) +) diff --git a/CPLD/LCMXO2/LCMXO2-640HC/EFB.ipx b/CPLD/LCMXO2/LCMXO2-640HC/EFB.ipx new file mode 100644 index 0000000..0954007 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/EFB.ipx @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/EFB.lpc b/CPLD/LCMXO2/LCMXO2-640HC/EFB.lpc new file mode 100644 index 0000000..ae74b18 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/EFB.lpc @@ -0,0 +1,141 @@ +[Device] +Family=machxo2 +PartType=LCMXO2-640HC +PartName=LCMXO2-640HC-4TG100C +SpeedGrade=4 +Package=TQFP100 +OperatingCondition=COM +Status=S + +[IP] +VendorName=Lattice Semiconductor Corporation +CoreType=LPM +CoreStatus=Demo +CoreName=EFB +CoreRevision=1.2 +ModuleName=EFB +SourceFormat=Verilog HDL +ParameterFileVersion=1.0 +Date=08/17/2021 +Time=05:48:29 + +[Parameters] +Verilog=1 +VHDL=0 +EDIF=1 +Destination=Synplicity +Expression=BusA(0 to 7) +Order=Big Endian [MSB:LSB] +IO=0 +freq= +i2c1=0 +i2c1config=0 +i2c1_addr=7-Bit Addressing +i2c1_ce=0 +i2c1_freq=100 +i2c1_sa=10000 +i2c1_we=0 +i2c2=0 +i2c2_addr=7-Bit Addressing +i2c2_ce=0 +i2c2_freq=100 +i2c2_sa=10000 +i2c2_we=0 +ufm_addr=7-Bit Addressing +ufm_sa=10000 +pll=0 +pll_cnt=1 +spi=0 +spi_clkinv=0 +spi_cs=1 +spi_en=0 +spi_freq=1 +spi_lsb=0 +spi_mode=Slave +spi_ib=0 +spi_ph=0 +spi_hs=0 +spi_rxo=0 +spi_rxr=0 +spi_txo=0 +spi_txr=0 +spi_we=0 +static_tc=Static +tc=0 +tc_clkinv=Positive +tc_ctr=1 +tc_div=1 +tc_ipcap=0 +tc_mode=CTCM +tc_ocr=32767 +tc_oflow=1 +tc_o=TOGGLE +tc_opcomp=0 +tc_osc=0 +tc_sa_oflow=0 +tc_top=65535 +ufm=1 +ufm0=0 +ufm1=0 +ufm2=0 +ufm3=0 +ufm_cfg0=0 +ufm_cfg1=0 +wb_clk_freq=16 +ufm_usage=SHARED_EBR_TAG +ufm_ebr=190 +ufm_remain= +mem_size=1 +ufm_start= +ufm_init=0 +memfile= +ufm_dt=hex +ufm0_ebr= +mem_size0=1 +ufm0_init=0 +memfile0= +ufm0_dt=hex +ufm1_ebr= +mem_size1=1 +ufm1_init=0 +memfile1= +ufm1_dt=hex +ufm2_ebr= +mem_size2=1 +ufm2_init=0 +memfile2= +ufm2_dt=hex +ufm3_ebr= +mem_size3=1 +ufm3_init=0 +memfile3= +ufm3_dt=hex +ufm_cfg0_ebr= +mem_size_cfg0=1 +ufm_cfg0_init=0 +memfile_cfg0= +ufm_cfg0_dt=hex +ufm_cfg1_ebr= +mem_size_cfg1=1 +ufm_cfg1_init=0 +memfile_cfg1= +ufm_cfg1_dt=hex +wb=1 +boot_option=Internal +efb_ufm=0 +boot_option_internal=Single Boot +internal_ufm0=0 +internal_ufm1=0 +efb_ufm_boot= +tamperdr=0 +t_pwd=0 +t_lockflash=0 +t_manmode=0 +t_jtagport=0 +t_sspiport=0 +t_sic2port=0 +t_wbport=0 +t_portlock=0 + +[Command] +cmd_line= -w -n EFB -lang verilog -synth lse -bus_exp 7 -bb -type efb -arch xo2c00 -freq 16 -ufm -ufm_ebr 190 -mem_size 1 -ufm_0 -wb -dev 640 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/EFB.naf b/CPLD/LCMXO2/LCMXO2-640HC/EFB.naf new file mode 100644 index 0000000..bf6d243 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/EFB.naf @@ -0,0 +1,31 @@ +wb_clk_i i +wb_rst_i i +wb_cyc_i i +wb_stb_i i +wb_we_i i +wb_adr_i[7] i +wb_adr_i[6] i +wb_adr_i[5] i +wb_adr_i[4] i +wb_adr_i[3] i +wb_adr_i[2] i +wb_adr_i[1] i +wb_adr_i[0] i +wb_dat_i[7] i +wb_dat_i[6] i +wb_dat_i[5] i +wb_dat_i[4] i +wb_dat_i[3] i +wb_dat_i[2] i +wb_dat_i[1] i +wb_dat_i[0] i +wb_dat_o[7] o +wb_dat_o[6] o +wb_dat_o[5] o +wb_dat_o[4] o +wb_dat_o[3] o +wb_dat_o[2] o +wb_dat_o[1] o +wb_dat_o[0] o +wb_ack_o o +wbc_ufm_irq o diff --git a/CPLD/LCMXO2/LCMXO2-640HC/EFB.sort b/CPLD/LCMXO2/LCMXO2-640HC/EFB.sort new file mode 100644 index 0000000..8437cdd --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/EFB.sort @@ -0,0 +1 @@ +EFB.v diff --git a/CPLD/LCMXO2/LCMXO2-640HC/EFB.srp b/CPLD/LCMXO2/LCMXO2-640HC/EFB.srp new file mode 100644 index 0000000..650b29b --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/EFB.srp @@ -0,0 +1,26 @@ +SCUBA, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 05:48:29 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + + Issued command : C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n EFB -lang verilog -synth lse -bus_exp 7 -bb -type efb -arch xo2c00 -freq 16 -ufm -ufm_ebr 190 -mem_size 1 -ufm_0 -wb -dev 640 + Circuit name : EFB + Module type : efb + Module Version : 1.2 + Ports : + Inputs : wb_clk_i, wb_rst_i, wb_cyc_i, wb_stb_i, wb_we_i, wb_adr_i[7:0], wb_dat_i[7:0] + Outputs : wb_dat_o[7:0], wb_ack_o, wbc_ufm_irq + I/O buffer : not inserted + EDIF output : EFB.edn + Verilog output : EFB.v + Verilog template : EFB_tmpl.v + Verilog purpose : for synthesis and simulation + Bus notation : big endian + Report output : EFB.srp + Element Usage : + EFB : 1 + Estimated Resource Usage: diff --git a/CPLD/LCMXO2/LCMXO2-640HC/EFB.sym b/CPLD/LCMXO2/LCMXO2-640HC/EFB.sym new file mode 100644 index 0000000000000000000000000000000000000000..98635d35b1f64bfccca3395cd1f2b9bd133a0e8b GIT binary patch literal 464 zcmYk2F-yZx5Xb-52x>(r;?Th%Lnm=~gCIyJX)_6Cs3?fOwuwS$r7^7r!4J?eh##P{ zba3og#9162J2^T#`hTy~7&!9dckk}qz3~b7IgAfkG6+>@ii@fo3a~3xni;lHsS(!G zwr+)qj?VV>)j1ke3f?@o!d%ep=m_Z2$GG_C?*rq9^se0Hy~5UD(QCwaQC6BX|ZFcYUCvD=sl zdh=}-XUq%Cuq735N3fQ*1ATjSt)t}TuM4p0)V%}Lq%6MF>B~|=T~0+%Vy&qUEl=${ zv8>W( + + + + + + + + + + + + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf new file mode 100644 index 0000000..746bae4 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf @@ -0,0 +1,215 @@ +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +LOCATE COMP "Dout[0]" SITE "76" ; +LOCATE COMP "Dout[6]" SITE "78" ; +LOCATE COMP "Dout[7]" SITE "82" ; +LOCATE COMP "Dout[4]" SITE "83" ; +LOCATE COMP "Dout[5]" SITE "84" ; +LOCATE COMP "Dout[3]" SITE "85" ; +LOCATE COMP "Dout[1]" SITE "86" ; +LOCATE COMP "Dout[2]" SITE "87" ; +LOCATE COMP "Din[2]" SITE "88" ; +LOCATE COMP "Din[1]" SITE "96" ; +LOCATE COMP "Din[3]" SITE "97" ; +LOCATE COMP "Din[5]" SITE "98" ; +LOCATE COMP "Din[4]" SITE "99" ; +LOCATE COMP "Din[7]" SITE "1" ; +LOCATE COMP "Din[6]" SITE "2" ; +LOCATE COMP "Din[0]" SITE "3" ; +LOCATE COMP "LED" SITE "34" ; +LOCATE COMP "RA[0]" SITE "66" ; +LOCATE COMP "RA[1]" SITE "67" ; +LOCATE COMP "RA[2]" SITE "69" ; +LOCATE COMP "RA[3]" SITE "71" ; +LOCATE COMP "RA[4]" SITE "74" ; +LOCATE COMP "RA[5]" SITE "70" ; +LOCATE COMP "RA[6]" SITE "68" ; +LOCATE COMP "RA[7]" SITE "75" ; +LOCATE COMP "RA[8]" SITE "65" ; +LOCATE COMP "RA[9]" SITE "62" ; +LOCATE COMP "RA[10]" SITE "64" ; +LOCATE COMP "RA[11]" SITE "59" ; +LOCATE COMP "RBA[1]" SITE "60" ; +LOCATE COMP "RBA[0]" SITE "58" ; +LOCATE COMP "RCKE" SITE "53" ; +LOCATE COMP "RDQMH" SITE "51" ; +LOCATE COMP "RDQML" SITE "48" ; +LOCATE COMP "nRCAS" SITE "52" ; +LOCATE COMP "nRCS" SITE "57" ; +LOCATE COMP "nRRAS" SITE "54" ; +LOCATE COMP "nRWE" SITE "49" ; +LOCATE COMP "RD[0]" SITE "36" ; +LOCATE COMP "RD[1]" SITE "37" ; +LOCATE COMP "RD[2]" SITE "38" ; +LOCATE COMP "RD[3]" SITE "39" ; +LOCATE COMP "RD[4]" SITE "40" ; +LOCATE COMP "RD[5]" SITE "41" ; +LOCATE COMP "RD[6]" SITE "42" ; +LOCATE COMP "RD[7]" SITE "43" ; +LOCATE COMP "PHI2" SITE "8" ; +LOCATE COMP "RCLK" SITE "63" ; +LOCATE COMP "nCCAS" SITE "9" ; +LOCATE COMP "nCRAS" SITE "17" ; +LOCATE COMP "CROW[0]" SITE "10" ; +LOCATE COMP "CROW[1]" SITE "16" ; +LOCATE COMP "nFWE" SITE "15" ; +LOCATE COMP "MAin[0]" SITE "14" ; +LOCATE COMP "MAin[1]" SITE "12" ; +LOCATE COMP "MAin[2]" SITE "13" ; +LOCATE COMP "MAin[3]" SITE "21" ; +LOCATE COMP "MAin[4]" SITE "20" ; +LOCATE COMP "MAin[5]" SITE "19" ; +LOCATE COMP "MAin[6]" SITE "24" ; +LOCATE COMP "MAin[7]" SITE "18" ; +LOCATE COMP "MAin[8]" SITE "25" ; +LOCATE COMP "MAin[9]" SITE "32" ; +IOBUF PORT "CROW[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "CROW[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "nCRAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "nCCAS" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "RCLK" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "PHI2" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Din[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[0]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[1]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[2]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[3]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[4]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[5]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[6]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[7]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[8]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "MAin[9]" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "nFWE" PULLMODE=NONE IO_TYPE=LVTTL33 ; +IOBUF PORT "Dout[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "Dout[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "LED" PULLMODE=NONE IO_TYPE=LVTTL33 DRIVE=16 SLEWRATE=SLOW ; +IOBUF PORT "RA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[2]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[3]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[4]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[5]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[6]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[7]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[8]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[9]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[10]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RA[11]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RBA[0]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RBA[1]" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RCKE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RDQMH" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RDQML" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRCAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRCS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRRAS" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "nRWE" PULLMODE=NONE IO_TYPE=LVTTL33 SLEWRATE=SLOW DRIVE=4 ; +IOBUF PORT "RD[0]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[1]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[2]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[3]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[4]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[5]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[6]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +IOBUF PORT "RD[7]" PULLMODE=KEEPER IO_TYPE=LVTTL33 DRIVE=4 SLEWRATE=SLOW ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +USE PRIMARY NET "PHI2_c" ; +USE PRIMARY NET "RCLK_c" ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +PERIOD NET "PHI2_c" 350.000000 ns ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +PERIOD NET "RCLK_c" 16.000000 ns ; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +USE PRIMARY NET "nCCAS_c" ; +USE PRIMARY NET "nCRAS_c" ; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC1.sty b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC1.sty new file mode 100644 index 0000000..feec63c --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC1.sty @@ -0,0 +1,205 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html new file mode 100644 index 0000000..6fda9a0 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html @@ -0,0 +1,84 @@ + +Lattice TCL Log + + +
    pn210817003052
    +#Start recording tcl command: 8/16/2021 23:26:11
    +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC; Project name: RAM2GS_LCMXO2_640HC
    +prj_project new -name "RAM2GS_LCMXO2_640HC" -impl "impl1" -dev LCMXO2-640HC-4TG100C -synthesis "lse"
    +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v"
    +prj_project save
    +#Stop recording: 8/17/2021 00:30:52
    +
    +
    +
    +pn210817054927
    +#Start recording tcl command: 8/17/2021 00:30:55
    +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC; Project name: RAM2GS_LCMXO2_640HC
    +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf"
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1 -forceAll
    +prj_run Export -impl impl1 -forceAll
    +#Stop recording: 8/17/2021 05:49:27
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr new file mode 100644 index 0000000..ffbaf5f --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817003052.tcr @@ -0,0 +1,6 @@ +#Start recording tcl command: 8/16/2021 23:26:11 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC; Project name: RAM2GS_LCMXO2_640HC +prj_project new -name "RAM2GS_LCMXO2_640HC" -impl "impl1" -dev LCMXO2-640HC-4TG100C -synthesis "lse" +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v" +prj_project save +#Stop recording: 8/17/2021 00:30:52 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr new file mode 100644 index 0000000..76d8b28 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817054927.tcr @@ -0,0 +1,9 @@ +#Start recording tcl command: 8/17/2021 00:30:55 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC; Project name: RAM2GS_LCMXO2_640HC +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +#Stop recording: 8/17/2021 05:49:27 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr new file mode 100644 index 0000000..9f6f1a8 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcr.dir/pn210817062320.tcr @@ -0,0 +1,24 @@ +#Start recording tcl command: 8/17/2021 05:49:30 +#Project Location: C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC; Project name: RAM2GS_LCMXO2_640HC +prj_project open "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_src add "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/EFB.v" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_src remove "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/EFB.v" +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceAll +prj_run Synthesis -impl impl1 +prj_run Synthesis -impl impl1 -forceOne +prj_run Map -impl impl1 -forceOne +prj_run Export -impl impl1 -forceAll +prj_run Export -impl impl1 -forceOne +#Stop recording: 8/17/2021 06:23:20 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/_math_real.vhd b/CPLD/LCMXO2/LCMXO2-640HC/_math_real.vhd new file mode 100644 index 0000000..ad185b2 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/_math_real.vhd @@ -0,0 +1,2574 @@ + + +------------------------------------------------------------------------ +-- +-- Copyright 1996 by IEEE. All rights reserved. +-- +-- This source file is an essential part of IEEE Std 1076.2-1996, IEEE Standard +-- VHDL Mathematical Packages. This source file may not be copied, sold, or +-- included with software that is sold without written permission from the IEEE +-- Standards Department. This source file may be used to implement this standard +-- and may be distributed in compiled form in any manner so long as the +-- compiled form does not allow direct decompilation of the original source file. +-- This source file may be copied for individual use between licensed users. +-- This source file is provided on an AS IS basis. The IEEE disclaims ANY +-- WARRANTY EXPRESS OR IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY +-- AND FITNESS FOR USE FOR A PARTICULAR PURPOSE. The user of the source +-- file shall indemnify and hold IEEE harmless from any damages or liability +-- arising out of the use thereof. +-- +-- Title: Standard VHDL Mathematical Packages (IEEE Std 1076.2-1996, +-- MATH_REAL) +-- +-- Library: This package shall be compiled into a library +-- symbolically named IEEE. +-- +-- Developers: IEEE DASC VHDL Mathematical Packages Working Group +-- +-- Purpose: This package defines a standard for designers to use in +-- describing VHDL models that make use of common REAL constants +-- and common REAL elementary mathematical functions. +-- +-- Limitation: The values generated by the functions in this package may +-- vary from platform to platform, and the precision of results +-- is only guaranteed to be the minimum required by IEEE Std 1076- +-- 1993. +-- +-- Notes: +-- No declarations or definitions shall be included in, or +-- excluded from, this package. +-- The "package declaration" defines the types, subtypes, and +-- declarations of MATH_REAL. +-- The standard mathematical definition and conventional meaning +-- of the mathematical functions that are part of this standard +-- represent the formal semantics of the implementation of the +-- MATH_REAL package declaration. The purpose of the MATH_REAL +-- package body is to provide a guideline for implementations to +-- verify their implementation of MATH_REAL. Tool developers may +-- choose to implement the package body in the most efficient +-- manner available to them. +-- +-- ----------------------------------------------------------------------------- +-- Version : 1.5 +-- Date : 24 July 1996 +-- ----------------------------------------------------------------------------- + +package MATH_REAL is + constant CopyRightNotice: STRING + := "Copyright 1996 IEEE. All rights reserved."; + + -- + -- Constant Definitions + -- + constant MATH_E : REAL := 2.71828_18284_59045_23536; + -- Value of e + constant MATH_1_OVER_E : REAL := 0.36787_94411_71442_32160; + -- Value of 1/e + constant MATH_PI : REAL := 3.14159_26535_89793_23846; + -- Value of pi + constant MATH_2_PI : REAL := 6.28318_53071_79586_47693; + -- Value of 2*pi + constant MATH_1_OVER_PI : REAL := 0.31830_98861_83790_67154; + -- Value of 1/pi + constant MATH_PI_OVER_2 : REAL := 1.57079_63267_94896_61923; + -- Value of pi/2 + constant MATH_PI_OVER_3 : REAL := 1.04719_75511_96597_74615; + -- Value of pi/3 + constant MATH_PI_OVER_4 : REAL := 0.78539_81633_97448_30962; + -- Value of pi/4 + constant MATH_3_PI_OVER_2 : REAL := 4.71238_89803_84689_85769; + -- Value 3*pi/2 + constant MATH_LOG_OF_2 : REAL := 0.69314_71805_59945_30942; + -- Natural log of 2 + constant MATH_LOG_OF_10 : REAL := 2.30258_50929_94045_68402; + -- Natural log of 10 + constant MATH_LOG2_OF_E : REAL := 1.44269_50408_88963_4074; + -- Log base 2 of e + constant MATH_LOG10_OF_E: REAL := 0.43429_44819_03251_82765; + -- Log base 10 of e + constant MATH_SQRT_2: REAL := 1.41421_35623_73095_04880; + -- square root of 2 + constant MATH_1_OVER_SQRT_2: REAL := 0.70710_67811_86547_52440; + -- square root of 1/2 + constant MATH_SQRT_PI: REAL := 1.77245_38509_05516_02730; + -- square root of pi + constant MATH_DEG_TO_RAD: REAL := 0.01745_32925_19943_29577; + -- Conversion factor from degree to radian + constant MATH_RAD_TO_DEG: REAL := 57.29577_95130_82320_87680; + -- Conversion factor from radian to degree + + -- + -- Function Declarations + -- + function SIGN (X: in REAL ) return REAL; + -- Purpose: + -- Returns 1.0 if X > 0.0; 0.0 if X = 0.0; -1.0 if X < 0.0 + -- Special values: + -- None + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- ABS(SIGN(X)) <= 1.0 + -- Notes: + -- None + + function CEIL (X : in REAL ) return REAL; + -- Purpose: + -- Returns smallest INTEGER value (as REAL) not less than X + -- Special values: + -- None + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- CEIL(X) is mathematically unbounded + -- Notes: + -- a) Implementations have to support at least the domain + -- ABS(X) < REAL(INTEGER'HIGH) + + function FLOOR (X : in REAL ) return REAL; + -- Purpose: + -- Returns largest INTEGER value (as REAL) not greater than X + -- Special values: + -- FLOOR(0.0) = 0.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- FLOOR(X) is mathematically unbounded + -- Notes: + -- a) Implementations have to support at least the domain + -- ABS(X) < REAL(INTEGER'HIGH) + + function ROUND (X : in REAL ) return REAL; + -- Purpose: + -- Rounds X to the nearest integer value (as real). If X is + -- halfway between two integers, rounding is away from 0.0 + -- Special values: + -- ROUND(0.0) = 0.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- ROUND(X) is mathematically unbounded + -- Notes: + -- a) Implementations have to support at least the domain + -- ABS(X) < REAL(INTEGER'HIGH) + + function TRUNC (X : in REAL ) return REAL; + -- Purpose: + -- Truncates X towards 0.0 and returns truncated value + -- Special values: + -- TRUNC(0.0) = 0.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- TRUNC(X) is mathematically unbounded + -- Notes: + -- a) Implementations have to support at least the domain + -- ABS(X) < REAL(INTEGER'HIGH) + + function "MOD" (X, Y: in REAL ) return REAL; + -- Purpose: + -- Returns floating point modulus of X/Y, with the same sign as + -- Y, and absolute value less than the absolute value of Y, and + -- for some INTEGER value N the result satisfies the relation + -- X = Y*N + MOD(X,Y) + -- Special values: + -- None + -- Domain: + -- X in REAL; Y in REAL and Y /= 0.0 + -- Error conditions: + -- Error if Y = 0.0 + -- Range: + -- ABS(MOD(X,Y)) < ABS(Y) + -- Notes: + -- None + + function REALMAX (X, Y : in REAL ) return REAL; + -- Purpose: + -- Returns the algebraically larger of X and Y + -- Special values: + -- REALMAX(X,Y) = X when X = Y + -- Domain: + -- X in REAL; Y in REAL + -- Error conditions: + -- None + -- Range: + -- REALMAX(X,Y) is mathematically unbounded + -- Notes: + -- None + + function REALMIN (X, Y : in REAL ) return REAL; + -- Purpose: + -- Returns the algebraically smaller of X and Y + -- Special values: + -- REALMIN(X,Y) = X when X = Y + -- Domain: + -- X in REAL; Y in REAL + -- Error conditions: + -- None + -- Range: + -- REALMIN(X,Y) is mathematically unbounded + -- Notes: + -- None + + procedure UNIFORM(variable SEED1,SEED2:inout POSITIVE; variable X:out REAL); + -- Purpose: + -- Returns, in X, a pseudo-random number with uniform + -- distribution in the open interval (0.0, 1.0). + -- Special values: + -- None + -- Domain: + -- 1 <= SEED1 <= 2147483562; 1 <= SEED2 <= 2147483398 + -- Error conditions: + -- Error if SEED1 or SEED2 outside of valid domain + -- Range: + -- 0.0 < X < 1.0 + -- Notes: + -- a) The semantics for this function are described by the + -- algorithm published by Pierre L'Ecuyer in "Communications + -- of the ACM," vol. 31, no. 6, June 1988, pp. 742-774. + -- The algorithm is based on the combination of two + -- multiplicative linear congruential generators for 32-bit + -- platforms. + -- + -- b) Before the first call to UNIFORM, the seed values + -- (SEED1, SEED2) have to be initialized to values in the range + -- [1, 2147483562] and [1, 2147483398] respectively. The + -- seed values are modified after each call to UNIFORM. + -- + -- c) This random number generator is portable for 32-bit + -- computers, and it has a period of ~2.30584*(10**18) for each + -- set of seed values. + -- + -- d) For information on spectral tests for the algorithm, refer + -- to the L'Ecuyer article. + + function SQRT (X : in REAL ) return REAL; + -- Purpose: + -- Returns square root of X + -- Special values: + -- SQRT(0.0) = 0.0 + -- SQRT(1.0) = 1.0 + -- Domain: + -- X >= 0.0 + -- Error conditions: + -- Error if X < 0.0 + -- Range: + -- SQRT(X) >= 0.0 + -- Notes: + -- a) The upper bound of the reachable range of SQRT is + -- approximately given by: + -- SQRT(X) <= SQRT(REAL'HIGH) + + function CBRT (X : in REAL ) return REAL; + -- Purpose: + -- Returns cube root of X + -- Special values: + -- CBRT(0.0) = 0.0 + -- CBRT(1.0) = 1.0 + -- CBRT(-1.0) = -1.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- CBRT(X) is mathematically unbounded + -- Notes: + -- a) The reachable range of CBRT is approximately given by: + -- ABS(CBRT(X)) <= CBRT(REAL'HIGH) + + function "**" (X : in INTEGER; Y : in REAL) return REAL; + -- Purpose: + -- Returns Y power of X ==> X**Y + -- Special values: + -- X**0.0 = 1.0; X /= 0 + -- 0**Y = 0.0; Y > 0.0 + -- X**1.0 = REAL(X); X >= 0 + -- 1**Y = 1.0 + -- Domain: + -- X > 0 + -- X = 0 for Y > 0.0 + -- X < 0 for Y = 0.0 + -- Error conditions: + -- Error if X < 0 and Y /= 0.0 + -- Error if X = 0 and Y <= 0.0 + -- Range: + -- X**Y >= 0.0 + -- Notes: + -- a) The upper bound of the reachable range for "**" is + -- approximately given by: + -- X**Y <= REAL'HIGH + + function "**" (X : in REAL; Y : in REAL) return REAL; + -- Purpose: + -- Returns Y power of X ==> X**Y + -- Special values: + -- X**0.0 = 1.0; X /= 0.0 + -- 0.0**Y = 0.0; Y > 0.0 + -- X**1.0 = X; X >= 0.0 + -- 1.0**Y = 1.0 + -- Domain: + -- X > 0.0 + -- X = 0.0 for Y > 0.0 + -- X < 0.0 for Y = 0.0 + -- Error conditions: + -- Error if X < 0.0 and Y /= 0.0 + -- Error if X = 0.0 and Y <= 0.0 + -- Range: + -- X**Y >= 0.0 + -- Notes: + -- a) The upper bound of the reachable range for "**" is + -- approximately given by: + -- X**Y <= REAL'HIGH + + function EXP (X : in REAL ) return REAL; + -- Purpose: + -- Returns e**X; where e = MATH_E + -- Special values: + -- EXP(0.0) = 1.0 + -- EXP(1.0) = MATH_E + -- EXP(-1.0) = MATH_1_OVER_E + -- EXP(X) = 0.0 for X <= -LOG(REAL'HIGH) + -- Domain: + -- X in REAL such that EXP(X) <= REAL'HIGH + -- Error conditions: + -- Error if X > LOG(REAL'HIGH) + -- Range: + -- EXP(X) >= 0.0 + -- Notes: + -- a) The usable domain of EXP is approximately given by: + -- X <= LOG(REAL'HIGH) + + function LOG (X : in REAL ) return REAL; + -- Purpose: + -- Returns natural logarithm of X + -- Special values: + -- LOG(1.0) = 0.0 + -- LOG(MATH_E) = 1.0 + -- Domain: + -- X > 0.0 + -- Error conditions: + -- Error if X <= 0.0 + -- Range: + -- LOG(X) is mathematically unbounded + -- Notes: + -- a) The reachable range of LOG is approximately given by: + -- LOG(0+) <= LOG(X) <= LOG(REAL'HIGH) + + function LOG2 (X : in REAL ) return REAL; + -- Purpose: + -- Returns logarithm base 2 of X + -- Special values: + -- LOG2(1.0) = 0.0 + -- LOG2(2.0) = 1.0 + -- Domain: + -- X > 0.0 + -- Error conditions: + -- Error if X <= 0.0 + -- Range: + -- LOG2(X) is mathematically unbounded + -- Notes: + -- a) The reachable range of LOG2 is approximately given by: + -- LOG2(0+) <= LOG2(X) <= LOG2(REAL'HIGH) + + function LOG10 (X : in REAL ) return REAL; + -- Purpose: + -- Returns logarithm base 10 of X + -- Special values: + -- LOG10(1.0) = 0.0 + -- LOG10(10.0) = 1.0 + -- Domain: + -- X > 0.0 + -- Error conditions: + -- Error if X <= 0.0 + -- Range: + -- LOG10(X) is mathematically unbounded + -- Notes: + -- a) The reachable range of LOG10 is approximately given by: + -- LOG10(0+) <= LOG10(X) <= LOG10(REAL'HIGH) + + function LOG (X: in REAL; BASE: in REAL) return REAL; + -- Purpose: + -- Returns logarithm base BASE of X + -- Special values: + -- LOG(1.0, BASE) = 0.0 + -- LOG(BASE, BASE) = 1.0 + -- Domain: + -- X > 0.0 + -- BASE > 0.0 + -- BASE /= 1.0 + -- Error conditions: + -- Error if X <= 0.0 + -- Error if BASE <= 0.0 + -- Error if BASE = 1.0 + -- Range: + -- LOG(X, BASE) is mathematically unbounded + -- Notes: + -- a) When BASE > 1.0, the reachable range of LOG is + -- approximately given by: + -- LOG(0+, BASE) <= LOG(X, BASE) <= LOG(REAL'HIGH, BASE) + -- b) When 0.0 < BASE < 1.0, the reachable range of LOG is + -- approximately given by: + -- LOG(REAL'HIGH, BASE) <= LOG(X, BASE) <= LOG(0+, BASE) + + function SIN (X : in REAL ) return REAL; + -- Purpose: + -- Returns sine of X; X in radians + -- Special values: + -- SIN(X) = 0.0 for X = k*MATH_PI, where k is an INTEGER + -- SIN(X) = 1.0 for X = (4*k+1)*MATH_PI_OVER_2, where k is an + -- INTEGER + -- SIN(X) = -1.0 for X = (4*k+3)*MATH_PI_OVER_2, where k is an + -- INTEGER + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- ABS(SIN(X)) <= 1.0 + -- Notes: + -- a) For larger values of ABS(X), degraded accuracy is allowed. + + function COS ( X : in REAL ) return REAL; + -- Purpose: + -- Returns cosine of X; X in radians + -- Special values: + -- COS(X) = 0.0 for X = (2*k+1)*MATH_PI_OVER_2, where k is an + -- INTEGER + -- COS(X) = 1.0 for X = (2*k)*MATH_PI, where k is an INTEGER + -- COS(X) = -1.0 for X = (2*k+1)*MATH_PI, where k is an INTEGER + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- ABS(COS(X)) <= 1.0 + -- Notes: + -- a) For larger values of ABS(X), degraded accuracy is allowed. + + function TAN (X : in REAL ) return REAL; + -- Purpose: + -- Returns tangent of X; X in radians + -- Special values: + -- TAN(X) = 0.0 for X = k*MATH_PI, where k is an INTEGER + -- Domain: + -- X in REAL and + -- X /= (2*k+1)*MATH_PI_OVER_2, where k is an INTEGER + -- Error conditions: + -- Error if X = ((2*k+1) * MATH_PI_OVER_2), where k is an + -- INTEGER + -- Range: + -- TAN(X) is mathematically unbounded + -- Notes: + -- a) For larger values of ABS(X), degraded accuracy is allowed. + + function ARCSIN (X : in REAL ) return REAL; + -- Purpose: + -- Returns inverse sine of X + -- Special values: + -- ARCSIN(0.0) = 0.0 + -- ARCSIN(1.0) = MATH_PI_OVER_2 + -- ARCSIN(-1.0) = -MATH_PI_OVER_2 + -- Domain: + -- ABS(X) <= 1.0 + -- Error conditions: + -- Error if ABS(X) > 1.0 + -- Range: + -- ABS(ARCSIN(X) <= MATH_PI_OVER_2 + -- Notes: + -- None + + function ARCCOS (X : in REAL ) return REAL; + -- Purpose: + -- Returns inverse cosine of X + -- Special values: + -- ARCCOS(1.0) = 0.0 + -- ARCCOS(0.0) = MATH_PI_OVER_2 + -- ARCCOS(-1.0) = MATH_PI + -- Domain: + -- ABS(X) <= 1.0 + -- Error conditions: + -- Error if ABS(X) > 1.0 + -- Range: + -- 0.0 <= ARCCOS(X) <= MATH_PI + -- Notes: + -- None + + function ARCTAN (Y : in REAL) return REAL; + -- Purpose: + -- Returns the value of the angle in radians of the point + -- (1.0, Y), which is in rectangular coordinates + -- Special values: + -- ARCTAN(0.0) = 0.0 + -- Domain: + -- Y in REAL + -- Error conditions: + -- None + -- Range: + -- ABS(ARCTAN(Y)) <= MATH_PI_OVER_2 + -- Notes: + -- None + + function ARCTAN (Y : in REAL; X : in REAL) return REAL; + -- Purpose: + -- Returns the principal value of the angle in radians of + -- the point (X, Y), which is in rectangular coordinates + -- Special values: + -- ARCTAN(0.0, X) = 0.0 if X > 0.0 + -- ARCTAN(0.0, X) = MATH_PI if X < 0.0 + -- ARCTAN(Y, 0.0) = MATH_PI_OVER_2 if Y > 0.0 + -- ARCTAN(Y, 0.0) = -MATH_PI_OVER_2 if Y < 0.0 + -- Domain: + -- Y in REAL + -- X in REAL, X /= 0.0 when Y = 0.0 + -- Error conditions: + -- Error if X = 0.0 and Y = 0.0 + -- Range: + -- -MATH_PI < ARCTAN(Y,X) <= MATH_PI + -- Notes: + -- None + + function SINH (X : in REAL) return REAL; + -- Purpose: + -- Returns hyperbolic sine of X + -- Special values: + -- SINH(0.0) = 0.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- SINH(X) is mathematically unbounded + -- Notes: + -- a) The usable domain of SINH is approximately given by: + -- ABS(X) <= LOG(REAL'HIGH) + + + function COSH (X : in REAL) return REAL; + -- Purpose: + -- Returns hyperbolic cosine of X + -- Special values: + -- COSH(0.0) = 1.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- COSH(X) >= 1.0 + -- Notes: + -- a) The usable domain of COSH is approximately given by: + -- ABS(X) <= LOG(REAL'HIGH) + + function TANH (X : in REAL) return REAL; + -- Purpose: + -- Returns hyperbolic tangent of X + -- Special values: + -- TANH(0.0) = 0.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- ABS(TANH(X)) <= 1.0 + -- Notes: + -- None + + function ARCSINH (X : in REAL) return REAL; + -- Purpose: + -- Returns inverse hyperbolic sine of X + -- Special values: + -- ARCSINH(0.0) = 0.0 + -- Domain: + -- X in REAL + -- Error conditions: + -- None + -- Range: + -- ARCSINH(X) is mathematically unbounded + -- Notes: + -- a) The reachable range of ARCSINH is approximately given by: + -- ABS(ARCSINH(X)) <= LOG(REAL'HIGH) + + function ARCCOSH (X : in REAL) return REAL; + -- Purpose: + -- Returns inverse hyperbolic cosine of X + -- Special values: + -- ARCCOSH(1.0) = 0.0 + -- Domain: + -- X >= 1.0 + -- Error conditions: + -- Error if X < 1.0 + -- Range: + -- ARCCOSH(X) >= 0.0 + -- Notes: + -- a) The upper bound of the reachable range of ARCCOSH is + -- approximately given by: ARCCOSH(X) <= LOG(REAL'HIGH) + + function ARCTANH (X : in REAL) return REAL; + -- Purpose: + -- Returns inverse hyperbolic tangent of X + -- Special values: + -- ARCTANH(0.0) = 0.0 + -- Domain: + -- ABS(X) < 1.0 + -- Error conditions: + -- Error if ABS(X) >= 1.0 + -- Range: + -- ARCTANH(X) is mathematically unbounded + -- Notes: + -- a) The reachable range of ARCTANH is approximately given by: + -- ABS(ARCTANH(X)) < LOG(REAL'HIGH) + +end MATH_REAL; + + + +------------------------------------------------------------------------ +-- +-- Copyright 1996 by IEEE. All rights reserved. + +-- This source file is an informative part of IEEE Std 1076.2-1996, IEEE Standard +-- VHDL Mathematical Packages. This source file may not be copied, sold, or +-- included with software that is sold without written permission from the IEEE +-- Standards Department. This source file may be used to implement this standard +-- and may be distributed in compiled form in any manner so long as the +-- compiled form does not allow direct decompilation of the original source file. +-- This source file may be copied for individual use between licensed users. +-- This source file is provided on an AS IS basis. The IEEE disclaims ANY +-- WARRANTY EXPRESS OR IMPLIED INCLUDING ANY WARRANTY OF MERCHANTABILITY +-- AND FITNESS FOR USE FOR A PARTICULAR PURPOSE. The user of the source +-- file shall indemnify and hold IEEE harmless from any damages or liability +-- arising out of the use thereof. + +-- +-- Title: Standard VHDL Mathematical Packages (IEEE Std 1076.2-1996, +-- MATH_REAL) +-- +-- Library: This package shall be compiled into a library +-- symbolically named IEEE. +-- +-- Developers: IEEE DASC VHDL Mathematical Packages Working Group +-- +-- Purpose: This package body is a nonnormative implementation of the +-- functionality defined in the MATH_REAL package declaration. +-- +-- Limitation: The values generated by the functions in this package may +-- vary from platform to platform, and the precision of results +-- is only guaranteed to be the minimum required by IEEE Std 1076 +-- -1993. +-- +-- Notes: +-- The "package declaration" defines the types, subtypes, and +-- declarations of MATH_REAL. +-- The standard mathematical definition and conventional meaning +-- of the mathematical functions that are part of this standard +-- represent the formal semantics of the implementation of the +-- MATH_REAL package declaration. The purpose of the MATH_REAL +-- package body is to clarify such semantics and provide a +-- guideline for implementations to verify their implementation +-- of MATH_REAL. Tool developers may choose to implement +-- the package body in the most efficient manner available to them. +-- +-- ----------------------------------------------------------------------------- +-- Version : 1.5 +-- Date : 24 July 1996 +-- ----------------------------------------------------------------------------- + +package body MATH_REAL is + + -- + -- Local Constants for Use in the Package Body Only + -- + constant MATH_E_P2 : REAL := 7.38905_60989_30650; -- e**2 + constant MATH_E_P10 : REAL := 22026.46579_48067_17; -- e**10 + constant MATH_EIGHT_PI : REAL := 25.13274_12287_18345_90770_115; --8*pi + constant MAX_ITER: INTEGER := 27; -- Maximum precision factor for cordic + constant MAX_COUNT: INTEGER := 150; -- Maximum count for number of tries + constant BASE_EPS: REAL := 0.00001; -- Factor for convergence criteria + constant KC : REAL := 6.0725293500888142e-01; -- Constant for cordic + + -- + -- Local Type Declarations for Cordic Operations + -- + type REAL_VECTOR is array (NATURAL range <>) of REAL; + type NATURAL_VECTOR is array (NATURAL range <>) of NATURAL; + subtype REAL_VECTOR_N is REAL_VECTOR (0 to MAX_ITER); + subtype REAL_ARR_2 is REAL_VECTOR (0 to 1); + subtype REAL_ARR_3 is REAL_VECTOR (0 to 2); + subtype QUADRANT is INTEGER range 0 to 3; + type CORDIC_MODE_TYPE is (ROTATION, VECTORING); + + -- + -- Auxiliary Functions for Cordic Algorithms + -- + function POWER_OF_2_SERIES (D : in NATURAL_VECTOR; INITIAL_VALUE : in REAL; + NUMBER_OF_VALUES : in NATURAL) return REAL_VECTOR is + -- Description: + -- Returns power of two for a vector of values + -- Notes: + -- None + -- + variable V : REAL_VECTOR (0 to NUMBER_OF_VALUES); + variable TEMP : REAL := INITIAL_VALUE; + variable FLAG : BOOLEAN := TRUE; + begin + for I in 0 to NUMBER_OF_VALUES loop + V(I) := TEMP; + for P in D'RANGE loop + if I = D(P) then + FLAG := FALSE; + exit; + end if; + end loop; + if FLAG then + TEMP := TEMP/2.0; + end if; + FLAG := TRUE; + end loop; + return V; + end POWER_OF_2_SERIES; + + + constant TWO_AT_MINUS : REAL_VECTOR := POWER_OF_2_SERIES( + NATURAL_VECTOR'(100, 90),1.0, + MAX_ITER); + + constant EPSILON : REAL_VECTOR_N := ( + 7.8539816339744827e-01, + 4.6364760900080606e-01, + 2.4497866312686413e-01, + 1.2435499454676144e-01, + 6.2418809995957351e-02, + 3.1239833430268277e-02, + 1.5623728620476830e-02, + 7.8123410601011116e-03, + 3.9062301319669717e-03, + 1.9531225164788189e-03, + 9.7656218955931937e-04, + 4.8828121119489829e-04, + 2.4414062014936175e-04, + 1.2207031189367021e-04, + 6.1035156174208768e-05, + 3.0517578115526093e-05, + 1.5258789061315760e-05, + 7.6293945311019699e-06, + 3.8146972656064960e-06, + 1.9073486328101870e-06, + 9.5367431640596080e-07, + 4.7683715820308876e-07, + 2.3841857910155801e-07, + 1.1920928955078067e-07, + 5.9604644775390553e-08, + 2.9802322387695303e-08, + 1.4901161193847654e-08, + 7.4505805969238281e-09 + ); + + function CORDIC ( X0 : in REAL; + Y0 : in REAL; + Z0 : in REAL; + N : in NATURAL; -- Precision factor + CORDIC_MODE : in CORDIC_MODE_TYPE -- Rotation (Z -> 0) + -- or vectoring (Y -> 0) + ) return REAL_ARR_3 is + -- Description: + -- Compute cordic values + -- Notes: + -- None + variable X : REAL := X0; + variable Y : REAL := Y0; + variable Z : REAL := Z0; + variable X_TEMP : REAL; + begin + if CORDIC_MODE = ROTATION then + for K in 0 to N loop + X_TEMP := X; + if ( Z >= 0.0) then + X := X - Y * TWO_AT_MINUS(K); + Y := Y + X_TEMP * TWO_AT_MINUS(K); + Z := Z - EPSILON(K); + else + X := X + Y * TWO_AT_MINUS(K); + Y := Y - X_TEMP * TWO_AT_MINUS(K); + Z := Z + EPSILON(K); + end if; + end loop; + else + for K in 0 to N loop + X_TEMP := X; + if ( Y < 0.0) then + X := X - Y * TWO_AT_MINUS(K); + Y := Y + X_TEMP * TWO_AT_MINUS(K); + Z := Z - EPSILON(K); + else + X := X + Y * TWO_AT_MINUS(K); + Y := Y - X_TEMP * TWO_AT_MINUS(K); + Z := Z + EPSILON(K); + end if; + end loop; + end if; + return REAL_ARR_3'(X, Y, Z); + end CORDIC; + + -- + -- Bodies for Global Mathematical Functions Start Here + -- + function SIGN (X: in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- None + begin + if ( X > 0.0 ) then + return 1.0; + elsif ( X < 0.0 ) then + return -1.0; + else + return 0.0; + end if; + end SIGN; + + function CEIL (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) No conversion to an INTEGER type is expected, so truncate + -- cannot overflow for large arguments + -- b) The domain supported by this function is X <= LARGE + -- c) Returns X if ABS(X) >= LARGE + + constant LARGE: REAL := REAL(INTEGER'HIGH); + variable RD: REAL; + + begin + if ABS(X) >= LARGE then + return X; + end if; + + RD := REAL ( INTEGER(X)); + if RD = X then + return X; + end if; + + if X > 0.0 then + if RD >= X then + return RD; + else + return RD + 1.0; + end if; + elsif X = 0.0 then + return 0.0; + else + if RD <= X then + return RD + 1.0; + else + return RD; + end if; + end if; + end CEIL; + + function FLOOR (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) No conversion to an INTEGER type is expected, so truncate + -- cannot overflow for large arguments + -- b) The domain supported by this function is ABS(X) <= LARGE + -- c) Returns X if ABS(X) >= LARGE + + constant LARGE: REAL := REAL(INTEGER'HIGH); + variable RD: REAL; + + begin + if ABS( X ) >= LARGE then + return X; + end if; + + RD := REAL ( INTEGER(X)); + if RD = X then + return X; + end if; + + if X > 0.0 then + if RD <= X then + return RD; + else + return RD - 1.0; + end if; + elsif X = 0.0 then + return 0.0; + else + if RD >= X then + return RD - 1.0; + else + return RD; + end if; + end if; + end FLOOR; + + function ROUND (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns 0.0 if X = 0.0 + -- b) Returns FLOOR(X + 0.5) if X > 0 + -- c) Returns CEIL(X - 0.5) if X < 0 + + begin + if X > 0.0 then + return FLOOR(X + 0.5); + elsif X < 0.0 then + return CEIL( X - 0.5); + else + return 0.0; + end if; + end ROUND; + + function TRUNC (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns 0.0 if X = 0.0 + -- b) Returns FLOOR(X) if X > 0 + -- c) Returns CEIL(X) if X < 0 + + begin + if X > 0.0 then + return FLOOR(X); + elsif X < 0.0 then + return CEIL( X); + else + return 0.0; + end if; + end TRUNC; + + + + + function "MOD" (X, Y: in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns 0.0 on error + + variable XNEGATIVE : BOOLEAN := X < 0.0; + variable YNEGATIVE : BOOLEAN := Y < 0.0; + variable VALUE : REAL; + begin + -- Check validity of input arguments + if (Y = 0.0) then + assert FALSE + report "MOD(X, 0.0) is undefined" + severity ERROR; + return 0.0; + end if; + + -- Compute value + if ( XNEGATIVE ) then + if ( YNEGATIVE ) then + VALUE := X + (FLOOR(ABS(X)/ABS(Y)))*ABS(Y); + else + VALUE := X + (CEIL(ABS(X)/ABS(Y)))*ABS(Y); + end if; + else + if ( YNEGATIVE ) then + VALUE := X - (CEIL(ABS(X)/ABS(Y)))*ABS(Y); + else + VALUE := X - (FLOOR(ABS(X)/ABS(Y)))*ABS(Y); + end if; + end if; + + return VALUE; + end "MOD"; + + + function REALMAX (X, Y : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) REALMAX(X,Y) = X when X = Y + -- + begin + if X >= Y then + return X; + else + return Y; + end if; + end REALMAX; + + function REALMIN (X, Y : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) REALMIN(X,Y) = X when X = Y + -- + begin + if X <= Y then + return X; + else + return Y; + end if; + end REALMIN; + + + procedure UNIFORM(variable SEED1,SEED2:inout POSITIVE;variable X:out REAL) + is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns 0.0 on error + -- + variable Z, K: INTEGER; + variable TSEED1 : INTEGER := INTEGER'(SEED1); + variable TSEED2 : INTEGER := INTEGER'(SEED2); + begin + -- Check validity of arguments + if SEED1 > 2147483562 then + assert FALSE + report "SEED1 > 2147483562 in UNIFORM" + severity ERROR; + X := 0.0; + return; + end if; + + if SEED2 > 2147483398 then + assert FALSE + report "SEED2 > 2147483398 in UNIFORM" + severity ERROR; + X := 0.0; + return; + end if; + + -- Compute new seed values and pseudo-random number + K := TSEED1/53668; + TSEED1 := 40014 * (TSEED1 - K * 53668) - K * 12211; + + if TSEED1 < 0 then + TSEED1 := TSEED1 + 2147483563; + end if; + + K := TSEED2/52774; + TSEED2 := 40692 * (TSEED2 - K * 52774) - K * 3791; + + if TSEED2 < 0 then + TSEED2 := TSEED2 + 2147483399; + end if; + + Z := TSEED1 - TSEED2; + if Z < 1 then + Z := Z + 2147483562; + end if; + + -- Get output values + SEED1 := POSITIVE'(TSEED1); + SEED2 := POSITIVE'(TSEED2); + X := REAL(Z)*4.656613e-10; + end UNIFORM; + + + + function SQRT (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Uses the Newton-Raphson approximation: + -- F(n+1) = 0.5*[F(n) + x/F(n)] + -- b) Returns 0.0 on error + -- + + constant EPS : REAL := BASE_EPS*BASE_EPS; -- Convergence factor + + variable INIVAL: REAL; + variable OLDVAL : REAL ; + variable NEWVAL : REAL ; + variable COUNT : INTEGER := 1; + + begin + -- Check validity of argument + if ( X < 0.0 ) then + assert FALSE + report "X < 0.0 in SQRT(X)" + severity ERROR; + return 0.0; + end if; + + -- Get the square root for special cases + if X = 0.0 then + return 0.0; + else + if ( X = 1.0 ) then + return 1.0; + end if; + end if; + + -- Get the square root for general cases + INIVAL := EXP(LOG(X)*(0.5)); -- Mathematically correct but imprecise + OLDVAL := INIVAL; + NEWVAL := (X/OLDVAL + OLDVAL)*0.5; + + -- Check for relative and absolute error and max count + while ( ( (ABS((NEWVAL -OLDVAL)/NEWVAL) > EPS) OR + (ABS(NEWVAL - OLDVAL) > EPS) ) AND + (COUNT < MAX_COUNT) ) loop + OLDVAL := NEWVAL; + NEWVAL := (X/OLDVAL + OLDVAL)*0.5; + COUNT := COUNT + 1; + end loop; + return NEWVAL; + end SQRT; + + function CBRT (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Uses the Newton-Raphson approximation: + -- F(n+1) = (1/3)*[2*F(n) + x/F(n)**2]; + -- + constant EPS : REAL := BASE_EPS*BASE_EPS; + + variable INIVAL: REAL; + variable XLOCAL : REAL := X; + variable NEGATIVE : BOOLEAN := X < 0.0; + variable OLDVAL : REAL ; + variable NEWVAL : REAL ; + variable COUNT : INTEGER := 1; + + begin + + -- Compute root for special cases + if X = 0.0 then + return 0.0; + elsif ( X = 1.0 ) then + return 1.0; + else + if X = -1.0 then + return -1.0; + end if; + end if; + + -- Compute root for general cases + if NEGATIVE then + XLOCAL := -X; + end if; + + INIVAL := EXP(LOG(XLOCAL)/(3.0)); -- Mathematically correct but + -- imprecise + OLDVAL := INIVAL; + NEWVAL := (XLOCAL/(OLDVAL*OLDVAL) + 2.0*OLDVAL)/3.0; + + -- Check for relative and absolute errors and max count + while ( ( (ABS((NEWVAL -OLDVAL)/NEWVAL) > EPS ) OR + (ABS(NEWVAL - OLDVAL) > EPS ) ) AND + ( COUNT < MAX_COUNT ) ) loop + OLDVAL := NEWVAL; + NEWVAL :=(XLOCAL/(OLDVAL*OLDVAL) + 2.0*OLDVAL)/3.0; + COUNT := COUNT + 1; + end loop; + + if NEGATIVE then + NEWVAL := -NEWVAL; + end if; + + return NEWVAL; + end CBRT; + + function "**" (X : in INTEGER; Y : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns 0.0 on error condition + + begin + -- Check validity of argument + if ( ( X < 0 ) and ( Y /= 0.0 ) ) then + assert FALSE + report "X < 0 and Y /= 0.0 in X**Y" + severity ERROR; + return 0.0; + end if; + + if ( ( X = 0 ) and ( Y <= 0.0 ) ) then + assert FALSE + report "X = 0 and Y <= 0.0 in X**Y" + severity ERROR; + return 0.0; + end if; + + -- Get value for special cases + if ( X = 0 and Y > 0.0 ) then + return 0.0; + end if; + + if ( X = 1 ) then + return 1.0; + end if; + + if ( Y = 0.0 and X /= 0 ) then + return 1.0; + end if; + + if ( Y = 1.0) then + return (REAL(X)); + end if; + + -- Get value for general case + return EXP (Y * LOG (REAL(X))); + end "**"; + + function "**" (X : in REAL; Y : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns 0.0 on error condition + + begin + -- Check validity of argument + if ( ( X < 0.0 ) and ( Y /= 0.0 ) ) then + assert FALSE + report "X < 0.0 and Y /= 0.0 in X**Y" + severity ERROR; + return 0.0; + end if; + + if ( ( X = 0.0 ) and ( Y <= 0.0 ) ) then + assert FALSE + report "X = 0.0 and Y <= 0.0 in X**Y" + severity ERROR; + return 0.0; + end if; + + -- Get value for special cases + if ( X = 0.0 and Y > 0.0 ) then + return 0.0; + end if; + + if ( X = 1.0 ) then + return 1.0; + end if; + + if ( Y = 0.0 and X /= 0.0 ) then + return 1.0; + end if; + + if ( Y = 1.0) then + return (X); + end if; + + -- Get value for general case + return EXP (Y * LOG (X)); + end "**"; + + function EXP (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) This function computes the exponential using the following + -- series: + -- exp(x) = 1 + x + x**2/2! + x**3/3! + ... ; |x| < 1.0 + -- and reduces argument X to take advantage of exp(x+y) = + -- exp(x)*exp(y) + -- + -- b) This implementation limits X to be less than LOG(REAL'HIGH) + -- to avoid overflow. Returns REAL'HIGH when X reaches that + -- limit + -- + constant EPS : REAL := BASE_EPS*BASE_EPS*BASE_EPS;-- Precision criteria + + variable RECIPROCAL: BOOLEAN := X < 0.0;-- Check sign of argument + variable XLOCAL : REAL := ABS(X); -- Use positive value + variable OLDVAL: REAL ; + variable COUNT: INTEGER ; + variable NEWVAL: REAL ; + variable LAST_TERM: REAL ; + variable FACTOR : REAL := 1.0; + + begin + -- Compute value for special cases + if X = 0.0 then + return 1.0; + end if; + + if XLOCAL = 1.0 then + if RECIPROCAL then + return MATH_1_OVER_E; + else + return MATH_E; + end if; + end if; + + if XLOCAL = 2.0 then + if RECIPROCAL then + return 1.0/MATH_E_P2; + else + return MATH_E_P2; + end if; + end if; + + if XLOCAL = 10.0 then + if RECIPROCAL then + return 1.0/MATH_E_P10; + else + return MATH_E_P10; + end if; + end if; + + if XLOCAL > LOG(REAL'HIGH) then + if RECIPROCAL then + return 0.0; + else + assert FALSE + report "X > LOG(REAL'HIGH) in EXP(X)" + severity NOTE; + return REAL'HIGH; + end if; + end if; + + -- Reduce argument to ABS(X) < 1.0 + while XLOCAL > 10.0 loop + XLOCAL := XLOCAL - 10.0; + FACTOR := FACTOR*MATH_E_P10; + end loop; + + while XLOCAL > 1.0 loop + XLOCAL := XLOCAL - 1.0; + FACTOR := FACTOR*MATH_E; + end loop; + + -- Compute value for case 0 < XLOCAL < 1 + OLDVAL := 1.0; + LAST_TERM := XLOCAL; + NEWVAL:= OLDVAL + LAST_TERM; + COUNT := 2; + + -- Check for relative and absolute errors and max count + while ( ( (ABS((NEWVAL - OLDVAL)/NEWVAL) > EPS) OR + (ABS(NEWVAL - OLDVAL) > EPS) ) AND + (COUNT < MAX_COUNT ) ) loop + OLDVAL := NEWVAL; + LAST_TERM := LAST_TERM*(XLOCAL / (REAL(COUNT))); + NEWVAL := OLDVAL + LAST_TERM; + COUNT := COUNT + 1; + end loop; + + -- Compute final value using exp(x+y) = exp(x)*exp(y) + NEWVAL := NEWVAL*FACTOR; + + if RECIPROCAL then + NEWVAL := 1.0/NEWVAL; + end if; + + return NEWVAL; + end EXP; + + + -- + -- Auxiliary Functions to Compute LOG + -- + function ILOGB(X: in REAL) return INTEGER IS + -- Description: + -- Returns n such that -1 <= ABS(X)/2^n < 2 + -- Notes: + -- None + + variable N: INTEGER := 0; + variable Y: REAL := ABS(X); + + begin + if(Y = 1.0 or Y = 0.0) then + return 0; + end if; + + if( Y > 1.0) then + while Y >= 2.0 loop + Y := Y/2.0; + N := N+1; + end loop; + return N; + end if; + + -- O < Y < 1 + while Y < 1.0 loop + Y := Y*2.0; + N := N -1; + end loop; + return N; + end ILOGB; + + function LDEXP(X: in REAL; N: in INTEGER) RETURN REAL IS + -- Description: + -- Returns X*2^n + -- Notes: + -- None + begin + return X*(2.0 ** N); + end LDEXP; + + function LOG (X : in REAL ) return REAL IS + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- + -- Notes: + -- a) Returns REAL'LOW on error + -- + -- Copyright (c) 1992 Regents of the University of California. + -- All rights reserved. + -- + -- Redistribution and use in source and binary forms, with or without + -- modification, are permitted provided that the following conditions + -- are met: + -- 1. Redistributions of source code must retain the above copyright + -- notice, this list of conditions and the following disclaimer. + -- 2. Redistributions in binary form must reproduce the above copyright + -- notice, this list of conditions and the following disclaimer in the + -- documentation and/or other materials provided with the distribution. + -- 3. All advertising materials mentioning features or use of this + -- software must display the following acknowledgement: + -- This product includes software developed by the University of + -- California, Berkeley and its contributors. + -- 4. Neither the name of the University nor the names of its + -- contributors may be used to endorse or promote products derived + -- from this software without specific prior written permission. + -- + -- THIS SOFTWARE IS PROVIDED BY THE REGENTS AND CONTRIBUTORS ``AS IS'' + -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, + -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A + -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE REGENTS OR + -- CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, + -- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, + -- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR + -- PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY + -- OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT + -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE + -- USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH + -- DAMAGE. + -- + -- NOTE: This VHDL version was generated using the C version of the + -- original function by the IEEE VHDL Mathematical Package + -- Working Group (CS/JT) + + constant N: INTEGER := 128; + + -- Table of log(Fj) = logF_head[j] + logF_tail[j], for Fj = 1+j/128. + -- Used for generation of extend precision logarithms. + -- The constant 35184372088832 is 2^45, so the divide is exact. + -- It ensures correct reading of logF_head, even for inaccurate + -- decimal-to-binary conversion routines. (Everybody gets the + -- right answer for INTEGERs less than 2^53.) + -- Values for LOG(F) were generated using error < 10^-57 absolute + -- with the bc -l package. + + type REAL_VECTOR is array (NATURAL range <>) of REAL; + + constant A1:REAL := 0.08333333333333178827; + constant A2:REAL := 0.01250000000377174923; + constant A3:REAL := 0.002232139987919447809; + constant A4:REAL := 0.0004348877777076145742; + + constant LOGF_HEAD: REAL_VECTOR(0 TO N) := ( + 0.0, + 0.007782140442060381246, + 0.015504186535963526694, + 0.023167059281547608406, + 0.030771658666765233647, + 0.038318864302141264488, + 0.045809536031242714670, + 0.053244514518837604555, + 0.060624621816486978786, + 0.067950661908525944454, + 0.075223421237524235039, + 0.082443669210988446138, + 0.089612158689760690322, + 0.096729626458454731618, + 0.103796793681567578460, + 0.110814366340264314203, + 0.117783035656430001836, + 0.124703478501032805070, + 0.131576357788617315236, + 0.138402322859292326029, + 0.145182009844575077295, + 0.151916042025732167530, + 0.158605030176659056451, + 0.165249572895390883786, + 0.171850256926518341060, + 0.178407657472689606947, + 0.184922338493834104156, + 0.191394852999565046047, + 0.197825743329758552135, + 0.204215541428766300668, + 0.210564769107350002741, + 0.216873938300523150246, + 0.223143551314024080056, + 0.229374101064877322642, + 0.235566071312860003672, + 0.241719936886966024758, + 0.247836163904594286577, + 0.253915209980732470285, + 0.259957524436686071567, + 0.265963548496984003577, + 0.271933715484010463114, + 0.277868451003087102435, + 0.283768173130738432519, + 0.289633292582948342896, + 0.295464212893421063199, + 0.301261330578199704177, + 0.307025035294827830512, + 0.312755710004239517729, + 0.318453731118097493890, + 0.324119468654316733591, + 0.329753286372579168528, + 0.335355541920762334484, + 0.340926586970454081892, + 0.346466767346100823488, + 0.351976423156884266063, + 0.357455888922231679316, + 0.362905493689140712376, + 0.368325561158599157352, + 0.373716409793814818840, + 0.379078352934811846353, + 0.384411698910298582632, + 0.389716751140440464951, + 0.394993808240542421117, + 0.400243164127459749579, + 0.405465108107819105498, + 0.410659924985338875558, + 0.415827895143593195825, + 0.420969294644237379543, + 0.426084395310681429691, + 0.431173464818130014464, + 0.436236766774527495726, + 0.441274560805140936281, + 0.446287102628048160113, + 0.451274644139630254358, + 0.456237433481874177232, + 0.461175715122408291790, + 0.466089729924533457960, + 0.470979715219073113985, + 0.475845904869856894947, + 0.480688529345570714212, + 0.485507815781602403149, + 0.490303988045525329653, + 0.495077266798034543171, + 0.499827869556611403822, + 0.504556010751912253908, + 0.509261901790523552335, + 0.513945751101346104405, + 0.518607764208354637958, + 0.523248143765158602036, + 0.527867089620485785417, + 0.532464798869114019908, + 0.537041465897345915436, + 0.541597282432121573947, + 0.546132437597407260909, + 0.550647117952394182793, + 0.555141507540611200965, + 0.559615787935399566777, + 0.564070138285387656651, + 0.568504735352689749561, + 0.572919753562018740922, + 0.577315365035246941260, + 0.581691739635061821900, + 0.586049045003164792433, + 0.590387446602107957005, + 0.594707107746216934174, + 0.599008189645246602594, + 0.603290851438941899687, + 0.607555250224322662688, + 0.611801541106615331955, + 0.616029877215623855590, + 0.620240409751204424537, + 0.624433288012369303032, + 0.628608659422752680256, + 0.632766669570628437213, + 0.636907462236194987781, + 0.641031179420679109171, + 0.645137961373620782978, + 0.649227946625615004450, + 0.653301272011958644725, + 0.657358072709030238911, + 0.661398482245203922502, + 0.665422632544505177065, + 0.669430653942981734871, + 0.673422675212350441142, + 0.677398823590920073911, + 0.681359224807238206267, + 0.685304003098281100392, + 0.689233281238557538017, + 0.693147180560117703862); + + constant LOGF_TAIL: REAL_VECTOR(0 TO N) := ( + 0.0, + -0.00000000000000543229938420049, + 0.00000000000000172745674997061, + -0.00000000000001323017818229233, + -0.00000000000001154527628289872, + -0.00000000000000466529469958300, + 0.00000000000005148849572685810, + -0.00000000000002532168943117445, + -0.00000000000005213620639136504, + -0.00000000000001819506003016881, + 0.00000000000006329065958724544, + 0.00000000000008614512936087814, + -0.00000000000007355770219435028, + 0.00000000000009638067658552277, + 0.00000000000007598636597194141, + 0.00000000000002579999128306990, + -0.00000000000004654729747598444, + -0.00000000000007556920687451336, + 0.00000000000010195735223708472, + -0.00000000000017319034406422306, + -0.00000000000007718001336828098, + 0.00000000000010980754099855238, + -0.00000000000002047235780046195, + -0.00000000000008372091099235912, + 0.00000000000014088127937111135, + 0.00000000000012869017157588257, + 0.00000000000017788850778198106, + 0.00000000000006440856150696891, + 0.00000000000016132822667240822, + -0.00000000000007540916511956188, + -0.00000000000000036507188831790, + 0.00000000000009120937249914984, + 0.00000000000018567570959796010, + -0.00000000000003149265065191483, + -0.00000000000009309459495196889, + 0.00000000000017914338601329117, + -0.00000000000001302979717330866, + 0.00000000000023097385217586939, + 0.00000000000023999540484211737, + 0.00000000000015393776174455408, + -0.00000000000036870428315837678, + 0.00000000000036920375082080089, + -0.00000000000009383417223663699, + 0.00000000000009433398189512690, + 0.00000000000041481318704258568, + -0.00000000000003792316480209314, + 0.00000000000008403156304792424, + -0.00000000000034262934348285429, + 0.00000000000043712191957429145, + -0.00000000000010475750058776541, + -0.00000000000011118671389559323, + 0.00000000000037549577257259853, + 0.00000000000013912841212197565, + 0.00000000000010775743037572640, + 0.00000000000029391859187648000, + -0.00000000000042790509060060774, + 0.00000000000022774076114039555, + 0.00000000000010849569622967912, + -0.00000000000023073801945705758, + 0.00000000000015761203773969435, + 0.00000000000003345710269544082, + -0.00000000000041525158063436123, + 0.00000000000032655698896907146, + -0.00000000000044704265010452446, + 0.00000000000034527647952039772, + -0.00000000000007048962392109746, + 0.00000000000011776978751369214, + -0.00000000000010774341461609578, + 0.00000000000021863343293215910, + 0.00000000000024132639491333131, + 0.00000000000039057462209830700, + -0.00000000000026570679203560751, + 0.00000000000037135141919592021, + -0.00000000000017166921336082431, + -0.00000000000028658285157914353, + -0.00000000000023812542263446809, + 0.00000000000006576659768580062, + -0.00000000000028210143846181267, + 0.00000000000010701931762114254, + 0.00000000000018119346366441110, + 0.00000000000009840465278232627, + -0.00000000000033149150282752542, + -0.00000000000018302857356041668, + -0.00000000000016207400156744949, + 0.00000000000048303314949553201, + -0.00000000000071560553172382115, + 0.00000000000088821239518571855, + -0.00000000000030900580513238244, + -0.00000000000061076551972851496, + 0.00000000000035659969663347830, + 0.00000000000035782396591276383, + -0.00000000000046226087001544578, + 0.00000000000062279762917225156, + 0.00000000000072838947272065741, + 0.00000000000026809646615211673, + -0.00000000000010960825046059278, + 0.00000000000002311949383800537, + -0.00000000000058469058005299247, + -0.00000000000002103748251144494, + -0.00000000000023323182945587408, + -0.00000000000042333694288141916, + -0.00000000000043933937969737844, + 0.00000000000041341647073835565, + 0.00000000000006841763641591466, + 0.00000000000047585534004430641, + 0.00000000000083679678674757695, + -0.00000000000085763734646658640, + 0.00000000000021913281229340092, + -0.00000000000062242842536431148, + -0.00000000000010983594325438430, + 0.00000000000065310431377633651, + -0.00000000000047580199021710769, + -0.00000000000037854251265457040, + 0.00000000000040939233218678664, + 0.00000000000087424383914858291, + 0.00000000000025218188456842882, + -0.00000000000003608131360422557, + -0.00000000000050518555924280902, + 0.00000000000078699403323355317, + -0.00000000000067020876961949060, + 0.00000000000016108575753932458, + 0.00000000000058527188436251509, + -0.00000000000035246757297904791, + -0.00000000000018372084495629058, + 0.00000000000088606689813494916, + 0.00000000000066486268071468700, + 0.00000000000063831615170646519, + 0.00000000000025144230728376072, + -0.00000000000017239444525614834); + + variable M, J:INTEGER; + variable F1, F2, G, Q, U, U2, V: REAL; + variable ZERO: REAL := 0.0;--Made variable so no constant folding occurs + variable ONE: REAL := 1.0; --Made variable so no constant folding occurs + + -- double logb(), ldexp(); + + variable U1:REAL; + + begin + + -- Check validity of argument + if ( X <= 0.0 ) then + assert FALSE + report "X <= 0.0 in LOG(X)" + severity ERROR; + return(REAL'LOW); + end if; + + -- Compute value for special cases + if ( X = 1.0 ) then + return 0.0; + end if; + + if ( X = MATH_E ) then + return 1.0; + end if; + + -- Argument reduction: 1 <= g < 2; x/2^m = g; + -- y = F*(1 + f/F) for |f| <= 2^-8 + + M := ILOGB(X); + G := LDEXP(X, -M); + J := INTEGER(REAL(N)*(G-1.0)); -- C code adds 0.5 for rounding + F1 := (1.0/REAL(N)) * REAL(J) + 1.0; --F1*128 is an INTEGER in [128,512] + F2 := G - F1; + + -- Approximate expansion for log(1+f2/F1) ~= u + q + G := 1.0/(2.0*F1+F2); + U := 2.0*F2*G; + V := U*U; + Q := U*V*(A1 + V*(A2 + V*(A3 + V*A4))); + + -- Case 1: u1 = u rounded to 2^-43 absolute. Since u < 2^-8, + -- u1 has at most 35 bits, and F1*u1 is exact, as F1 has < 8 bits. + -- It also adds exactly to |m*log2_hi + log_F_head[j] | < 750. + -- + if ( J /= 0 or M /= 0) then + U1 := U + 513.0; + U1 := U1 - 513.0; + + -- Case 2: |1-x| < 1/256. The m- and j- dependent terms are zero + -- u1 = u to 24 bits. + -- + else + U1 := U; + --TRUNC(U1); --In c this is u1 = (double) (float) (u1) + end if; + + U2 := (2.0*(F2 - F1*U1) - U1*F2) * G; + -- u1 + u2 = 2f/(2F+f) to extra precision. + + -- log(x) = log(2^m*F1*(1+f2/F1)) = + -- (m*log2_hi+LOGF_HEAD(j)+u1) + (m*log2_lo+LOGF_TAIL(j)+q); + -- (exact) + (tiny) + + U1 := U1 + REAL(M)*LOGF_HEAD(N) + LOGF_HEAD(J); -- Exact + U2 := (U2 + LOGF_TAIL(J)) + Q; -- Tiny + U2 := U2 + LOGF_TAIL(N)*REAL(M); + return (U1 + U2); + end LOG; + + + function LOG2 (X: in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns REAL'LOW on error + begin + -- Check validity of arguments + if ( X <= 0.0 ) then + assert FALSE + report "X <= 0.0 in LOG2(X)" + severity ERROR; + return(REAL'LOW); + end if; + + -- Compute value for special cases + if ( X = 1.0 ) then + return 0.0; + end if; + + if ( X = 2.0 ) then + return 1.0; + end if; + + -- Compute value for general case + return ( MATH_LOG2_OF_E*LOG(X) ); + end LOG2; + + + function LOG10 (X: in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns REAL'LOW on error + begin + -- Check validity of arguments + if ( X <= 0.0 ) then + assert FALSE + report "X <= 0.0 in LOG10(X)" + severity ERROR; + return(REAL'LOW); + end if; + + -- Compute value for special cases + if ( X = 1.0 ) then + return 0.0; + end if; + + if ( X = 10.0 ) then + return 1.0; + end if; + + -- Compute value for general case + return ( MATH_LOG10_OF_E*LOG(X) ); + end LOG10; + + + function LOG (X: in REAL; BASE: in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns REAL'LOW on error + begin + -- Check validity of arguments + if ( X <= 0.0 ) then + assert FALSE + report "X <= 0.0 in LOG(X, BASE)" + severity ERROR; + return(REAL'LOW); + end if; + + if ( BASE <= 0.0 or BASE = 1.0 ) then + assert FALSE + report "BASE <= 0.0 or BASE = 1.0 in LOG(X, BASE)" + severity ERROR; + return(REAL'LOW); + end if; + + -- Compute value for special cases + if ( X = 1.0 ) then + return 0.0; + end if; + + if ( X = BASE ) then + return 1.0; + end if; + + -- Compute value for general case + return ( LOG(X)/LOG(BASE)); + end LOG; + + + function SIN (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) SIN(-X) = -SIN(X) + -- b) SIN(X) = X if ABS(X) < EPS + -- c) SIN(X) = X - X**3/3! if EPS < ABS(X) < BASE_EPS + -- d) SIN(MATH_PI_OVER_2 - X) = COS(X) + -- e) COS(X) = 1.0 - 0.5*X**2 if ABS(X) < EPS + -- f) COS(X) = 1.0 - 0.5*X**2 + (X**4)/4! if + -- EPS< ABS(X) MATH_2_PI then + TEMP := FLOOR(XLOCAL/MATH_2_PI); + XLOCAL := XLOCAL - TEMP*MATH_2_PI; + end if; + + if XLOCAL < 0.0 then + assert FALSE + report "XLOCAL <= 0.0 after reduction in SIN(X)" + severity ERROR; + XLOCAL := -XLOCAL; + end if; + + -- Compute value for special cases + if XLOCAL = 0.0 or XLOCAL = MATH_2_PI or XLOCAL = MATH_PI then + return 0.0; + end if; + + if XLOCAL = MATH_PI_OVER_2 then + if NEGATIVE then + return -1.0; + else + return 1.0; + end if; + end if; + + if XLOCAL = MATH_3_PI_OVER_2 then + if NEGATIVE then + return 1.0; + else + return -1.0; + end if; + end if; + + if XLOCAL < EPS then + if NEGATIVE then + return -XLOCAL; + else + return XLOCAL; + end if; + else + if XLOCAL < BASE_EPS then + TEMP := XLOCAL - (XLOCAL*XLOCAL*XLOCAL)/6.0; + if NEGATIVE then + return -TEMP; + else + return TEMP; + end if; + end if; + end if; + + TEMP := MATH_PI - XLOCAL; + if ABS(TEMP) < EPS then + if NEGATIVE then + return -TEMP; + else + return TEMP; + end if; + else + if ABS(TEMP) < BASE_EPS then + TEMP := TEMP - (TEMP*TEMP*TEMP)/6.0; + if NEGATIVE then + return -TEMP; + else + return TEMP; + end if; + end if; + end if; + + TEMP := MATH_2_PI - XLOCAL; + if ABS(TEMP) < EPS then + if NEGATIVE then + return TEMP; + else + return -TEMP; + end if; + else + if ABS(TEMP) < BASE_EPS then + TEMP := TEMP - (TEMP*TEMP*TEMP)/6.0; + if NEGATIVE then + return TEMP; + else + return -TEMP; + end if; + end if; + end if; + + TEMP := ABS(MATH_PI_OVER_2 - XLOCAL); + if TEMP < EPS then + TEMP := 1.0 - TEMP*TEMP*0.5; + if NEGATIVE then + return -TEMP; + else + return TEMP; + end if; + else + if TEMP < BASE_EPS then + TEMP := 1.0 -TEMP*TEMP*0.5 + TEMP*TEMP*TEMP*TEMP/24.0; + if NEGATIVE then + return -TEMP; + else + return TEMP; + end if; + end if; + end if; + + TEMP := ABS(MATH_3_PI_OVER_2 - XLOCAL); + if TEMP < EPS then + TEMP := 1.0 - TEMP*TEMP*0.5; + if NEGATIVE then + return TEMP; + else + return -TEMP; + end if; + else + if TEMP < BASE_EPS then + TEMP := 1.0 -TEMP*TEMP*0.5 + TEMP*TEMP*TEMP*TEMP/24.0; + if NEGATIVE then + return TEMP; + else + return -TEMP; + end if; + end if; + end if; + + -- Compute value for general cases + if ((XLOCAL < MATH_PI_OVER_2 ) and (XLOCAL > 0.0)) then + VALUE:= CORDIC( KC, 0.0, x, 27, ROTATION)(1); + end if; + + N := INTEGER ( FLOOR(XLOCAL/MATH_PI_OVER_2)); + case QUADRANT( N mod 4) is + when 0 => + VALUE := CORDIC( KC, 0.0, XLOCAL, 27, ROTATION)(1); + when 1 => + VALUE := CORDIC( KC, 0.0, XLOCAL - MATH_PI_OVER_2, 27, + ROTATION)(0); + when 2 => + VALUE := -CORDIC( KC, 0.0, XLOCAL - MATH_PI, 27, ROTATION)(1); + when 3 => + VALUE := -CORDIC( KC, 0.0, XLOCAL - MATH_3_PI_OVER_2, 27, + ROTATION)(0); + end case; + + if NEGATIVE then + return -VALUE; + else + return VALUE; + end if; + end SIN; + + + function COS (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) COS(-X) = COS(X) + -- b) COS(X) = SIN(MATH_PI_OVER_2 - X) + -- c) COS(MATH_PI + X) = -COS(X) + -- d) COS(X) = 1.0 - X*X/2.0 if ABS(X) < EPS + -- e) COS(X) = 1.0 - 0.5*X**2 + (X**4)/4! if + -- EPS< ABS(X) MATH_2_PI then + TEMP := FLOOR(XLOCAL/MATH_2_PI); + XLOCAL := XLOCAL - TEMP*MATH_2_PI; + end if; + + if XLOCAL < 0.0 then + assert FALSE + report "XLOCAL <= 0.0 after reduction in COS(X)" + severity ERROR; + XLOCAL := -XLOCAL; + end if; + + -- Compute value for special cases + if XLOCAL = 0.0 or XLOCAL = MATH_2_PI then + return 1.0; + end if; + + if XLOCAL = MATH_PI then + return -1.0; + end if; + + if XLOCAL = MATH_PI_OVER_2 or XLOCAL = MATH_3_PI_OVER_2 then + return 0.0; + end if; + + TEMP := ABS(XLOCAL); + if ( TEMP < EPS) then + return (1.0 - 0.5*TEMP*TEMP); + else + if (TEMP < BASE_EPS) then + return (1.0 -0.5*TEMP*TEMP + TEMP*TEMP*TEMP*TEMP/24.0); + end if; + end if; + + TEMP := ABS(XLOCAL -MATH_2_PI); + if ( TEMP < EPS) then + return (1.0 - 0.5*TEMP*TEMP); + else + if (TEMP < BASE_EPS) then + return (1.0 -0.5*TEMP*TEMP + TEMP*TEMP*TEMP*TEMP/24.0); + end if; + end if; + + TEMP := ABS (XLOCAL - MATH_PI); + if TEMP < EPS then + return (-1.0 + 0.5*TEMP*TEMP); + else + if (TEMP < BASE_EPS) then + return (-1.0 +0.5*TEMP*TEMP - TEMP*TEMP*TEMP*TEMP/24.0); + end if; + end if; + + -- Compute value for general cases + return SIN(MATH_PI_OVER_2 - XLOCAL); + end COS; + + function TAN (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) TAN(0.0) = 0.0 + -- b) TAN(-X) = -TAN(X) + -- c) Returns REAL'LOW on error if X < 0.0 + -- d) Returns REAL'HIGH on error if X > 0.0 + + variable NEGATIVE : BOOLEAN := X < 0.0; + variable XLOCAL : REAL := ABS(X) ; + variable VALUE: REAL; + variable TEMP : REAL; + + begin + -- Make 0.0 <= XLOCAL <= MATH_2_PI + if XLOCAL > MATH_2_PI then + TEMP := FLOOR(XLOCAL/MATH_2_PI); + XLOCAL := XLOCAL - TEMP*MATH_2_PI; + end if; + + if XLOCAL < 0.0 then + assert FALSE + report "XLOCAL <= 0.0 after reduction in TAN(X)" + severity ERROR; + XLOCAL := -XLOCAL; + end if; + + -- Check validity of argument + if XLOCAL = MATH_PI_OVER_2 then + assert FALSE + report "X is a multiple of MATH_PI_OVER_2 in TAN(X)" + severity ERROR; + if NEGATIVE then + return(REAL'LOW); + else + return(REAL'HIGH); + end if; + end if; + + if XLOCAL = MATH_3_PI_OVER_2 then + assert FALSE + report "X is a multiple of MATH_3_PI_OVER_2 in TAN(X)" + severity ERROR; + if NEGATIVE then + return(REAL'HIGH); + else + return(REAL'LOW); + end if; + end if; + + -- Compute value for special cases + if XLOCAL = 0.0 or XLOCAL = MATH_PI then + return 0.0; + end if; + + -- Compute value for general cases + VALUE := SIN(XLOCAL)/COS(XLOCAL); + if NEGATIVE then + return -VALUE; + else + return VALUE; + end if; + end TAN; + + function ARCSIN (X : in REAL ) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) ARCSIN(-X) = -ARCSIN(X) + -- b) Returns X on error + + variable NEGATIVE : BOOLEAN := X < 0.0; + variable XLOCAL : REAL := ABS(X); + variable VALUE : REAL; + + begin + -- Check validity of arguments + if XLOCAL > 1.0 then + assert FALSE + report "ABS(X) > 1.0 in ARCSIN(X)" + severity ERROR; + return X; + end if; + + -- Compute value for special cases + if XLOCAL = 0.0 then + return 0.0; + elsif XLOCAL = 1.0 then + if NEGATIVE then + return -MATH_PI_OVER_2; + else + return MATH_PI_OVER_2; + end if; + end if; + + -- Compute value for general cases + if XLOCAL < 0.9 then + VALUE := ARCTAN(XLOCAL/(SQRT(1.0 - XLOCAL*XLOCAL))); + else + VALUE := MATH_PI_OVER_2 - ARCTAN(SQRT(1.0 - XLOCAL*XLOCAL)/XLOCAL); + end if; + + if NEGATIVE then + VALUE := -VALUE; + end if; + + return VALUE; + end ARCSIN; + + function ARCCOS (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) ARCCOS(-X) = MATH_PI - ARCCOS(X) + -- b) Returns X on error + + variable NEGATIVE : BOOLEAN := X < 0.0; + variable XLOCAL : REAL := ABS(X); + variable VALUE : REAL; + + begin + -- Check validity of argument + if XLOCAL > 1.0 then + assert FALSE + report "ABS(X) > 1.0 in ARCCOS(X)" + severity ERROR; + return X; + end if; + + -- Compute value for special cases + if X = 1.0 then + return 0.0; + elsif X = 0.0 then + return MATH_PI_OVER_2; + elsif X = -1.0 then + return MATH_PI; + end if; + + -- Compute value for general cases + if XLOCAL > 0.9 then + VALUE := ARCTAN(SQRT(1.0 - XLOCAL*XLOCAL)/XLOCAL); + else + VALUE := MATH_PI_OVER_2 - ARCTAN(XLOCAL/SQRT(1.0 - XLOCAL*XLOCAL)); + end if; + + + if NEGATIVE then + VALUE := MATH_PI - VALUE; + end if; + + return VALUE; + end ARCCOS; + + + function ARCTAN (Y : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) ARCTAN(-Y) = -ARCTAN(Y) + -- b) ARCTAN(Y) = -ARCTAN(1.0/Y) + MATH_PI_OVER_2 for |Y| > 1.0 + -- c) ARCTAN(Y) = Y for |Y| < EPS + + constant EPS : REAL := BASE_EPS*BASE_EPS*BASE_EPS; + + variable NEGATIVE : BOOLEAN := Y < 0.0; + variable RECIPROCAL : BOOLEAN; + variable YLOCAL : REAL := ABS(Y); + variable VALUE : REAL; + + begin + -- Make argument |Y| <=1.0 + if YLOCAL > 1.0 then + YLOCAL := 1.0/YLOCAL; + RECIPROCAL := TRUE; + else + RECIPROCAL := FALSE; + end if; + + -- Compute value for special cases + if YLOCAL = 0.0 then + if RECIPROCAL then + if NEGATIVE then + return (-MATH_PI_OVER_2); + else + return (MATH_PI_OVER_2); + end if; + else + return 0.0; + end if; + end if; + + if YLOCAL < EPS then + if NEGATIVE then + if RECIPROCAL then + return (-MATH_PI_OVER_2 + YLOCAL); + else + return -YLOCAL; + end if; + else + if RECIPROCAL then + return (MATH_PI_OVER_2 - YLOCAL); + else + return YLOCAL; + end if; + end if; + end if; + + -- Compute value for general cases + VALUE := CORDIC( 1.0, YLOCAL, 0.0, 27, VECTORING )(2); + + if RECIPROCAL then + VALUE := MATH_PI_OVER_2 - VALUE; + end if; + + if NEGATIVE then + VALUE := -VALUE; + end if; + + return VALUE; + end ARCTAN; + + + function ARCTAN (Y : in REAL; X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns 0.0 on error + + variable YLOCAL : REAL; + variable VALUE : REAL; + begin + + -- Check validity of arguments + if (Y = 0.0 and X = 0.0 ) then + assert FALSE report + "ARCTAN(0.0, 0.0) is undetermined" + severity ERROR; + return 0.0; + end if; + + -- Compute value for special cases + if Y = 0.0 then + if X > 0.0 then + return 0.0; + else + return MATH_PI; + end if; + end if; + + if X = 0.0 then + if Y > 0.0 then + return MATH_PI_OVER_2; + else + return -MATH_PI_OVER_2; + end if; + end if; + + + -- Compute value for general cases + YLOCAL := ABS(Y/X); + + VALUE := ARCTAN(YLOCAL); + + if X < 0.0 then + VALUE := MATH_PI - VALUE; + end if; + + if Y < 0.0 then + VALUE := -VALUE; + end if; + + return VALUE; + end ARCTAN; + + + function SINH (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns (EXP(X) - EXP(-X))/2.0 + -- b) SINH(-X) = SINH(X) + + variable NEGATIVE : BOOLEAN := X < 0.0; + variable XLOCAL : REAL := ABS(X); + variable TEMP : REAL; + variable VALUE : REAL; + + begin + -- Compute value for special cases + if XLOCAL = 0.0 then + return 0.0; + end if; + + -- Compute value for general cases + TEMP := EXP(XLOCAL); + VALUE := (TEMP - 1.0/TEMP)*0.5; + + if NEGATIVE then + VALUE := -VALUE; + end if; + + return VALUE; + end SINH; + + function COSH (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns (EXP(X) + EXP(-X))/2.0 + -- b) COSH(-X) = COSH(X) + + variable XLOCAL : REAL := ABS(X); + variable TEMP : REAL; + variable VALUE : REAL; + begin + -- Compute value for special cases + if XLOCAL = 0.0 then + return 1.0; + end if; + + + -- Compute value for general cases + TEMP := EXP(XLOCAL); + VALUE := (TEMP + 1.0/TEMP)*0.5; + + return VALUE; + end COSH; + + function TANH (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns (EXP(X) - EXP(-X))/(EXP(X) + EXP(-X)) + -- b) TANH(-X) = -TANH(X) + + variable NEGATIVE : BOOLEAN := X < 0.0; + variable XLOCAL : REAL := ABS(X); + variable TEMP : REAL; + variable VALUE : REAL; + + begin + -- Compute value for special cases + if XLOCAL = 0.0 then + return 0.0; + end if; + + -- Compute value for general cases + TEMP := EXP(XLOCAL); + VALUE := (TEMP - 1.0/TEMP)/(TEMP + 1.0/TEMP); + + if NEGATIVE then + return -VALUE; + else + return VALUE; + end if; + end TANH; + + function ARCSINH (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns LOG( X + SQRT( X*X + 1.0)) + + begin + -- Compute value for special cases + if X = 0.0 then + return 0.0; + end if; + + -- Compute value for general cases + return ( LOG( X + SQRT( X*X + 1.0)) ); + end ARCSINH; + + + + function ARCCOSH (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns LOG( X + SQRT( X*X - 1.0)); X >= 1.0 + -- b) Returns X on error + + begin + -- Check validity of arguments + if X < 1.0 then + assert FALSE + report "X < 1.0 in ARCCOSH(X)" + severity ERROR; + return X; + end if; + + -- Compute value for special cases + if X = 1.0 then + return 0.0; + end if; + + -- Compute value for general cases + return ( LOG( X + SQRT( X*X - 1.0))); + end ARCCOSH; + + function ARCTANH (X : in REAL) return REAL is + -- Description: + -- See function declaration in IEEE Std 1076.2-1996 + -- Notes: + -- a) Returns (LOG( (1.0 + X)/(1.0 - X)))/2.0 ; | X | < 1.0 + -- b) Returns X on error + begin + -- Check validity of arguments + if ABS(X) >= 1.0 then + assert FALSE + report "ABS(X) >= 1.0 in ARCTANH(X)" + severity ERROR; + return X; + end if; + + -- Compute value for special cases + if X = 0.0 then + return 0.0; + end if; + + -- Compute value for general cases + return( 0.5*LOG( (1.0+X)/(1.0-X) ) ); + end ARCTANH; + +end MATH_REAL; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/generate_core.tcl b/CPLD/LCMXO2/LCMXO2-640HC/generate_core.tcl new file mode 100644 index 0000000..264a94e --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/generate_core.tcl @@ -0,0 +1,100 @@ +#!/usr/local/bin/wish + +proc GetPlatform {} { + global tcl_platform + + set cpu $tcl_platform(machine) + + switch $cpu { + intel - + i*86* { + set cpu ix86 + } + x86_64 { + if {$tcl_platform(wordSize) == 4} { + set cpu ix86 + } + } + } + + switch $tcl_platform(platform) { + windows { + if {$cpu == "amd64"} { + # Do not check wordSize, win32-x64 is an IL32P64 platform. + set cpu x86_64 + } + if {$cpu == "x86_64"} { + return "nt64" + } else { + return "nt" + } + } + unix { + if {$tcl_platform(os) == "Linux"} { + if {$cpu == "x86_64"} { + return "lin64" + } else { + return "lin" + } + } else { + return "sol" + } + } + } + return "nt" +} + +proc GetCmdLine {lpcfile} { + global Para + + if [catch {open $lpcfile r} fileid] { + puts "Cannot open $para_file file!" + exit -1 + } + + seek $fileid 0 start + set default_match 0 + while {[gets $fileid line] >= 0} { + if {[string first "\[Command\]" $line] == 0} { + set default_match 1 + continue + } + if {[string first "\[" $line] == 0} { + set default_match 0 + } + if {$default_match == 1} { + if [regexp {([^=]*)=(.*)} $line match parameter value] { + if [regexp {([ |\t]*;)} $parameter match] {continue} + if [regexp {(.*)[ |\t]*;} $value match temp] { + set Para($parameter) $temp + } else { + set Para($parameter) $value + } + } + } + } + set default_match 0 + close $fileid + + return $Para(cmd_line) +} + +set platformpath [GetPlatform] +set Para(sbp_path) [file dirname [info script]] +set Para(install_dir) $env(TOOLRTF) +set Para(FPGAPath) "[file join $Para(install_dir) ispfpga bin $platformpath]" + +set scuba "$Para(FPGAPath)/scuba" +set modulename "EFB" +set lang "verilog" +set lpcfile "$Para(sbp_path)/$modulename.lpc" +set arch "xo2c00" +set cmd_line [GetCmdLine $lpcfile] +set fdcfile "$Para(sbp_path)/$modulename.fdc" +if {[file exists $fdcfile] == 0} { + append scuba " " $cmd_line +} else { + append scuba " " $cmd_line " " -fdc " " \"$fdcfile\" +} +set Para(result) [catch {eval exec "$scuba"} msg] +#puts $msg diff --git a/CPLD/LCMXO2/LCMXO2-640HC/generate_ngd.tcl b/CPLD/LCMXO2/LCMXO2-640HC/generate_ngd.tcl new file mode 100644 index 0000000..ffa641e --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/generate_ngd.tcl @@ -0,0 +1,124 @@ +#!/usr/local/bin/wish + +proc GetPlatform {} { + global tcl_platform + + set cpu $tcl_platform(machine) + + switch $cpu { + intel - + i*86* { + set cpu ix86 + } + x86_64 { + if {$tcl_platform(wordSize) == 4} { + set cpu ix86 + } + } + } + + switch $tcl_platform(platform) { + windows { + if {$cpu == "amd64"} { + # Do not check wordSize, win32-x64 is an IL32P64 platform. + set cpu x86_64 + } + if {$cpu == "x86_64"} { + return "nt64" + } else { + return "nt" + } + } + unix { + if {$tcl_platform(os) == "Linux"} { + if {$cpu == "x86_64"} { + return "lin64" + } else { + return "lin" + } + } else { + return "sol" + } + } + } + return "nt" +} + +set platformpath [GetPlatform] +set Para(sbp_path) [file dirname [info script]] +set Para(install_dir) $env(TOOLRTF) +set Para(FPGAPath) "[file join $Para(install_dir) ispfpga bin $platformpath]" +set Para(bin_dir) "[file join $Para(install_dir) bin $platformpath]" + +set Para(ModuleName) "EFB" +set Para(Module) "EFB" +set Para(libname) machxo2 +set Para(arch_name) xo2c00 +set Para(PartType) "LCMXO2-640HC" + +set Para(tech_syn) machxo2 +set Para(tech_cae) machxo2 +set Para(Package) "TQFP100" +set Para(SpeedGrade) "4" +set Para(FMax) "100" +set fdcfile "$Para(sbp_path)/$Para(ModuleName).fdc" + +#create LSE project file(*.synproj) +proc CreateSynprojFile {} { + global Para + + if [catch {open $Para(ModuleName).synproj w} synprojFile] { + puts "Cannot create LSE project file $Para(ModuleName).synproj." + exit -1 + } else { + puts $synprojFile "-a \"$Para(tech_syn)\" +-d $Para(PartType) +-t $Para(Package) +-s $Para(SpeedGrade) +-frequency 200 +-optimization_goal Balanced +-bram_utilization 100 +-ramstyle auto +-romstyle auto +-use_carry_chain 1 +-carry_chain_length 0 +-force_gsr auto +-resource_sharing 1 +-propagate_constants 1 +-remove_duplicate_regs 1 +-mux_style Auto +-max_fanout 1000 +-fsm_encoding_style Auto +-twr_paths 3 +-fix_gated_clocks 1 +-use_io_insertion 0 +-resolve_mixed_drivers 0 +-use_io_reg 1 + +-lpf 1 +-p $Para(sbp_path) +-ver \"$Para(install_dir)/cae_library/synthesis/verilog/$Para(tech_cae).v\" +\"$Para(install_dir)/cae_library/synthesis/verilog/pmi_def.v\" +\"$Para(sbp_path)/$Para(ModuleName).v\" +-top $Para(ModuleName) +-ngo \"$Para(sbp_path)/$Para(ModuleName).ngo\" + " + close $synprojFile + } +} + +#LSE +CreateSynprojFile +set ldcfile "$Para(sbp_path)/$Para(ModuleName).ldc" +set synthesis "$Para(FPGAPath)/synthesis" +if {[file exists $ldcfile] == 0} { + set Para(result) [catch {eval exec $synthesis -f \"$Para(ModuleName).synproj\" -gui} msg] +} else { + set Para(result) [catch {eval exec $synthesis -f \"$Para(ModuleName).synproj\" -sdc \"$ldcfile\" -gui} msg] +} +#puts $msg + +#ngdbuild +set ngdbuild "$Para(FPGAPath)/ngdbuild" +set Para(result) [catch {eval exec $ngdbuild -addiobuf -dt -a $Para(arch_name) $Para(ModuleName).ngo $Para(ModuleName).ngd} msg] +#puts $msg diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status new file mode 100644 index 0000000..d4ddfec --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.build_status @@ -0,0 +1,46 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb new file mode 100644 index 0000000000000000000000000000000000000000..3d236f208ca40ba5260380a927880289747aeafe GIT binary patch literal 90359 zcmd7537A~RbspN7>7EUk?itKL7=i?Fdl|aB=f%+s2tU$hzce3Bo1{eYy}Xw^@1{h_ zA}M)^7s-+Bm>?mMl1NZvaHtu80NBe6d$AG_LrFszbfhS@WIK+xc+H+T`@Zkd`~O-` z-R|M{-iN+lGT#TBI;YO9I(6#QsZ(|9R^R@iljBpV)T=4{)&KCLC&$yzym0G#4jg#L z$(i)KAA9tDq^IihseWo=@1@qI^<4u%oomX!7kdrR4ougMFP@yM-8Nt2gCL|r%7N_G zrS&WI%Uc(&Ub=jFYcqXyQcbK|9}m#=QfiU)}C$|vU+ zZ#jPS_~L#7%%(EO7m%+i83S@kAd3qNb0<~KfmHg!g)19|Yi#3!rM|Rv<*H5ZUA<=0 zV|&*Pb!=8 z=Z#2Xjdh!9I=-gkYdXHBoflhYla9zSjxJ*FhYe+LfMlo1@0 z-X~6r+s%YBw2S*0&Mp7b8P5M0yBMPFsXAP@9H9XruIF(~3;QW~I+gK$FYt&5q^|^W zO1MXOQSU3qUbY-O-7N+Xm zH_t0+{HQoozrMG1{mRy+)X4xbu9wMEt4pU&EY0tyn}eyTX5-2Ri#DyFES*^7Ll#{L z(MpI`L$n;C#SqPhXdy(S5X}Xsk_Llxx;D3Vcque%Nr|^Vy}o;XYrn?VKg41uw(HIH zx(zZWb^@#&VEq8=23Rk^Isw)SuttD218lqA2xO61JILz?SU13W0oDnyR)94EtSPa{ zN>s}&)aI-qR712JqQwx+hiD;0qY%vnDEs3=&>sRre+UfyAu#lZz|bE8Lw^Vi{UI>) zhrrMu9-|JTPzMr)4kQX4NEAAdD0CoE=s=>-fk2^yhL-|1DKXfA$b%gS40a$e*nz-c z2Lgi~2n=>0FxY{|Ceb^09rkvR3sJ}&=1MdZ9huiphVC|#+lL;l8h)%|GdY1AjA|!p zrGDFHjo2Kxy;>rO|toM*q4Kmrh7vFnWQ(=miF&7Z{9QU@&@t!RQ4BqZb&A z-ebz>y=c@yBv2Z?Kxy;>rO^wNMlVnry+CR79;MNHDQNTpgV75NMlUcJy})4f0)x>D z3`Q?77`?z?^d4hWQa``vdc@B^hX>{#Ng0@b&i{X$fAn;ZP(sZ=4pZ}w!_@raFg5=; zOwB(IQ}d6*)coTxHUBtF%|8xP^N+*O1?zQ(srkoYYW{JUntvRo<{yWt`Nv^u{&AR^ ze;lUfAB&m!=WsaxI8@I+4%PFIL-qXQP(A-RRL?&S)$@-<_55R{V1HbYI*^!}e;lWp ze;lUfABU;=$6;#zahRHa9H!iNf^dj4^!o_{Q==N~Ht zjXuzU#MJ!bIMw{)Fg5=;OwB(IQ}d6*)coTxHUC)5&Oa9S^G|v8j@YtZQU>Oq?%wD2 z_Fez{AUEEp%Xuz8@4C%yXigX7gnXP(h!dhXAr~i<3b9&N;)F_^P>mDHaY8Xp$j1qV zI3bD?a&bZ_ic1#PC)OZ^ZCs3~x7fFI-sn^7UhQH-`6OcqfLpVt6BlH$!}~ggux!XV;S} zAzBI1YKWFYv>2lK5G{mg6r#BR#j=I=wN_hPTQ#u_CYJ$HVUUy`Bozlq}^A++8QT6d6Uywuui%u5M^_ELhNy_6tm zFC_@tO9_JZQi7nplpts?B?#I}34-=gf}p*WAZRZo2--^tg7#8^puLnJXfGuQE6O_v zg7#8^puLnJXfGuQ+Di$7_ELhNy_6tmFC_@tOL>C!QoDPhmlC-0QUX_AO5n;%30!$8 zfh#X1aOI^0uDq1Mm6sCumHHdI_04Pc<>Abg`uSaKaSKYL{)LUb>ypxpQ>L-SjD3Xp zled{MJ#}s4!g=w?!6;v&9}p}DbAzN(VSsC8kW?8YRR>AsK~iy$lpiD&21(H%DK|(e zMT620k`Uv@HGw!cPC}d)Cn460k+O}Ba!2*uH-xUU&7suo)-c|kq15(JYJVuTJCxcR zO6?4#wuVw0L#fT7)NSoDV|v&bN^K9N_J>l9D~^?GoOXq|Y!K}$W!K}$W!K}$W!K}$W!K}$W!K}$0!K}$mv}-Nv4Ug+JxySXI z+~ayp?s2^)_qbk@dt9%{J+9Z}9@lGfkLxwI$MqW9<9dzlalOX&xL#vBT(7ZR^Yt3r zqiT)qQMJbQs9IxtRIRZ+s@B*ZRcmaIsx`Jp)f(HOE-b`4)yDB-DHj%oR2vqCR2vqC zR2vqCR2vqCR2vqCR2vqCR2vqCR2vqCR2vqCR2vqCR2vqCR2vqCR2vqCR2vqCR2vqC zR2vqCR2vqCR2vqCR2vqCR2vosR2vpzC&jM1#!@yc42dQz42dQz42dQz42dQz42dQz z42dQz42dQz42dQz42XxC^_Si}zlB#V*tAtK#u)ZihR|9=Xq_Rn-Vj=M2(3Sa)*eFJ z8A970q|IVq{gyiqFX2sBG%4>M69s~h*Re`HtTrJ{Icn36)W34LCpAS6WVPO;@lXl-|o2q z!-CgiGtD}8S|z11Kxqw7Is=s60Hr%X>BlJyrd?>IgK38{>UM};h&V4jsTLv*c*qXqpXz*uSq3~+soj$`qQwqVf0GR#^oB)dghNOp_1fQ&t12yk*iNQ^z<0a9U* z1fHX(PPj!@3hxZL`tu%9HCP>Q{l>)wF2}@oA_r?BE&lds;^#Il@F2uK$3ioy^aiq7 zL%38E=Pfhegevrc32#0lflMykb>bErepCYbFF^2>$;DlH#xWqoB^+Nnwzi*m^^dUV z@my`eR52d;WWgu%K3Vk1vQJihvf`5~K3Q_fG^VNxrn)pHGndA6=hB!ET^duSOJh=X zY1p1iV`6q`Ox-Sx$=#(f{kt>*1eZn#;nD~)TpD4BOCwNmX@oK^jbO*65e~UD0w$Nn zPMb?(FV3Y~BHa<`o=A5^x>N>7Gb;MY=E2ZIRv)>206RV5+*ynqV4U zR0!eI@SKhgpXt)@mM#rH>C*6!F5MRC9g*JlX$rAsg_y+t&561BRili_6H5WQv?|f@ zyN=A0F*f|xhEnfx8yEOUNj-)`c9UjT#Z)&%PkUv3(}o{o7q?UnJ{!RiI+Gl`XuoI~ z$5A?)pR2voCL^CL_+;KEi#}QQ$*NCQd~(GnOD@TA_PVK#= z`08ygxwznxU^bGbTU(o-vFV0SXZW>-E*%r@5?<$?ywjo+*Dr3oyl0=v6c$f8&P*hb z^eda!EmwNuf=jFFppdCL7{ZBA5aQ}!tM=0dKe{w+_TLjs)lp|yydVq|5B0oF@m6`V z_`OT9;ss%#cyz?u6mPpp7Qb=rQcQYJ7$_cl#BWo)-$Ayz$9F%+Z#%wrQkr9&7O!OD z%GFC(cdzYN4?=d$V9aUam6tcct}q|{9ITop7{T@sqC$Mw5q_QQ32_yT(WD)8|l{io?3+6S=$M7k%^U6JmKbX%l%M0(q&G3y_8<_tp@NI@V_g&%tjk#31}N2Gfq-4*G+NVi3L z$ETGgsoC}N<=CgRf-vAejvn3jQ`$THDj4>cIeZL@Y-6v}{E~2Kb?#PszrZxVBb0=x z-3@$|zy7kF2c}Oh!HJ3|CU03U#c*}DQ1Caye7qfZrQx+t^Qvea z@J0-8#qdrH@5S(L4DZMAc8If6E}G6qgnDHDIChEb{TGNluU=ODg`i|}ckktE*4Rj57EvZ-5Czm2yR;emgjWy-#@KE1`+mZk#>EK6=PDoX z)8iOWsy=)=gS9Klj|rhak>y^i2o?s2abeVVvj~{>4I19fYR25%6yi3EmY!4&q{ccf z)pMz?OZ8o%3{-SjVM$F4c9ZzDu=Ts%fW1aRW!o(K;^GbE&RN^5lSMvR@X5SOx(*BXCejVlcQJBnIJq;FJmzl<@pp{0Z1^_TajBk5bzQ3OQf-&= z*LL{JI$CyfJCHQBHi)nv8FwIC~h-pIa5fSEM7k@|eUWbaw7lHpbu-?^S}xUbsh&%9U8?U=ZJSa> zD2H)1z9tof_!u3>I(@(Ki6yUH#rwt8OnvwImCaz*kCEb4WY(~^zVFOGz0YMv2T$F3 zeCakU_5Bg7X>}WqAWYsecl6krixeg~jpOJd~E-9 zE>VaULNp(u#Skrr zXf;GD0XlIN@tf%ayiQby8}FPq?Z=y|6F5J4r!pEuz#HIg_)u_KH6ij*__S)GBq$X~ zf>MzrC>2VAQn4gp^KfoK5>yun!qiomk&_ESC*^F$<;=z9lmsQGBq%v0LCGlzN=`{o za!P`dQxKG#PAz4wMrxU|lF}xAOn;|}N zb?XhQb(rvR>=j#`6UAD~qv_47o7a_H!N?GzyM5a9=7!0Dx8Mao9;1uVSm!=p}*oV|X`)_hNV_hPPsPBZfCaeEP)F;_C6+ ztbkDa)s4NYQlJfq<5t5HOUG9ig9oneZ3GWUT($U>%{|{jsNO3CJ+bJ~P?1wtaoC`> z*|PWZ&0N{Kx_)6#P^RMehRi>Z;uE-ND0m`eXd|Hu!*^WNae0;IVTN&YXfUFmo;}?RyE-Oe(ye5M8}=^zht?B|KwYuDT)$ z!weUKaSYmL;q7n1R{x1bPncXfft$`u z{3RdPGnA^I%aj|}F z{Zf7J+Gc%g@ACX}&z}8c?c68MHfql`o~`x!{ZNVn?poD9hLHF2=B}y)Yr?HJEjhXh!1@8!7TDz7xzl$YUz<0wOx_)Z zXzuj6vvcWG=fawj9>eKYiLB1e zuW2142MTuxdSX}i1QsP*ub3V}dT-OwGtkSND;Mn+NI@cbi4-MLmPl116^X1!q~sA; zLgU7|wjQ{nw;BP~ys>^>64Lext4}$nsr}5hMjh$BG zwGY17di47qy8q!99{=XnL-#!Ps>WV@@ZtB~|EeL~`^2|AdEYm``uLNNJn+yH_dojJ ztKW=&k3aUn<4?T$+WomIj;Ssz)(Wd9=W9!=N3)%Jum0eJ_tabM?fTxu*%cfhTdbMP z5!apF>9S!h41XlfOeuCP>FQ_j$#KLP)<@2 z-B1xluZY=dlJ)k(dh@q8%!t;1w~ul4QL* zVZD*B*K|NJ=m4IUO|Ap*`GD*IoN5a?pp>K{wnIhaydq|ENlpRHa0=LtPXT4A|81T= zPE7wLd}<-|pWO~8;3!EoG(t7(I5o@`lB~8BR@-*f8tbo0?RR;`J2CB-ag~JBemm5D zK1nrnLN)ZA8fME$R@)7$?Ye4>_A6fd>FhR7)hDL?67Kd8+Rx#mrNH+TlJq^T(Dzil z?=gy4@rsz>cVCdy2Eu%A{#N%!d*|u5wr_NHZaNIl@*@i$3Qy-S{_;(%_p&{H9+6lF z6rpj^0W(c!4A}<1iAb#C2;U)zinEPi+?ft2i4Mqi6P2eNlcyWVGh0n^K=#7{*>im` zTS>Coc35r4Rjaj+8`br1sc=n{&i2De@L@dwx{VG;Ky#;c(?cLv4JX1}zF4k3^IWTS z_W7qfs|$14EZ8Z?>GC5a}dWq(ZObF7QOcVIrR%q%0h-z78lNaU|5S5UW3$gZ`{J2dLwdUNsn*#~%r;u*o_oG?=7ncwKY@RZ=5vkC z?Cob}1Ba2R=5oce{8Bba=g6`89JNozm)XJ~rgji4J+`;LY4i=Z z?DU<^?u3if(HlW-C0wY^MzDhCo_+eMb4$-WKcDUMqqW%B=(S#J-+&jt`LR*OrC&l7 z^LlLX|3a-$#lC^j3BD>4I%(m0ywogx=~FuakVORITCbH@x>bioP+rfij$K#?X6mz>Hos*+vlD%xyz6 z4?ghZllMJz?-P5_ju8r>wG(OrGi=adqt;j%-x!PDQXUq%DmxEbfQLmO6bLbT)#Rek zYO;N0H7{*l+IfU_vy-gdtb}%RtF)U!McK_QOSi3@^Ol1M+;viV78_JhqMdCXqE(kq z7ozBEcb86AN;hrSS$@6ieeF~iYqiJlo@Z8X(6na;-7 zvd;7nnTHA)qm9^(lDqy4_n{#dpbx(B2F5n4m87zR|+ zIV??-F&cRgUwLc5OW!2N=!%Yyta5UdiVH9EwZhqKKitoZjnGPU1S7N>ZfY(*`NYH6 zOyd6?^?$40x^K3U^bl;?Faa1peqYhS79Hk;|qZ(|B32SYJYoa9VB^R!Xf|XqE7rgA!8!1R)jPOnsMes?Dqg-&fw8!uiMV^&+oVWL?bfyJEp2)zlm`8b7IXO6pQ z%ewWZdvJKebq`iUDn>|B8WrMLX{K5jMm$rMZSrQIWM}$ZA(-h8YY)O)dU)FiUPnZubD}xzo@|tAs;(9)g|oBOAOae3%~_o7mcs+@8ZYf# ztnZoO(G`7wml|QLJnBu3+)5ZI=McJo97iAZb`oMiZ8Fw}k6IJjS+q&q6%(Tr)m<%!54+e&^=7KRSW0tcnBgNCpJ z?xiGc2iR|u);V4FeKBO3suXXpMyE&OpDN)rHM4bn{iRpi+dG~6j3zK|xe#s&o5V?L zVkP``WxC=tfn9FtBoc5?rC8lnS9dF1Rm4WbNP40WE-TDTQO(0Bvz@oCek21DJ5GTG z>H%4DBcdbhU?RISbOU;nlf_E70X@^i5!ZJ=e1HAk_hnn5dvcLm{&b;GzWFJ;5W1%D zl~8U4FGRcHMv)evz%iK{Ma)nRH;Im5VPRwFqKc%8{@7YibW1whPJD<|!Xc7vpowpVTCR`m3Vf5nD?O|_;M(akx^B`(*gi{E4yEM2JNdA@;*pW+Fr_ zu8iRAJ5C?Tp_U zvE4d~pdF_?q(*cA*1>Z1zS-QcbJ-(Ys$hFSDq}j2;|I@mvA?L}uWZNY;?-@OkGc=X z$5K4NGw4J{tdZidmAw){%XO&dJKsI>gmz?|DncA5sX}ICl}tS8M$`CeKVyh zoX_nvZtRTUQ>vA4%gl@~)^0@Fu_86Rsv60wDjKFLC4#Z?Z7WybDykPAR#R4vuScqd z(+J;he*2&po>tRVj>?Umn%l<8JB@8CQ6rRS#9bGK0-3I3?OLR%h7pNF4qJTTd0Q3# zj@UKfRFibpW~-}E!U8_KoqYABq1CTo=ke^J;5~#g4SZjR=9kMiZyK|~6m?MDk#gJW zvD)h5p_1VplM31E~dNdzx;cgBogt3i| zunmMQp{7RcsEVPY#4)r^-39tRAy9f&KM@=!7~OcqF1HNq4yrqK^vIdjkwa1y>!`<` zV>qbpunC52S7>1(+XxSJsBRhEZDLV)q$4=$TaGO}fQyC4sLwNGah63aJEjZxhNf9q zy!GUv9nup!MuFec6kqOCP%H0ou%D~+MPH0zLp<20QPbxNJ{Q5qd_}w;z^TofK3Y-; zCv| z^VsE3ExFRro+^hT?IbGFN+{BRUE@O@oZYnAs)nL9!d-wQw&bI5D?ohUqd)WMxd>#s zB84;Fb$Ue-(Z8X@I;1Szo-3S&o4)xOpd3moBBfrvZI*S@B`H!GcmE_BR7SB~TKNq@ z_ddMe3YIt{_UHLhO&@zY{YKDu-L2+)b=g*-kjojQmU6d^pui;t<})&OLQF z+Yc>dlV6Pd(eJ5_IMh#8FV3XMW&2FLKK!XA5V)$!hdCtvIBIxdgSHiztyk5Cfa z<{`tjBhbgbHW5jin8?9Vzs@~3!^~30<#(cPb z$mL36Vs>#uL3ROFn#r1JF*H;2q8?tvh77`C`^PFVDyxH<@$qHtjK#}Wba@w+j*xb^=0SB@-Sjm634QQ&>^T6jqWnADD($7 zF625}gs+uQr0wJn1C+wU0E_)0oo%MB6)9YLR=?m$Y7aucp|X1rGrUSpo#Nk^)rYW> zs#l*NbM^89ab2%bLP;vYnpX~I7u=+7iIF6xacVCZFi8yDa(IYxu|3e2_?)ttXegA6 zg+lt^0$xd4&nAo=A-C5WroAu}GDl3>4RxtQw=sh26-r?+G=Wnd7k1yk3ASL*B!P;f z@N>!uocB=`?|V*QG&{fHIRV}{G^7{uvKM#~EHG2GbQs}*?|dlJJEUVrceHVX>i0eI z5U%VB;`LEW5rr?Ob1QxyQOvwWshKI9ycyKY2(L7#hMG}}Dto&FjuGgMnWVg)-dS>9 z4`;8ex7E{DQuXR7*VW7WinX`R?u7SEDR(*Q_kcq#ox(xh2xqK~voA{vzz$`iF;6v& zd2R(7Uon_tU{<`U6b}X(`mxc!I>Wt;K>%MeZ?M`)S6qozykaWeNp?uCghMje-^0&Y zNN0+7Q~P;`k-Ri3hTGRCBe|!>JMIhkIG@*g)bN&Z^_a2WiZl?+u%Wu_L^rxyoDkIp zar@$qi$GfDq8hj|ZRbh36g@_rOW|GQCUDflJ*j%NzjF2R%H<$%T#2%FRE>BcK9Ub? z@}XYD?j^5L!U2^126BYi{-)oat%U2qB?dq(+@o|~eH+&V>HVn&ekRF`;2h4rI@P$9 zg(PyfH;{P5OYw3zEt!Z7KR04G*6{OAZt=~7?E~WGB@sIqVfQL=N4MQm343>O+j$^+ zVHP$odSM?Yu@=MEoN}%-Z)6JojZC1FS^WW~^-b0rjBf3NBcJl!^#nfC;R>W1&fKG~ zH&+g)?gUIN}kt5<0|OQO@PgDON+> zG>2@Gj_#EmZWxr-np;_kMK_7Mm}2N+luyK)B+I;&vKdS(QZ3xV4rcZd+b}LXmj)vy(HqbqS#_PB=Z9=Da5+Ds3s_ddErugNLr3GkqMhb73{E9u z_{u%#xg=t;m2jKR9D%L+Gd$D0p25j9F{^hL?fj(de1s?6^wy}0o%!2YCT7>`iKOb) z>mgS!f2_)EKeWO=81d*Sgz6Wex!>_IV~E$s_&cjH3hyG6 zb=u%bih}nG;kzOe%8l~ZbjsnYqJu@;AjE4<*N%Tv7C+Y`&p>KTsQX?=vi*Te-Q;u= zbQFHj)OVB*pQLth&LZ(ZHy;ah@y3b~b}#XYi?NDfCaky}&i|thO)6mkU|wP4Cl+L1 zWLrbxBV33)s;eprVSIEe(D)?FEmQH%i9gQj#;*-Y_(M33h<((=Uqn@7FQTyDuDUGo ziYu{-vEi;O4n}Vh3$IG(YH?Fv%u&1v+shjZG<>&@YNIH?kP6;*LF(a9wjPA{jzJAH{1s4g{~1EV+dm2hWZ60w_(W0S796ss7) zo2s}IzIRoYGP)zyxT{o}ulcYXKMx@t9bP{Nk;90swGswy##-^xbGSv|gIsSB*ux9; zlf+W594g5~mIWSq!xrM8YDTx&S`Occ*q9c3IM%Q5n5-KgxaBq6b53AKb0yq$&hFsK z@_U}V=fb6nZ}N9rn~86!=E5!2Tm@UItxul))aq@=?&Oa!HxhMU(NOn=&~mu3@<(A@ z_h982YDY!CqkAWmtAwiI#iH)zOrlyT4AlzGbH%iRpMxG8E~8tDR>Bb5coJ;x#>AM_ zL9ktcjkp_d9$dbI;Dx597fdX`ZEtTBv-;Daq=eDmKG}HjAWo3gYUV}^Z7oSvtWDij z%nbokadtaVV=N6dMl7*nR*-Ea8oCyShOT%|8xuntMf#P?o4e`;wb@E=BgX?E;%7^5 zUpbw-`J;5W*H4<})PwiDx6X%hB?3u3vB!xRj$Bm!s|Fz@;n6$$?wAr5u$|j>)@o zr|&wxHgDYNpddTSfpj`I{T2Y107^k-nsrVsZ(QBo)E;E=?np?IQ`a+DkE$H|=p@1Y z1wth=jR8q=r};L*IMw|m>5V`qxZzkzqIJUEDz6hSNg+u|aJjFPMC(LKQV=@HCn*W8 z)|Ha9RPRVh@(i@PZEF`HWDG6@Rm6CJ^B&iBX zDoIL$`*Niu{Q*fTLJ}Okj=NaxPew6{aZRq2q&*$N`O_nUwDoyDb}qC=Dzs%j&K z`r&e|jN+ge+nv{Xog3ZW%|`*a>N75es@jQxpJvu#ln2GwX}#8IjYJIGwK*sTs@7s) znY(M2xz#}_wtKI2dn1tow@eBtlzp;hE5s{qy+0@f7IwG=yf=a#X%7(sH5(!L^X0lf zR`wHO6lSqACf*W9vp083d?CifpqPoDZ_` z>1f2r@!CvyX8RkjQ#u&)aul2#jYQ?(O_@>-9g2B5@=lIcqH^#?Oeu#B#Jn6uCr2ky zId~1GltYJMUXHSpqnD^0ymwN{p@T3lN7c#EO;iqEHYw%MA()q=;^gQjDhF?tlyc|* z%*(Oj?A4&@2!+_=-|uBfi1(vjdi_~oZU`T5Z+cP1epfP z@MmsK`}K*p8eWoSBT<)%Pg12MKtoBIH`dP^oiv6>(rWQAB#t89^kK*-CW+oi#pyez z6E8_CQAr9hNsLOYB;yN9N7c-aFJ^+Sv3vcc)6vcEZ|Cx%6qD>0)U36^?WtDhg#|4~ zFO);gy4#J{y6Bjj-{8gMX_z_ImOW%fg%Wgt?k6Dk)1r z$kI<#7GCHlWzkW9lqD}@!Oda`>V-G?Nm+CxAY~~ES#}bYg%|fpS#&fYWho0;wiA_w z_vcAjbVML!sR~(|;m;0^*miUJe4~wCXLM8`WvK{R8i~ph#bnWuftMu%|6=w4@Kx2I z<@VL?_x8;%Epoh;PxR0ne&DZB$N5BVf=hWKCr>9)d3Zsclm|aW33>ENL&{Td^7Imw zhu6YMd3KaMabJ_UJMZM_CMpjvDU9SlQXbTX{)+pY%-v-t z4U^GLXxc`~B_gxP>^sXlu-lSeg^Z)vDkozZ3A=4E%Njzr(=qH1N9&{B8rk$H4D3@KFQbW8nJ?eA2)V8u%dt*&f#Wg9iSP zfq%-tA2#qu4E#|8f6TxS8~70ef84-7ZQw@@{0Rep(!ifG@Xr|d(*{0e;28s-Ht-n( z&l>nK13zxyvj#qA;3o`ZKe2u6C&HHvWPcH7e-X032-#nRUonvVMqKsVL0)NhqSk)q zspr&&8R+BRfd5!Y3GmB+6+k|e%HV?vZ)Ieo49q5bDx-de2MPWuBcy0FLUu)JqV<^F z#0Qq)kR?CClGyxBK>n$IvblsQ)ok^GABa*n$?Rp0#0Zehpjf}E*eRA}SIQ*Y zvdN}a6x&o2u7_`BLEzsMUBo{!obLr>fsX;Q-5)Tp56Fst6Oj7;9l*%I)I_ts{=P@m z8XP3m4)U=a^1)j1&5iYmN*Po;l|jg2IU-UyoE1M&d-l22XJ44dFVNWsCTJF9V88JJ zDw_R6`92RA0e->2zX+I`%+=2DfD@AZn`8t3CNe1a?GKGApXvI{v%}!;zOy}b08xa1fMv%G;g#L`evk{Sjq*Hj} zs+-2w4%@z*q>1riX410B%!l&^vM@eO$cH%seP8pW1_=BcqbmLZIN0Q01Jt_09tM*9 zQ;lQ>8#$>Oi7!vRt6^Wz)zE?oQyKh}jL)W^e*iLzSJ@Nqe)xX;4lz*vsnY3%&!tnT zV=R3_|4y;WHTm?iFWUT>d!Kx~e*gPL8EJ}e20RhqCjdWfc-QSS8TE6&rbsmo$VQ#e z;s1hKU(dCQw4J>At$F2j+hq!&$}lYL!KZfpWu^xg7{1BiB{|hDu|ryIYOEw zr>hP3L?`wHn@f&m1Nj8K?aS_oUhE0h!6zscr$CMuKJgj%L^t*X>mVoPCuAMJ4!G%_ z=nr{<(<KXh(GjjN+I)YtAaR^z-IlznV zk!aW>oW}VGS@{Uf_!aj^A^r%}#7D?_);!8bF1bhY@kgj9%Sy;c87y3Oj}(VJLKX@} z$VaHCEAEl1e z#5Z5ft;}F0|DFsp{MDXdY^g%n92P>Dn%f?3jVelGchc&}N%#>y!Leb^SO8PCGM}~n zN9Jd6dvO>PQJ<`k5LSVXbuFi2NaoWS#si1=gPm9ESGL6XQ&Mw8un@x3M3~P~NG}1Z z@tY~(CNozFqi@Eue4H>fU%)kbCu+|;*J_=8{^`zYWCJZV2Hy`B`kwb1$VX^Wq|@`g z379%K_^^sJEHhyQK2_gAUN3l}Cc~0323!nFC=_984qv_x^9!mcehnP#0h%$PdIFc< zK~>n92*Viqk*B~%nF-kzK1ZmY%i;IfhK%qm)kwF-$%E=7Wakjlun6gBSTL=bBc70S znm`0d6X7`fG$6;$X8_6fc|bl#lcFGB0Zh$w38P>@%l-r!)EjPiK9V0LE z*zJmEme&-Wuy?S5%D)V|{{vu)45Y@1Q|xU(iuD>`>d=D^Jo)5(58eC39zJ#i&Oa54 zkedQAh*}2xB|r*Bm>OTH1eW%_AhHU=R0exVtH+SwpDK&wWgvtR;GYAeB)^wg74Q z7XYb?iw0*uk=_6#AKOgGHZKFxMp!@FPW*KPcMYU2NT)8Sv$p`rPo1#-F9EW=ubO;9 z*7uhTZsBhLUj|+4tn770JNPbS(hdky85}I}I-?zs%sL5KCw0a)(o2y}ov}{pjF37b zosfLg86kB>I^i-Pbw=5#Gd@S1k?$422$1$d{8hkZzy=_nZvj${pEY#qk~nq9a;Q5# z_lCi#L+0NATn41>nExe%Q)eufa2b#~BTmTjehV-)UiCVoolzOIGs0AQ8^4DD$iG`@ zW~43~$f~F!;-3VhS*-)IJ6|^RO+Z#j6_Eal!7l;wd8&e{x?<>53Gt?ZJAizyV<4N( z=V&%;`gK4y<%WSYBhvpoAnW}FL;s4w30XePhJ1vn@rqXg&E~s7q}dRr(mP%SOSBqN zSu`PwVv{2SDT*CAQ~^b&3Ybr;Afze?F9DLDDj-f35K;vctzmGgf_zj3>toX*K-;um z1WtZJ=Cg^+w@v+JgA*cz@J(fJvk~lV!c@BLn|h4BP3p3N)Vl3mLekk((%IC!fNU~F zW|Nlz*;GO{l`65l-7q+t$mi)mmjT&SLiR4(tY3bcd(a9rAUNq3Y*L#38`f^eHoBVC*2x4n@pU&Og=W9@G>CF zW78>m1CV@ddIZR(6WXS;m&yMnKt9i=F9Wj4k%7!ty_(C_a#e(*m0I(er~K}gTFv|f z9$*g>B8VsjK?GaJOu|&UD|Eo_Cv{msww-knvKy!a;)K)@bwOFF3$~FuAhg}jwzJK& za6ZSjGoNiI&bG5$4tAEq?k8mTlTIBFrw+(ZxD5CkfT{7wH;vu<9uV2RgsF7TH%;yO zkjf$mDf$_}Wk9;%)Of)c#X&+QYKbtF?)akAI*in1lgrk9kX++=Uj(K3HV`QdVJhA7 zMJQ=Vr8I;QAd4W*2?T+FFN)HTiPGqz_=7b-_@|^Hbr}dDi+CL{m5D0Ve9-aq+bo0- z`$TUO&^~QA2~kiX_8Q`&CYvyIkUz0~qIP1*oC*X<*&y4)+LUVM@KYoEu0A)w$pD_5 zgq)I78CjreC9`P}-v<~0eu!+dB}AL7oi}3#tz{{!UzIi)GN|(G%>OtTX%64d^056N z-d*P22hzU*ov%^fYv5xBzTZH~!~72z$nuD@JWh`sA;h;0+%b@rO!`+1{L2RZ9v~J9 zIJXeA=f^>2dk9lG+*UGVjjdh-k%1$|!aoh1Hu`x$w(|=H=Q@Y&wKq2aQ?IiA8tBVF z*iOPH03!p5e-=2c>`eoI4lt#+r1Z51Kxe49zm5zxkOeFQvcvcwA?XaA2pQ54{;Gk$ zX5eoc_&b2Q0{_laN%}XCv5dU;0kU9L#DZx5g!CbVT*(ps3Seq73f|sLP}0AJ4624* zSq5ZRu|PJJI13{DJ;2mtVX%O|jST9THbU3~WPyD^+5r2SkdmY(^FaZsDSrnUtkD+m z8t`S{EQpW=Q34i3s0%6v1x>Rlf7d*~rce@Eqa-_kl#msX&Zd&Ce8*&Y zu;4!gLj(pZA!GtV$8SwiJ zWCg@oa0JMLDKUGMkmDycSqUmoy8Zj+0TxJ!SP&r#Vhe09vmi>U3c|NF_p6W4LEzsQ zmHQ732Q4(EIK+!?rT%}69IBOrhh^JA^a0>(6#Fp(+j|2I) zfOJyst4+(mlF0Dy$S}df5$;5aDuB{6|G%hwT3wjW-eUfLRr$0GpU=?13h>`lKJ6gP zx6l7~mCs)H`HWK7$1MMADxZBE=Cdc6pPJx5_NdQi{nRP*52$?hpU-Fc>@DVxseJZQ zm~WpSSNZH4pU?8G{?g1(%Up)5-)~BvV6JlQ;;;c@dJa`qKShS=qKXzoq(TWfACYBR zNpQb9bqkb#W3(b3#$f&o^E1VYc)`Hz1(SVHW#{ABizfS!$}YsSkC^OPl^w;i-(j+| zDmxd?K5DXaD!WvTiMC|2=T!DeJo^@tJ+HFMB3qpod;)s;fN4J=8%oF)5mIzQ%1B6I z2w5v3i%0Y*&$zHhIGIwNEDjrWGMhchnT^@3C6&R?UilL;eA;HSa&(5w=F^;I_%vlo zWy&&}PupyYiWo~~^XXqPPqPI$OSw;kOq=;QIBz#FHP_#VlW$?31?Sfph_^8NaMIFQ zCOHXHbG>~yS?0$KCt+%?wGSuddc*oTv~lY_M@PK2L1|Ca4rKzkIJsDthlGuORpaWnQrxi1`?-Z{v;q>`vpLp^~o39YqfE3}a?bEK zoCaTDe+GG!fDo^nBft1fvr{%frcFK%7#Whp96UrP!B73XqTg878Yfb=mD;4UEZ`8+mS zT%q%<_sa^=4BQhI&ewKI)xkhjn4lVvf67!r2-AzZ zl3oRJ?8EHL|r z@EDI=FcPPQ{{SFOm5?}lmXLi!_@f5?n1SpwivJNnmP2s~ZJ&J}_%d*ATd~|Pn|z8z zJ_h)NR39PLmC6(g!lBS@_d$*T->JMTnBj>kb+QZvePfT1;|n9-HaOYbaJdr36#mj z2kmzwn}hbd)YCaJg=qu(YgAE6%kNNU`V8fATKA2Z3s>J<}QSbVbQXkh+tvye<# zs*M&wt+Fmc>O_f^?)Uo6)XBxBvodl;fObf8_X_z7iQ`2RwJU3md-eML@(R1QTS&SdHq>1ch17W%{n{8k= zW&9K%9k!H_ZTLm=xOVt0nXg`KFrRAtIaAJWvYhd3Ha#$%0c5 z#FRkD1%YS-v*{$M1_oCcxjAo79-nv#Ni|#lqfTF1}}qP0GIuGPePg`te;G2b&Mb)!8~`v4_}plo0pd zuYpXJ5K{TE0P%5YpCf(FphJSp?gsiz?y!y>r0$r}R%tU^ZcD z4!`g@>{x&jnte^76=x=jDt_HbnJy!nrc9@nO5><^Fr-PPq$+p363Rd#huX*aD88tV ziO7`*MI=nkJp91k!;fy_`%_!~Ec=LgteuffWFXxX=UPI}&4i322)QaDza1ci#JPT8 zJ|S@~@`w{6@)i@GGDvm+*(`j&Vk_qSl%&``3o;wXof>v4ag-*!ql!VPVY2-MAeHq~ z2LEZYPgi2@Sos-7czMIR45(NUs(FXXiOM<>l{>@x6oRAmO2!{UNi5+<4J3|rn{aH( zUj8h|l#vkGq6x}Ku7b=K5@JJB7_NGndSX`+rWPvuj}l5vpGkvL%>E0Eg*njpr$!1h zS>c;35nrFhc2K_uWUhU_TW1S#)$I8k$Puz>(=3HJ;htj8i|$t9b6B!tKB2NJB72TQ zfZmavL2pY)Z%g>Jf%LM(>17G&WeHy}kY1L$<&3oqXv_Pe!RcMePsn_BD{(^1^|4Op z(-AUgMbJz^Og+>t=Qlq5BD3e3-JyH<$}%h`r#dQ!IQlj(3gpfzTd@pC>*ZdypD>lB zehy@gB$mQ@*~rvb7@lx%feIkR#9z$0#f0juFM!O^#=#x|eg=>Nkxnle>-+bB%)X}$ z;9I?+R{yKWqckjv@GmOf#=u52yVq9tVe*Xm5yrg7KwAd1W+)oZY?5g>A23j}twchF zWZOhG+y6WuzP8k>ovQQKWT6Wo3oC?{g+Z(F_Ysh(5<41M1=)GSMx~h2gsjRN z{xaBTFqCZ>kbxLw*RmDG;8W}`8+Jl!fb0>VTELI5gsZKWkjbjagt1YIoS~_Pkjw^f zY($Q&A|zDWq$F$zwMa-w38_Vv&XovpE%AyN5z3p`Y(kul^ueDRbsY2&GB_zx7UFQY zq7WtOS3qVRmkqlrER&N9JDSaxOeutzVukWlWxohAb^VHgY!Q~I;WTaMQg$yS!P`M= znd+Sg$y7WoGXi8#LP%RD{dWMdRU)PYRWm2R~Cd?42Up!XAzQ=}BOu z!U~mIe+y(P>?c)rPQJ;Y_5C);tdGWuR#d!kssThYpElWYg~YmdkjBi~300FAY9LQYNNbckAlT02wyOP5V885bu6QF3yyG5b?2AybZTy-~-s z^fq7w$k>xTMmnrh?wzt(ziZg^xT#8|uqm7_SelwPXo_J>d3>QHCMx2~Kx zKaE_r`3K0AZCrfw{Hx7o^XGfLM%Tu;s^LF`dxK0IsY0tkF=UEyjVMP_LFCu&-L)Bzr4)nz^#_`ukXX^ z4uc-J&9eRtvc4lOssAM`h|aM?$@fod&2Vmt>L`x7p1^^((?Z8;k@owpTHYg z-oG=P*ZndRc=ML`?+)j6zn%o%g5~|g;k@n_j=)>Aynk;vulp4u@Rls^-zV?Uc&~|H z3Ig-8W&Q`lnf;IXfcbXI{11mS``_CE^VeDCe>9vqaz9}K=CWn}$HSTZuhxLMVwwNR zaAyC*GGMM+=6^bz+5h$nm{%SCq175-(ra!Q1IsXkg2gbhp(G3*3M%E93 z>)#G#6=y6DoU*L{ZYZm`!QsFimi6E7!|JY7IB?ps{s*!Sw94O5aNrv(=YJf|>FxnJ z@V{El|1^}--??$%PRse9$vL1Y_oD|;(p{GIzYJ%!zf1tuZ?vrcbvUd2@c^)XlV$yH z!&&Y9{$Rb^vi|qsto90iu+}W=*M_s&Tk^sBzgbpw>U2;8_9A<*{xQpXU}C7U>|OI< zty@-g7Im;xdmTJj@3E}vSn43Fy|Epv|GQ;XCsc>bKK3ee@ZM{A)om1myzbg_@P4!9 zRcBiVdELe2;JwfCsynp?c_D(n#2mceVtLh(*oC+^cZXvSe5++t2V;l1eR~5pO1IgOFh5|K)tT8rW`Bn@m>;yv>ICf|v%h2-%OAcrv%duz%U8ZO zv%jVp%nw;+b=G!}+25lK=7%k_I(a+D>@PY7^COm7ox>fpHG2~=SpN^psxF8dWVM$B zgZ2Nktm>TYAgjH<7p#BWvZ^z;gRJ&yU9kRNmQ|g<9b~n)-h%c2wyf$b?jWnZkQS_e r!m_G!xr40su2``CNz1Cv=nk^lYh1zl|5#Ra_6W`)Rlog1C&&L^@7ylr literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_rtl.vdb new file mode 100644 index 0000000000000000000000000000000000000000..4d0f7d5e344627d3e12626b447fa0be6c46e46b1 GIT binary patch literal 147034 zcmeFa37l5Nl{Q}03*F6P(}02~@b;qiqER5mmE6SE~rTduv~mQ7n!b<+87y5N*+wyeJ3%;l$_zij2TTTTX6 zuUfwPjx9HB3e(5@zpHkRwk_#7sJnl7YTvvQ4(^#fO@o=U7xYY9cwpbb(-%#pKXcmU!06bz(PO6_+S5D;wEvh={{6}1H%E?vktU&IpklZmvvP;L-?VP;)^rdGu&p2cGvP<-uGgd4=^ORGUuV^km%JJXRf7ch3v0lnb@sqPyJj4tKoM9Z$I9ad$lGj>qh{ zrOL04&dIpU6ksYa4cHIp2Brf&K(vhP=$r|WPhx-I0AMyS2RIOz3v`B-(Ud*ykV0q~ zFQkb=+F3|D3Tam%Z7rnjUhm+*oG=R#V~;sRW~VUo6JrlOM9E~{^*l0K1VWqvp|eBP3>lFj zlV;e^khe#f1~8&zG?lbPQ%PGim9#}uNn13Pv_(r&QDj1- z-SJrbc?K`<3Q&m54xyf&sH!-H$XpWYX^E-|SBT6!p`Mzks;FU%Wzd~`xpc2yLgs8E$%6~zZF zRApC1@%al?*;P?27D82aRTN8$P?cR3C54DLFLvcB5#ni3b{?+`Lq=xE^cnIN81gO| z(h!EUm7!!u+uga*W+{v(;7_`iYqVKLg^?RTP$g{yRnkWAq7+XXL6x)-Oj37|J0bE8;~M;V1Ec!9 z3Xyj%+*OIoIhW=E!d;oToR1;WQsJa{6uBBAjgGIuAGu(W$00H|gp@0Goak~qL}rta za>8*;Q~npYep#QWN6qp^1ztY7~?_`0Cu*ykOb#GnVg-O|~8PqcU+s zba?Tc|C(CRdH3kVU9OyV-aS^6w~d$l_L{u4Chw}rJ8JUInmkdH$7}LvO&+Vs+eT~m zSChBakZyaU(^ z+yU$Z?gZ`v?grioybE|Y@E+j3!25vr10MiB2z&^zraW|Xei-6MfR6$n13nIX0{A5G zDF8KXOlv2k5MC~SJ4f$DH-0a=iF?smZTIQzxR>*G-HUGPpXQmto0Lol%4D>m?lG}$&hI>WSR__CPSvlkZCg1G=2ZVqS482 zlW8(!nhcpHL#D})X)7Kwc|Tx%yBZ>^g|NJ9u)dWI zkrhT*+6LCQxgoMD3CkP+>s#OuS;>TDK7sY^afqyb(ow6uzI6_f6;oKX*SFOnvdRjp z_WG7P#68BUy}lg}@m6Ei-tDec4e>T()n4Dmhj_cOYOincLwtv^YOinqL)=T2V-L00 z_XZ)}VXWHg`-Twr8LRgCo+3mxPLi_P>-&um*=z}`_WE8VL^f!`ioHdj5+YkVA;sLH zhY68Qppas12i8s2*qArOca!8;N{sEmI*KFNXbLH|cAV(?q7d1d3Mr;`oalO{5Z`B{ zSXvtqzTZeOv^FCAfRSovF(PD(E!R{#ixHtT7YQSe2A!r`pqoBE z(bSG(b#wWOQ_hWx?rWSF+wY5Y)4mqB;J+T%$7ojm7IVER$IqWtn}@YxtDp@NVhqgW z{D@;z*XnqzI=0R>#x=^ev`&dwB?qPfn0V?^_eH|nQnyMvC+ftR=#|_Ru@ntmXSxq`-s@^|3`O0g_`pY%_H_NM zxP8)G^zPkCL(*2kv>zO)BR;idJ zw`@NJ&oKloW!t>e+TGyz!pPP}A8fuiuQwW04_hT5Sa(U9g(>F^g?9b2zPkm z@)|~n%roIe66eQF%uC^h6X(Z2%wyq($kp0!X17eBC#2kKZAQ;WDx}d#rIMsXtQwm`p_L^BQKPKo#-`II3xCxT!b6Lq%q4_ecq!%L`qwQl zY~5K-&v(`29W{ApO`fR9<28A-CXdzRZMEf$?KOF8P2N?LchuyaHF=^YkJse?U0x}l zzaLOXPB+}Zp0Xb_Q})AT%6?$X?&|;N%PZ}ZPD4v@I&cPXCh#cW(SYw?k38xuXdVMR z7I+-+c;E@Z6M-iIPX?X>JQa8v@O0oAz)Ik3;2dBTa4zsn;5^`2z-r)p-~!-6;Mu@) zfae0w1D+4O0C*wrBH+cqOMrg>UJASncsXzp@Cx9Sz^i~)1Fr$r02c!`w!kvYB@ovF zmjbT^UI$zTtOG6w>dQ0-LI-WJOryGDnMQTRGL7nrWg68L%QUL9mX{X{swU9A=6~YG#N5Y zhD?*8rkUG)>`X!3$*~I4WXLobGEIg|lOfY&$TS%;O@^ALAKsh^btlI-Op_tgWXLob zGEIg|lOfY&$TS&hntoXHD5yI*He#9#nI=P~$&hI>WSR__CPSvlP}B6osYgTI$uSkv zWXLobGEIg|lOfY&$TS%;O@@*tmUUeJ6yjMRA_rs2`ra|b#~8~r(DwPhGQ`Ik%PWEP zJ!goltlI1Q<`AE1tlH~)>JXo1tlI1Q?GT@CtlH~)@erS3tlI1Q^bpwu z$~~*SzK0Kyjiaz?ukY_eWV0zlX0^9mE@Xo$tlGQHZEb|erdC+Bw_Gk{BP^`iTP_!} zc@|dfEtd<~a0{#Umdk}~(uGxf%jH5g_QI;Y<#Hjrfyh~a+FLFcvV#y-?JbuJ*>wo3 z_Lj?q&oNf*EtdY_PKYlyR_*m8pAgweO3G@l9|wiV?o(K`*N=)qWXCG3*jtQ|LS&CCq?lV zVr^}?kbQLIL`{sXEf>DRNU^mx-F&5yVrp%=`6?sD(%N+M)kcb;wdv+-j8r>|63=}t`YET55Gtu7L?=?gA|>w7?lweT zNfrdZPBPznh`hcm2r6Tigvh%R<{K%FEntz~eX&|P7QkQj&|<{-f3rs5`%IbR)#lK?UnK8)Ldw4HqwIS-%D#W2?0YoIz7M19 zdo9YopQ7x0Cd$4qqU?Jg%D%s$?0Xo>zE7d-dlAaM-=OS!3d+83pzM2t|EY6io6z~i z;ADfX6Pe<==@;&3Sr zmEuS#4wPbdZ+TaR*gTmJ&aZQZ$nqDDhk6knH8?-}Ww~r2*9VT_!gP+G&9dCKk(;aM z^lCVy;ZZ4$pRKZ-i+Ae*dd`6w&eO1=;UO9hYIwMYM-&cg*?LG^WqofEBHI;-OG^>g_Z=a! z#gVwm`ko|2wnY+`xrMmCUkQ<|l*Com_c9@}{gSxMam4j~PKa#TB(Ac)2MUpGp2X!- zLtNhy=_OCaL3UiL}f(?wb>B+1G%b_ zh4TbE3W>?8gqVf%1bYyPsifO-#a^|=WMxFm!g+#yj>J^bZO3BA+G4UgBc^j%#`{wi zQ^{gB#O_P(mJI@87PBGtYjRB?-5B-+oJ-xY2-!OMF)TS=>9~r^V_0%LpYhlddUslK zw2d5Fy3mq0-^ek?m}cVg<{LTc$fY#%<{LTcm?m52(2_Ub$np7+V~grH-^j64Fiqk7 z<{L>W8IjF+Xl>-3Ig%`kB-uWP*1nQtA!o9Q>@7(uNv34`OOi^GVo2*?Nm9vcO8?Y4 zT#~GmB-v4f)}fMQZD+EH94SdE8I#>qXdNg?wlO5x?}XOwzG{1tnZU-2$tJQAH5T$1b_NJ^L4I#iPEcbIG< zM@o`Pk}27NlBAMkGNrq>$RR38$|I{baC@MYcR56jacCpY*e0J351;dQG|xN#dYy04 z$-6lXO>;3`dEj%dt`i3bUE>i$*NOdgMQ>fvS64LZitf5%pucvNk-B22t{AQ>2J4Fc zx}vwP=&LIlbwzhwG02J4Fcx}vwP=&LIlbwzhwG0<1P)4F1)t{AQ> z2J4Fcx}vwP=&LIlbwzhwG0>>rXfCT`^Qw4A&Kdbwz(&(OXya)fJ7p zqPwmb7_Q%GT`^Qw4A&Kdbwz(&(OXya)fJ7pqPwmb7_8rET`^Qw4A&Kdbwz(&(OXya z)fJ7pqPwmb=&#>tT`^Qw4A&Kdbwz(&(OXya)fJ7pqPwmb=&j#rT`^Qw4A&Kdbwz(& z(OXya)fJ7pqPwmb=&RppT`^Qw4A&Kdbwz(&(OXya)fJ7pqPwmbXw>htt{AE-hU<#K zx}v|X=&dXI>WW5P(Op*zbl2~+t{AE-hU<#Kx}v|X=&dXI>WW5P(OoLA9=@&g`PyES zx7OrcHF-x(-dU3;YVvqZ9<9k^HF?|i+Wpn!tu=X9P2N$Hch=;Inmk^UM{Dv}P2RS( zc7HW_Yfau&lXukQoi%x)CXd(T(V9F~leg`v-Cs@KT9bFxTM7v?h<$ zFNd=C#G&kcZzy|j8_M3lhO+mlq3l*VDf<;y%6^TN zvR_rD?AK8#`;}A5eyxT1 zth6+-+#OyC=2gI}f!6?QfQx}kfVIG-z-xim0ha;mfXji`1OEtI0jvkE1g-+!0Biu> z2wV+Z18fA|1pE`Q3D^u=3tR`h8Mq#}0k{#^0*nG^_;=txfd2%34*UZ6CGacY z*T8Rp-vYk_eh>Ts_#^Nq;2r?4s9~=Hi^xDL&<3;vlYkDO6X*ga15<#hz%*b#pc|MD z^Z+w}nZPVyf8YRMHZTV`5SR-b1RM;^1Lgw@fCkVD^a1_A0B{I!C~z1s2n+$kzzA?S za0Kum;7H&oU?IRS3N8j73>*zS1ULpb7B~(#9ykFw5m*8|6nGfW1eO912TlS`22KGU z0Xz~|1}q0w0H*?{0jC3J0A~V^0v-*V1w00LEbuts@xT*+Cjw6bo(wz%cq;HT;OW3K zfR(`6z&XGw;9TIDzx$vJVyLG0^Oa)w1fuYEa(nwS+$zI$8eXp9>oxpG4X@B}y@ppJ#Okx(5q}xe z zrr%+|h-q@0ooO;;nhcpHL#D})X))4VvRn;R~yZXfi70;@qV4ryeH7b`hAFN zjOML_E>`pL2}Pr6A?RXlAKw--ni&DQSn0>-g^Xr?fiBkl@!~OQdH|^1#V!CoGGw&c zUF-?q^94q$-Ng<8zCmEL+Fk4y;PrT;)$U^V0I!@It#%iC33y4{Xtlf8SqPDX05MGM zF7_Eh?*nqY8}!O`agTUysNKabM~EB}39WV)dmbTjv?R3J zJ>qvBLi{JA)$U?HB*aZdtKG%!NQj$_R=bP6k`S*oTJ0`&PC~rSXtlf8M+x!Gr0I{M zb{D%UAzp8^+Fk6igm{C|YIm{Y65@?UtKG%^ONd*HR=Y?1E=Y(RR?4lZ-NoKah#YYW zt#%hXH6d~^Dzw^N?AwIMajJOQsNKabPKX@B3axe*dpaR!GF7}>6_?U~)YIm`JhR?Yet#%i?X(4jjCby<`7kg{?q>IsNcd^qJA}4ziTkS6P-9qF% zP(0ey?qU}%L{1TfR=bNmxez&f6k6>rcIZOn#8POryV$S8w^EE&yGQ)4S%{pDN^G^e z*vku%GgYD0?qX*zL{4IbR=bORz7RRT6<sFhtIZg;u+Z9l;Pe zVHR5LF7^jQ4wY%6Y43X1pq1EnU?=VEpz=c-3NBj<6h@6}Yt#%jtig>+(G`$ej z?qZh_?^qbEb{Bh&c+tXWwY%7X#M>4|tKG$ZWQbojTJ0`&C-EwT(Q0?GR~h10jaIvh zoy!ovX0+N}>|=)bb<*^&RJ%v~ZeobvFk0;{_BccQrqOD5vEv!yw~SW1i~Y|KziqVI zUF?R2$Yl|^HMP6g8x4^wCPJ&-#ZGC6Tuc#K?Jo9BL*zP(c#f*w#V%@yT!Il=?H=%3 zmmzX>MrgHrq@=lUBedE*RMI~%TJ0V#X)gCjY_)r^q<>_z+TCB$KQ>zJ?k#DqABj(_ z+TB;uTv`%Z?QWDbSDl1bySq!83sOR>-NpWHh+L}@TJ0Y3yR4Wc8m)F0d%u`-7_D{} zJHZ&58?AO1`@$GplMeW!cK4Up=8~DvYIko*b2Uw9wY#sRe{Hnd-6-kb7_D{}yUXZ= zj8?k`{FW}-YNOTek&^zs(Q5ZlN&kU##2>YLxTODRwAwvb(tk2q?d~t>dyH1QdrLZC zW-QlMyZcHy8m)FWO1i~pwY$5dTa8w`i+yi6Sd(tac8~boXLwi}t#%iC;&8DxTJ0`& z$V2QfTJ0|O%keV=MyuV$?sULB3F=wR=bOx zeEh(J(Q0?Gua94bAl;VjE_V4tM^G-e)63ZubbQ_HK6`6d`gWNLaPk`*Yw$5o6U}@7)n1H;*K)+UtEiLgcoRuxhXO z{D3>Wv1+gP0|}8^QL!uA>%Brkpf}k{T^f0Uhh|f@Ar_Mn(g&oHX(9TR9Lmw``qCBJ;tiN-UA2U?=e>G_5L{cevh$g zulLTu_j`<0d%dp?zTac4+Uq@c@ckZR)n4z%6C$^AN`v!%0j&Z<17S1KcNP_-bI2Riu_u;>Vv&I;?eg7>SdQ-@C#A^R79Qs-aBbnvDg+osaVI+^U z_ZYU&jgfW+P8gl;)w=z5?HF(J&AQX?$CtD9<-5uFw9FKILIz`gY+d8BIL0`tD& zI>tDvI>tDmI<^nf{4GAC(>3|}Hh6>qHv(ILQD6)h2ety+fbGEK>SGlb$(`88Dpb;s zRj72YpVm?CK7M29etkVTeW7QT%S7yT;s)iLIyd9MWhu5f$-DweWh{fS!)eUhQXI=} zY;Ka_H*?;a7|VR@Yg!y->``D#)8gz*aqRm>*v+&!%8cuy#73quyHcF|jUZ;a+`*2- zsuJB_KhH3oi@p}YTpQ=j$DdYej|uFrulYBGrwQW>oFI| z?G`S-Urmnr%`_!Ms`k|<8OFIMX?(g^sv{Fv29_dAq-`BV3c=ABUc z)V(zYR)+ri>dbcUB;JiQBNOg;+#Qd)ZmIOQYZWX%v7v@riXXwBZ}8sy zce`k%Fkeqhu9LJ~n6D)!*GcAxFkekfu9K2ayv|n=V^0P9W{mSu{Hg9BJuhsT8B^VX zG0a|xQ{90v%yeO@J1~ZiLKwLN*D8Dr1a+*X-$dwJ1#(P@wJmY^s|)0Kea8DX!r*?y zj2!Qp+_!|wtpYjP$}|&KwhFus<9$csm8}BLXS{U1vQ?mtT#8q=3e>Sx_%74TUtNe_ zge>iX5a_&fyU;PXdKc_r9;5!$Mqw`PnO+pv$e?Vb-A#E+BU4`_w z{~3n=Z+PWbEspy|ugOz>gNO86;CI09fjT&@n-MKkWe#O2BXuK?rbBraE#5Lpx=uQxk!x#D1V*|-A|mw#XY`?tpJ zpE&Pk%pxUe&Ptqj7nY*N>&;A@cl%`#lz1}|=iPT%G==LSS5`)BtB~c-R!XjQ_>atE zgTYA2w%VkT1zTcDzSSm;Eb&50#?>Z`tO`O(&ebN3tRq57*3~ABtUN+W-qj|JtW`ov z=G7*RtZqU|?$v&;gY{5I$-dergIGa@l>Bp@*Tu*+>eyi%jL>&pquoq1ak=v%#|&eh-oG+cV6W9 zY#Fbg@p9)CT1c`~kn9uEeKLq7%M(*gr2AwLN72j@##|sVd-F$lNYXaOl)Pzmp9~^N zCCL=ieKLq7l}z>!N!EQbh$PKpOvxVq$sm$cGUkB9be{|&NfQ_|WrF);5J@UYrkL)N zK_sapDL14&3|70Km0vUwHM)Y_^LI5GOU5r=ciFmyf7MT#G%v(O$_9~N%*jn4rQGOI z%FP_5+^|u~O&O)!cu~sD6{XxjQOZpcrQ8Vd%{;$jN7?VuQTDrXl>I&&Wxw-A+3&Sc z_PcA8{eBu{zk^2E@0n5dyJVF8z8Gb{6GqwZeNpziU6lR)7G=MqMcMCRQTDr5l>I&x zWxq2;+3!VB_PbA%{eBZ=zr#e??;HL6rUe4`sjOL)q`~ zQ1-hzl>I&qWxsPn+3(d*_PaBb{eBE(zXLC6xXC z2xY$`LfP+uQ1-hXl>I&jWxumQ+3#gg_PZC9{eA^yze7RU?@3VJhDqiH)sJ}N{%W${ zY2f*OZ-KJkO`z=e4=DQ`1Im7nfU@5epzQYnDEpOv%6_e%vR~b&?AP-t`xSi3e$Ae; zU!|w)*X1eum3Yd2?frk>G!B!eoP}QPF~DPi#{rKAo&Y=%coOhr;3>dU0Y6o0J^@`< z^NINLG%!yGo&l@`&IZl_RsrV%&jii`o&~H1&Ic|4E(D$pJO_9#@I2u8zzcvE0xtqy z47>#R2jHc^%Yc^y7XhyTUJ1Mkcs1}EU=46Fa0##$xD$Hd@Ot1Mfh&OZ zz?HyNz#D)Kz#D<9fop(`z?*=70yY7gfop;5fHwoz12+IS0$YGlUc6|gBT-tfE);_bjYfW5#Sz&_wk;4Yy4%R;}# z#01*nmxWYU{IZbhieDB|UGd98sw;k3NOi?83#qR7Wuc_Immv=}sd?+12)-K!4Bw;S zdo_HYhVR$#0~&r%!w(_E&?OI?u(b_UCnu0hlOfY&$TS%;O@>U9A=6~YG#P4|dH975 zaZsI{crs0fOp_tgWXLobGEIg|lOfY&sA=Xw9JbLxb#lVWG#N5YhD?(o(`3jr88S_V zOp~FenTLYddU9A=6~YG#N5YhMHy`P-4p-R3|6MOp_tgWXLob zGEIg|lOfY&$TS&hnt51?jeby_oLDnWhD?(o(`3jr88S_VOp_tgWTU9A=6~YG#N5YhD?*8rkRJxa4GBd~Dxk!9iI+1%Ts&v0^Ja>>@7v1c@s-g6_LuR~jq%hR(cT&o)+a z7hQY7o@4BLalnqgU{@K-9ALL!u;&`fd;;qy86iHCY#aWly?)98#|LB8UOxeW!&Jkd@xq+^%EC3J{YU^`e_UtABW{4;&wiReSyP2aXTMs=a0O9x zj8%L6WDl?78>{yEsULO#ja7U7L=Z3I8>{yEX(8UlH&*TSlSI6VPqq_()LuVj#GClW zs=a;!i5KyWReSw(67S&~tM>ZIC0@ffR_*muO}vF~tlH}*o_Go0Shd$rL-7v2v1+fM zlwx>DwhMpMUOz>}8~Dbmy?(-q7x0Z$d;Rn^M2?0fWwqB&X7T#Hv1+fM+T!hdW7S?i z(Z$R6#;U!3+KYGZja7U7Bp9#Wlbwt|YOkLXY-G~T*5R_*l@YrJ%CtlI0R*?8yPShd$ry5WXGb_)Key?zP~R}^E_UOyp+ zJBqPtub-ZWxW!nt*H6~rmSU{h>!gD`e{8}RE$-7{Ujf++LN7% zKWeX^^5ac=W7S^2006HIW7S^29Dw)ija7U7;s9Q=H&*TSO9gn#-dM4>w&TL7oZPaQ zTibEr98XBGwzlKK$zPm?KVocc$Az;(A;s3(jti%YLW-%i9T(0cg%nF`J1(4H3Mq!x zc3e2~6jJRh-1Iml6;kai-1KCwN>jv6@21D8sVtu>lil<W?R5a1Z#SfH)in@;<# z=0c;=#3>S6rJY{ta`%UKIFOe3jGc&s!rO&c6rV9=vbPKGLzpEgPWE=;-AQUEBqn>i z@SY`(FmAh!`!UpUo9Cg7Rr5gO)wx$A*Q$A-QAbYVRr@%{K`Z+>qQ?9z@8e7vgkzWa zbv@*MJkV)jTIj~gL!Nze3nkB+Ddib2r94-qlxLZg^8Aoep4oAs(*1f1MfZCx6x}bh zP;|e^Lb3RCe6fj2)>YzIEjIaIJ)6|A99gykI2AYzI2||xI1})Tf|EKP1aNn9bHWB9_^i2dgCPwaT;mRF--UXY8#Fabx zynV)7CGpA~ecH`Ic7vY(hz_ES;H ze)37#Pd6$12_|JfrKId9k(B+kk+PpCQub3r%6>9P*-!r{`w1UqKgFZ$Cv}wlG>)>L zxKZ{~HOhW+MtNIpf6`CD$onZ5Wk1QH?59NkpvY*OO_LCRNe!4>0Pf#fPDG6mi31MeFaP*q-HEUU$F!U=X8Wi2{zft^e z8Z+cU^WXi;$dfwOqHVeqcrEZc;4)wxa5> zxCYn=yb1UxU=y$zxE8n$cr$Q4a0757umufwu#<0DFL2f!l!Ffp-9VfjfYGz@5Ndz}>(*fp-D#2HpeMQ~>*e?}hk2;Qhb{ zfDZy60zM3U1gP%|E`tu*VqZ{o#lE2GihV)V75jp!EA|CdSL_R_&iWAEL`b^kpY;$} z1mvA_p!ErW;U_ixl!l+y@G}~IR>RL}_<4lrA#y*1r9-GXIY48Y44EcFrpb_LGGv+z znI=P~$xzeGy%iQ5q3-0sj%hMvnhcpHL#D})X) zWSR__CPSvlkZCexnhZ6~+;d`a6Y9>>@rP+LWSR__CPSvlkZCexnhcpHLrpXHzgQB5 zy7LVD`2@g_X)x*&cDjgrg|WO6TkV2fZ!GVJZFs?6X)N!WEqcLT zW$dRC>&F*ZfHjsmfVh6NfdyD&nNMK-*aHi&WFL(`YOf!OU;)-xwbzeJumEeU+UrLt zSb#NF?e$|8EWjG8_WBVF7GRB4d;NF@3$Vtjy?%6q1z2O%UO(2s0xa3H@JH?SBOffl z8mspDaS#?@ja7U7s0a(N#;U!3jD!VPW7S?iV!{Hfv1+d$KVbpZShd%Wrmz5OtlI0x zR#<=~`xyLDd;LfY3$Vtjz1!Tl3k$Hus=a;`h6Pw-)m}d)!vd_aYOf!mVFA`ywbzf= zumEeU+UrMeSb#NF?e$|hEWna|EdHpyeq@KQoEoe4`f(mUa%!yF>qmX~#;LJtuO9=3 zc(bu;uOAWO3#Z1ay?%U%51bmS_WIExzHe%*+Uv)T_`E4uPKecBKa#}PO^sE1{kRez zH#Ju6^`lID+tgUK*N-{zX;Wj>UOxiGmracodyDZXzH4fvm|Kia@l{hJ#oA)5if@{d zd_4Y$vBk(0Uo3XZ3Q6hmvv6dY&?sdg62;2ePo zsdl;*v=C)zMpML2zYP8nMteeL@-p~`jgbWXGB`(Z5=ZXCFN1T8Cyd;_Uk2w0P#Ce= zFN1S@D2!y5Uk2ysQ5ebNJfg>ESB;TJgT(;13_g9=j;0RgR^R{E)hC5Xp#y8l=m^no z;ks_c`ERiz-actw2VOY<761*P7w7{fRV$FXKBy~@D&5Dbc#Ez;>Y2I%ncfTC&- z*OoQz!*Jj%3z2suYuw7@x4C(r!VIQ3c`coHFR2}pn7o!wnw< z2k~sK_#|%pOdRrG3pwhzjx;3k@NUEUA27m`CFEre9o!otUhJS_~=y= zTUMO8^7N&rtk|+_+3GX4+_b54O0yI9Ab(m~yVhO4X5#WI*Iaf_$K~rUyL4>b&dIpy znawlKSibC%)){l>Oxv~ok|nRbc+F*(EV**)Xlyil!mcoB?=|DsZ`cFlmaaeO?0Z^K zkekoJ{e?*z-+aTSt8e8UPw8oP@jCp|f)gff+_!g6qGldgr#9WDu@363Q&;cXn_~43 zl~ntzImgX7j+Yuas$@EbW-XdIJ#kZJG$->m_=mUAF`T(s2i3U2%*`99as8P)^oSbQ zo4F$&QseqEckIJzTqAQ$wg}B-{8QdxJA=|}(hIYYA+PoG)YJB9Z0kJ?*tu(#v} zb3E8va{ZZuy(QP1IoMlreVK#3CD+KDv$s5_+ntl`Ezao@d%I`PULXZ+R&y#X<{w(z zHOv%@JzznN6`PIi9j>urwXugEU1P;=V~=Sz`6S9~i}iL!^Wi+<)IUE<@}WBGbe{0| zKR-)yqB@HX=gqVK?5xg>Z{D|TrwcC;mYvc@@#th@1oMFZy!%9{% z%vfYt$x4P9iwrAS$uMIz!^$%x!|V)~VdYtpVbxhV!^*QH!>Y4#h81T?hK>GthSg5G zVbk8xP2(G-fX!^~$Nb_S=2z#&4cAuW{`2Z2VvcLf1&7s1#58hfVM%t(+P`PvtOX0@ zk~5m!yd?jmOYYvg@%oLo>?Jwt;5xZ+?`|XKA6k+f^A}dB4jx%@(pqoYCl~B#PUi*r zhZmf5BU#wcbNZrekPc)d-G}70xf5IkC{NnzPs$abJn6>GI7=T%^C`?58P^mib<8_z(JamT<}-QD zv-zhur+xAKSv`xTdz;>z!yM!v=3v{V-Kh_oaX?9QAysr@J!vpKr?+G~=IlTHxC7_* zFvTCDyz2>@2BoBXZN#fEhn>If>9=CyM;BgY@#OTDNJ3)0aBYJ4kEm56J zg_=qCFbUEe=;2AVR05m)oSc*=C8f@K9+8x%B&AMwmL=sQlTzn7E0S_~QtAZfw4^*W zDRpLZMpB-hlsct(R8pRqlsbnwD=8nHlsaj7Y*IcZDP`Qi4rzuZN$|oeH z&QG44lut@ZotQi|DW8&*IwN^{Qa&vybtQzZy%`(nQ z>Src(HN9A!)Xz%lYF=?cQlFpH)r8{NNqu2bSF?!cCiQcYx|%jTKdGOW)YUxUg-QJa z395;~i<9<6>EvoA@DEA-lB5=EICOqlQol5*tFiw@N&WJqt_JmnQXE393>1>yq}hs@1M?UD95rTJ7atpR||fbJKr-KP6on zXJ4Cinsp!e3K z+#^9X+Pyt#Z_8Q^bN43gJ0#HY?Y^YDBb{jj+q;tX&ZM;w?K_k9?xeM$?7NfpT}f+W z*!L#wdy>`$ukTOV_a&{3T0fYyA4pmou6{UaKa{jKPW@=oek5safco*I{aDi4$n=v* z`-!BrA?c@+_ESk~{#{kQaNq`_+V-K70ab++oim-PRvXY0uDUz7GB(B}|1)X-!%o(L-7k{* z=jmh{&HXBAf0?v4jQdT}{yJ%G{Pw$~{cY0P!0iu7`}?G=Mr=v@$E3BP8oXaP%(y3M zZG6^}v{8ai8<4dnZEMon2y9Z)wo70`uFjxCHCg?zBmp z_SH`6o-=da!HXBoTd<^OF;DJjnQ`2bdGlL3F!ZZM?mlYHqPa^jS6_ltaO$MBV^@vs zSUcsxi)SsIKWFBWzv0H_<{LI%SCNy} zZoYB%jcaG^+i?A!-Pe^|d&>!P4r=K>aQ>`?3+F7FIdl4wMo-_YNo)6Qyn5?eukLed zId9JK(`PS~v!}E)y7{khNq0-P;}~U1OSh2Zrp;Y6XTg$%$Ig&gook=W=f-QB*br#)*~Lbo;-ia=X|rcf?^!Z`&ViDqduEwns*ael;8+gA>*t%g zc6R6HYjS+fc zm**^;e$av%F%$Q7(88JXrysN=Wo{X7zuT_ebNjyLo_#1CxFL5TVfNfBv**s;e<2Q; zdyO;smOD1TdBd%>Yjm*0qqI+2d;O+;o7PU5J!c_pTL@L>+Ba`PM{rA}?13^iS{E%m zFqg~8`!?@w-m-gNbJM=|!QR2aVNvYaw3~{d;i10X$&%Hg=v=$`hHI|by>D&T{Ka!n zE|H!~>4qw$wzW6zUfYF9+LGhv;hgrCxpU{WOlAgY!d|hFR}#gP|#BP zqjoT62V3NzMgHPTva6S0c*+H*oPNrxTc#~sG4+!pN#=JK% z_PF3`X3TpMV-F8*X2!gmjDM7HG9x#EKXN7iVBunBoLq}9$Jj%MgPC!1HNGm7Ip(3H zDc38D0Nl&S?!X_pVp$;IUS_OZ6ArJgxWK*4Sh*@3UJF(p4pWxvx}pQ$GHBawQi>rH zV93ib)Qq;m1J5uh<4*jM#MmdLq6ddEV>F|!Ai@vL7=1WaT;aZEj9jN^I;QSyu3mNO zsVkC`Q}YZwqWjT8uo_Pb?RXut+ggcsyo~9Dh8McM(0g>l$8kc#Gu>HegNw^D+!(S% znvRunoN8e{Hm5V{$L>;nYs;85F0E}}L^ga0_%iSnpk*|3UxoZN;OoFQfa)ED*0yg! z{5J3%;JZMsX2+x((Q2lWRx_2fnkA{oGW-@Z5{t(ojUVCwxmjf(euvqpA0(>EPW%S5 zQQuEgmAN6(C`s^N6IEq(h_o*r=dYz1A0o{bs=8K1F*k&&u2oTzNlZ|#l^;=v-$bOg zUMWO~d|txH zb&As7-oIqm#1;2@q}XgSN&UN&$L%LJ@Cq`IP!`)y?DoO{-bSvN5ibmRVb}|UUg-Bi zuNV5f(C|XH7Y5+WneV_0{9X2n;m?g%0)Iuj68Iy@mB60{t_1$1Z6)xRS}TD+ysE4!hXRKIgTN3l z42%GW14jT40*(ZZ0u};`fW^Rrfun(k0LK8$CZ9>mXd0tE&{>|wQ@34}be5-*&hk{! z4+kWv`fz}X_CpIQ+J^&F?A4!PP|<#9K}Gwa1r_aw7F4t!T2Rq`XhB8$p#>G~hZa<{ zA6ihcPk(no#okivFU7%994^J7QXDD8fl}=5y_8QZ*j<%kUn%ygc#%YD?Jvc_QXDSD zp;82~m11ux_N$l{OPXu=T-|5@zxRRWOV&l6jLPlD2Kc!Tqn?zg zYNUW)`!MQ>iK@m8_^}V8o{*?&6cHkuO}W<^pFwB8Qu60(Ts`M1xNc8g*Kts__lJ4rtV=iK<3BA+jrx1Xm=g8vCFFGHQ9E zs*w;DV2oOpsA^nxs7EBK8Z+UeQ%0RaDvzM>g(hQ8P7J>Ei8Jso zvZs?MU8O0HRP`Oqa#esoPxmFp)@q$pfRvE=%giyIw8O70sP`Oqa#X*Nq zxmFp)v4~K)RvE=1icq;$8O4!{P`Oqa#Q}{_xmFp)agI>ARvE?Nk5IW*8O2eNP`Oqa z#lezLxmFp)F_cibRvE>il~B1>8O0HoP`Oqa#etYmRjo=RVEo>^F;%THhJ!YVQ`IVC zIFu8ns#V5tfG124p8u>6Nj`c;Or-m)5J{F8 z##|*a-DibJvZOI)B75@>1(9S4Wz1(vO!q@UBw4ZgWoh=Mw zK1X8ql_Xmx#!O^yNwP&_%;!qX{*q)%$e4*7EJ?PYjQIkIIb4!#c^Na2LnX-;n=xM~ zF-J<0Ej?om@ko-eI?1Bj4>10n@Mi|u+A~& z(8mLw|>$rlMZT#~FKOg522B}pY?zEon4lqBm2$$t=Xpd?uh zm~0}uhl?Ddl4MG@QIb@WOs4deB$Xu7BkL_mD!Ija5yH^gZ)7|cvh1-6!8M@KvGwC? z#@1amuXB8&dB)Q7&t4w?%S~3@Mx7C6gywQw03%w=R@U-JR*f+~$pU61S->153z&6e z0rQM3U}lj8%q2|V?7_A)_(SRWc&j_!<&Jl_a>mJ$H%^w^aq{1APhBd; z7O5C5s3j;iSwLoG0U4DAWKtH8L0LfNWC0mt0xL$^K;`b>c6Yqh9q)3-JKXV3cWj%g zf29vV&x}8yY561YC*U3czXgt_1|uq<6=(z6fk{9I&<2|R zFdgUtW&ksRS-}3l0l;iv4saka7dQww7?=ml2NnQ@&q2?O22{O3AJ7jB0EYmF0*3*E zzz{GDi~xrNM*t53js%VZ76Q@ozh}l`hz|yi1|9+&0~`w+2OJNa0GtRc0UioG3}^yN zfrkSp0Ve}9sulCU*2lp|nhH0I32SpSFRKx58GjP-_C4K(KT#8}^m>TAqt ziLss%Yk|g`nwab;!+I?Fvm!CsQHHf!nB`=OJ{60BBqxyL@^p(H7VCjV%Jao{gmNbt zBHIRuDNh*R5%MG(4I$+j<2yp0WNRX%JY{@G$dhblgp}uu&mDV`?T?W1q~*8Zt=A5b z4U>@atbHFIA{#8>A1v(RXBO}rQ;GCT;b&DmySzph=r5qUmH(^$VOQ_ zm!t&LMi(Kny%t)^K$n&Q9TtShrd((#1zlPSDw?gl&{7V%v>a44I{=}jBy?#>sA%>L zLQ7fb(y~y|>^6j!($IBcF=7dkJ&Mp$9?J1ci0o^Gl@d{oWIwY8GIgv`Qp4bQm#JfnlDgT> zn!N@62mZx}7$vp3oo&JvVM09GC}|Je&>O#uVU)BaZipV@Vx#2Q(#@ipA5R-;EEyep z{p;7J9}PM9es~e`R@y`Bm6u*DH-+D5SYroi9Y6nSLuk9;eDwirk#jIG&aTE&uf2A| zbOgba9qd&Totka=Y%Z49U%*FK*$1I4zd6zgDk+UAPaCL z$O0CtvVb**ETDnR0vggRpux=o8s;pZ0nY;JiV1uwD>ZZ2Yvkjt?s%6w-r?*rZsd;s_$@FC#Cz(;_O0v`jM=iSF4eggO;@G0Qaz-NHZ0-pmu4}1am zBJd^P%fMHFuL55Kz7Bi?_$Kfz;M>4=fbRnT415px7vNuk?*l&oehB;s_%TrVb}wlB z1maJDp8@{{{5$X;z<&Zi2Yvzk68IJHYv4D)Z-L(dzX$#R{1NyQa1RisAbFq#Xa(AU zc3=|F0dxXgz+_+w(5RL(wla40%7#knORkdolB=Yzd8wq!87k>=hDy4ep^`3VB&k}? zP|=n%RJ7#`6>T{~MO)5L(Uvn*wB-yHZ8<|lTh36?8~{}`2S63g0Z>JA094T&097;x zKo!jaP(^b9RM8v&RWt`c70m%qMRNdDv7wH5Dw+de5}Punaia;mJcB13x8u)|c<`yc zT*GG$Ei0x_4@#7C5MzB6>WD-+2QgM-p$<=!a}Z&OwZoUZ~+jIR`Pe1wsua z$~lO!i4bZqQO-e(Er(EtCCWL7u|W~)&_p>0F}5>89g-;LAjalLsDVT|2QjuvLiH!g zIf${b5~?pz&OwZAm{7fmLYu!vG9EA57}ZD=dH|!?;z_Ipi9&y16dOXJ<|hihgHdcB zg_@Tr^c6<2*%a#FM4{&}imj@xL##`bXkQf`eg~%pdnEexDog~aJejE(<7ln#VdXF3@-8Vr%0NxTVva;-{Z!w}iW2$gG@tPQ zwaO^=q(bFdWfVJDp>nMmMzQl2D%UEb*ryAXYn4&# z>V?X+$|#NigvzzbC=LvS%C*WUju(WgYE>FR<7Fgcs#;|X#}*Q&s#V5(&zP!K8N+di z#HnhPF&v!;Q`IVCIA#&1s#V5tBqK~!tBm3JMwqHr8N*SJFjcKGM#e&{I#R2uNibdj zWt443_&2pUW{DHVxL>T?p*qIW3+1=CINFg|!nkj2GZuA>r8l16@Zva0VhPidea0Az zI>yqBupu(m@hz4xE!ks?v8ZD#%~%^`V_(2x3FH067>!Cs(gBpdHPSUjOxoiiBYQOS7P z`BXFY5IL=q=O6#1pF3xqvYqm5irjgK7yRL{32^ zo=~mM0gUmeWIP>iRO=`qa{4Oqglcu}UyMg3Kwlqk4nbVpKdB=k~>T zR5G592C-~t?GmT@5>KeSo{YCM5GOLSFsM@v=l3;bqOW%ev3Bj6`~BiD-;Zzqi>sMj z!j!8;R1;?%s(siPy{olMV- z9_Vt+l<2URxa@UKS5oTeuXmTkGq3Y_J4A8U6FpMQ+Yudo_xF=1>a909Ob$aby;^|_ zB3&GtuK|zEyMV{$PrzgIAmFk24Di^z1bA$I0X#NO03O@kKYiE!=XkP}JFxt%&i}z4 zWSkG5Ef4Jfk!M%eDCE&}zSAfDZt84OCq52x|b>JJoH-T>f-v+(|d>8mk0*13v+N3j7S1TrEW=7b9ytCjQMS1tPZ2$GUhjlu^Npf2xESo7^~k{fiUJ*iLu&_1qfq)nHa14 zA+k(}J-oi-j+o7%O>G_Yz@UlDLvPbuSUtGGQfu>RuwOf5J)*)xAVmGli8rs(XpB z&I&8JRQD2LZ5CGYsqQ7hdM>QwRNYHNS^(yTR9&Ag*D?%>L4llLO7`YiEH|@PV*bYe?G1hx|u$2-+ zuniMNGS_=~u!R#w9*Xz!VEZSGJWTK9!PZe2DFoijgKeiUQh0KI6CztyVI&WbuX*?} zt-Im9A@1*YmH6%Y4z6$d4<=O~_>q0^{8=Q-y0ef!z>@|UE2}>7-oAwtTMnCwxd)KH zffQSYAP)mJ4#imWaEM3nw5oHsw{IR5w+x3arorzTEy4jeI?Nh)a~ZWTQPn4(;L1gc z9~7!$Ro@ztn<6E#vZ*1CAW{pzDMW^$MD{CIynFc?P>PG{d_8%3)124ZoXLX5V9jkFI`Ac)L zeq8P-cPvW}lgTojiwy?<}#jv_z zYoKVlRA`Cpn=WRIFcPOID^tgdFR0zmBEyf6_9C}zxWXc10~8+Hkc7uJ2;s2}J9umZ z4IclcqL-ayS@g17ET!xSODVg)QhH!7!IWJqi?esRr0kt6DSNj{%74R`OLFth>SC^b zJT`|w9-G@Bk00R69UYyIMe%qX@Oa<}z!QNd0Z#^=0=Vkf(fL#;o(4P}cm}W%I2$+z zSOpZ-w4?J}sGbR&2RsW{4V({L09*)E)wiSbIS`)9zv1Noz}_g1Z2 z{tiR@UgWA3as0?J?m?Q0H8=oc1wKS(o-nUTj1_zJi6wXDpo9&oVqWllJ;udKo$viM1J zD;Aa&Iz$#sVa3L>dWXm&E38;qR`w8Cn1vNP%c>tDi@C63X?=9e3Lvc5TJIuQHG~yw z>s^FY8g>z4Z_!0O8xcF%HIQP+1Q_x%40$sQdCLrG7(<%RPz$#8%^|YdNyi}<^IZxn zr7&9H@`pTGWrY#raj96=*?`C9Uch5>DB!WV5b)TX z26$|40zCfj{-Rw+=Qy%zE3ggN4%`Gx06T!4K(%n&(YXuaTY$F$Hv?}2b^~t*ZULrO zgY5fS&{a+sbX8JkFqQu5ufgfZ!@BhYZz`HIn2P2MrlL86sc6n%Dw;ExislTK)}I^l zq?V%S;9q18%KG!&H~?eiB1Gn^Fn1-!N(nZnjkz;1R%Xyp8?!GlR)VkxZpyIMhcUM&#!4P`&&hCYyt2pE#`*Atsq8U^MM9X$9%EQggsJQ? zhQ&vi${u4_sD!EPF@{A=n93ewSOA3)dur?U)N$SZoe1me_DqTE_KC~u_FU8F^%?J7 z63^D{(^o`z*Nm6A@@p})mGRyq@$$|;(_{`YUgFBH#V}tP@4XT)@BK3#p9bS4E`KeC z`wP5x#(Ov8`Q3k#yg^1xr2AS7Nh%rh{SwnT0FdM@l6;?#?rSk5c^^zRki+2XhjOG8-Z@OO~Q$;8uMJ z4v~2%R8OL+@*E4HAb=~wj9uHbx#oZkgaU#Vk8PtwCF3?HBx_jFHEk zTRC_>86#yRzuyAiCSxQIik0w5W24RU&OaUB3Z2t49Y5=Xp98{GvGUjxc7;iMuNl97 z!yfcyOV=NC_C3_Qcm60Mt^A(fltstkC%tCPorPZq!WTyW1_{obf1o9Z1w!6K^RgCP zw7Co;hVZb^Hooyqx9}HVJEwMZwBqJE&?8=X>GXrC+iyl+U($_;?l|POoHA63!=*S_ ziv6Y7TZ(<9*eJ#BQfwT3+`L8d>$UsM==U7m7DK5@IaoyH4CU7 zX(#L`q@9H{QAp#3G+IbwPQsTAjvk*_Ka*GOo;i1JE0&k>0Rz!JikGE0Sc?6n*jtKy zrHC&NAo(h(ZZEbkoZi!Or98oD-Q6@8nng*|Ju7M2Hs5;PnR3e1{(hd)il1wv+%Hcv7&X5zP&se-zPoV1DbOHxb(-&33h!=*uFzkgv zFZ6q%*9(1KXn3L93qwcGTtpN0n|{Ixt;lw~^b5@kc!LxMz0mK4UN7`{q2Yz@EVS+2 zv`2DuTF((jFgM!pGjWnVol^$~nZ<4RS#D8G>pA>zIb-v!DasKGQxra@Me^ikA6#jA zlBVx4)f{y2QOT(3`ycav___}`OR6gI)ph-=tGnyEuIrlhf4)`c^{bwKJ$&f}Q9v6Qd!>e~>G_|##8yU}tzxIUy>K`~S zwx1b)&x*fi$KM6<_ni27sX%w*)at#tUf#}a$+k#YqF!*>Kz(gJGwA(R_!}5&`~Qd<_AeOjUL#P z4sRg6l#yBwX%45MBEKxPa=d{QI*$yR*9X50tUfi%_sZJ3Liz_s=NUhvm-}rP? zJgR(a@e%f+BdV5CWoB%)K5<^-sNLIDKTCxkS5&CH>CrbADl>)3$Aos7yJ9wS&%67E z-IcuFts|R9H;hNrS2MRn=K4J{S4@|sZ>lP8%F?T_5mkPo zDnGSS<)RPcE1K)>x2>2EM>f}=L`Twy&^}JB&)4(texEH>m9lesw``6$8yOic4g>c& zq^eP_9IjxbQIi$>)SqlGlEw(>JbmXeZ~eS(JF5s?vlh=st)EW!MTPDWg{<`a$Y|r7 zWT3nLOghgmbRJNS<4v0ujq|@}x*X!2H^jv7x3)hQ&soH3#%%P`c`*iyywYnuTcbO7 ztX@^g>R7Ym@T}2Gqg4Ink#uBj+qS3VA`9ksHqD`uz5w>wf8SW|yB1xZKk1I6CE z=cntZo_Y-N;BeidPLXuf=Hj{GxGOX{?JSpg#j2{fZ03qd&KaxUoeG6{MmlPxLi^qC zAc}6}s=9}LJ8FN77jVU8$NcRn2lT92{D|d2~VRCmfMOWo%})-Z*?mjjvXf>muoBD2O6s ziRpp;>eo_`bksCFuEj>yMX`xhyd^@(?T&ej{JEYYIZM;+_?#36A}iy9VIuBgvYxFeFr=<6NY z7!}^0rzfHDuBJw#JGE%*NE|35VE>{!OF=RDO;3+SONu=-CH+IX>A-bGM>VqtW*VN( zfLgUr{Z6}+bku&kB2XKcSgQ09*ES-+Qpq|vP6*dES0aCCg#;rkU@ z`&6~cJ|1RfZe9y+zq!&&pJDFH6?6TYHZ&U)ejVDc{#81X#zAvnY-z>6MoH4BcyXXM z2^WW^Rr}VzPD9eDd7&YI3XR@H8fC4EGZU_eitKk_3k#d{e#51`i!?Gww=L4Rf7M26 zY^iEQSbSSOzgMZ}%K7NK#pgl$Y^Z;qnxrveR?aR_Tf3!&+T0SgHH*~Bv9oI1wsO{8 z;F>hs2l}(>J?}FTUJK) zqRbdomCPb&T`cUGHy%gOO5WEGO}XeGOM;~o_)CZ}pe2RGzVzqrJ! zSL!;yP}fRs@5V-5tC?}WTXt$CbLHf8Iop?>txCogwW1;^;%VX7JW&5vV?ffFY}Pmy zMdu$ldhK=FuYKdH**BIKp)1x_b;|)ixV~{dvwn1YacJ#bS^p7>l7_{>&3kIC-nag9 zT9O5=p>RiWwbHN^TK`Q;($MOSqjVW@!Xl=a-_rO?p;3;#-Z)2Eq*2%?H7bXosc{zs z2G-dXhs-WGB+VDqtQPK#)7;Yh%Jms9Gi+sYP8{vbq7{8%ENBom`jvro_fqFzXy`)*G!Ho-JJ?8O>U|_y+W)>k=&7aPE^LZt|I2Tzs zAFT*hk1v_%E7HMDd8{k^RtU-lN3?CWX0hY?KMY25%5&S8yJSX9E14^1o$y92t9kR0 zr-qfhzRAcdXP!##%IU~$9)l{9_PcK^wkC@}j8n)w->#?(jzs2l*Iu#f`gK>np*)r8 zs;?6cM;76bXXH-68rQu2`<4o{8fQZ*$MdScKH0cHTzNo!KXxRIi-Te++ICrW>2l zJY+t;^16wMtKM+M%=VF0%ZkoJtU8Z40msj_&W+XK$O}MSOlQo<76t5Ysh>MvkmZ|Pn1Y*y+`h#_x zq%lFRoLJ)d75Aw>gqozIwyOyBa;;(^6jvQoKbVSSvC3E@T34;BZ>J(@1a)a;yrHsY zzxoa;l7`C4u_bXIJ3EA$q_MNXAuC-6u8Vcb9A&GvRJF=*95BjmzxkG%Z;P1V!#D>k zOdVF3svJshzxi!9-#WcB)b6Ns?uI;^PrUA`*Kfbyeuu@bD&k@WJ?6q=Zn^axA6Z;* zaFb(cqjr@dLmSF!7wbZctqspxak%ib6HdH)iM^qXitU=%wPr~riX|K7b{ueIwy^L_ zwS|M*s@3^Ovw=e+i>p%+4bSdTor-K|{o?9WMVoW(u2gB@!1@vVCuxk=;i(L}hIc;E ztkvp?41uOoD$+F@^Qc#GN=3YSCJQ$mQ;`mBh{?G!lCHGZaz-9nUlpwxu88_KhA10U z$sU-UbMPpmtCGKRzQ}I`R7EnhB@9*ST@kIEjzY~kS0uwzAz7GWBG4+bzVX@F`srt$ zTtDu7&X0wVr|IeM#tqTNLP+;e`xUv4$cidcC zG12%}&)S2wkFMTtU9J13dkWFex-|#f?~ok_#GTwj3PDeVVeyZlgV*iYZ*-r1SBG?S?z+_uP2+M0Fu9X@FEkR8K^Y#%*j?SX4Y z!%S`U-FNK1`NQ?wZo8qWxccT>Z-^7y+irYEGjHuZH{81Wj(6;lJ9OUz*KXgwZpWH6 z!=odsHmt2xGd2_%g>2ms!~1SejY>wLF&Ou_4;tNm*y>W=+$^NSyKlbb#*f~3OFiy~ z)%8|wQI1}#4eq<|@TwT^_e~26n}vn`gAQA_&+y@;tg%r@R@{8c9k1Bdq? zEt^SaEB3qRkCCh)`$V&g=2kaZ3DwX=z?Ktd^gN9eFRid_Xd~(kP*M06^KUb_v8mBLV z+hP_S-7-3U<*v~yW{rrRMOt~rw54$ow`p{Hv4Xa8ZT%T+NgBtgJRmmKcMp6>aYL?1 z8oOIvEV50-33hRHap4I&Z?w}c+rRZG%n?tR8nZLU?5E9#XdkMGe6{S!@oE8AZ!Y#&lop?_#(Bz$+>Z20Xb z)s{}oWJ`OQXAaVLhIjYNfxw*HZHaGOInfzt&tX5|4U1!0QL1mgRqndEWp-Yayl+u*l8n;H0X<`_(_Ms**-;_Bq})pEwxaxBfLMk_DAm z7t1Th!pcZhrSw`~+&!zRJanl_&z21v4RIz0?^pjvdy#b19$r+rXIm+Mgx)B#idZNP zb46z53blD&P>~di8#TT3X4_1qM`p(R3WFZjoE8Cg^j98DeBp{ zzNmeXl@;E+Bx`VM9%zb6SBkHmpC|`&v*HzL;e+zRrIOpf%^BL&*Ipin`AT-7eBlM> z#Z^uvcX(`%V5rFYH^ti=@_eC^-LrnN!HLNHm{f%8@+sz$14W< zW)>Py$sL%eG@z2-zj;XmisRIx25e|H;AxcxY;886lHId)YvF<-D;uz7N!HM|#SN$! z=$lw*KqYrztkQr=e*eZL4Jb}p7Byg`*?IN_NkNZAAl$tZcygC0RpT z7dN0{psyGn#qvxgcVMb)R{4ndajz-b!9`T%!R;wxRyUOZezVU{``{jeL=qhy({Q9pC1bPdf>guk0(Eo{ABV|$xkOgll*M*b3t$R z`C9PFVfP!sZ}-Pv@LS2hPkQT^{CenpGkA3Jk;&2I1A~7T^36#<%=%Vr|2*j@SGN6# z3jJaV{X7c&77G3F3B3u;e!VRX&x`zj3_c_2JqfnYO+GF8)Z|l=UJ>vQp@-iI>c0l% zYd4q|ZE%`=$f>fCKtk1Nt-r z`VIs7=mPq}0{WZ+`gQ{PPy+fY0{R33`rZNhxB>c-0s3qKelq!~q|Xn?_pt%=X#xCP z^7F|rBz+Qq{7cENCcl>adeRH{>3Q2edbK`!k3M>7K6*nwdL2G`_dR;iJ$kD>dWAiD zUp;y`J$e&8dhI-V$2@wWJpM5GuSqY4m+v+3=%w%YljKj6Uf(X?%i8hhNiSlzy(Rqq zqu|!$P~?lBl}YjN)7TadC>~Hepm;#>fZ_qg1BwR}4=5f`JfL_$@qpq1`2+a_`2+a_ z`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_`2+a_ z`2&sb&&6@zL&06a4<_$Q?oQsB{6O-Kr1F%`Xkg zw@uHl7ylgmU2L~U`QIo1ko;rvPsu+g|C0P`GG0+uly6J6Cp(gz$*yE~vM0GB*_-T3 z_9q9DgUOZ2q2zFKRdRK5O>%8=pX9paeUtko_fOt0c|h{OqK_3R+)5*YD{19bLbp>vwehj;`O)^*g$LN7wJ@`W;=rqw9Bc z{f@5R(e*pJen;2u==vR9zoYASbp4L5-_i9ux_(F3@96p+UB9F2cXa)ZuHVu1I~up= z2aQ`aZqc|!;}(ruG;YzjMdKEYTQqLbxJBa@jaxKs(YQt97L8jpZqc|!;}(ruG;Yzj zMdKEYTQqLbxJBa@jaxKs(YQt97L8jpZqc|!;}(ruG;YzjMdKEYTQqLbxJBa@jaxKs z(YQt97L8jpZqc|!;}(ruG;YzjMeE5I1+90yI%&Pcw)GRVo`Tj_(0a@JgVt-TyL>iz zMe_0>y$0ob$Ko|XZ&XC@PekkFXq_CrrST0xdMF>wCvQu>J^44uo09KLzAJcj?0+J7 zP4e30bxHE6lp{IHpU-^M4(&thRQUPi7m{C0eku9o7Z2TiKX`reP02SWZ%Do+`S#@BByURIlDsW>d-7e$ z@#J*!-N~8cT=IR%_b2a2-kJPR^25oylOIXmll*A%-sH!UA5VTF`N`y`lCKZ`Ao}AC z$u}nTk9_@u`Umw7>L1iUs9*5rr2et}j^sO&wBd^^}Fre$-9ytO!5age;|J#e;|J#e;|J#e;|J#e;|J# zf8b+-{}L46KMaa*6yGSmQGBELM&kmX63>bLTkz@0XC%){o}YYX@;S*1lg~{)Kl$S1 zOOh{5zC8J=VNtAAN4=#f7Ji@tfYRo{k)|9 zxBY_T3zIKO@(Ve?Aiv0$-hb7loTiA;soE3d}mVJ$iFRl zd-7dL@kB13a3VRG6kqbElkZN>B*h)MxI=M=;ts_fiaQi{DDF_)p}0eFhvE*+4?ho@ zAJF`O<_9!Cp!os+HXb_uMey&E-%5TvN&d@}BRR_dRpujol>h6@N87&%{(Vw;+w}1% zQSUzljSDm`(6~V30*wnaFQIt}jSn;~Q2e9#NAZv19>q6`Zxr7su2KA=_(k!H;ug(I zXkJ3`i{ci=CyGxLpC~R-{Gs?m@rU9L#TSY%6kjN=Q2e0yLGgp)2gMJH9~3_*eo*|N z_(Ac5;s?!Je+-(p(7c8GgZzX1gZzW$E94*K7c_4n|Dbsb&0A>RLViPjLw-Z^7V;mO zx6r(W<}Kt`daWI{EJ8Oma3km-O2Q`}cS*zBl>4 zq^I)b`&9sbAbDqUchYYQ$o(z=Ka~7%^6unEl71OL@1x0klOIcdJo$;_CzGE_emeP? z@v{<16nGN&If| zd&wUr|26re$|slzegWCCQg1FG{{D`Re4w$=5_2^hR7>lDsr|S<*a9 zZk|Q+EShK0Jd5U8G|!@W7R|G0o<;L4nrG2Gi{@E0&!Tx2&9i8pMe{70XVE;1=2gB7oU=RYVv7G$7OQIWprG|^OBCwwx5}N zR?_iWzT-7IUgL99|e)(&WpNuSmW!={Qc$aU31T(QzDIXY~bLXQAsXbe)B+ zv(R-Gy3Rt^S?D?oU1y=|z`>yFKy)35t^?6^Ai54j*MF;m*0s?36S@vW@--<(a(qne zZ(Z=Q$;TxhpL{~{iOHuXy@W=&bCX`2Vf$H0ze}=xLDDNOY`-A+!sLsRFG;>4`O4%) z$yX&`oxC{tn&c(POOux+cO@@Ro)x@r)Z^^rIZ5r8ul=a~sQsw@_@v}hlKMmb)06ta z_Ib(klg~`*A9DSJ`Ujtr)K9jbn|xmK`APjpuK!T~;fs^{(e_J|FH62Wsej4!FX~^^ zzo>sv|Dygy{fqh+^)KpQ)W3ND;C?~zyMIvpqWDGei{clpU!eG09~8eRKGAvxiesd= zF-Q;TAw8Ul`@!3S?@7Kl`M%`)lim|e@2=zrlOIano%G^mdLK)EJo$;_CzGE_emeP? zapY4w%?@4<9tbFxG^+xqZ^~O&o)!Vju zqk5xyqk5xyqk7|OgAWe6|9*V(gyf0IlY+;G{N&&X$rF<&B~MPCk~}qeT2lE_vOFq} z%A@kAJSvaMqxzkhd|dL}|B(F0F zHTk3D|4XW`-zBOqsxPW9sxPW9sxPW9uI(#-kG4yr0P?w8y@dB5ZV$pe!I zB}bC$lN*v7lbe$FPd*^|z~tuSmgLstw&a774^BQL`OxIS$?Zw;;dvVLJPm#^`K6@i zY2M<3yaHI74xU;ta(ZiZkRl`h8yC~16sEw-xZvX0y9AeTy(%i2V8W(MK?mx zi6c4yqw;>BtUM}@%A@kAJSvaM-4#?0l|$uFIi!#FhsyZ@v~s8%Du>FU{h@OBZ^3T` z|08)<46yIT_6L*y5dqZrLFW%6e{1NWd_OF--=78T7ws4A7ws4A7u6f>A01HsJ*fZx zn%lJqJlkzS52X7+pa)(%lYV$2zwrZ&ve3xe!i zn0#LH`Npz$D|2ls5Favp^9 z;Mezq9{fTNe&Jh_Z%f{o^k5gc2fEM$UFd->^Z*xna0@-Sg&y2O4``tWv(STC=)o-X z02X@i3O#s*9=t*i?s-5;{ZRc-{m=t?=z%;GzaEHkquT>fZgk_hNjI`>KRbCr(v58S zZeXJu*ysi}x>1d8P@@~v=ms^q5shv@qZ`n;E9pkF?Q4>5G~0Hg8QoY$H}H}W^CH>x-CH}W^~H}W^~H}W^~H}W^~H}W^~H}W^~H}W^?7t}Av&&bcn&&bcn zzsSGHzsSGHugI^+ugI^+pU9v1?&M68Ki!CbW>Wvy)<4LfsQ$t(n&&j_e|C+4DLNC3xWP7qB*_rH0b|-t1E0Vp*zGQ!LAUT*^nH)+ECoc)E zh03-4%w9WAV* zg>|&Bjuz0-!Z}(vM+@g@fgCN2V|UWRxNQsIXyF?ze4~YLw7`uPw$Z{iTG&Pl*l6Jz zEnK68YqUU(7N+q5Nek1qEkL7%XSDE)7M{@pGg??i3(II>87&~Ag=4gEj24d30x?<` z#uJkkhHYB_Mhm}a;TJ9Zq6J>Gu!|OU(ZVi1DQN-Mwgp_YfQuGt(E=?#EBWlC1zGve zPg=NXWYPY6gY2OjvdA8~F^g`9$LUd3wLPY4lUfFg<1=2 z_KOx^(E==LmxVOP6Vwh1ViwHqA2dIq`3bcb>EUdgKUwISOU@@hko3JE^4-Y~CqI(3 z07Y&A3TJ{AiY&AtJID^QgBIY>0vuX^L-w&&eC_!Wai$$}tdC}WH0z_; z9?kY>wnwu)n(a~hj|%FKQ>c2hbX2wY&P8|MTK8Vd{;|Twj1{)BipAGJ23D0iotH)S>e!{KZpdBJRmN&u zx$C0LnssHFqDzOf%>A-Vq1)+upM{J1_HlHqb!vHcsO*Z3f#kX@@*Uu?y7Id`8sR5h=t>V=;n6og zgstY+)N5@gN7f1*@}>C4Icak;Z6cdjq)lWK*`%K~o8M%wwfWj~&tucpRN6weu1s6V z7P3V@Z8g8>UTgE!>Ym4?t?9IdY+aSMkS%13e%fk&55Css>(^b6Ph0O!TgcX~=!5qL z$+K+p>+!WVU(N1(LYAG$vZ$>5EYtkPe68(_DAWDKEHj&BP#ID3?w~p6&LF+C(fl%f zt?f+he^T0*OB>j*F`G7aC+`l@FKjiwYhQfgyVkxljQP%X+nU(vTTEDsK5}1ce{=|a zgu88RQ=#(N`&#>BLg)+KZTmD8Ha0(IUu!=rgfF9TpQgh4s>0bJd^v@En+hAM3g?9I z6%^Jr6-KHGj}74~DcrZIFjQ4|TnI0suwPSQ%b{227oZ1*4|H}~Yd2!<3bp4qduwZ< z^%*@A3c{bl3z`a>7b%Eo3NLIbY+R%uP$|5qsjz;Lg6O00;-VwC}M`m==ae=liA@qX72#BGo@B~7OhAG^1sE=e9pQ^95 z9Tvh)E0DLuKg9DpgW~yJ!CyuGw}N4DTeZ*;k=OpSEc8E3r7cyZBSWd{=c&X`Gm(MT zSn)%_Uk7s!TdReSQuyCPs9JoeFe;1+|8Fo0Z>|;|4Oy4fRE7ChVN@6u{!K6oZ>$!6 zWXQVyGlUBBy~3z4D*S)JEWEy2_~?*z{UU@4iwlKOVO03H!7RL?TDTsvuKx<5!eT~Y zR2UWhT`&ueR0|&yvaVl-P+{?;Fe;1+|2~+7hpL5-4O!QJhfra$rZ6gu3jZNk6z=qa z`lm!rgw>M+#c9x_?VifV!@CDJ#gj~NqAIAq5K=+)ftc8wsCC8YbfP*CbfSt*RMCkl zI#ER@s^~-&ov5M{Rdk|?PE^r}Dmqa`C#vW~6`iP}6IFDgicVC~i7Gl#MJKB0L=~N= zq7zkgqKZyb(TOTLQAH=J=tLE@|B*rcaa!{1}#B>+FGX%SeD}Lo;qxMX5<##^x(A0|8bDnbm(w=^_J#9^q4xdI|P}P zev)^ci$f8uUxZ63IQ&rfX;WcKVWy$r5JTbLnhIMNDL9N!_*qk7^CAU@3JO1ODr{V& z;BY|U-jI!Y$}W_QZRK>_(fA;Xpw^HnZkcH6uNZhj`Jfc zdi+^|wm+pxtt$@a3!-Ne{kbWM-fNV)faotxQS@6w^c(F=*X7DW5r@8C5%u8Ymc zy_V?6_?IZIb?r}dO)0v%Au0mfHCwzWyNJl4BRuI^Yj>*YqAjMo^8DMySSWQ4M@Gn< zX_AK@8uHny+=(W6pdp{D%AIGD$NvraOjYhQliZLg&i}hVHc^#3%Op2GQa)CdJIN$h z-IR}4<<2q5S2g7Qm2VFgqj=RLV`=u_T8HuP2BrDI8%hlL&#G3l#G2u<#L=;cnB@i`@W7kzuRd#GNI%py^)i+-bAV~^gEvMch((vEkp)CQ{G94vOdx_(UL7YS3v z`oqJbZ&daximYgS4Y5#PSwEJ#WMR*i*Bq_y3988_bK7zgGhbk)uleD}!q9O2xX3M% z1w&4M82V@$`c!T^9bo8-g`wsL71Pl13`rR3R)fZc;)m0~$I{?ua{Guh_+$MPgq=Y<(?sw#9gJW%kFQ^J}&)NSkca zdvWrm$(JQxo_s}89q3;aRL55ZdBypYF5eP$t98a{!riJgFjzk$wu?lSdhU!l>w8g! zFUQ>WgW!GQ$p=qDYTw)R*mt$eKC+MOBm2lcvXAT|`$shFJAK=}Uxw$JPp5ek%(tT0SK~6zGZpyD zq-Q8>du9SXGx49H?_T^X+v7l&bk9(}A7!AsC+Ol-Irk26O>%wGy*K&ptsU8{=C)=v zS9^z`Uiez5sUE5xsvfEys@^ZMdKYE&Q1#@i9;zOyo^q;(s)wqFs)wp~RI_?pD%JC9 zL)H6wsHq;R9;zOy9;)7dW%XW_)kD>juX?C@sCvq&9;zOy9;zOy-e|LWTPxN3TvqQJ zp{9DMdZ>D+dZ>E7u*tcv&g!A+$yYs8JybpAR1Z}TRS#7URqv6_>TRx6&x;`S-Zw){ z^-%Rt^-%Rt_5M4ncX3t^RZqU^q3WUPDW`gD+dZ>CwH>Btg|IytLvDw z;q2ELy|N8k$E1xnveA3gmP4Cgo*sycDRw06bRL&>j*1Oe2zYeRHL|t1D8Dt!pDK=G z*C%9=(b!Pr_@r$`T|Q^g&3hC*DT_Wbi@1Pc!nUHWth4CGJ&K-^MUT!Ru1XZOt*DFc zEV_P=qNioidKNh;X? zM`ziYJ z+3`KgK0V8Ft}>jBww2}Vh5epee%Fq(2iMk*<7^3Mx0_BnqQHC_to6k;T^4w9>C{4} zD|Fu5(COW{eq{8((S;{wYVDVYnYYD(&C&Pm!P?NshS0e7y6xA#aaAnaUSBRq*4jN* zb5kg|>@5}w2S?V^9NjeP_T+WSMzyHDGybi5#cEye`cT?FylU0;cY6V*}ziX8T@%fPyAQ7)lI=&vEiweTHo}TPIDunp~Do}mpaQ^TD88(B|2Y8 zneecfQj0Gf?`g+EFPXR~?nlH&Duqxyu~ptGsr3kiw}i@%W9hzhHQ+#{4A%pW+vqid$MLTGXfb#irucmWr16DSoM`xVfdG^=gV=ZYpkU zsc8A1;#ZoA>suPU<_8@>* zX5&ZpFc6dR5-ssVi>=fOTRtqmr!7*!SS+&@w)WIwiM8RBTJdj)vmOk4@b>UG(;3IJjJI3_ItsW;b3uP60-n|d>?^j4%^UsG?Q zm0oY^^*8m#TIm^O95T?<8*im&ywMw6(3_u%0rJdKk2z)cg(vL1abxA+X5G%}xjO*0 zm9s7N98Aa^0g4s=zRCHqFu3rTK=}5O(D`NPIBIyPAP!1PbR68s+|~GPp)+L?nDE?g zv5+3KildUpZ`tw4togQU=oKjh>hP6zYhiRgXGe@$hTto6+nrvwX+Po$2WF{A;tftjM0 z$|pm9kS8fDwWXG3)rK~mp9y*iU%jR zCwC+dNj@xjX!7B~TJH$MB;IS?A)6bU1{&LcW?(-`JjUSk#n^q5IJ3-LRFRcosZkrnsb7 zn`Wr&3N0O{3q+-&jm8$P?;CHq8)fOP3)cFk;@EL}y)q<s{-ZMG2wge`bZuyB>z+3^=}3x3d~IO9MJLeG zcv{8KifhU}BZ-d2(=mBP`&xH`##1u0)%r(TcY?-KGVRs+W?FWF#?vt+#-X8QCulq! z(`6i=mhS|Or)WNn*=U(g(0E$qkc-pxJ%*D`(0DqIFwwr&ouKiQ%EQl4>rT*kO2@L) zH_@^aG@edz`01N$*$Em?$FVgIKFfE4##40AjR}02PSAK-#jz|_DOzmT8+d(eeaD98Q<- zDqTd;BN7pydv-$i<&o{2#X;oB$)_ftmOMA;@rtJhE#>UdkzLLQ+liIM9TR^gM*sfyGk1*tnxrF4A0S=NOFsT)eAbgUwE`9SKvQ0eWlJ-uDDkvG-< z!eFg`YwM2C0hFFcsc*hzN9X`L&o6XlT6TmEpz{Jcozs=-{!3OWET0R+0WFr8=UJ#yONhHtBh)`{zZJvEu~GiOaL>zJKFVC9!&b2zM~`Hv=QV}SSj%qL-E=OYGcd8t(ZW%J)}^${1?r{e ztQzPjLGdz*yts99D=+HE`B2+cs%>bY#*5V6(^QM4<0aO3k=lEkYO!>@M2#1zy|1Cx zzo|I$UNjt?PV=4yT&`w)V?}>V-1zo8|G7Nj>~orYRmiM#e>VBK7Ye2X1#7FeEVGYx_dwndD!W4K`a*T#@X`niWDf8mD#vVWr{yixrc1hg5JYZ4s@|8mF~y#)k=JHnrHUt(&_y7~BiqvqxkmT49>Uo}$9v6aW^_pb~BJEyAS zYQvL-(dMK4_pNBE9?ha_SB@=PP1U2vwYA~#y;M{6XmWl;>)xuVdQ`is%cxuD5dufeU&@{YigIkwtjaC?aj(xS2Q*$kbP0?ztpy$|F8=l@vYqWxUpvfFHXKDs9KjKFU|bRlDm?Z2P5cb+>dKM zZg*l?*RGB6Sj%@~53ZuW7kq7ZT=*q_5ZoEt{}PPRJ-gV#$(7bBskQ1<>ztUH+Zps< z`=`_1@v)(%wa%G}^fM{-v{Naat4Kea(i0b?vlZ#*QhL&YbiN|}d`eGVkWN;lUr6aG z3)1O|^ouDybwN5+k$x$qr!7dw#%C9`SHEd5k3?@&q}ofWy`^-fBGq2f6Bnd&6{+@; zYHwL~wj$MDQtd6J^A)M~l4@@$ovcW;msER8>2yV^y`RTFOO%SZClv3VEXJ24Um6tmFH62W^Iwtlq9ghj1!H&?xA}^v zLK^{lcvSPpVO+@nw5Y+xrAiLrgxX?{vF0$-^zsM0%GXEovU=1yrz+A9rIeRT>G)i; z?1xi&;(~OnBE37Myj+%@s7ODOQeG~lGZpDQDdpu-I#-c?G^M;;N@pw5dsE8GrF60) z)!v^)Z)mUnj^3z9wU_jS1?f~ps=cJzTb3Q4ZT5!tl4@@$9ji#SmsER8=|n}Uy`nw7SjGr8=S;0nWKLmO1nboKZ3D#xkRamRYEc6X?T22^1`54 zeQxr3ng9Ic3z9Dk#`(d-;u$vQ=>G3?mOnli3;s6tbZrE%Ir_2DhCd|#82nSn|CHPR zmej`eJ>?v)oRNG+u$#~x^4v0(-<=jsMZb>{PmU5iiY&JWSw1W+%V!z0&U-m3vuOSj zg>l@SZjL(R*?x`>f!M5d&Q+wwGwBHn(%Fji!zn#+K{{WN-knn8xwJf4k$xnl#&ap1 zu1N1msqtJ&rz%q8S*?ucQaV00yE8WY*M4tGjptH2QITq|{?uM$&{;^ctoD+gupphQ zNVS(#d&{!36{+@;YHul>uSm6*RC`P5WJRjIq}p3brz=wJCDqo&OxlyF&igV65veQ7UFULNnb{!xEmGe0tD~_l)Fu znSXxrnaO7bK{Hl)NUlpVHvw;vy%Xy!|Ix5ge{+ z*`PKcuYY9e5r0(({jyB|Xhp4aY-WCE(0}c(i3i60m{0_1Tb$Qbq?e@hgazqLMS5vU zMNnCGt|GlGr6Q=5&Q_$mQYwN<>3l_cc}hi4DV?lHuSlr~Dy7pE>6IxJL8WwjZgG2i zv%P#6y-|^BFRAvH(us;xdr7sol+IM7+DodvrF5<$)m~EVEv2&+srHg;Zz-LxNVS(# zdrRqLMXJ4|+FMA6CtHu0c9GB*nj%5m#`W9M(4!>eC<*G?;Ko*=M@`64D`S4cQd_PC z{UCN%C=IdIKe9xr7Y$$TJcPWs zq6n7fA+vj_k)K8WL)PeBS)-jn{iM&xRA`a+H7 zTi2-TpRy*7*!#wwA05;;s3r#m^-Zi@?d8a1Jt)K~eGCFTt@znH9jk9qQH#;C>z zW{t_!_|%0OPwl0~_m4de#-7#qAA@Ql`1S+!^#=`e zAf2g5znW50b!mC7BK=xQP1U7zwj%v{N=?j*m5aLwiZJx0H@mq}ofWy`^-bBGq0}?JcD<6{+@;YHul>t4Ot%RC`P5Y(@Hw zlxlAw9iDF;{q3&{4RgInaG;1gn2UZIlJ{GNMa)A&?!a?=@`U7x$&-Q(Kqn_p$^283 zrv=>sbpS&rx#$uTEv}&jVRSN9*~P<#BY9R1{2{xQj8lg9<)GI63A6=y_-AC-$JrDGYQ zB0V#uBC3?mRirypDxym1Y(@I0l!~ZQI$x1KI;A42lulNpk4dSBDy7pE=~*ciQKfXM zB0W2$BC3>*%`9&3VcA~255c)Nwv3-_HQkIAG7ENgXM<9L&@L~6mgSwnPEorh}0A| z@vKbo^MuNs-(xGc*^W%rBC`57E(zCSp+lIug>87%2G_T`D79NrOwH(vA9Kz%{US>F z(kl;)*;?m(MapZWqYKi>igag6y|$n%J6)0TnzBbPNT(`NUL&n9NXKWJwc<6>V-}=i z6)CTg9=jl&s7QH@^tc7-Tt%wAe5t+Wrs$1|RC`Iax0KFTq&ripy`^-rBGq1HwYQW` zSESlYT3?V(RixTWs=Z~|@%d(NXfLVumeR3`RC`Iaw~+R4ZXKnfQxKSzLZ`%wFoI zPBe=HRsY7;P1Qt7<$2BcWV0{%U##+fc@{8Mk@7z&|CiE(D}pKkVs_L6FE zDIKdwccxT(OX);Ks=dl;Zz-LrNVS)=z95~eNVS(#d&{!36{+@;YHul>uSm6*RC^0) z|N7Q*qd8F!xaO@5Zdh(^G$&FkE{O-mmI-6wOY0oQ1}2sXW8q87Qe7-0whm4~N$K&F z;@(8l9h}95ob`l4I#-c$7Aa?y(%Fi1XG%G%l+IVAoTV&hmD0(Il(R_d3)1O|l(R@V zt1LTJk#ZI(XO+_N@#&qh;lFmyBIT@7I#H2oFBfX>6NAwk6{+@;YHul>t4MdIRC`P5 zY(=WQ%4%;Zov%o>m$behovcW;msESpveOl*_L6FEDV?fFwU<3tw92((2#PI*f%crKeNs zoE&R9om)AZTg#gb(-kSVl5%S)ovKK8rj%Pt>G(v`GPf$rt)+CVBIQ=n`hs+#BIQ<6 zZY|5sRHWQW%B`hzt|H}DQf@7!^A)M~a<=xK7mVJhNVS(#drRqbMY=Pk+FMGeDpKuL zR(nh7_)N1mw3oELARVhnwU<coTLV+mtxr9KFRf=6TI0)vvGAq!99sP&t;1OOQo4{*-1lmB zFSl|ww?4O!PE@4aO3JOJbfzNRnNn^orE?W2w<^o6rF6C;21=d;3>+6(0kOfM70!k5;I3azPP&R%+MEPQFbgjRp~iiRbZ zpu(5ZODT2E&M$T=XLIYz3h8`B%B`f_T1qD?(w!;g)>1lMk#eiD+*(SfDpGDGtuIK& zC!4k6R#I*)%Z^o~+)B!=rF5bqiYbh9_KmsER8=~zXoy`Uy)Eg_OYpWi`JqC-NdKxrS!^R{03vWFc!YlE}}Ltxl9-fUs|s!w5FE{W8q8d zVp{#1)_anANf--XO0S{RIaTQdZslxly`+$iPc=J`TS>XKl#W%TJ5$Q7rF5bqLd)Xv!OU%P*hYHukWt4Ot%RC`P5L`AB-r1b^qOhu}_q}p4SovTQ-msER8>1;)+ zy`X9nx3vyq;Y;ZnN~_`(vm1+_scso9Up3qK0RtcNJRe_Ms%~9IRe)3F@KoKrjOxx* z<^5FMxQwb$U{xrj>iT6=#Rb)RQ+2~Ks)B^7AW5qu%czPNsv;&;hn7(lK2(KIp}IQW z?mC@6_*ndM)^;5$#B}U+!P@G0f$K85dX}!9P2G55SZljGQ&-ofZoD+CwXVKpSKp>? zyg012uFj=fZ|cU&!&>X=UAlTV?ZyklTI=dwy1F-Y<0WFPb@eY@{afe`Pwr)S8kQpb z>R>!v*m`&xmXuygX>jXu!_%;&_Bv|uzNOaZ{D!694t;ect@&k!r(sF!^=u8#@1+H5 zPV)^k`?s_n$ObT_H&QZ?hl-bBwi?J{^6Y_p^YE&8Ep%&D;YQVGX|FG>#``8)THTqd zzB-$#@y5xPs)CJGAC*nj^~ex30%YoclSI^Sbv#A>cxwY=j)YY}A8w0tuuD)eg z-==O1o*kX!5OUb?zBbz>m6*44js^>3luzqR%7G%N-8 zn}hK%?Q+A@u%vcFu&$FkuD@!{NrUl^p4y5#BeQ3BB;9vK()GbeIzJRi$A=?nzdMq) zk3>@Iyzn{a-twp{bWh~;d^D2odn4)kSR|bvkEG)hk+gp@lD1DpQtLc@=P_^njDN+R zJ{|1&Y$V;Ei=^xGk#v3`l8!G%(*C7L+P)k~t@ExscHeZoJ+;-^zasmqMfT1UZn*Vu z*}b*CuSHJp*CSc+jYxXF8A=!-Te#y3ZwrS?ESAuR{S!Op8t-d`&W^4{ZAyFzmBBieG(?|?SGA=t@yNA$C@377oTK{zUdf_ zGF_2$cSq9I6G`WaNIH5WY43}qtv`}l`z^a)T>7Baw!L@@V>IUh#G4CozP@u+ZS|Je zUbQWf;Ri)B^x#NVJ|vRChek4Ra3uZPBk9`_N$(+%taw-?J%>ip{qRV-9uZ0BVUctk z9!dKVk+dBdNd(1>#U~d!YMn)OxO(*mdRT6|=?b*`wTJBD3f0NV?C7r0cPfbUrSUj>kvR{)9-{o)}53 z=Z$5#;tk-@8BZd43Q7C?&PaK-*0FiR^~Jw?;@_LLE&RK$7=UB-_$Fl`j`A;3{>91< zjC)q0K2;YZg*am5B_Zj3X(TIN7D>;`Bk6ucBweqJr1PRkI$jk?`>P{qyEu}#dL0`p zE7BGl@c_g^t>XTAZbY>@=Nj+tJ*d`xiPD!U-Me**AFRgvZHpR21urApRmzGVvsPr6 zlU+d;KOkxDHO_JwDc+J>m^rAn`pVE+byXz8S4T2*O(ZL?jb!k;NCsXTN&o92>3e-7 zy>EzQ#Tz5(xjvHaH$~F*=14kkh@|5!k+i=xlD4-+;vtHOvW=@^BYq}TZX8tW{Ab$V zqy2r_KPa>(8}I*#c=~@7eoWyf6n;t}UO&hUGxfV~Eb1O=zohox)P6(n1tEB650;jHLUhNV-NN>3n1)9Y;sfUXP^hm`Gwlx$%p`D0EWf^qd??_bHKd zof=8!X_0iC9!dKdk+hu|i97ktJ?)H~o<~K}{pd)#9urCDS&?*{9ZCB+k+eNFlIZ>E zW}$NPR{-j-=x?k+feDN!z87ME_R`T^2b# zyCUhnJd&;}BI&#`l8&n)X}>y>wre7Z-fKKn9ea9n3VA*?1Q^yB`6AXQ zdoPdnUY_v3!Yss>UovO*qpMiT0@&fEjFt0$r2K5Fwx#6}3lHL;W@4&qW`2hAK zm`|WTgZcs-^03-X(pMt>8@TTvKfwM3^9%HEP=A0?9#$|(>LKEDZ#QrXM1wV8ENB7c zfI}V@`bhFbd;z#ZkRq^y!3+Ui3~DGajKbm;NyCZw5#UCGlz<%trWEvOP-B2=epmw{ zX)F;x4qO>X6l^(|3ec6H#seoe%=D8~O~g+GHwk1i*ePJ9f}RFyI&jDbB+Ve=XM&pr zG8^n1Fg2j(f|>`6h%n8|mgKs5j(BFu)8)JViPfm;f)3~V!) zIOrBo%Yl;{W&lZALBy{Fw+du6*fn6*f?fw|J@9?XUdfO04MhA#aGO9jgWUpVE9h;Y zwgb03{`;8-kC-4r-k^e=^!Z)jc7yBzyBEwp(EC9h03O$rd*uQz&gd&cLjFNI{ULCN zL5_eu3g#H-bU>_ literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/RAM2GS_tech.vdb new file mode 100644 index 0000000000000000000000000000000000000000..2e79d37e98f46c407d6ed1efb7c2d9baa3c20148 GIT binary patch literal 116477 zcmeEv2Y6i7nf4v^VtdqV%Y9S;Sq?=q9h8hP0?ER5$|fP*Kp?hB&nAH+5Mvu`z?eA3 zM6z+28Cg-;O&rUB5MfJtZ@cM*w0}zqB_tu)-NgUuWranT-LvSLNMzo!ilVEoTmO3EUcA~H^-9L?OC8uf zR&;+~|AZHb$ARB?Z|dkn2l@`)qezEU?C`#W$NCOyXw}C1H+9{2c*CZ3J!>|0uitn0 za(p&i*R$b~!yoSRs*>*Cy(5XDj_O6xrq)@FOD@7o! zQri~HPNa72*g16DJ+-s%#3zy5k+^X7>DBR7XjN?cy*szfo>n<6otrvWA5JE_Q|oUy z+6icWsZ4qhc?jdGzNK_%j?@ zf5V#YwfAheJhi6ty2B_BvBRs^UfX?GL#sAkv8n5FpF^v`q1D2njfX$nT{b5YDJ(4C zap&ftJ9ll~exmfwd$w;&?ii`)S<|^LzIJU-_ZD4e&FY?YUA;Z4<2`FPu3o<;zV7-B zk(d|pL|%nx+|)~P#UF{p--p7=>#M64w%4y*T8BtbK_Z^l2^Bg)JmN*pXXq8kf6uGf z&~szgrmi(z*BvWdGXLU8dlW6v*Iyi&J;{=bynF1jFLo+7v9ukDfx7yGr9PqMbc@Y=F=sbcJCyl?OR zy<`24MoLdn9hJ39t4v2cj~?PgnK#QT_99h=2{q=S+C0>n2crUIUO}QuK&3SWDHT&? z6bz|YrKBhrQ8D-?{1prbQjP{<1A%;lZfq86Tqh-Xk$QxYIr!I1pde-N1&k42h7ZFg ze7u4oLtltk(Ompnf)6{l6rXZ@V)!uLh>uq=V$v@{tY{woF+I7$^b8wxsL~JX^j^Vy z;7mIUAGX0O81-r7A@k41N6`j+T2y1qr}{ML(<*?)@L~H{uUBxyr?E_8%qM*usFjS7^B3X6@Dh9+ zjXIYx;uqpW&AJ#LM}y8|j2dvT`rYB6lrY?PY>; z*FHzfUF%B@FH`AgK{uEpTJRD)I9kxd7`5Yt_)yv}#>dfu)rb{R%4_f;od(MIi|}!@ zp_egg!CHJM9WmsBpf<1!Y7*19+CZriqr};cbZuZ+l&YdxZJ;3&V?Na{s}0mXwvla- zK3Q#0{(~S3m5hbH|A<@#OjJb(xm3k!hE|niaxkBZDDuiqR#h;thYmya69a;MXBmNP&~ikaY4>m16}@;W7<{ zr0TW<2WiYln#yP00EJ78WvYBe@R8R85)lcWOGH*85%A(h z#`IJ&MYBpm1tLb8YJ*V{HDe)0nrgT44w3f&iZHL>xfICCNDHld_|#4Vs?aL3SWOUv zHm*geg{D*L;XvEJ9u8E@od(>6QpNu7Q{@}Ka5rnCW4{+4`iDLEI0L{=#0u#|NvC)| zfDc8x8z09X+`|}IO*+$m5Fbp5bv?@GvJBd~YJ(LqMZtWesrs#mDSp<)HmWkMh-)=Q zAEELY5qkwujgcnx*#RK33z#?%A>@+36}OguZ||ynKK_&$t(JJG{1weL+QaN5xi*ZC zW3&n4l;9D;eWOhhXZjBd?i+22IMWXbZY4^|unguI5}K7LX-rQ|P~};PQfkCVQ~81t zB}STRw~=UM08oT^1wikA`cR$F-{2Xi|`@h6xE}G`yzZ8QJJehf(Oz+F7%)%NuvR)GOZ|SoWy9HsvTC8 z6f^5$TOPy5E3l#@jrFihMKhvQzL^+}Q_6IVa~zl$rx0?<*^1Q|=V0$(o)NXkD?Ql} z)lR^)^t5xj!F^eNiK!^7uj0e-Yxr1)>lKi{ zq){%a%%D7(o@S)VweqA15hL$aJ|oY_R{#m?1<%F$UZ2yN^~1x%19@0~%KV}>qtMAr zreFL%J4uHA7#~LvKR`_Ip9t;?;)lek;6D}IH>w{Ir*bPi$owAzr%->6kGs^N&{#gp z`x!p&Qiq1dvRI~~Sz{uN7zL`zG{zKp9FX`$!E*`C%18@sB-zuMM`(p7Z!g#z)C-oN zy#)QDnxeQC@+3P#3;8`hj$oc5PKNzXa9=P_6Q>}5E4VM1-w>yEt8#*ZVOla+m1V7h z3?@cvQu%zVcm|MIh2XguZ274foE+)RH(Qt%gOzrh*`i{p8F(@oe1aVzgG;!)vD0|2 zQv@#-+-GovIJLM)aG${ih{~LhI2p!#WVkBVGMui4X-HFLTZTt9#{4Ru&+tNI5rzw% zi{X~PW&}v|Ch}->@yRd2C%2~3!q9FgIMOR&xC`G(~Ho#4JOsu7j( zU@jg=pD%PH6g3_&4+S<)XjTw(JIqJ-p=eeR6cRBCLbb&RLY2vSC_qIsE-6wAScD{a zE+O^$GBH+>`k!PKjqC^ou^bPMAes!`BDgPzX5wV>8G;)DL{39gtb#Zh#(ZR|D%T2w z3}+hBRM}P-vQ2X!;?OLHNyw+m&Ym!F)KRBorY|FK5Sk@3tERh z;V;t8j!=Uyz=Ol^l?K01aKmt=g-lDPUnDfcbVXx&YPO;oMn}#EECZw9xfo{oqZx)p z{&TqLs~tz^fizFO#p;gQP_l}+C+ zJWx1)%6g07^J4gEZFV&V*@YC>7%wk`e9I>4KFc>6{7r%zmPa-j{LO+}c60D%85HYVg=W~T#Kdw~|67C>v?7*8>r*r{cq>aG zMiHtqtrbyx#F$U|WUVN2BXIGlLdYdwD^4xn&hE~9hEfjWJjp?bJ6>w9RLd}Q=Tyru zbZVyaY5D4y_Nm%42af9tj;Q+7ly0_Te7BG}^rv^>!O?_U5tXx)ZFnI4PNDl6@qR=L zDc!AjpvK&;!#gCMuOXWel_}sBP2Vo`pr(*Usj@8B-%_f?NMk$FSDwV^Q&roorcnFX z9@131t)`I1Hd6a`uuQ8dN;TO)s*xi4hipp!2+|o#srHawd1`_rN^=Su{1g1!fQzRV zLM{cg`lb~y*_(G3G%W`cT#QYAP}j@0th<2y>@w9Rg$GBi_8B}WxUWw85iO)h4&s5~ zA%s+~grxJ;=>evrbeN7I(^35nOFFAo9IBayDxlh69S~{EN1AF!&;hY5s+_9Zsuk6d z7-g*TS+(LMm>6kNpH-{K0pKEkA>@+36}OguZ*nl-?qCJX^CTS*hk`H%q#a43E^zkC>Caq`62hTz^CzCrEu%IemYmoE1S5 zrLBcczOxzwF3Ku|T*_*7(kL8la{OOZ7VpJ8?rl9_@ z9kh$zNxq=|u?^HBp}G1;mq(C_B89s8$MKmUdm}Bi`WJZ;xGVsLkV}iK(wO;KcU~PL&`kxA5lRfikWZy02TMh>EYP zzyoz}woX?i>3kh4LsV|{R^ov=I13^5g6SELB6KfhaM)v+tdn`t7YxLhpY3vWj`>(N z)q`cb8#L4oVx*~jR_90~#xjKmuFkPgHjrv19dmV#Qv!k{3U{o|siB=9t5n7MJ}*)Z zT-=%vaw%le*FUY0siEXho-z28UHuFyq>hE|0tP3HN_E{`zy>maO7lECI7-)us5q?C z@j&Hh5xTE*O{A0EXW)Sjq*ds?vNao@;MKy$wOEs(}Xw?|Zzd<~MBc2v< zj++MN-2<&CdMz1L4L$w^HZ$B2?;^5;iqeG#M^P?DRJ>Cs9;gVH3*A?gOArTBq!-|Uf@C_zS4p~{06@vSk@-l>^lV0Z4;6FI3^uYOwEMT>!I9b~M8)pkf(P1-qWjXjk#tJ+&3K>% zFkSi$CDNEz(X1qCkHnZym1`s!c@tnU9Kmxj*78v^Hks`0$zwRvx`7`woS<9QYz|Bz z-2?xd*e$YoGaei^-)!*r3+}V`J;cf0TLkxw_h7%)0w} z7rRWc-G>K9YbDwZ&;<4P6go3fG}iI@DuK~X5Pa)+5?>*f42%fT?iQupj^j$hOiK9y`oWRL}dvy7aWDdRDSMcBn=M#v^9N=?!ppZTzh`<47GMMM*lE*3pEtTn+Ptkl!{t3$?UGQ9tw0yIcoNwlR%GT|Zw`6UtIW8f% z>-Jxf9kks)2n$o|@QA<2uMrj7eFhKI^Jj(bi{%MK#dd##2P!PnQLMj}bVf{(ClOUU z+nWA6p<7YWmYxPiQL(Ia+a*T(Wclf~ON=zt_Mq*u9c=gSC122X*#=sm&|KT4c@iX1 zI-I^?P za@csp#B=#dmmX;KG*id)N>6*s(B*`pxmzUj*=34jF&-Ro)Ej(>;J!E(5T}1!Cb$tt zWT8pFRPdlEST^%C2+fLuhRX6uiwVt$LW!4U(TG)D))?t)iIJxASz{!P7->?UHO9yy z;Nqx-kW0QvUz|q1`L9*Z;4&g?#4}&+qG;|i;!JjnJUkB%jttK-__>1nGCZ3&W!^5h zFT-<)lW!{p56X~fS%;!o8Is?`m`~MXWk?z^(p1}w3?prTMHGVP6ot=uBZ@@c6Rt)ctpdhQn31~jKLDxdq3_G{TmGUg3pop} z1`w2g(1=ycodN7%w`hJJz=I>>JBd?9_XzIG_%4%vm*Bok?2DdtaK851K-wcUtOnH%e2eX89-QzXVVNj}FE4+0lc6hbcfCw*~i`SX6SjeqPed4D7#EKK8OcL{vR{=LxTHqKSrD;H!ip@?=h49VZnp)W!cO# zAv9~Ibi*v4v`2(y&6G5jMKe`(S^3h0iIJxAS^1Jij5Mjwk?(QfV&6i@C0{E}W8e9| zA7xteB`wj=`BHGG)v>@(>&}-x!%kA7U&MnW(a#d6C4WV5U!tEg_?HFuCHi^dEayvt z2PMj~S=LvD7L+K{)ACe#R-&|KVx*}ySc#HGj5JlIk!a)#fMtFpcrKw~D^uUGHA3qh z$!C<$;dcvsj~$__{Rti%!F=D~KNj2<%nuNiS4N8ExX)k1aEKt!F5mwUEBOUGN$q|T4~{T?Nt~Mf z8^L{H{K}+%N^qa~za~zteOmCKm9T7<^^DLg^Xc1I4rwZ%VZIu>h_Ou7hM<)YBTbd* zTgelE#YzOvDKuY3X6V8VP&>hZYco?9P0O+2pZ!wtpUE6rUS$#f z*>CkY0bJhlE&@(^h0uMWdx*+eYF7wr23Xg5a1mRjpF)!hNrxn+%{H zy#x=A9`zXf#e)0#vl>yE@vX%JWxoy~_2@;C&exw_rla1h!2|Vx=@@^Zqzk$crlHJP zX8O28jAB*o3+fTeBu%x^x)JIT>tUIyUB->5El^_A2FaJMM+8Zf3auVRt^zKqB7|J3 zVl_jnN)iWSc^uFT?z{vYkd6fo55jj|lv24X@Ad35g?2q29Obyi;5P{FE6244e}mw@ zGF(TTO0Yrjpzv8X6={>uf=-0xleST4R`?V!>mW^)X@yU7C&v0zJ}Z3Eh><4sS>Z=s z4_v0FLdYdwD^4xno?f%6L%CkyH*D}CoqRX-49ZsW7s#^@MF;KA}1Ceh+Z5XCdU0w-v8({r`hEwRf^xl)-L1I5NG5 zI34vK!F`$TGWZ7t_hou7aXL_i2W7~zS=N1$$I6fk1*T^{RgaY+X~alV`HT!B9{?{a=m%KnPUbgM7g}6riJb=YY!QC zf83oA9VG+k6CcHcBeahhd_r(vXvYwh`*#oFfxdAZAqDb?r1OP1#&i_!gLq*0FhUAI zr3(s`Y3L(araK{`k0eH4r`l(QN?*q^nU8I7C)UhIjQLdit&gN#vJLc+LbE1&rqT|5DfeIm)sw92QuvXiX$7*NrCTwu-tYYrfl+Upv6xmnt;E4S52LFoSzR15o zoFe?P;6}u1+kzOys`6N|lFh_OQ}q~IjeLpakuG>HM#APa-;B*Alc_w6oI&>&v^gCM z%q-pG)o-&~w1~&?;IQ>O#L2!N2=24>yC(e)1vhMse2+M_TH%(Z)OeP`I({U13`^Da z64NuEqFGC!H4r0Bwas^b-v=y{K*4i~0zdnqId4QUnD=q$H~?EZzp zpAg(<_b-Xlt`+XH`&YmV=qQLYROMN=bHYU$^D3HQd*s(FlXSszvd`z1X5T>m)5%kI z!Ad&_t;s6pUa)$W-J-_+7akne{=wjX65MC)ABoe@|17v+t#S}7gU;rJ&@5Z2%`AsB zmCvwMEn11OOqI`QbL2k(i}MgX7t1Y&HOp~ZGnvPE%;12XYgwvkp}XqZ%>=%x!yT~8 zinVZNpQ!6wp7z&N$lU5@%BN!!Y)w27{k2xG&O1;Q!Goe;*(~c~p;=MT%2*C*7YfaYLRk{aqCqH{wK5tD zG164~f>uV1G^x*8S>#;cVn{;BC0{E}Enj?JWp^H*I;~+$%PI5{MsOHY3mtbDyMo=K zS*^u`Bf~2ZmFuXzc;N7}M(DmQyGbXXNT&{5C3GWGHQ6GKW~9mv%9ZIkbg6Q!Tsh|@ zMiW!{j9eqD0gH(Vo=XIl<5~o`gPKnS(=xH32y`rTH%<#=xC{NmPXWk(b6BjzquX55~FBUyNrmG>9H(|R@G@ukD?{UGF5wnrbmoomogpGdlhhzyAX28-HO?`){&8s zJf>IR6?rH5&7k%Thu|2dq{&|uT8D1nFY+cfo&0?}9vu0-nK=3I4#ADw6#tl(GF3ER zW^ZF2%0=*8Y(S@VuQeO+`!K_K*npouJXtpI7ZbbC9uL2}!9TLabo^#EmL0zp4^GE# zAx_^dc&<*^HXB(chZA`^F@x*c;4rCoh_bG0x0vp34|aDeaoX>lf*UzUwwd%h1ovfp zJ8{~TN^k9vEMwW!i@PL`b(y5G9NLb`XYG+Q7Gl&jmCx8?Xo6h@!!V`JI*empq(w3j$Lr*NOaeWr7Q=jz-b{*+qj&f%M8 z2J-0Hw3a}61W0wE)wqx%|INHNI9zKT)MJHCIU2|};Ktk~&_ObQnm3FGhhc{hmCFDF zcp!a9=sx2PBU;1}BZUWQBGWN`1R>LZ7@_?xphyDIB6@qKXUKFk{6U1wuhLsh<|x3l z)K-@5jx5wxV$7%7Z8e$sST<>@%|?@z*JOPxQ`Kij7M4kjG|6X27PXg2kVL7_Svn!R6vNCtN@esRA;XR56M`<1=PT~K(;J&hqBPusS zK8^?G`#Yig?(7jnWz*v^!L1U|Ww8!g^gjqK=+0Okl}_agDgiMnfoe-o30Oa6sc1nZ zAVyCi^;so|OaK=@D1=;c#w{W}0vT7~-w8vxr(Di@vZ$w(K{;#ZFv)4>^ILdu z^7$u(WqSDyJkTjHUHVNSmccrh z#&rUm;t->Z*$(&h2|59mMHwral|K!g81t!qS^2X}wvqXS=E(nRz{SpmkW2pf9#OrD z(DKLDd%lxK&Z3vL)0`3-Rzgu)FI)die?p_G-9NwGL6DV zo&hXAP4HYo!`@TSr}YdC4CN8pwC+_0bvih91*h4;>5q<$cA>ZaibGF-v}YVtG+o0H z6;oxpUx`#$0_M>BRpG%Ab`hfD{VMQ4WvCRoFZ5zW<(^xarkCq*mZbBQpajtZT9QdO zTj*99XicQiQ?V}B`*CDsx%5G~ zBC>(hB1Lq*5{W8CkiC&o3;lbN%4rZ}Q>8i1z3Nin;#7r@O98FE8Lu&t$hTNMW&6%b zk=zZkW$Xx*p&1X3vc!l}iB1#TSCR(e1HGJc8$9aMI_e8MTsmN3CfsaI`h*1y0bywQY{LwrQ>&1}$8t)E2I+*j?b9 zO$JZ^7Yox;>+p!b$TvE2hASu}sykpcS*NEK}OzS}|P;K^7_*orTOw z;9`qH$fYVhzGfI(97yI{3(w%C46QhNf~!RCUdp(V9ihnA;=!@SZsHW_Rf78>??F_i zp=ON<;<`HXlYy?}+Gg6Cpr(&wLM=+IC??>3;=@D;Kc%ZP?Bcz?Gbk;6ud#rq7gh78*HyN8%BonzVi9s^8Qc$F);fN+uUkcxK&u_Ti*ML)8g(F+^{yX8BsYz zx*ZQ>{T)L0*?Sx5#J6escA*C?jdjt|?h=}DkxFdLM~h+k?g&VWAx5K6ZL*d|gJCPw3)|pfv89@C=kpcES(|&^=7TnjHeTd3B=l~ul`$IZRN;+SE z_A?!2#dOr8gE~w|I;%$%9n(-BR9!(mVm?Za^`z?&F^XK}vwB2{6JwdGUqL-$8(5~a z+0`Q|CqWh}8Lb{g9sn+WR|vUOCFyI1R+a9a?tCZEGkAIxoJnal3aE;EEAuG3MFkkg zgQEZ+LsVWgei#pAq@w$ZaE$q=01rt%U+`nZDewmcw}Pet6C>kQ9xG__ofs8{^|^wk zf)JxjR2z(-BgX-YNCeL%5-R~?y(x2D6UBzl#ZKAeRtdCyA4p zpB3C^{-=nOk)IRXFkg9Ire#@*W(7bd6JtJAj}buRGc1pE!E-S(>GMr9GKIhAZcd?C z=guj<&Ze`w-^PQZzuzEE)_qHG!#c$xVq}BLW7rq@Ci9RDg6Cp`?X}UTp1f~=PHW>r z8?_z{^gKMUSaLTme#nl{sDCb<_x0&V2LGAhhAEN95ta4vPw_xQBb}kj?=$GffJN_^ zE`7m7jE+K;ZFEbWvk@arm1pMNk)NS2G;%`Q+PvpBBS|!>^77j$d4c z|C$})wB@&WaJ29VgFhp<&+sP={u{x420uldUQprIc~32Ue@@p!Fwqi|j5g#Xmc1`7?3qeUackyH5a@ z*=`|l>b0W#Z1(_*vnY^!mhBw0h|yUvue+Y4qaa4TR^=JCE1f4sk*GHMgH{Bvh(z#Q zBC!%MBI(XMkC?$JXK)@7+(6K=&IaS2hh)DbXnS&mpZU}3~wHH-m~bM6G-J1c!lk43;5*WuFl-~G$32qgM3c)g1N4wCh zGbWAYkf!okMWR9wW0@+SRV31gk*3;h6e)5RV40Q(o=Y9@4w9CU5!zth>*c4+iEIC% zow$m*PW<`o7TI(K9vm^fz~Ehi`(lb4{Bps4F?ABBSXT)i6caJBROPW^BD;x^rs@fb zi5O|BZAMIy%K(cg1kWi7pYui($-FngpQCHYS}-}Tmbv$WUdS%fUB40!j+kDAsJQEw z;eqs52;CRgiw*syc%XP*E_7dPFF{n!T1Y3WuSQ63sLHWoq^L|9)|KwIiP3GV_E|B~ z5?LozjAf_4085PdRDH(U)YwgoZILpq+m8GdaPiDS$SHqc+*3q1GJXEj_I>?6?5f(1G`N5-G~QA{%P5FXhCt zIS9Q~Xx2K<{JIsq0(t;K=C~gWo2&FQ;3H)9w^*@ns zGO~(nW**w3;JMg?pBqTKSvx$G?__@l=dQs8a!~zq&0RHm&E#fyVLyq5I6f8&TOd-Gv7_7L#tbq%+Kq>_k-NuuMk*d{F2{07|h*qvK*3t~2C9 zniy%SeL-Vko2VdrB%d`F8X4O`nySwl3x!RLZIOJ|Sd^v^WQU|eXAXN0aPgEv$f+v6 zW@t-V)tT2*&fug)`@g_miuqz{MRO-D33iJfXBZET0wjr3F@^;91)m~Lg%}jv7xVz4 zBIpm}f&5eXte`0r=3!k-;|iJ{j2H#2@>xMs35e0VsWuuxM~(m%=O%bAkyr^B=Qfh} zC6ebzB+5cvk&LlhWbrs29FZI+PLWIq?u+C>;$-V1g8L$Q2vHFUak5tB3yOqw&`ute zymp|XNSKeJRQZA;Ax0Zg`FxQ)3|Jhh;JHMS^d(?KlFa+-aWg0q?HIL{=vdaN{ioS2 zisTD;a76MM;$+{K1ouVqS%ZI3a9<>!BTlv{JSYm5&9c5Md8{btvY4LvR6SM{q!A-c z8jDC|KGYSKovF!W6MKy$wOEs(}Xw~S!U!Gl) zX}v9_Mkx1fsVCW3y0_or!4czA#5pP`+!y0B#Ob~S&(%5G7u~tl_{-C|Q+l7|k8C(9Zi{)g%63BgTQm3A;K*`sK_w*HBE$Ogf4vBCCQvjO*P^6iZMkGt2U9fiI%t({2L zdtJpyE-s*k`Rsms38LZxD)2x-M1^h`9w|js1XL-w&+IZpCEqMOFkh9>gRX&P(yh!9 zTF^DHJPL8P(5!2q+hCd0b49bRfx;z53s+?ZT>~+?6zQYu8dxYh#>P}5^sH-8D#g~b zR7G^|adEgINROk6wfDHnfy)G02)Pu_>X%kH+}qE0k#JgPUs@dkOHK2ZqN2HHUk&UC zdDDUiM>!e|eum(_QZyO-bisY4XeLfJD%>gqxk!vORhCr-(uk3!>Nm;|IgRC!E_f~m zTYg63n~`CXyXg42*5qy^M>Tlb<3IKJPy5VM!cUj*(zrw5|;8PhPQYG*KK2M9ZTcv6a>J^On{X7qr!%TTmVg#yw}F zzqq#X!s_Zs{H-WEM&j?!XlX&!u>-p&#`_QKorw2O?Cu}yt4I#wLcq{yax_uVv!-)heC^tv?k&Za zEWU8zvUrvwm?BE}piu@s`zn$&iV-X#jJSSH)bGNmo?#y zzGg(???OqFG&#JpNM_It6&zCz2T%KqS{6KDo+9T+GG*lv9u}4t)Yi|hsajfJ;Ch$R zOX?by#cCIxR4d?L{8soi7VJBwTQ>Q+=QXrR-CK}z@*GezYu}~e!MJ9>SpoaZA%~(7 znD>t0{D4AgP z=sjCHZoh5w_AMQ|1`?52Hn$mn6UAS$_kn|bdyexFI$E-KVtmYr^*!j)_DqcXwBow@ zi)t>?*-E2z?X^`l8Vg1ngVBayv?&;E2}WCk(dEHtv~Fcpty^y(+8CrZ1fxyCXiG5K z8jLOvM9cOb>>E$?rS|Inm+jrXFBk_sl}2~srFC(^B*UqxNd&iGD z@zSMjZgLS>--OOwatI>xV?}*?{paGPZHpIPsFRfotD^JgFEc=lU2*|omkS8PTtHan z0>U&G5VpC1FwOzW_CC@kEt9XG|cGT58 zj0}hR(~z>Gt}dz+K}5>%wb3ubL8J^P&RS50gOnZp*w|j*0@yCZ9eU{!N5err)c-)= zxY2MXcQqdUTw1@Rt!}X~2_|q&KoZooEpZbh4~_TvHi8U^w0J5lK9m+8NsAAs#YfZP z18MO?{Qzu_N|!)Xw*AGpH&ne+Obz=seMhxXLXno>j*!uIMpw4A+i2NQ_*maM$OgoH zt;Cny9_>GFbQIL&(XoBIop>TGo=S@krNu|m;>n{EyY~gk3&vAv^r5u)NLqY2Ek2qS z9|*=v>*rQov|yo{9H4s-?%6jne&2pIMN%`P_A)A6e9!*=imm(l5ANALzIWf&GAdpg zRti~kU^H}+mGn)dC5qP9E?K;?eaW(p>Xl4fT2OOQ$C9N5rLeiQ%+Yh|+ZT2~b{$B9 zq$OLE_a=w8R-U`Eu5D?3ZO6s+wWS5~qtV7#!JP5_`*t6TPwYLkH-2FMBavur{er4x z9V^?bR}+chA697jAT5NmqYy)%-R|U0D!| z@-Ma}S`c+;%u-np6(VV~aVu_FN85!plB;a%8}7dfUw*LF!pz{qRhSFyeRS`>IHnnK z$}SQMKonghHhcd3s_KrV^$Vm-M`o75QXN9&vJ0s#>FEuemb$Ee-_ZkcSZis4bQm41 zudTbdu2v)pRY4PAh;<7)YTD*^Anw+5F(gL82jSWCz~%b3szuAv2(@U}qPE(lRf{?l zbA$QjJh*S{p^5m|1hfMUaw-zaSSV%e(W6=NkP@1w;@Bho59~gkR!1o{9@<{A^+4Z5 z-`2|c^=<518=$hS5A{Hd=tR74qPV4@rKMGXvA&}OmbWf% zY^V@c3sAPT|KNT19i7-(zI0_h^b+M+4X-%pmsGU%(9x~s@R%JJFF~5(f`tp06jYEw zx?mUB%bEnJylBaM=%VDYUNv;;LMdhy+vu@cG!XhK_TP9yBR-D-j zt6Oxj7m@qC@x81&E;oK(;1#9z-hYhCyRuoOrI3PGdTH}{yS7y=CN`(0u~A_w1e0wO zCRrYgwg#gu!Dv%3+7OI32BWcHG#ZS?&bw$yd$np>LxXBsRIp0!=wNC0*uclvb?uWB z)e9CdMag5Mgyxt8!-3dHAT|_;r2?@;AeMAuPCy(s;aAZvFftf(I`6%q+KD=I)xPVAK$-DlFVvqVv<5^x-eco ztFn@nsH&3AL#9BLPxKf~uO8D|G@wD^!AFs7+P7A6el>mb;Nw zH`3xpn%qc(8)lV>ZluADG`f+P z8;ROT(RkmOaCCO{nP-w4MHu;oJ!P|6TFBxetOW#^UH!c0Ns9jCD$AK|DhuV*E|QzS z*hi`r(s+hO7A-zUb*gIa1sAZG;`s(CpCd?V(YmhP2efIHSDfHxAG*xrGJXX@5kAHE zl;Bf}PZ>Vt_!J#KI@YJHCQ?uVWEMV!ySCM8ODQdz4Iqk76+YGY)ZkN#PaQsU@hRH0 zas57{;AQiG)Z?=NpN04=!e=o)OYm8WPhnL}yVj;i!5ILS<5S=(Q01&v#Gi-HnfRQA z&)N8#gHIbi?f9(3=UjZw!{>Z_3igd@*_M`FfcQoDT#U~p_*{xl2R_fo=LPu0KaJNJ zut}`GO6EVoBXFfP8g1GZ1J(P30F^DVZ5qo8Q(0xhWQAGTIN6jXt9pCZ^ln6(y_Hp~dp31; zZCcm4X~Vj%_`2&iL}ImE#c;LNv2$~B^S!CW_Kp+IoUWF${Osf!Os~1%i?8XtuJhVW z8`j3RIGL-}k}tgEg`C7rj2%6O$!1y+W{p^brCl1yDShF``p5RmnrF}Pv{ZWKIPbxU zz2k@a_r)K@$F|TcA{Vr&MWbFWI?aqkm#X#U7>>^T)uB^FB)X(+NqhbI9avQP>rJNs zJ6B#(zqo2?NBw-Pd(67jNv9W_%T`t`ZeOx6YcjR=TzKE1z2oEkWBc~)mSvvP9=!~8 zQ^4p_A+W^*@uOwBVMx< zUg)mSX)?BsNUR>4%h-e1Gk%Z@Nr&WC|0q^!T;69*QU4)mMgREj`;TQ$vlOe<`^Wbk z+I@dqX+YM}7Mxq#e#y#?b}kr$U2+;FiQ4leYQK0%{*ss|UrBTIc0|_p)Tm|ggu_C; z2A{`;FxWRvUt@XiFUJkNFG!EX=8TQs=VY*EJr}F(j%7<$Vg>BTTdmiH)?UqZwo8+x z`&_j-kVf-Y@pXr=`0pPJHp8ivdkGn}%G$n;YxzgHN8q$qE#l`=ika<^1-_ z|MnAu`-zpY$xd=BqmxZpailA*76E(nESCl+nXoJ|G#oeUZLAS)=Ne%L*9ehWYddd@ zZ|cHayJy4NNYq_2q>#xhfJ zb4JKAe4$G(Z!ykVx4vsr_qvU}aiqqM4Kjd9ExU)Hyc zz4N-!7JSWht1WF8E6!Vh+67YePcnyW0G(70*=0DX9J1SRQaQwRpHvQU;U|+rTzP8X zNPK+%r8gTiU zG{+xxs;XQr2^Z8aUa>1r((*>^RVEwj7A;HPt1QD%n5|LH&|0>-^I9%V$5v7PUE($b zZuT_i=qK?{<4l5aG9uzfO1T;CJn^NT>Gxx3=oGtJr>lOHn#4{bPV%_t~89( z)lQ3*Yq{xzH{BjBwKPykAq`YANCTAw(m=)kG*EFr4OF~O0~P1fK*jd}2+L7MqR)j? zmnwMrNP|j4m6e%ON0+#uwr5c ztk{?VD@JC(ij`?_RdOhih-(kS6Sxc6rw-A@sv{}V6tmK4gF;i@CY?4QH05*BX`@0@ zo+q6)EHveR(rF_?Q(h>I#${BNk%SAUY$U9KvXS8Gvym{yW+P!V%|^n2mW4EDC_WNj zy}HvGR*UMA@x(-5|A(*xXg4lyUmDxJ*v>Bn&r#0O^eD~vr<~J zQkt?-8nRLvvr;gp51+D?B2U<{UUYd3i=eHT=Gp1(Ux3h}Eq{^Co*l!ocE|QDn|IZ3 z-B}&B-gBmUv!hsu4{Y7Mqa6!;Id{hj_*STCd<*`iZ^pQjL@4+jlxRS$7pV2_t{r!$ zWYKGuzOij`hKJM(N(1viM|>}s4%ya;yth@Y}k9%u%_oqc$`^i1_?3)P?SZRqCCJ$Gyg?dnlVY-E!8nC77^;z|rN_avD06d6w11e}c^NFHIDcYf?jADJFQlua$lSsua_^FuZC==JRU*AZ!x$G$oj&#<}~ttuM(i*#HK?!d(7hK`nofVZN&mYx;gL3#0Cn!M^UIBBPKn+(V@ zY+)?U6coQ`AAy8PeAM6DmA~xj_C91nT;MtxJ9zLb%l& zd&Vjaep${3#QZ^EF$v{LTl9uIJQnC_XSSs3t}!nHY@>t;?*VO1qXmOieI9 z51V`OM^sSiKiZ1mRRr>CQi;IaE1|xGBIv!KT%7T624r+hO@T6$OMdtURejV}Wgw3u zLzq{Z?`ntA{l>=7saeJ$qdy^3hm0l~K3nF`B%x^^vQ3j;P9nQG0EIQJ6a!EgUT5d; zY8G#1%d<-2Z{RuVcQY+?DW{d1eP+tM#aL;G)Z`by(70P{dky5(4WzWxlwu4V37s>S zQEr@&XUIu@qz;9@%@%GTkE3f?r@1*2hVxv<+04p+L>gFS49F^@Zejd5Jsba}dA4aU zh3bxs1XTR7;g65+=P(&mayv_c+wuHEYjc=wW>1;3BrK<8F8xx=O9RQM9mX@Q^DCmw z4SI3RrDyZ5O!J=p%wf(TPS86yb?(Du>@4T!&VGu_l~kh|I$EQ!Lp}2R4dugsY4VYU znPHeeKL}f{@&`(xb5~eC$#0g@0QCllvi_##mX0WI8t?#wwZp0^X;pRjmsY!#TH2Ca z1ZW*Xh6Tv)&!XzHY-P7L&fdp%;D)b}GfS^y-X`JM!fG zHtTka3?NSw`x7T@w+Q4(MGYNLTsyakg;b>0=~?GB__1ts>?gK@o?Zp13e;w#za`nY zEmMTr9(eV}zlY;q!^yr$&^;{k_b=MYlN$00gIoV~LzB{`MU=3%Ku5;m%pFb+3nw46 z)f>pGON|B{_-Lp~bx3`f&vCILH<7q?rX0DeX~;n_u>m|4d=dC`L@Yl+u4-a9#cpbJnn=#y4%`Pn$7uz_tClbX8GlTo$c0y=DJuAS9w)__Me1a@R)zUg=cYtp>C@bbb&uR|#3+?HPsNEFrsrxS z<__hiI9=yyREFZDlD(_h#AUXL2J!+Ma*fNSPPr_3N^6~STyB!kOjPMa zL-*u*)qLokwrf2aGF@wUF1j}GmpH?41VYuy94^iFB-bH1wkPqkFz~~#vm(Imq(Gil zVP38}GLVQLk{4Gq>p260*RSoFyKb@);xfp2oiB61UcFkKl3r=+Hjr8cHR0XCZQ&K7 zWOuXDUu&__th<%N-D=r&rmfIG?pA4oc<4)4oY%H6v+`+kRlIdmhu$0wjyt>?hb?q- zYfO)y;kU-H(J3S70;e+?Qk`k+z>2~Q+_qsIoudtmrk`PF zieg%qXX}pmo8DowbmRQv}c%?b8&r!m!j>yFz1Px+ZVh= zLE}`O!8u?6726$>R{#(=#+FYFhOVuE=V$~n3e+K`-~l?h50$7 z1Lj&`79GGA0zLSr9WH6ei#7+jlqvTqO*rKqH3yx{Ifpu`$~HIF_eaC7lmP!k9fy2sE=sk! zN;~5hrK*M!j@ujxyGd_%54C>`yAG?D>L0Z_+p8fj+GOn_d~})G++QzdR|IGM+yTIa z#;@_*ygYLUfO8u?i3!|#r3V9Uc6>AEHp!$q811(`G?1BBshvyy=Y;yojOjbpQTC2} z=sIeZUK(t-l^7_q5{_?~_J2CY8Gjt(RW0lc>LJa>LiPz02{m_no2}YF@XhYLBWxg; zlGlmiy(BZrP%-%Cb#VGL<&BPL^K*Rv6B~Krv~#>(XOiT2z0SFH)7a6<tBb|gL;a-Qd$q-#eM{$dB-?4TRMmu;Ix9$wfKD0t?8w|4ZI!+SCY`WZTAh_0~X zV^c?K$i~UEoSbIXaL6QLTIJ5g*lUJ$bDb#bZ96^n&c2<6^DxR|o+A$pVKEe(`rVo# zh^b91iyPoSh1>2(6YFSL0e3btcMS)629ROi@O(S|S*VhGdT94v0&I}DtrYhBVy?Xao49!xMIW_#0jM7`Gg1Iv7L zOTFnEjk@c^*tX2u%2S%7>n&s6OHTS*#$03J_RNpbsj$n~^6ISFQ}bVS2<(EYEw+#i zFzDA1?foBY7Y*b^V^$ZqA4R4H*9$mD*4vKw$5zlhx&f=AuE|)4dEU{K4uvYF!gP5k@sY;TU4n%@X-+{ z)c9`mb04K~{-$I6ar{fur&DD+`jDcvHN-Q^3;gQ%T@3;1CH~M2>Q23)xzkF@K<3*R zQeBw~`6;a~=Qg>L=W36K9+_4T`KKMQgm0~9zo4)z=DxzmFEDJxTjG5A1m9MHZ;;H? z>8Z^u0;{9e<)N!1Jt4MN_w%lia37s6^W9b?Ne!tB3Jo3TOkGDTRwX~HF>hu3Rn$KI zOVd7Rg8zn}J`>4$95UKHD#QK;TdjfIFIYh3D;mP2c81!CGbf8?4^}S+O*G^b!MvXn z_R5YP$`f6gd;1v51E-mx(|>#glAOW4*mlZ5X2ISXZPE8ft7~i1_;;r2la(Eo8qTSR zzw?O6T-f=@V0W*u^g>&^flTeOD3rEW(GGI1w!9B8<2O-`fPiWy$UiGjdw+#LuDU|<6DcKoBE1l zX`3(Fo8T*-pPL5E!HwRT|6)cQ{_N)@E%S>R@k)&h7VgQBNv*$_(Im-na-_M0A4Pa> zxFoMDG<0yP+|sJ2%FP}8dfrpyabc5Wcu= z)OlGal^6`Wyf~#vqCVoskMG%QZ?SEe8}2i(xv%*c<>qDPVT|6wz{V&h)Y-mDLpGOg zunfXiX$a(RjPfg|D5GfOtXXLjuc_^h)(p6uA2~8QW1OFt8t`SBknOM(e=m})Z#gTz zoht$UOY6l{-g$_wup5_r2{KcsG#u9Jz!%u=7|3*|X*qtFAyZE)XyRE`d;|F75Y%Q% zHIQFwV`JFe#VPIHIC~w~w&6)Im8Y~mekSUde`(5t%Y-}x);Dov*^Fns`;mF}kxZ!< z3>s{A45aoS%vY*3g>0xk?d+w-R4t5u2J2|~m!>gOaW^$;CZE)%%kRR^G~F3lmO2K|)Pb|g*tR(t zti;x(c57;Z$k#eE*C!*%9vMiUupBgyvD_6ccw+?Qv;&bTjk=2(GxdPlz^$OnE|^sw zwr2E~0*0(;FoPA}^F7-G19@}ty)5Ru*w}2?AP-*gP|3XZs&=+A4k&vEd*xd-K5uI> zQ1AdBE1FYoPHHET}8}L*EeAQ^)4fq~?6?n|{&p=*bYv8Cc;6Jc_8dUE{ zW)843bYDTQLGU%F&&?Vn+T`xq$3niucS-}7zE{+;0wXLP(CpIqqhNN}Y0Z?(t9UuK zBE?qS>jjM+Ei3T-+o)(JOjsWQ;^%MNiF4+nX?jyDdHoUhB6zw`f06oJCXwl#XF7NB z%)WQ?iqJcNyvnP;Wts~#xCgn(i|5?iS$HW$dU~hrse#mi5P!p9O9yWChP|;m{nJ#Z zhsK{bHsIJ|ra3tm-;Ek{uUtQbD!E?a>KAV^1`Tt`I_%zcv?b_eGJ7#4FA&AuAKUn_ zI{^Av(`kD6(nr5?X@!k1B9_&Vw+L^<+B($EsTs&KyD{wz6#X5(31-u!odqFGj#Pe z&|w_zoazooTTh7%%*Byhx_*Xnjc+1jZNVj)ULBfKP#*Fgkk(V-#pEq&Ow zbO*;wVNLyhjJa(Qb`Or*0{V2%f9ud}1<=i#ErZNuK&RdoxYG8?K*6akPTo$nM(FLb zR{mzlqKsvYbB95Wx~OFJWp6XWj>`Sdk3}hCaO`NfE zid=oxMHi(__NKP67I17>NStalo{KBQ=^iNCL`6@!vRz@*-**xA6&QhDVcmJEdlje; z$KuaveURFzURUddChlrJHw(=GKT+$c=4bJWXtZhDpYc;o-XHkSD_%}OelS!64YB6H z8nQsY8dc2XUi^31t@KJ$J9c%{E?qziEs4hCB=8?Di+l0c;2*v@*SiAF`&?AA0{hqP z2!{|}fbb7^8IR!~5uUGc(up&DEz%KZ$aD-Z)FIO`-%1@aJ>$gRq4P1$^miftQG{eI($Hf`*pZa zhxh4luMYcl*r&q}>F|CXeo%+xhvAdqH{;~XGYCm1f7*1Md?QXi5huSH5@*PKzXsok zGaYgAlW`*_hRn~9eqsU?JQ)wMv<1~UXAccba4sGmpuAshtu*f?d3?r zyd%i-c2iHW{35byQ%w#1M!|28hI}ADUX9QzNnIx_<4#$vN>t25B%X~d|A_`O@oN$P z0n+2`2Je+{PX%mL$&jCU?jn`B=!ZHH3t^^L=)`B~!n`7L5?NA#8<XsN*(VD5;&pma!mYpy4r`gOJKP z2HMXcymg3w4%PLOjskiZ0$+;|KR6O7sDcI10vSdTvY=xqXd_ziJ;d1;7VxAl;5$X0 z_ie;GfnSgCr@F#c#G4Vv&xQmlC}+Vmbr$?F6#P7$a10fE5jg2J2{ssjwBm2$_^tTK*0%y9{ zBK}!jfmeFz^7C%jzl=DmYUxto%G*)t$cQn7NYyH--bCBd38+lXDDI;wK}$vgDnp}9 z(3FvYLT$1M8Zr`4IBdg+s-n?NP)IkE0D&e&=2H!t*}hWzh&Q|BHkQn7K*GOOB}Fq5 zkV))~Bq&S7yW_`?j`dZ7W$Z8I@*tjniSSusWyz2f$90M~Ns1##!8^wuWAI4rZ~+&h zeH>;kMtCJU_B+(dvi}}w-iUAkn^!g%%vs2kztt)KPS>$eQVs-D7XMN6ggJhL6!Z?{ z3F~-F=Ugl~M}s+wnBys3$H#TbC5Y21|4MQUr{y4pf??T@A_q%&5^?rpndBHr%RvE9 zTbT0SbpHvs1V ze*;3VbZNbO!LbZ-vzwd!X%<}sr&&i(+KtG~fxs)(Ux%+!pLQBk7i`j0rltd7+a5UB zH?|5n3+6m9cJ%l}KfYOScYLCMT$2Jb$@mMAhqFb_Z5VRm!jLl(hMW~JsTIVhny9ESjT^lkft$&km;^PxlH#vP5-S9pV8rObojIm zpVHy4bofgh{z8ZUuET%R;lJweUl6h#Z_wd;5wiY-rXSYfAsrsn;Q<})*Wo@L_Uo`u zhab}6{W{#M!~1l&M~5HO;cgv%03qda8$z~cvku>{!&`NDiw@tX!}lO0-`=U?f33rJ z=Y!D>X4|5 z&*yl>Yk_mx%W;N{ScUL22x)zs9@7s*5HcU{)pRmnn^*82q@z+Y{bz}(rAYg(9w!00 z!33Z36W~{$dYuGRV-6CgaR6MRz=aN3ZT zfTI;l_-7`lus_})=3jL-7c<`MZnzQ-Rt)K~$Shh4<8+!U_29+{IR`i59I_a4AY#bj zg&_wCh8zMI(!n#NyY`B@0~46Ulls1BSAX3NcvZV&dEoRP9~E6WubGb5u}rcq<=~1oLB_uWFhHa6gsC7 zK{`1|`WJ-G31N^<29o}Hp(iuwLelJ<{IePKMqR;e5ToXPY;ZlT1G|EIDLDl$^#dcKF|DP&kIGtXj z=|5NWk#u^UrvFUQhtla>H?jVoDtaoN&SekjKT-5VI-RQ-(toVz$#nWg;G{oJdZquv z5;3*hu6{ngA_-eYi}Z|&R<#`|->YXrPLA3tacI>qc!A7k&PI-VQ1>R}cq>A$e8=`J zn|IaYHz2ynK1_KydFFJCzV1I*{jAkJn>KZ=S+#K-zjY*~)xA=za}eimC%VSk57GE~J^F$4ek z8D6O~9Ao(v_NNYYhRd0O|NIPGC;kQizV{IhN40o~^^@>2N;6hfu*>9j`<9Ma1zn%ThjGQugTl$uib=GeVpO zG)J3uouDAV)IPYN5+tifvfqrRaApgk2+1v_Z zoo#SVS0E%0-iz=qlreztld!taBfJbeDMB3u2)S{{8PYc31u6ObQWxNN8ci@@3IL{{rPnf_@;E5u@YJoXfIYrsw96PCw$NXZe5B<#P`FlupOZ z1>K$@Cw(Q$XZp2Z;=?GH?cyAo+Z*3OoEv?^2)TG>{&K`WhLDTCFX?a)A@hGkhsP13 zA1SAvxh$7+^fCzP=b*ElwFs{Re}9EAhIaNM{3Xh{4)Ub~lhe zZ0A9=b1OpjgKG}9<7J4KAwLb4%JXJ~l*{c1Ss%;&9Ppp%bbpQT3n=HS2!Emb`5n-U z5$CoH>ra6{Uqjff+y4!O??asZeHX$5$d^P&*G_)0{cl2?M)3oLpF{cYN4OK^d=uej z;1?o%G4d}$_%6_?&woI86T+RqXCvH-a;`&|LVOm&Cs6+XL%0C-{T?Csl63jPdf1P{ z2n}DjCVN(w%k5XnV?XLYfbecz59PiB@iE{l5hitgJ5faw`a{04Kc!kvxIIh#8$-F9 z5k3L@69^A$K9Qg7FZuZ?gdWO!9>VV-em}yyG#_YRlneRzIfO4kNPc`9A^HDH(_a)p zy}K6WQZH}S{Nv#X`OW^E2mBh8KZ?*R##Fy;p>_w0s2*IRvYK;{Toxoq_Elv6B|`dl zn$I!Be~pmcXhgbqqPQc7)4ca0BzxO|Gv6-|XL*GPxr_bvNq| z>Ef7;#h0KN9zt64E`;RX-3T8C{TzhU(hnh|mt-~6f_ETH=w{QK>_eR1un!^G$Q3{1 ze?~~}vI5O7Mt(N`O( zc!lo94-t}0RQ}xv$u2spb-LRumu#gprCVrL1L$;O)D|8&u={K$*^BPA+V0IGH@Tm3 zr*0ONjLl+olu9Y$?B+q;OiGW{CAD-|4cSBKv3hdxAi{)h29H$eBSEDHrY@;-opUq!`kWxnTThf}(Z7;e;>iF3xhOUm;*$hg7#gS{|9$f;3 zeYewHHlNBwZl!dy59#hcg7C$_U#6S;352vE9!S!LevFXKCU@EWVw6L6ku78++eIa0 zw`rqjev@s!%n-P{#gU3Lh^LW)Qy_BTS(UuOCYMvygGl~3O8qRz?43^5Xp>2)hmee= z{2Jj)ko<>8{v(|YjfmOgQYyFJs7asJr7|0mMbnaXfc!&U3QKtr`{uYF9W%2*Nuk#L&tE&F^oA+k6Ws}*kG(nPS@SB}ceh`d;y0{Rc z^Z=qrQKO(h=%5BvI)PBKfI*PZ+}Xkwku<7^f`U?{_x2MM6(Lj$Mab{_x$oY2cZXf) zk8|F=r`&V_o0&<^CeInD>g3U@Nh&C-R0w7AGR*2}Ia!U>%~Q z@}@-2N<@kcBCsMtYHUmpc}pT^CL;L>L|`Q^Eb0;YheQ@7B83JK@&GF$XIqhfO5}`0 zBsYNwtQf}5j8yPKpPq23~fL$T}H3-{&VXqm44~B7-bD zRML4#GQEk+@I*53BE#+#89eSw5}E!+8F<;@lAe=!Su*D(GJTCQ@FIgOyJgbB(|>Lv zQ)`rgmmY+yli^h2oJ6MYmib9#OUl_C& z^SSOB-JKh5u$j_=WsX=L(=$G*_YF&44CZFe28vF#$2W)$n>W|qBAW89B)%fj8^Snr zz|$njsr2DCyrIIxZ(#BI7O!jZIu>Vzk^83P0)6PYUw`PtKPNA>88|{C=TqW&Sv>QF zgVvIu2S>zH~90w+Z7lcv$g0;wuW{p;=G(B;gKW8EY!Je)1t>-xrNDmz`~zL00daPGD>k z9_)i<ztovA-s17hg5yis<_S8!k)HPgG>cgqVkI#wbs$APz9!3by;kG58T6PV6fvo^qCu0oW z)VR&)gOCl1!^rlie=32m8ij*ql&c@gsx@$Og@T3RSx5$^l=QiI8s98?Fl!qhsljxr zVNw}2w$QC?b;HCVt(y9Ws%i&Y4)AbL8?^UN&_c0^mPw(S1L#btTFUqSE-o+AfbWzw zw2`#QR-aMA_0vV-`S6U^#*B8J(qjhWmM!-f=#HF+&k<&ZI!koIU$gQ1TfCpe`&#@i zc87iQdck_yM^*8xXxAx#LtP`LK3F@Wd;IZ<7&lYqo5eu3jFRbvJdEBM&JD<`jcu;1 zm86pKmh`VmGrH{s6>^XG-RfZvip+#cw(pd>CWUdG{ZjZ% z74YtePwN|@H@P#ITVwgKB>FL;V=2WDbkxRTzKbrsVrZTYdw%V49&0%%s34Qemg3im z6-aX!{hp%tlR{SFU>5P{0{;OpV+>?X)jSFYDBc6L#ih@>pI(Kr3^0tE&D1% ztmf-SULu8ym8;gQN^#)~gFWA!D+Y;6Elg0ivY#p_cVfB*4W#OuQl{j$io@hB& zxa2cc)+$ahYsg#0#zp57h4Jlu9mSb~SN-AMwpHvX3N22n^{UPxT@8I&Ij4rU5jI|; zj-k;B%?iJ#{0>V0NiG9XX?$tpLZh^oQIHw^Gh|}x<+AMxk?&U>?ql&D!a6BSbbLm# zoK&HMlPdKmzaZ~bKJS;zp-TTh!fU1TW9Z1}OV!DsM5m!DJCyGmMFv-3OOK5X%=L5MPK*c|R?DZ!7 zp#~}*0H|!mg7jbml|4V2P35I)W8JptARe+6-08wuV+n)zb=x4LRRP ze#eqwQxm6k?F^?X^DP;~{J2u{&pk%ETBszAZ&N9~M$s$OfPX5C_xeY$arw!cZZ?!= zGu=E(5>dWT?<6!|y7n4r;A~OWdkKV$RP?;6s*PoU%<~)WfXsS8(cch-5#SWpfyCBO z2AyJ~49KjskcgWx{-R8dh|GO9Go55g`WQuhG$4^prTiS(z+if=!jB4ThHp%yY7-$b zjpY5_NZv^iusQ!Z25@`KE1&ftvIT!GCYF8TM;!5)m{{CGqRE9W`m=XtI znp!eWB74pFbdPPN3JJDB*gEs^GI4QtPJeGmPuM7sK1S{OU`0P=r?G;12g`2G<4tFZisPpUnk`cVhv?eS z8h?ksY%&{;g%^e<*vN6!~zGS*)w4Cke1%aS2=mF;mj+w0CPB)o`{G z`9(eBLNud9CMVz5I5HQ_Ae%L-xeHLQ--<}4?jJ^7$3SiTMEinmc5gw{Gxk#xD%V_6 zV9}rord{^4OJKqFW##8t;b6MDtNu=ChW`DcyJij~?7}^h&4M2D^7q@E$d~luA{~7&{({ov1bXd*{b4x5fe0OIW`dQ*rdGTVY-I* zuQBh)WV{ck5LhR{`?r{PbTVGD##9dPOEK^8f8%9hhnHgk+1o!EFE%jE!^?4ic>5;f zrOepd!~06iTbqm*dsza&TZ32AV1xVk1VuCGe#ek=jbx%BA7{vF^_{cg4x0LuVp2UK z%}@gByZRX1IKT9bmL_Ql-H_}Of=+uA%|o0 zjtA?Bb^IBWhgK0dPI<$JKYtwW8K;KvjI&`<#=HT+?A-pOXl|J8XvkIl`%-;naGu89 z&7_x$M39%bl|fX@Ii~3>VeS)=`xLBIa032b_ysirRvoyB7YTC@;$&e?+HbIUwJ^7s z*n<-Pb7AgxuV#VmA$e(K_hNO*(Ifvt-c{CBiIb+&odCUMyz%yhTK&&tG63 zo8n|L{~uCeMf51CaB5#S;4{tu<^%19irIRNr0FgA-gi>c=Sgc(S^1;z5{1tsl}u58 zn%4Uck7^*N+Vvu9XLdG0MrT#d)tuUygs|Z^hE%D_eCI$XA$;(7yRSCnQbjZLS{|ji zrb_#w65-_scELesdD3!ZZAnwj`1L)Lg-ubj_0 z$^V1Yv}4bLL+3B^=Xl}~HLFh9q&Xgg^lPO|d0FjqBL7irVA#QoI^gGR7z+r~2EbfW z2b)Hj(PUM(Xj@TCUQns}Z_EqP81#f8>xGHBWVe$f(V#W{>kZvM#aR7}z4ywlA&X8Sx{`CxzCrbe*PhRZh0#V+XVoBM?0o>}dxZ;0rb!y?i%ml%@@745;h6s2F- zDH(LVt^g|;RZiI>vl_sUMT2IcrjghuBTdTe+|fdN*XgGo^h@Q*FRR^AlWxATq$mbT z%4{`S-Ez7g^4J946%{C2KyW=e^Yr%yLU! zHZM;YO>yE$it|*~^wR4F`AuyXX973~ja;y*_nIw8mp*(S=|+{h^UfTKVlKJocB2NM zF>mRgbq23A2A9go`a3kQU`X^_C#q8R$opyB>=Bs*zfT*BN*L^xj5kUOUo8fk#eIiP z2PWD$WSBabw~iO*sWJn+(BjjD>D%U5pkr`i4bcU5+(VLM1|;G_s(SlqGZ_C^mU0h@ z`A%gq>XpTGNt%YSTn|Cyt(b3Cd&6_MQkHHd{H*H!;#k3mr=Y_rSYZ_8%k{bDb=4kQ zn4P3x2LyTHXq!!DP1mD-sdgv18cAMP@`!DYcwJ1)&gTC%j9`#zb;RqPq(^LV#2aE_ z!Ix}y#2aH`-X}IW;!O~vax$l~!Etly#j#}BmrOg!U&O?UPmCP#mYCS(6GKP*WlXI4 z#FQi68WT%Cajk4JHTNrsQ6cdkGtT^51CF8xRl-gA>rt94fi313)0x}R8Yi>4jm4X_TTYqp?auaPrl{h^>T%qgm*RCs*UW+ z6um-o&l=$eg#Rx5fy!{HVdpJFXZDuP>^~T@wZ5;D{@0Q2hs2wOo_}g%N3;`uIhiCs z4T+@!c~XB!S{VJ|Etxo%rzd6qZvn$9JPzSdfPsqm``^PjRWTTD($5@&2;&(Yx1 zJ4ex!GNsLIW8Z&O!*cfCfl-;F+}Li`&a76+;HzvFzD=0*@ zEfg??g1hv$M!eeSL}8^w+j`E_M{az5xE_CnAr=!N!vTjCRMt-oF*}|}Swpoq zy(2rw_K$=$xF$r(#1WT5WM5HVXU$ZM8T-Z{wTzi2(l>7|NoYC5+Kq+DE=tx+iLG76 zvSg_hJ*Vntn&sH?FpF^lvAj)YXY(f9Dn%Ei@tT;NO~?_4 zJ&krlPoov6$u^Sd=8$PYL2ETG$eh~^MJtq(t;DjY5@cogj5S~8dR1=BJwjx9{4K&k zXJyh&Q^*H^fj3EC-oXe-TsW`bBLm8HV2y;DD!o1SGC`i^q9!><~NLV!M6nI zZ!4P0JPNSt%NT#BQ{n$>5<_!*tn$=;PSQWNjtAz_-TZ+t`3Qlb? zxuLKqVzvcjTs~JX5i{RyuITy7(JR8d*iev`pGfO$!(M@XI*&4$eQSrFd0%3{kjstQ zc**1(k@3vbB*WVn->}-%;ym^YIpi&eEo_~=A~A;5Xa{R97qD@`d&H`maK&iLdq$+GQ(i|S$5)8_v=XOtFISje6Lj=XvNEHY z@}?<$fJUP!vf!2cYGwKqDR|JD%Y9eA?W*Osc=@HD7ikNzEK`~_VJ_9tcv(igEF7eD zFVG#Lh6mYFT%>dlgqq2D8Hq3_kU8|4ewjnxi+v+mkF5!>+!Kz&f;sLzP^oh;Ntgp(Fh|H> zj-$aGmftNtj)(|zJPtm=m{al0G1jhC^e4p5?N0eZJ+isiah1q89##lnChJ*J>Hb{o z`0P(+84lHU6m9c*xfDHBX->C(f(qL()(SJ4eMgv{q2bnJ58so$$18drX{E_)j-W@l zN}xxuY*`|T*=y_jtsePK)%YxtaX9W0OG6ejzwl`(r5FCzvS~zh|I{F|852iEX4+to zTP_nB^t2eQ`)Z6UxKEKQ9Z_9Ht>g>vDG5Be3@3+6$D+6=Rpb#hL@D}&3iK$ESu3V3 zHUobq=aoXlb*XQOTvB#9XyYSqCn~IdlIu!t=deTN+RYjDZj|-3gv=0Ck@=in+@QPI z9a&pUC%i*Sc^j9pO5MY|+he-N?-jjJX)hM$rQn4Y#}i7HyGgEQm`494GFNOEd}OLO zl$l~XQqk0_8M<0^VV zSc{K=t+mjY(DTypJCS&0jRH{P_e^c!n4XCvV-s4VN_bIhm)e|dr4*<`4)EY{j4lX0IV`g$~mv(!KU`=6_i6oG+KA!(;=! zDTtD*Y+5FINJ9w(6cjzEyEfA%sJg!iNCKBKGtUBlL(?McP7J|WQZxIpt`0!@z! zWS$d9KP(VEBoIC*ka|EMh}<{Fq_jrtk;JAiJZS!^OGs5pFBWe7i9pMx0?o?=nl2N_ zTrQBlLLj9B1Z_u4UF)bo%gX}Iw+S@;T_CeSApN31^k;$aPXehI z1cIn@);2#!i^}F2;pS@vnwAS>RtTh53Ph^}!qoz)>jZ*u*{ZGMItWr*@{()&)SlfG zZ$QLA5ZdpOOb^z%M-tQT73lbbK=wX?Y4;0EeL$f7L4hd`3A8;d(E5l#%cBC#j|ntA zE|7UbApN93^prsOv_R?^0VQJoA+mj150i=kQK0do$-6DCT)imX=D!Lw{Y@bAl0f?J z0@2F?;VS~EH3C8N8U3f6uD5SrGl8JxRpI8>1)AOv$h;|#eoG+whd}sGfz-bQg61W$ zoOyFl_4;op??B-mx7~fHxFUCVNc~AymmG!eZZ3uN_2@uYQj%7|Xbr2I?}I%w4HGF1 z>5)D=?u#QmwKc2XCQjs3)AC3c12F7ea1>aU1!sy|i8-Hvhi5eSej7ksI>?%O?bl;#2 zV0f)I5^E+fFzf}!M!i7)kQeA1^a3?^>$*8eb<@1lgI>(Gw0c==?_QJ0*nk%p8uS7K zH9LO6*4OU^YQtV&R2Oqf%I-9x4S@)9@h0&%hfVZG0l4jH0>#n z*-Ie(MS*BuFz5$H$2`jL zfEO4V@&W^cNnqNjscH9OhO;tT#ZRl*Yfo{{w9zf6D4Wfv3N)Q2kU3o- zeTG1^NFY2@Aa#~Nz<)$fptfbPh|OmUG@T=mIaeTko-OBSEqmqkpydjFsF-&l+HJ1vLdL3b zp zpAl$zPoR0OK-0SdnYRVfPYFby5(wWBNWChc6s?<`)Vq@B^q8CYMM#ghiC=+fdZCva TfA-r4c0po_s%NvqXGZ@I=k~tZ literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt new file mode 100644 index 0000000..0a575a4 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/.vdbs/dbStat.txt @@ -0,0 +1 @@ +RAM2GS_rtl.vdb diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs b/CPLD/LCMXO2/LCMXO2-640HC/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs new file mode 100644 index 0000000..4b06874 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/IBIS/RAM2GS_LCMXO2_640HC~.ibs @@ -0,0 +1,2837 @@ +|************************************************************************ +| IBIS Models Generator: Lattice Diamond (64-bit) 3.12.0.240.2 +| Generate date: Tue Aug 17 06:21:03 2021 +|************************************************************************ +| IBIS File LibisMaker.ibs +| LibisGen v2.0.1.0, 02/25/2008, Lattice Semiconductor Corporation +| Modified by LibisMaker v2.0.1.0, 02/25/2008, Lattice Semiconductor +| North Carolina State University, ERL, 2006 +|************************************************************************ +[IBIS ver] 3.2 +[File Name] RAM2GS_LCMXO2_640HC~.ibs +[File Rev] 3.5 +[Date] Thu Sep 10 07:33:23 PDT 2020 +[Source] Lattice Semiconductor cs200fl Process + LCMXO2-640HC FINAL + Lattice Semiconductor has worked hard to ensure the + models below are accurate and complete. However, the + data below was generated using simulation of the + input/output model files for the silicon. Therefore, + the data below is for reference and initial design + purposes only. +| + Lattice Semiconductor grants permission to use this + data for use in printed circuit design using this + Lattice programmable logic device. Other use of this + code, including the selling or duplication of any + portion is strictly prohibited. +| +| NAMING CONVENTION +| + The IBIS [Model] header is limited by the specification to a + total of characters. With such a set of characters available + for naming models it becomes important to attempt to + meaningfully encode the IO standards so they fit within the + twenty character limit. It would seem that twenty characters + would provide room enough for describing IO's. However, the + PLD IO structure continues to grow more and more complex. The + complexity is making the twenty characters insuffiently + descriptive. In order to overcome this issue the naming + convention described below is implemented to resolve the issue. +| +The twenty character space is managed as follows: + bbbvvvsdddprugtcoixx +| + b = standard + v = voltage (x.xx V) + s = slew code + d = drive (xx.x ma) + p = pullup code + r = series resistance or bank_vccio code + u = terminate to vcc code + g = terminate to gnd code + t = terminate to vtt code + c = common mode termination mode + o = diff resistor code + i = diff resistor current code + x = reserved +| +| + standard +| + lvcmos lvc + lvcmosd lvd + lvcmosr lvr + lvds25e lve + lvttl lvt + lvttld ltd + pci pci + sstl_I ss1 + sstl_II ss2 + sstld_I s1d + sstld_II s2d + hstl_i hs1 + hstl_ii hs2 + hstld_i h1d + hstld_ii h2d + lvds25 lvs + blvds25 blv + blvds25e blv + mlvds25 mlv + mlvds25e mlv + lvpecl33 lvp + lvpecl33e lvp + rsds25 rsd + rsds25e rse + mipi mip + mipi_lp mip + vref1 vr1 + vref2 vr2 + ref_res rer +| +| + slew + na a + fast f + slow s +| + pullmode + off a + pullup b + pulldown c + bushold d + clamp e + up_clamp f + down_clamp g + keeper_clamp h +| + impedance or Bank_vccio + off a + 25 b + 33 c + 50 d + 100 e + 3.3 f + 2.5 g + 1.8 h + 1.5 i + 1.2 j +| + termVCC + off a + 50 b + 100 c + 120 d +| + termGND + off a + 50 b + 100 c + 120 d +| + termVTT + off a + 60 b + 75 c + 120 d + 150 e + 210 f +| + VCMT + off a + VCMT b + VTT c + DDR-2 d +| + differential resistor + off a + 100 b +| + diff drive + NA a + 2.0 b + 3.5 c + 1.25 f + 2.5 g +| + Reserved IO type + in input only + ou output + io I/O + od Open drain + on Inverting differential I/O + (signal name only) + op Non-Inverting differential I/O + (signal name only) +| + Lattice Semiconductor Corporation + 5555 NE Moore Court + Hillsboro, OR 97214 + U.S.A +| + TEL: 1-800-Lattice (USA and Canada) + 408-826-6000 (other locations) +| + web: http://www.latticesemi.com/ + email: techsupport@latticesemi.com +| +| +| +[Disclaimer] This IBIS source code is intended as a design reference + which illustrates how the Lattice Semiconductor device operates. + It is the user's responsibility to verify their design for + consistency and functionality through the use of formal + verification methods. Lattice Semiconductor provides no warranty + regarding the use or functionality of this data. +| +[Copyright] Copyright 2007 by Lattice Semiconductor Corporation +| +| +|************************************************************************ +| Component XO2 +|************************************************************************ +| +[Component] XO2 +[Manufacturer] Lattice Semiconductor Corp. +[Package] +|TQFP100 +| variable typ min max +R_pkg 150.5m 124.0m 187.0m +L_pkg 6.27nH 5.50nH 7.16nH +C_pkg 0.95pF 0.87pF 1.07pF +| +[Pin] signal_name model_name R_pin L_pin C_pin +10 CROW[0] lvt330axxxefaaaaaain +16 CROW[1] lvt330axxxefaaaaaain +3 Din[0] lvt330axxxefaaaaaain +96 Din[1] lvt330axxxefaaaaaain +88 Din[2] lvt330axxxefaaaaaain +97 Din[3] lvt330axxxefaaaaaain +99 Din[4] lvt330axxxefaaaaaain +98 Din[5] lvt330axxxefaaaaaain +2 Din[6] lvt330axxxefaaaaaain +1 Din[7] lvt330axxxefaaaaaain +76 Dout[0] lvt330s040aaaaaaaaou +86 Dout[1] lvt330s040aaaaaaaaou +87 Dout[2] lvt330s040aaaaaaaaou +85 Dout[3] lvt330s040aaaaaaaaou +83 Dout[4] lvt330s040aaaaaaaaou +84 Dout[5] lvt330s040aaaaaaaaou +78 Dout[6] lvt330s040aaaaaaaaou +82 Dout[7] lvt330s040aaaaaaaaou +34 LED lvt330s160aaaaaaaaou +14 MAin[0] lvt330axxxefaaaaaain +12 MAin[1] lvt330axxxefaaaaaain +13 MAin[2] lvt330axxxefaaaaaain +21 MAin[3] lvt330axxxefaaaaaain +20 MAin[4] lvt330axxxefaaaaaain +19 MAin[5] lvt330axxxefaaaaaain +24 MAin[6] lvt330axxxefaaaaaain +18 MAin[7] lvt330axxxefaaaaaain +25 MAin[8] lvt330axxxefaaaaaain +32 MAin[9] lvt330axxxefaaaaaain +8 PHI2 lvt330axxxefaaaaaain +66 RA[0] lvt330s040aaaaaaaaou +64 RA[10] lvt330s040aaaaaaaaou +59 RA[11] lvt330s040aaaaaaaaou +67 RA[1] lvt330s040aaaaaaaaou +69 RA[2] lvt330s040aaaaaaaaou +71 RA[3] lvt330s040aaaaaaaaou +74 RA[4] lvt330s040aaaaaaaaou +70 RA[5] lvt330s040aaaaaaaaou +68 RA[6] lvt330s040aaaaaaaaou +75 RA[7] lvt330s040aaaaaaaaou +65 RA[8] lvt330s040aaaaaaaaou +62 RA[9] lvt330s040aaaaaaaaou +58 RBA[0] lvt330s040aaaaaaaaou +60 RBA[1] lvt330s040aaaaaaaaou +53 RCKE lvt330s040aaaaaaaaou +63 RCLK lvt330axxxefaaaaaain +51 RDQMH lvt330s040aaaaaaaaou +48 RDQML lvt330s040aaaaaaaaou +36 RD[0] lvt330s040haaaaaaaio +37 RD[1] lvt330s040haaaaaaaio +38 RD[2] lvt330s040haaaaaaaio +39 RD[3] lvt330s040haaaaaaaio +40 RD[4] lvt330s040haaaaaaaio +41 RD[5] lvt330s040haaaaaaaio +42 RD[6] lvt330s040haaaaaaaio +43 RD[7] lvt330s040haaaaaaaio +9 nCCAS lvt330axxxefaaaaaain +17 nCRAS lvt330axxxefaaaaaain +15 nFWE lvt330axxxefaaaaaain +52 nRCAS lvt330s040aaaaaaaaou +57 nRCS lvt330s040aaaaaaaaou +54 nRRAS lvt330s040aaaaaaaaou +49 nRWE lvt330s040aaaaaaaaou +|************************************************************************ +[Model] lvt330axxxefaaaaaain +Model_type Input +Polarity Non-Inverting +Enable Active-Low +Vinl = 0.8000V +Vinh = 2.0000V +C_comp 4.2000pF 3.8000pF 7.5000pF +| +| +[Temperature Range] 25.0000 0.1050k -40.0000 +[Voltage Range] 3.3000V 3.1400V 3.4700V +[GND_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.30 -0.9553A -0.9303A -0.978A + -3.25 -0.9307A -0.9059A -0.9534A + -3.20 -0.9062A -0.8815A -0.9287A + -3.15 -0.8816A -0.8571A -0.9041A + -3.10 -0.8571A -0.8328A -0.8794A + -3.05 -0.8326A -0.8084A -0.8548A + -3.00 -0.8081A -0.7841A -0.8302A + -2.95 -0.7837A -0.7598A -0.8056A + -2.90 -0.7592A -0.7356A -0.781A + -2.85 -0.7348A -0.7113A -0.7565A + -2.80 -0.7104A -0.6872A -0.7319A + -2.75 -0.686A -0.663A -0.7074A + -2.70 -0.6617A -0.6389A -0.6829A + -2.65 -0.6374A -0.6149A -0.6584A + -2.60 -0.6131A -0.5909A -0.634A + -2.55 -0.5889A -0.5669A -0.6096A + -2.50 -0.5647A -0.5431A -0.5852A + -2.45 -0.5406A -0.5193A -0.5609A + -2.40 -0.5166A -0.4956A -0.5366A + -2.35 -0.4926A -0.472A -0.5124A + -2.30 -0.4687A -0.4485A -0.4882A + -2.25 -0.4449A -0.4251A -0.4641A + -2.20 -0.4211A -0.4019A -0.44A + -2.15 -0.3976A -0.3789A -0.4161A + -2.10 -0.3741A -0.3562A -0.3923A + -2.05 -0.3509A -0.3337A -0.3686A + -2.00 -0.3279A -0.3116A -0.3451A + -1.95 -0.3052A -0.2899A -0.3218A + -1.90 -0.283A -0.2689A -0.2988A + -1.85 -0.2612A -0.2486A -0.2762A + -1.80 -0.2402A -0.2294A -0.2543A + -1.75 -0.2204A -0.2116A -0.2332A + -1.70 -0.202A -0.1954A -0.2135A + -1.65 -0.1857A -0.181A -0.1959A + -1.60 -0.1717A -0.1682A -0.181A + -1.55 -0.1594A -0.1563A -0.1685A + -1.50 -0.1481A -0.1452A -0.1571A + -1.45 -0.1372A -0.1343A -0.1462A + -1.40 -0.1266A -0.1237A -0.1355A + -1.35 -0.1162A -0.1132A -0.125A + -1.30 -0.106A -0.1029A -0.1146A + -1.25 -95.9599mA -92.8329mA -0.1046A + -1.20 -86.1849mA -82.9339mA -94.9069mA + -1.15 -76.7349mA -73.2869mA -85.7379mA + -1.10 -67.7089mA -63.9519mA -77.2789mA + -1.05 -59.2439mA -55.0099mA -69.7479mA + -1.00 -51.5159mA -46.5729mA -63.2139mA + -0.95 -44.7119mA -38.7979mA -57.3089mA + -0.90 -38.8659mA -31.8819mA -51.2599mA + -0.85 -33.5299mA -25.9129mA -44.7209mA + -0.80 -28.2499mA -20.5839mA -37.9699mA + -0.75 -23.0669mA -15.7839mA -31.2789mA + -0.70 -18.0989mA -11.6329mA -24.8089mA + -0.65 -13.4569mA -8.1389mA -18.6889mA + -0.60 -9.2667mA -5.2646mA -13.0589mA + -0.55 -5.6915mA -3.0244mA -8.1194mA + -0.50 -2.937mA -1.4709mA -4.1747mA + -0.45 -1.1814mA -0.5866mA -1.592mA + -0.40 -0.3589mA -0.1961mA -0.4238mA + -0.35 -88.3674uA -58.3595uA -88.5118uA + -0.30 -18.8304uA -15.9765uA -16.7268uA + -0.25 -3.5316uA -4.0546uA -3.0027uA + -0.20 -0.6045uA -0.9665uA -0.4941uA + -0.15 -99.742nA -0.2204uA -76.537nA + -0.10 -17.537nA -48.631nA -14.0860nA + -0.05 -3.547nA -9.5380nA -3.817nA + 0.00 0.0A 0.0A 0.0A + 6.60 0.0A 0.0A 0.0A +| +[POWER_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.25 0.1216A 0.1237A 0.1238A + -3.15 0.1196A 0.1223A 0.1209A + -3.05 0.1173A 0.1206A 0.1178A + -2.95 0.1149A 0.1187A 0.1145A + -2.85 0.1124A 0.1167A 0.1111A + -2.75 0.1096A 0.1144A 0.1076A + -2.65 0.1067A 0.1119A 0.1038A + -2.55 0.1036A 0.1092A 99.9050mA + -2.45 0.1003A 0.1063A 95.7580mA + -2.35 96.7690mA 0.1032A 91.3580mA + -2.25 92.9860mA 99.8100mA 86.6530mA + -2.15 88.9230mA 96.2310mA 81.5730mA + -2.05 84.5480mA 92.4190mA 76.0140mA + -1.95 79.8300mA 88.3720mA 69.8490mA + -1.85 74.7460mA 84.0930mA 62.9770mA + -1.75 69.2870mA 79.5850mA 55.3980mA + -1.65 63.4650mA 74.8610mA 47.2410mA + -1.55 57.3080mA 69.9360mA 38.7130mA + -1.45 50.8520mA 64.8290mA 30.0630mA + -1.35 44.1410mA 59.5630mA 21.7860mA + -1.25 37.2260mA 54.1610mA 15.4630mA + -1.15 30.1740mA 48.6420mA 11.3590mA + -1.05 23.0900mA 43.0210mA 6.9249mA + -0.95 16.2170mA 37.3010mA 3.1428mA + -0.85 10.2220mA 31.4860mA 0.8130mA + -0.75 6.0667mA 25.5900mA 0.1206mA + -0.65 3.1215mA 19.6580mA 19.6640uA + -0.55 1.0958mA 13.7970mA 3.9127uA + -0.45 0.2189mA 8.2788mA 1.9769uA + -0.35 37.5650uA 3.8148mA 1.5352uA + -0.25 5.9156uA 1.3265mA 1.2603uA + -0.15 0.9342uA 0.3367mA 1.0262uA + -0.05 0.2497uA 63.0770uA 0.8243uA + 0.00 0.1905uA 26.3140uA 0.7347uA + 0.05 0.1661uA 10.7330uA 0.6522uA + 0.15 0.1377uA 1.6689uA 0.5077uA + 0.25 0.1149uA 0.2675uA 0.3882uA + 0.35 95.5840nA 94.4800nA 0.2911uA + 0.45 79.2300nA 72.9410nA 0.2139uA + 0.55 65.5240nA 63.7780nA 0.1539uA + 0.65 54.1200nA 56.2440nA 0.1084uA + 0.75 44.6720nA 49.6060nA 74.7270nA + 0.85 36.8450nA 43.7060nA 50.5340nA + 0.95 30.3210nA 38.4180nA 33.5490nA + 1.05 24.8050nA 33.6240nA 21.8000nA + 1.15 20.0360nA 29.2180nA 13.6290nA + 1.25 15.7920nA 25.1030nA 7.7259nA + 1.35 11.8920nA 21.1950nA 3.1291nA + 1.45 8.2001nA 17.4270nA -0.8025nA + 1.55 4.6215nA 13.7460nA -4.4469nA + 1.65 1.0974nA 10.1160nA -7.9944nA + 1.75 -2.4045nA 6.5107nA -11.5260nA + 1.85 -5.8986nA 2.9177nA -15.0700nA + 1.95 -9.3903nA -0.6705nA -18.6430nA + 2.05 -12.8810nA -4.2566nA -22.2600nA + 2.15 -16.3730nA -7.8413nA -25.9390nA + 2.25 -19.8660nA -11.4260nA -29.7010nA + 2.35 -23.3620nA -15.0100nA -33.5700nA + 2.45 -26.8630nA -18.5940nA -37.5740nA + 2.55 -30.3710nA -22.1800nA -41.7450nA + 2.65 -33.8890nA -25.7670nA -46.1170nA + 2.75 -37.4190nA -29.3570nA -50.7240nA + 2.85 -40.9640nA -32.9520nA -55.6060nA + 2.95 -44.5300nA -36.5540nA -60.8020nA + 3.05 -48.1210nA -40.1670nA -66.3570nA + 3.15 -51.7470nA -43.7980nA -72.4990nA + 3.25 -55.4690nA -47.4690nA -97.5860nA + 6.60 -57.5580nA -49.34nA -0.2325uA +| +| End [Model] lvt330axxxefaaaaaain +|************************************************************************ +[Model] lvt330s040aaaaaaaaou +Model_type Output +Polarity Non-Inverting +Enable Active-Low +Vmeas = 1.500000V +Cref = 0.0F +Rref = 1.0000M +Vref = 0.0V +C_comp 4.2000pF 3.8000pF 7.5000pF +| +[Temperature Range] 25.0000 0.1050k -40.0000 +[Voltage Range] 3.3000V 3.1400V 3.4700V +[Pulldown] +|Voltage I(typ) I(min) I(max) +| + -3.30 -11.9500mA -8.6650mA -15.6420mA + -3.20 -11.9500mA -8.6650mA -15.6420mA + -3.10 -11.9500mA -8.6650mA -15.6420mA + -3.00 -11.9500mA -8.6650mA -15.6420mA + -2.90 -11.9500mA -8.6650mA -15.6420mA + -2.80 -11.9500mA -8.6650mA -15.6420mA + -2.70 -11.9500mA -8.6650mA -15.6420mA + -2.60 -11.9500mA -8.6650mA -15.6420mA + -2.50 -11.9500mA -8.6650mA -15.6420mA + -2.40 -11.9500mA -8.6650mA -15.6420mA + -2.30 -11.9500mA -8.6650mA -15.6420mA + -2.20 -11.9500mA -8.6650mA -15.6420mA + -2.10 -11.9500mA -8.6650mA -15.6420mA + -2.00 -11.9500mA -8.6650mA -15.6420mA + -1.90 -11.9500mA -8.6650mA -15.6420mA + -1.80 -11.9500mA -8.6650mA -15.6420mA + -1.70 -11.9500mA -8.6650mA -15.6420mA + -1.60 -11.9500mA -8.6650mA -15.6420mA + -1.50 -11.9500mA -8.6650mA -15.6420mA + -1.40 -11.9500mA -8.6650mA -15.6420mA + -1.30 -11.9500mA -8.6650mA -15.6420mA + -1.20 -11.9500mA -8.6650mA -15.6420mA + -1.10 -11.9500mA -8.6650mA -15.6420mA + -1.00 -11.9500mA -8.6650mA -15.6420mA + -0.90 -11.9260mA -8.6650mA -14.3050mA + -0.80 -11.2400mA -8.5300mA -13.3800mA + -0.70 -10.7050mA -8.3490mA -12.9130mA + -0.60 -10.2262mA -7.9463mA -12.5750mA + -0.50 -9.6879mA -7.2722mA -12.3552mA + -0.40 -8.5353mA -6.1307mA -11.3241mA + -0.30 -6.5715mA -4.6600mA -8.7716mA + -0.20 -4.4298mA -3.1245mA -5.9312mA + -0.10 -2.2389mA -1.5709mA -3.0077mA + 0.00 2.6070nA 1.8160nA 4.3450nA + 0.10 2.2086mA 1.5382mA 2.9824mA + 0.20 4.3047mA 2.9922mA 5.8245mA + 0.30 6.2890mA 4.3627mA 8.5260mA + 0.40 8.1616mA 5.6502mA 11.0870mA + 0.50 9.9232mA 6.8553mA 13.5060mA + 0.60 11.5740mA 7.9787mA 15.7840mA + 0.70 13.1130mA 9.0208mA 17.9190mA + 0.80 14.5410mA 9.9819mA 19.9070mA + 0.90 15.8560mA 10.8620mA 21.7440mA + 1.00 17.0540mA 11.6600mA 23.4200mA + 1.10 18.1290mA 12.3750mA 24.9200mA + 1.20 19.0710mA 13.0030mA 26.2250mA + 1.30 19.8710mA 13.5400mA 27.3150mA + 1.40 20.5280mA 13.9880mA 28.1920mA + 1.50 21.0520mA 14.3520mA 28.8770mA + 1.60 21.4690mA 14.6450mA 29.4110mA + 1.70 21.8020mA 14.8830mA 29.8330mA + 1.80 22.0750mA 15.0800mA 30.1740mA + 1.90 22.3020mA 15.2450mA 30.4550mA + 2.00 22.4950mA 15.3870mA 30.6940mA + 2.10 22.6630mA 15.5110mA 30.8990mA + 2.20 22.8110mA 15.6210mA 31.0780mA + 2.30 22.9430mA 15.7190mA 31.2379mA + 2.40 23.0630mA 15.8080mA 31.3819mA + 2.50 23.1710mA 15.8900mA 31.5119mA + 2.60 23.2710mA 15.9650mA 31.6318mA + 2.70 23.3639mA 16.0350mA 31.7418mA + 2.80 23.4499mA 16.0999mA 31.8447mA + 2.90 23.5309mA 16.1609mA 31.9406mA + 3.00 23.6069mA 16.2189mA 32.0305mA + 3.10 23.6789mA 16.2739mA 32.1163mA + 3.20 23.7478mA 16.3258mA 32.1981mA + 3.30 23.8138mA 16.3756mA 32.2769mA + 3.40 23.8786mA 16.4213mA 32.3557mA + 3.50 23.9417mA 16.4526mA 32.4354mA + 3.60 24.0002mA 16.6876mA 32.5189mA + 3.70 24.0309mA 17.3426mA 32.6070mA + 3.80 24.3774mA 19.1285mA 32.6921mA + 3.90 25.3543mA 22.9154mA 32.7222mA + 4.00 27.4942mA 28.3023mA 33.3062mA + 4.10 30.5709mA 34.3240mA 34.9817mA + 4.20 34.9446mA 40.5557mA 38.3579mA + 4.30 41.2761mA 46.8344mA 42.8549mA + 4.40 48.5706mA 53.0950mA 47.3478mA + 4.50 56.1500mA 59.3125mA 52.6274mA + 4.60 63.7762mA 65.4900mA 60.8279mA + 4.70 71.3464mA 71.6374mA 70.1182mA + 4.80 78.8056mA 77.7568mA 79.6014mA + 4.90 86.1136mA 83.8381mA 88.9754mA + 5.00 93.2445mA 89.8594mA 98.0452mA + 5.10 0.1002A 95.7986mA 0.1067A + 5.20 0.1069A 0.1016A 0.1148A + 5.30 0.1134A 0.1074A 0.1224A + 5.40 0.1197A 0.1130A 0.1298A + 5.50 0.1258A 0.1184A 0.1369A + 5.60 0.1318A 0.1237A 0.1439A + 5.70 0.1376A 0.1289A 0.1508A + 5.80 0.1432A 0.1340A 0.1576A + 5.90 0.1488A 0.1390A 0.1644A + 6.00 0.1544A 0.1438A 0.1712A + 6.10 0.1598A 0.1485A 0.1780A + 6.20 0.1652A 0.1530A 0.1848A + 6.30 0.1706A 0.1575A 0.1916A + 6.40 0.1760A 0.1620A 0.1984A + 6.50 0.1813A 0.1663A 0.2053A + 6.60 0.1867A 0.1705A 0.2122A +| +[Pullup] +|Voltage I(typ) I(min) I(max) +| +-3.30 0.1819A 0.1298A 0.2190A +-3.20 0.1819A 0.1298A 0.2177A +-3.10 0.1819A 0.1298A 0.2139A +-3.00 0.1785A 0.1298A 0.2092A +-2.90 0.1743A 0.1298A 0.2039A +-2.80 0.1697A 0.1298A 0.1982A +-2.70 0.1647A 0.1298A 0.1922A +-2.60 0.1594A 0.1298A 0.1860A +-2.50 0.1538A 0.1298A 0.1795A +-2.40 0.1479A 0.1298A 0.1728A +-2.30 0.1418A 0.1259A 0.1658A +-2.20 0.1353A 0.1198A 0.1586A +-2.10 0.1286A 0.1135A 0.1512A +-2.00 0.1216A 0.1069A 0.1435A +-1.90 0.1142A 0.1002A 0.1355A +-1.80 0.1066A 93.3488mA 0.1271A +-1.70 93.5831mA 86.3555mA 0.1182A +-1.60 85.8190mA 79.2592mA 0.1088A +-1.50 77.8471mA 72.0828mA 98.7659mA +-1.40 69.6957mA 64.8453mA 88.3330mA +-1.30 61.4020mA 57.5538mA 77.6100mA +-1.20 53.0124mA 50.2142mA 66.7828mA +-1.10 44.6065mA 42.8446mA 56.1774mA +-1.00 36.3505mA 35.4829mA 46.7939mA +-0.90 28.6912mA 28.2232mA 39.5361mA +-0.80 22.4746mA 21.3014mA 32.3042mA +-0.70 17.6024mA 15.3245mA 25.5251mA +-0.60 13.5809mA 11.1535mA 19.7918mA +-0.50 10.4816mA 8.4310mA 14.7443mA +-0.40 8.0206mA 6.4251mA 11.9096mA +-0.30 5.9614mA 4.6861mA 8.8891mA +-0.20 3.9365mA 3.0816mA 5.8934mA +-0.10 1.9506mA 1.5203mA 2.9319mA +0.00 -7.7700nA -3.4200nA -87.8000nA +0.10 -1.8904mA -1.4640mA -2.8585mA +0.20 -3.6938mA -2.8556mA -5.5960mA +0.30 -5.4106mA -4.1752mA -8.2131mA +0.40 -7.0415mA -5.4235mA -10.7106mA +0.50 -8.5871mA -6.6009mA -13.0875mA +0.60 -10.0478mA -7.7082mA -15.3464mA +0.70 -11.4233mA -8.7458mA -17.4873mA +0.80 -12.7164mA -9.7144mA -19.5102mA +0.90 -13.9250mA -10.6140mA -21.4151mA +1.00 -15.0511mA -11.4460mA -23.2041mA +1.10 -16.0947mA -12.2110mA -24.8771mA +1.20 -17.0567mA -12.9090mA -26.4350mA +1.30 -17.9380mA -13.5400mA -27.8770mA +1.40 -18.7378mA -14.1060mA -29.2060mA +1.50 -19.4578mA -14.6060mA -30.4200mA +1.60 -20.0982mA -15.0420mA -31.5220mA +1.70 -20.6608mA -15.4150mA -32.5110mA +1.80 -21.1446mA -15.7260mA -33.3890mA +1.90 -21.5526mA -15.9770mA -34.1570mA +2.00 -21.8875mA -16.1730mA -34.8170mA +2.10 -22.1540mA -16.3230mA -35.3710mA +2.20 -22.3628mA -16.4420mA -35.8270mA +2.30 -22.5316mA -16.5430mA -36.1970mA +2.40 -22.6758mA -16.6340mA -36.5020mA +2.50 -22.8058mA -16.7200mA -36.7650mA +2.60 -22.9282mA -16.8010mA -37.0040mA +2.70 -23.0458mA -16.8800mA -37.2270mA +2.80 -23.1578mA -16.9560mA -37.4390mA +2.90 -23.2659mA -17.0290mA -37.6420mA +3.00 -23.3712mA -17.1009mA -37.8370mA +3.10 -23.4737mA -17.1709mA -38.0259mA +3.20 -23.5732mA -17.2379mA -38.2079mA +3.30 -23.6690mA -17.3046mA -38.3849mA +3.40 -23.7639mA -17.3656mA -38.5569mA +3.50 -23.8544mA -17.3881mA -38.7229mA +3.60 -23.9301mA -17.3881mA -38.8849mA +3.70 -23.9301mA -17.3881mA -39.0415mA +3.80 -23.9301mA -17.3881mA -39.1532mA +3.90 -23.9301mA -17.3881mA -39.1532mA +4.00 -23.9301mA -17.3881mA -39.1532mA +4.10 -23.9301mA -17.3881mA -39.1532mA +4.20 -23.9301mA -17.3881mA -39.1532mA +4.30 -23.9301mA -17.3881mA -39.1532mA +4.40 -23.9301mA -17.3881mA -39.1532mA +4.50 -23.9301mA -17.3881mA -39.1532mA +4.60 -23.9301mA -17.3881mA -39.1532mA +4.70 -23.9301mA -17.3881mA -39.1532mA +4.80 -23.9301mA -17.3881mA -39.1532mA +4.90 -23.9301mA -17.3881mA -39.1532mA +5.00 -23.9301mA -17.3881mA -39.1532mA +5.10 -23.9301mA -17.3881mA -39.1532mA +5.20 -23.9301mA -17.3881mA -39.1532mA +5.30 -23.9301mA -17.3881mA -39.1532mA +5.40 -23.9301mA -17.3881mA -39.1532mA +5.50 -23.9301mA -17.3881mA -39.1532mA +5.60 -23.9301mA -17.3881mA -39.1532mA +5.70 -23.9301mA -17.3881mA -39.1532mA +5.80 -23.9301mA -17.3881mA -39.1532mA +5.90 -23.9301mA -17.3881mA -39.1532mA +6.00 -23.9301mA -17.3881mA -39.1532mA +6.10 -23.9301mA -17.3881mA -39.1532mA +6.20 -23.9301mA -17.3881mA -39.1532mA +6.30 -23.9301mA -17.3881mA -39.1532mA +6.40 -23.9301mA -17.3881mA -39.1532mA +6.50 -23.9301mA -17.3881mA -39.1532mA +6.55 -23.9301mA -17.3881mA -39.1532mA +[GND_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.30 -0.9553A -0.9303A -0.978A + -3.25 -0.9307A -0.9059A -0.9534A + -3.20 -0.9062A -0.8815A -0.9287A + -3.15 -0.8816A -0.8571A -0.9041A + -3.10 -0.8571A -0.8328A -0.8794A + -3.05 -0.8326A -0.8084A -0.8548A + -3.00 -0.8081A -0.7841A -0.8302A + -2.95 -0.7837A -0.7598A -0.8056A + -2.90 -0.7592A -0.7356A -0.781A + -2.85 -0.7348A -0.7113A -0.7565A + -2.80 -0.7104A -0.6872A -0.7319A + -2.75 -0.686A -0.663A -0.7074A + -2.70 -0.6617A -0.6389A -0.6829A + -2.65 -0.6374A -0.6149A -0.6584A + -2.60 -0.6131A -0.5909A -0.634A + -2.55 -0.5889A -0.5669A -0.6096A + -2.50 -0.5647A -0.5431A -0.5852A + -2.45 -0.5406A -0.5193A -0.5609A + -2.40 -0.5166A -0.4956A -0.5366A + -2.35 -0.4926A -0.472A -0.5124A + -2.30 -0.4687A -0.4485A -0.4882A + -2.25 -0.4449A -0.4251A -0.4641A + -2.20 -0.4211A -0.4019A -0.44A + -2.15 -0.3976A -0.3789A -0.4161A + -2.10 -0.3741A -0.3562A -0.3923A + -2.05 -0.3509A -0.3337A -0.3686A + -2.00 -0.3279A -0.3116A -0.3451A + -1.95 -0.3052A -0.2899A -0.3218A + -1.90 -0.283A -0.2689A -0.2988A + -1.85 -0.2612A -0.2486A -0.2762A + -1.80 -0.2402A -0.2294A -0.2543A + -1.75 -0.2204A -0.2116A -0.2332A + -1.70 -0.202A -0.1954A -0.2135A + -1.65 -0.1857A -0.181A -0.1959A + -1.60 -0.1717A -0.1682A -0.181A + -1.55 -0.1594A -0.1563A -0.1685A + -1.50 -0.1481A -0.1452A -0.1571A + -1.45 -0.1372A -0.1343A -0.1462A + -1.40 -0.1266A -0.1237A -0.1355A + -1.35 -0.1162A -0.1132A -0.125A + -1.30 -0.106A -0.1029A -0.1146A + -1.25 -95.9599mA -92.8329mA -0.1046A + -1.20 -86.1849mA -82.9339mA -94.9069mA + -1.15 -76.7349mA -73.2869mA -85.7379mA + -1.10 -67.7089mA -63.9519mA -77.2789mA + -1.05 -59.2439mA -55.0099mA -69.7479mA + -1.00 -51.5159mA -46.5729mA -63.2139mA + -0.95 -44.7119mA -38.7979mA -57.3089mA + -0.90 -38.8659mA -31.8819mA -51.2599mA + -0.85 -33.5299mA -25.9129mA -44.7209mA + -0.80 -28.2499mA -20.5839mA -37.9699mA + -0.75 -23.0669mA -15.7839mA -31.2789mA + -0.70 -18.0989mA -11.6329mA -24.8089mA + -0.65 -13.4569mA -8.1389mA -18.6889mA + -0.60 -9.2667mA -5.2646mA -13.0589mA + -0.55 -5.6915mA -3.0244mA -8.1194mA + -0.50 -2.937mA -1.4709mA -4.1747mA + -0.45 -1.1814mA -0.5866mA -1.592mA + -0.40 -0.3589mA -0.1961mA -0.4238mA + -0.35 -88.3674uA -58.3595uA -88.5118uA + -0.30 -18.8304uA -15.9765uA -16.7268uA + -0.25 -3.5316uA -4.0546uA -3.0027uA + -0.20 -0.6045uA -0.9665uA -0.4941uA + -0.15 -99.742nA -0.2204uA -76.537nA + -0.10 -17.537nA -48.631nA -14.0860nA + -0.05 -3.547nA -9.5380nA -3.817nA + 0.00 0.0A 0.0A 0.0A + 6.60 0.0A 0.0A 0.0A +| +[POWER_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.25 0.6648mA 0.7494mA 0.5971mA + -3.15 0.5464mA 0.6392mA 0.4620mA + -3.05 0.4320mA 0.5325mA 0.3346mA + -2.95 0.3223mA 0.4288mA 0.2203mA + -2.85 0.2202mA 0.3288mA 0.1294mA + -2.75 0.1318mA 0.2341mA 72.8310uA + -2.65 67.1930uA 0.1484mA 47.8290uA + -2.55 33.3830uA 79.2120uA 38.1420uA + -2.45 21.1010uA 36.2260uA 33.4220uA + -2.35 16.7340uA 18.9530uA 30.1320uA + -2.25 14.6010uA 13.5620uA 27.2560uA + -2.15 13.0520uA 11.4690uA 24.5640uA + -2.05 11.6720uA 10.2210uA 22.0120uA + -1.95 10.3780uA 9.1893uA 19.5960uA + -1.85 9.1543uA 8.2396uA 17.3210uA + -1.75 8.0014uA 7.3461uA 15.1920uA + -1.65 6.9210uA 6.5045uA 13.2170uA + -1.55 5.9158uA 5.7141uA 11.4020uA + -1.45 4.9887uA 4.9753uA 9.7529uA + -1.35 4.1426uA 4.2887uA 8.2767uA + -1.25 3.3804uA 3.6548uA 6.9786uA + -1.15 2.7049uA 3.0746uA 5.8648uA + -1.05 2.1189uA 2.5487uA 4.9428uA + -0.95 1.6251uA 2.0780uA 4.2177uA + -0.85 1.2274uA 1.6631uA 3.6560uA + -0.75 0.9313uA 1.3051uA 3.6560uA + -0.65 0.7398uA 1.0056uA 3.6560uA + -0.55 0.6327uA 0.7679uA 3.6560uA + -0.45 0.5649uA 0.5968uA 1.9769uA + -0.35 0.5649uA 0.4927uA 1.5352uA + -0.25 0.5649uA 0.4380uA 1.2603uA + -0.15 0.5649uA 0.4056uA 1.0262uA + -0.05 0.2497uA 0.3924uA 0.8243uA + 0.00 0.1905uA 0.3924uA 0.7347uA + 0.05 0.1661uA 0.3924uA 0.6522uA + 0.15 0.1377uA 0.3924uA 0.5077uA + 0.25 0.1149uA 0.2673uA 0.3882uA + 0.35 95.5840nA 94.4790nA 0.2911uA + 0.45 79.2300nA 72.9410nA 0.2139uA + 0.55 65.5240nA 63.7780nA 0.1539uA + 0.65 54.1200nA 56.2440nA 0.1084uA + 0.75 44.6720nA 49.6060nA 74.7270nA + 0.85 36.8450nA 43.7060nA 50.5340nA + 0.95 30.3210nA 38.4180nA 33.5490nA + 1.05 24.8050nA 33.6240nA 21.8000nA + 1.15 20.0360nA 29.2180nA 13.6290nA + 1.25 15.7920nA 25.1030nA 7.7259nA + 1.35 11.8920nA 21.1950nA 3.1291nA + 1.45 8.2001nA 17.4270nA -0.8025nA + 1.55 4.6216nA 13.7460nA -4.4469nA + 1.65 1.0975nA 10.1160nA -7.9944nA + 1.75 -2.4045nA 6.5107nA -11.5260nA + 1.85 -5.8985nA 2.9177nA -15.0700nA + 1.95 -9.3903nA -0.6705nA -18.6430nA + 2.05 -12.8810nA -4.2565nA -22.2600nA + 2.15 -16.3730nA -7.8414nA -25.9390nA + 2.25 -19.8660nA -11.4260nA -29.7010nA + 2.35 -23.3620nA -15.0100nA -33.5700nA + 2.45 -26.8630nA -18.5940nA -37.5740nA + 2.55 -30.3710nA -22.1800nA -41.7450nA + 2.65 -33.8890nA -25.7670nA -46.1170nA + 2.75 -37.4190nA -29.3570nA -50.7240nA + 2.85 -40.9640nA -32.9520nA -55.6060nA + 2.95 -44.5300nA -36.5540nA -60.8020nA + 3.05 -48.1210nA -40.1670nA -66.3570nA + 3.15 -51.7470nA -43.7980nA -72.4990nA + 3.25 -55.4690nA -47.4690nA -97.5860nA + 6.60 -57.5580nA -49.34nA -0.2325uA +| +[Ramp] +| variable typ min max +dV/dt_r 0.7026/0.4330n 0.4966/0.5731n 1.0018/0.3242n +dV/dt_f 0.6827/0.4387n 0.4730/0.5599n 0.9168/0.3576n +R_load = 50.0000 +| +[Rising Waveform] +R_fixture= 50.0000 +V_fixture= 3.3000 +V_fixture_min= 3.1400 +V_fixture_max= 3.4700 +|time V(typ) V(min) V(max) +| +0.0S 2.1621V 2.3517V 1.9420V +0.1010nS 2.1621V 2.3517V 1.9420V +0.2020nS 2.1621V 2.3517V 1.9420V +0.3030nS 2.1621V 2.3517V 1.9420V +0.4040nS 2.1621V 2.3517V 1.9420V +0.5051nS 2.1622V 2.3517V 2.2421V +0.6061nS 2.1615V 2.3517V 2.8060V +0.7071nS 2.2762V 2.3517V 3.1342V +0.8081nS 2.7361V 2.3522V 3.3164V +0.9091nS 2.9561V 2.3515V 3.3898V +1.0101nS 3.0907V 2.3704V 3.4244V +1.1111nS 3.1772V 2.6051V 3.4432V +1.2121nS 3.2242V 2.8360V 3.4539V +1.3131nS 3.2509V 2.9413V 3.4602V +1.4141nS 3.2670V 3.0020V 3.4639V +1.5152nS 3.2775V 3.0439V 3.4662V +1.6162nS 3.2845V 3.0714V 3.4676V +1.7172nS 3.2892V 3.0897V 3.4685V +1.8182nS 3.2924V 3.1025V 3.4690V +1.9192nS 3.2946V 3.1117V 3.4694V +2.0202nS 3.2961V 3.1186V 3.4696V +2.1212nS 3.2972V 3.1237V 3.4697V +2.2222nS 3.2980V 3.1276V 3.4698V +2.3232nS 3.2986V 3.1305V 3.4699V +2.4242nS 3.2989V 3.1327V 3.4699V +2.5253nS 3.2992V 3.1344V 3.4699V +2.6263nS 3.2994V 3.1356V 3.4699V +2.7273nS 3.2996V 3.1366V 3.4699V +2.8283nS 3.2997V 3.1373V 3.4699V +2.9293nS 3.2998V 3.1379V 3.4700V +3.0303nS 3.2998V 3.1383V 3.4699V +3.1313nS 3.2999V 3.1387V 3.4700V +3.2323nS 3.2999V 3.1390V 3.4699V +3.3333nS 3.2999V 3.1392V 3.4700V +3.4343nS 3.2999V 3.1393V 3.4699V +3.5354nS 3.2999V 3.1395V 3.4700V +3.6364nS 3.3000V 3.1396V 3.4699V +3.7374nS 3.3000V 3.1397V 3.4700V +3.8384nS 3.3000V 3.1397V 3.4700V +3.9394nS 3.3000V 3.1398V 3.4700V +4.0404nS 3.3000V 3.1398V 3.4700V +4.1414nS 3.3000V 3.1399V 3.4700V +4.2424nS 3.3000V 3.1399V 3.4700V +4.3434nS 3.3000V 3.1399V 3.4700V +4.4444nS 3.3000V 3.1400V 3.4700V +4.5455nS 3.3000V 3.1400V 3.4700V +4.6465nS 3.3000V 3.1399V 3.4700V +4.7475nS 3.3000V 3.1400V 3.4700V +4.8485nS 3.3000V 3.1400V 3.4700V +4.9495nS 3.3000V 3.1400V 3.4700V +5.0505nS 3.3000V 3.1400V 3.4700V +5.1515nS 3.3000V 3.1400V 3.4699V +5.2525nS 3.3000V 3.1400V 3.4700V +5.3535nS 3.3000V 3.1400V 3.4700V +5.4545nS 3.3000V 3.1400V 3.4700V +5.5556nS 3.3000V 3.1400V 3.4699V +5.6566nS 3.3000V 3.1400V 3.4700V +5.7576nS 3.3000V 3.1400V 3.4700V +5.8586nS 3.3000V 3.1400V 3.4700V +5.9596nS 3.3000V 3.1400V 3.4699V +6.0606nS 3.3000V 3.1400V 3.4700V +6.1616nS 3.3000V 3.1400V 3.4700V +6.2626nS 3.3000V 3.1400V 3.4700V +6.3636nS 3.3000V 3.1400V 3.4699V +6.4646nS 3.3000V 3.1400V 3.4700V +6.5657nS 3.3000V 3.1400V 3.4700V +6.6667nS 3.3000V 3.1400V 3.4700V +6.7677nS 3.3000V 3.1400V 3.4699V +6.8687nS 3.3000V 3.1400V 3.4700V +6.9697nS 3.3000V 3.1400V 3.4700V +7.0707nS 3.3000V 3.1400V 3.4700V +7.1717nS 3.3000V 3.1400V 3.4700V +7.2727nS 3.3000V 3.1400V 3.4700V +7.3737nS 3.3000V 3.1400V 3.4700V +7.4747nS 3.3000V 3.1400V 3.4700V +7.5758nS 3.3000V 3.1400V 3.4700V +7.6768nS 3.3000V 3.1400V 3.4700V +7.7778nS 3.3000V 3.1400V 3.4700V +7.8788nS 3.3000V 3.1400V 3.4700V +7.9798nS 3.3000V 3.1400V 3.4699V +8.0808nS 3.3000V 3.1400V 3.4700V +8.1818nS 3.3000V 3.1400V 3.4700V +8.2828nS 3.3000V 3.1400V 3.4700V +8.3838nS 3.3000V 3.1400V 3.4700V +8.4848nS 3.3000V 3.1400V 3.4700V +8.5859nS 3.3000V 3.1400V 3.4700V +8.6869nS 3.3000V 3.1400V 3.4700V +8.7879nS 3.3000V 3.1400V 3.4700V +8.8889nS 3.3000V 3.1400V 3.4700V +8.9899nS 3.3000V 3.1400V 3.4700V +9.0909nS 3.3000V 3.1400V 3.4700V +9.1919nS 3.3000V 3.1400V 3.4700V +9.2929nS 3.3000V 3.1400V 3.4700V +9.3939nS 3.3000V 3.1400V 3.4700V +9.4949nS 3.3000V 3.1400V 3.4700V +9.5960nS 3.3000V 3.1400V 3.4700V +9.6970nS 3.3000V 3.1400V 3.4700V +9.7980nS 3.3000V 3.1400V 3.4700V +9.8990nS 3.3000V 3.1400V 3.4700V +10.0000nS 3.3000V 3.1400V 3.4700V +| +[Rising Waveform] +R_fixture= 50.0000 +V_fixture= 0.0 +V_fixture_min= 0.0 +V_fixture_max= 0.0 +|time V(typ) V(min) V(max) +| +0.0S 1.2884uV 1.5543uV 1.3225uV +0.1010nS 0.5355uV 0.8430uV 0.6254uV +0.2020nS -3.0614uV 0.1113uV 0.5701uV +0.3030nS 0.6806uV -1.9546uV 10.3860uV +0.4040nS 3.2624uV 1.2769uV -0.2118mV +0.5051nS 32.7230uV 1.5286uV -24.1640mV +0.6061nS -0.3955mV 2.8080uV -9.9395mV +0.7071nS -15.7200mV 17.6660uV 0.1561V +0.8081nS -19.9850mV 99.9200uV 0.5397V +0.9091nS -0.4586mV -0.2072mV 0.9226V +1.0101nS 88.3420mV -6.1838mV 1.2091V +1.1111nS 0.2478V -18.1000mV 1.3886V +1.2121nS 0.4471V -16.0430mV 1.4951V +1.3131nS 0.6318V -10.1180mV 1.5589V +1.4141nS 0.7749V 8.8815mV 1.5984V +1.5152nS 0.8766V 55.5920mV 1.6234V +1.6162nS 0.9465V 0.1340V 1.6394V +1.7172nS 0.9942V 0.2360V 1.6498V +1.8182nS 1.0272V 0.3461V 1.6566V +1.9192nS 1.0502V 0.4492V 1.6613V +2.0202nS 1.0667V 0.5367V 1.6638V +2.1212nS 1.0785V 0.6066V 1.6656V +2.2222nS 1.0871V 0.6605V 1.6667V +2.3232nS 1.0934V 0.7013V 1.6675V +2.4242nS 1.0979V 0.7318V 1.6680V +2.5253nS 1.1013V 0.7546V 1.6684V +2.6263nS 1.1038V 0.7716V 1.6687V +2.7273nS 1.1056V 0.7845V 1.6689V +2.8283nS 1.1069V 0.7942V 1.6691V +2.9293nS 1.1080V 0.8016V 1.6693V +3.0303nS 1.1087V 0.8073V 1.6693V +3.1313nS 1.1093V 0.8118V 1.6694V +3.2323nS 1.1097V 0.8152V 1.6695V +3.3333nS 1.1100V 0.8178V 1.6695V +3.4343nS 1.1103V 0.8199V 1.6695V +3.5354nS 1.1104V 0.8215V 1.6696V +3.6364nS 1.1105V 0.8228V 1.6695V +3.7374nS 1.1106V 0.8238V 1.6696V +3.8384nS 1.1107V 0.8246V 1.6696V +3.9394nS 1.1107V 0.8252V 1.6696V +4.0404nS 1.1108V 0.8257V 1.6696V +4.1414nS 1.1108V 0.8261V 1.6696V +4.2424nS 1.1108V 0.8265V 1.6696V +4.3434nS 1.1109V 0.8267V 1.6696V +4.4444nS 1.1109V 0.8269V 1.6696V +4.5455nS 1.1109V 0.8271V 1.6696V +4.6465nS 1.1109V 0.8272V 1.6696V +4.7475nS 1.1109V 0.8273V 1.6696V +4.8485nS 1.1109V 0.8274V 1.6696V +4.9495nS 1.1109V 0.8274V 1.6696V +5.0505nS 1.1109V 0.8276V 1.6696V +5.1515nS 1.1109V 0.8276V 1.6696V +5.2525nS 1.1109V 0.8276V 1.6696V +5.3535nS 1.1109V 0.8276V 1.6696V +5.4545nS 1.1109V 0.8276V 1.6696V +5.5556nS 1.1109V 0.8276V 1.6696V +5.6566nS 1.1109V 0.8276V 1.6696V +5.7576nS 1.1109V 0.8277V 1.6696V +5.8586nS 1.1109V 0.8277V 1.6696V +5.9596nS 1.1109V 0.8277V 1.6696V +6.0606nS 1.1109V 0.8277V 1.6696V +6.1616nS 1.1109V 0.8277V 1.6696V +6.2626nS 1.1109V 0.8277V 1.6696V +6.3636nS 1.1109V 0.8277V 1.6696V +6.4646nS 1.1109V 0.8277V 1.6696V +6.5657nS 1.1109V 0.8277V 1.6696V +6.6667nS 1.1110V 0.8277V 1.6696V +6.7677nS 1.1109V 0.8277V 1.6696V +6.8687nS 1.1109V 0.8277V 1.6696V +6.9697nS 1.1109V 0.8277V 1.6696V +7.0707nS 1.1110V 0.8277V 1.6696V +7.1717nS 1.1109V 0.8277V 1.6696V +7.2727nS 1.1110V 0.8277V 1.6696V +7.3737nS 1.1110V 0.8277V 1.6696V +7.4747nS 1.1110V 0.8277V 1.6696V +7.5758nS 1.1110V 0.8277V 1.6696V +7.6768nS 1.1110V 0.8277V 1.6696V +7.7778nS 1.1109V 0.8277V 1.6696V +7.8788nS 1.1110V 0.8277V 1.6696V +7.9798nS 1.1110V 0.8277V 1.6696V +8.0808nS 1.1110V 0.8277V 1.6696V +8.1818nS 1.1109V 0.8277V 1.6696V +8.2828nS 1.1110V 0.8277V 1.6696V +8.3838nS 1.1110V 0.8277V 1.6696V +8.4848nS 1.1110V 0.8277V 1.6696V +8.5859nS 1.1110V 0.8277V 1.6696V +8.6869nS 1.1110V 0.8277V 1.6696V +8.7879nS 1.1109V 0.8277V 1.6696V +8.8889nS 1.1109V 0.8277V 1.6696V +8.9899nS 1.1109V 0.8277V 1.6696V +9.0909nS 1.1109V 0.8277V 1.6696V +9.1919nS 1.1109V 0.8277V 1.6696V +9.2929nS 1.1109V 0.8277V 1.6696V +9.3939nS 1.1109V 0.8277V 1.6696V +9.4949nS 1.1109V 0.8277V 1.6696V +9.5960nS 1.1109V 0.8277V 1.6696V +9.6970nS 1.1110V 0.8277V 1.6696V +9.7980nS 1.1110V 0.8277V 1.6696V +9.8990nS 1.1110V 0.8277V 1.6696V +10.0000nS 1.1109V 0.8277V 1.6696V +[Falling Waveform] +R_fixture= 50.0000 +V_fixture= 0.0 +V_fixture_min= 0.0 +V_fixture_max= 0.0 +|time V(typ) V(min) V(max) +| +0.0S 1.1109V 0.8277V 1.6696V +0.1010nS 1.1109V 0.8277V 1.6696V +0.2020nS 1.1109V 0.8277V 1.6696V +0.3030nS 1.1110V 0.8277V 1.6696V +0.4040nS 1.1110V 0.8277V 1.6696V +0.5051nS 1.1109V 0.8277V 1.6644V +0.6061nS 1.1110V 0.8277V 1.0431V +0.7071nS 1.1113V 0.8277V 0.4157V +0.8081nS 0.9851V 0.8277V 0.1612V +0.9091nS 0.5727V 0.8276V 77.0900mV +1.0101nS 0.2814V 0.8282V 40.8740mV +1.1111nS 0.1329V 0.8285V 22.9430mV +1.2121nS 73.6840mV 0.7997V 12.4510mV +1.3131nS 43.0370mV 0.5895V 6.8313mV +1.4141nS 26.7550mV 0.3604V 3.7992mV +1.5152nS 17.0970mV 0.2116V 2.1510mV +1.6162nS 11.1140mV 0.1248V 1.2529mV +1.7172nS 7.2776mV 75.3210mV 0.7544mV +1.8182nS 4.8176mV 47.2290mV 0.4826mV +1.9192nS 3.1888mV 31.3570mV 0.3253mV +2.0202nS 2.1519mV 21.8020mV 0.2392mV +2.1212nS 1.4430mV 15.5850mV 0.1806mV +2.2222nS 1.0065mV 11.3310mV 0.1485mV +2.3232nS 0.6888mV 8.3187mV 0.1251mV +2.4242nS 0.5069mV 6.1527mV 0.1108mV +2.5253nS 0.3566mV 4.5704mV 0.1026mV +2.6263nS 0.2717mV 3.4134mV 96.2470uV +2.7273nS 0.1995mV 2.5569mV 87.3860uV +2.8283nS 0.1853mV 1.9272mV 82.9260uV +2.9293nS 0.1277mV 1.4565mV 68.6140uV +3.0303nS 0.1252mV 1.1098mV 60.2230uV +3.1313nS 89.5590uV 0.8485mV 49.7170uV +3.2323nS 94.4160uV 0.6561mV 56.7570uV +3.3333nS 49.7470uV 0.5093mV 54.1530uV +3.4343nS 57.0870uV 0.3863mV 49.3370uV +3.5354nS 52.4280uV 0.3073mV 42.7020uV +3.6364nS 77.0030uV 0.2451mV 40.7070uV +3.7374nS 46.1550uV 0.1875mV 33.9890uV +3.8384nS 63.3650uV 0.1621mV 34.0740uV +3.9394nS 31.9750uV 0.1378mV 27.5110uV +4.0404nS 46.0820uV 0.1176mV 33.5240uV +4.1414nS 14.0450uV 0.1002mV 26.4520uV +4.2424nS 30.8440uV 91.6770uV 22.6270uV +4.3434nS 23.9580uV 76.4830uV 15.2880uV +4.4444nS 37.9550uV 66.7720uV 22.2140uV +4.5455nS 20.1420uV 54.3060uV 29.8260uV +4.6465nS 38.7320uV 46.2710uV 31.2670uV +4.7475nS 7.9010uV 34.7060uV 23.8310uV +4.8485nS 28.9680uV 27.4260uV 23.1060uV +4.9495nS 3.7079uV 16.8370uV 10.7150uV +5.0505nS 4.6522uV 25.8205uV 14.7445uV +5.1515nS 12.5270uV 19.1810uV 11.7510uV +5.2525nS 10.5410uV 17.0160uV 5.4213uV +5.3535nS 42.1190uV 11.8180uV 8.5329uV +5.4545nS 11.4930uV 19.2340uV 13.1240uV +5.5556nS 38.3480uV 27.0890uV 18.1120uV +5.6566nS 1.7742uV 38.8120uV 11.7850uV +5.7576nS 22.6050uV 31.4050uV 7.1004uV +5.8586nS -3.9145uV 19.9240uV -7.3305uV +5.9596nS 13.3160uV 7.4008uV 0.4354uV +6.0606nS 7.3534uV -0.9538uV 7.7540uV +6.1616nS 33.4920uV -8.2614uV 8.9420uV +6.2626nS 9.8852uV 1.6769uV 5.0332uV +6.3636nS 25.5040uV 16.3790uV 2.4944uV +6.4646nS -2.5946uV 32.5920uV -5.3283uV +6.5657nS 4.1073uV 30.8730uV 6.9637uV +6.6667nS 2.1062uV 21.8930uV 7.5789uV +6.7677nS 10.6270uV 5.1201uV 6.5788uV +6.8687nS -19.4020uV -8.0928uV 0.9709uV +6.9697nS 3.6023uV 5.3486uV -0.4159uV +7.0707nS -20.2800uV 10.3380uV -4.1503uV +7.1717nS 19.4790uV 23.0950uV -4.9697uV +7.2727nS -10.7860uV 7.5118uV -8.6698uV +7.3737nS 21.7550uV 9.0311uV -7.4803uV +7.4747nS 12.4390uV -5.6908uV -10.4050uV +7.5758nS 18.3510uV -4.5175uV -8.2164uV +7.6768nS -7.8114uV 1.1510uV -10.8020uV +7.7778nS 2.0275uV 12.3600uV -1.5528uV +7.8788nS -76.1190nV 12.0690uV 6.6952uV +7.9798nS 4.0097uV 8.9861uV 10.0890uV +8.0808nS 0.4972uV -1.8875uV 0.6130uV +8.1818nS 0.5840uV -11.3150uV -1.5820uV +8.2828nS -12.7280uV -15.9390uV -8.5048uV +8.3838nS 3.4867uV -5.2058uV -1.9719uV +8.4848nS -4.5739uV 2.6217uV 0.5424uV +8.5859nS 4.1249uV 2.6585uV 4.0878uV +8.6869nS -12.0140uV -0.6919uV 6.4732uV +8.7879nS -3.8915uV -10.4690uV 7.0541uV +8.8889nS -10.5260uV -22.7580uV 2.8919uV +8.9899nS 2.5661uV -25.3070uV -3.3709uV +9.0909nS -2.9240uV -11.4390uV -5.9996uV +9.1919nS -3.0241uV -1.1643uV 0.8082uV +9.2929nS -7.9366uV 5.3328uV 0.4492uV +9.3939nS -2.3621uV 8.5118uV 5.8039uV +9.4949nS -9.1146uV -8.6006uV -2.4704uV +9.5960nS -3.7574uV -19.3450uV -0.8494uV +9.6970nS -16.6030uV -15.4900uV -2.2380uV +9.7980nS -2.4824uV -3.6242uV 4.0030uV +9.8990nS -18.6820uV 15.5490uV 1.5950uV +10.0000nS -1.0707uV 8.4164uV -6.6712uV +| +[Falling Waveform] +R_fixture= 50.0000 +V_fixture= 3.3000 +V_fixture_min= 3.1400 +V_fixture_max= 3.4700 +|time V(typ) V(min) V(max) +| +0.0S 3.3000V 3.1400V 3.4700V +0.1010nS 3.3000V 3.1400V 3.4700V +0.2020nS 3.3000V 3.1400V 3.4700V +0.3030nS 3.3000V 3.1400V 3.4700V +0.4040nS 3.3000V 3.1400V 3.4700V +0.5051nS 3.3000V 3.1400V 3.4758V +0.6061nS 3.3001V 3.1400V 3.4570V +0.7071nS 3.2983V 3.1400V 3.0951V +0.8081nS 3.3299V 3.1400V 2.7403V +0.9091nS 3.2987V 3.1398V 2.4747V +1.0101nS 3.1080V 3.1409V 2.2935V +1.1111nS 2.8866V 3.1388V 2.1742V +1.2121nS 2.6919V 3.1507V 2.0966V +1.3131nS 2.5414V 3.1748V 2.0453V +1.4141nS 2.4321V 3.1565V 2.0112V +1.5152nS 2.3547V 3.0752V 1.9884V +1.6162nS 2.3001V 2.9642V 1.9732V +1.7172nS 2.2616V 2.8458V 1.9629V +1.8182nS 2.2341V 2.7389V 1.9561V +1.9192nS 2.2145V 2.6506V 1.9515V +2.0202nS 2.2003V 2.5809V 1.9484V +2.1212nS 2.1900V 2.5272V 1.9463V +2.2222nS 2.1826V 2.4862V 1.9450V +2.3232nS 2.1771V 2.4551V 1.9440V +2.4242nS 2.1731V 2.4314V 1.9434V +2.5253nS 2.1702V 2.4134V 1.9430V +2.6263nS 2.1681V 2.3996V 1.9427V +2.7273nS 2.1665V 2.3890V 1.9425V +2.8283nS 2.1654V 2.3808V 1.9424V +2.9293nS 2.1646V 2.3745V 1.9423V +3.0303nS 2.1639V 2.3696V 1.9422V +3.1313nS 2.1635V 2.3658V 1.9422V +3.2323nS 2.1631V 2.3628V 1.9421V +3.3333nS 2.1629V 2.3604V 1.9421V +3.4343nS 2.1627V 2.3586V 1.9421V +3.5354nS 2.1626V 2.3572V 1.9420V +3.6364nS 2.1625V 2.3560V 1.9421V +3.7374nS 2.1624V 2.3551V 1.9421V +3.8384nS 2.1623V 2.3544V 1.9421V +3.9394nS 2.1623V 2.3539V 1.9420V +4.0404nS 2.1622V 2.3534V 1.9420V +4.1414nS 2.1622V 2.3531V 1.9420V +4.2424nS 2.1622V 2.3528V 1.9420V +4.3434nS 2.1622V 2.3526V 1.9420V +4.4444nS 2.1622V 2.3524V 1.9420V +4.5455nS 2.1622V 2.3523V 1.9420V +4.6465nS 2.1622V 2.3522V 1.9420V +4.7475nS 2.1622V 2.3521V 1.9420V +4.8485nS 2.1622V 2.3520V 1.9420V +4.9495nS 2.1622V 2.3519V 1.9420V +5.0505nS 2.1622V 2.3519V 1.9420V +5.1515nS 2.1622V 2.3518V 1.9420V +5.2525nS 2.1622V 2.3518V 1.9420V +5.3535nS 2.1622V 2.3518V 1.9420V +5.4545nS 2.1622V 2.3518V 1.9420V +5.5556nS 2.1622V 2.3518V 1.9420V +5.6566nS 2.1622V 2.3517V 1.9420V +5.7576nS 2.1621V 2.3517V 1.9420V +5.8586nS 2.1621V 2.3517V 1.9420V +5.9596nS 2.1621V 2.3517V 1.9420V +6.0606nS 2.1621V 2.3517V 1.9420V +6.1616nS 2.1621V 2.3517V 1.9420V +6.2626nS 2.1621V 2.3517V 1.9420V +6.3636nS 2.1621V 2.3517V 1.9420V +6.4646nS 2.1621V 2.3517V 1.9420V +6.5657nS 2.1621V 2.3517V 1.9420V +6.6667nS 2.1622V 2.3517V 1.9420V +6.7677nS 2.1621V 2.3517V 1.9420V +6.8687nS 2.1621V 2.3517V 1.9420V +6.9697nS 2.1621V 2.3517V 1.9420V +7.0707nS 2.1621V 2.3517V 1.9420V +7.1717nS 2.1621V 2.3517V 1.9420V +7.2727nS 2.1621V 2.3517V 1.9420V +7.3737nS 2.1621V 2.3517V 1.9420V +7.4747nS 2.1621V 2.3517V 1.9420V +7.5758nS 2.1621V 2.3517V 1.9420V +7.6768nS 2.1621V 2.3517V 1.9420V +7.7778nS 2.1621V 2.3517V 1.9420V +7.8788nS 2.1621V 2.3517V 1.9420V +7.9798nS 2.1621V 2.3517V 1.9420V +8.0808nS 2.1621V 2.3517V 1.9420V +8.1818nS 2.1621V 2.3517V 1.9420V +8.2828nS 2.1621V 2.3517V 1.9420V +8.3838nS 2.1621V 2.3517V 1.9420V +8.4848nS 2.1621V 2.3517V 1.9420V +8.5859nS 2.1621V 2.3517V 1.9420V +8.6869nS 2.1621V 2.3517V 1.9420V +8.7879nS 2.1621V 2.3517V 1.9420V +8.8889nS 2.1621V 2.3517V 1.9420V +8.9899nS 2.1621V 2.3517V 1.9420V +9.0909nS 2.1621V 2.3517V 1.9420V +9.1919nS 2.1621V 2.3517V 1.9420V +9.2929nS 2.1621V 2.3517V 1.9420V +9.3939nS 2.1621V 2.3517V 1.9420V +9.4949nS 2.1621V 2.3517V 1.9420V +9.5960nS 2.1621V 2.3517V 1.9420V +9.6970nS 2.1621V 2.3517V 1.9420V +9.7980nS 2.1621V 2.3517V 1.9420V +9.8990nS 2.1621V 2.3517V 1.9420V +10.0000nS 2.1621V 2.3517V 1.9420V +| +| End [Model] lvt330s040aaaaaaaaou +|************************************************************************ +[Model] lvt330s040haaaaaaaio +Model_type I/O +Polarity Non-Inverting +Enable Active-Low +Vinl = 0.8000V +Vinh = 2.0000V +Vmeas = 1.500000V +Cref = 0.0F +Rref = 1.0000M +Vref = 0.0V +C_comp 4.2000pF 3.8000pF 7.5000pF +| +[Temperature Range] 25.0000 0.1050k -40.0000 +[Voltage Range] 3.3000V 3.1400V 3.4700V +[Pulldown] +|Voltage I(typ) I(min) I(max) +| + -3.30 -13.0175mA -9.4589mA -17.0245mA + -3.20 -12.9707mA -9.4248mA -16.9624mA + -3.10 -12.9240mA -9.3908mA -16.9003mA + -3.00 -12.8773mA -9.3568mA -16.8383mA + -2.90 -12.8305mA -9.3227mA -16.7762mA + -2.80 -12.7838mA -9.2887mA -16.7142mA + -2.70 -12.7370mA -9.2546mA -16.6521mA + -2.60 -12.6903mA -9.2206mA -16.5900mA + -2.50 -12.6435mA -9.1866mA -16.5280mA + -2.40 -12.5968mA -9.1525mA -16.4659mA + -2.30 -12.5500mA -9.1185mA -16.4038mA + -2.20 -12.5033mA -9.0845mA -16.3418mA + -2.10 -12.4566mA -9.0504mA -16.2797mA + -2.00 -12.4098mA -9.0164mA -16.2176mA + -1.90 -12.3631mA -8.9824mA -16.1556mA + -1.80 -12.3163mA -8.9483mA -16.0935mA + -1.70 -12.2696mA -8.9143mA -16.0314mA + -1.60 -12.2228mA -8.8803mA -15.9694mA + -1.50 -12.1761mA -8.8462mA -15.9073mA + -1.40 -12.1293mA -8.8122mA -15.8453mA + -1.30 -12.0826mA -8.7781mA -15.7832mA + -1.20 -12.0359mA -8.7441mA -15.7211mA + -1.10 -11.9891mA -8.7101mA -15.6591mA + -1.00 -11.9424mA -8.6760mA -15.5970mA + -0.90 -11.8920mA -8.6420mA -14.2580mA + -0.80 -11.2030mA -8.5040mA -13.3310mA + -0.70 -10.6640mA -8.3180mA -12.8580mA + -0.60 -10.1775mA -7.9085mA -12.5090mA + -0.50 -9.6242mA -7.2249mA -12.2652mA + -0.40 -8.4558mA -6.0810mA -11.1990mA + -0.30 -6.5017mA -4.6206mA -8.6527mA + -0.20 -4.3829mA -3.0989mA -5.8476mA + -0.10 -2.2159mA -1.5586mA -2.9656mA + 0.00 1.3970nA 1.1420nA 1.8430nA + 0.10 2.1875mA 1.5273mA 2.9429mA + 0.20 4.2657mA 2.9723mA 5.7511mA + 0.30 6.2349mA 4.3353mA 8.4238mA + 0.40 8.0952mA 5.6169mA 10.9612mA + 0.50 9.8472mA 6.8175mA 13.3613mA + 0.60 11.4909mA 7.9379mA 15.6250mA + 0.70 13.0251mA 8.9781mA 17.7500mA + 0.80 14.4502mA 9.9381mA 19.7321mA + 0.90 15.7635mA 10.8176mA 21.5658mA + 1.00 16.9605mA 11.6151mA 23.2399mA + 1.10 18.0347mA 12.3297mA 24.7385mA + 1.20 18.9760mA 12.9574mA 26.0423mA + 1.30 19.7754mA 13.4941mA 27.1313mA + 1.40 20.4319mA 13.9418mA 28.0074mA + 1.50 21.1825mA 14.4344mA 28.6916mA + 1.60 21.5959mA 14.7249mA 29.6115mA + 1.70 21.9250mA 14.9601mA 30.0279mA + 1.80 22.1935mA 15.1539mA 30.3626mA + 1.90 22.4157mA 15.3154mA 30.6368mA + 2.00 22.6034mA 15.4536mA 30.8683mA + 2.10 22.7656mA 15.5735mA 31.0653mA + 2.20 22.9074mA 15.6790mA 31.2357mA + 2.30 23.0328mA 15.7722mA 31.3865mA + 2.40 23.1458mA 15.8561mA 31.5207mA + 2.50 23.2463mA 15.9326mA 31.6404mA + 2.60 23.3383mA 16.0018mA 31.7495mA + 2.70 23.4230mA 16.0657mA 31.8480mA + 2.80 23.5002mA 16.1243mA 31.9389mA + 2.90 23.5720mA 16.1785mA 32.0223mA + 3.00 23.6383mA 16.2294mA 32.0991mA + 3.10 23.7003mA 16.2770mA 32.1714mA + 3.20 23.7588mA 16.3211mA 32.2390mA + 3.30 23.8138mA 16.3630mA 32.3031mA + 3.40 23.8674mA 16.4006mA 32.3667mA + 3.50 23.9193mA 16.4233mA 32.4306mA + 3.60 23.9674mA 16.4889mA 32.4982mA + 3.70 23.9882mA 16.5546mA 32.5708mA + 3.80 24.0840mA 16.6202mA 32.6441mA + 3.90 24.1798mA 16.6859mA 32.7359mA + 4.00 24.2756mA 16.7515mA 32.8665mA + 4.10 24.3714mA 16.8172mA 32.9972mA + 4.20 24.4672mA 16.8828mA 33.1278mA + 4.30 24.5630mA 16.9485mA 33.2584mA + 4.40 24.6588mA 17.0142mA 33.3891mA + 4.50 24.7546mA 17.0798mA 33.5197mA + 4.60 24.8504mA 17.1455mA 33.6503mA + 4.70 24.9462mA 17.2111mA 33.7810mA + 4.80 25.0420mA 17.2768mA 33.9116mA + 4.90 25.1378mA 17.3424mA 34.0423mA + 5.00 25.2336mA 17.4081mA 34.1729mA + 5.10 25.3294mA 17.4737mA 34.3035mA + 5.20 25.4252mA 17.5394mA 34.4342mA + 5.30 25.5210mA 17.6051mA 34.5648mA + 5.40 25.6168mA 17.6707mA 34.6955mA + 5.50 25.7126mA 17.7364mA 34.8261mA + 5.60 25.8084mA 17.8020mA 34.9567mA + 5.70 25.9042mA 17.8677mA 35.0874mA + 5.80 25.9999mA 17.9333mA 35.2180mA + 5.90 26.0957mA 17.9990mA 35.3486mA + 6.00 26.1915mA 18.0647mA 35.4793mA + 6.10 26.2873mA 18.1303mA 35.6099mA + 6.20 26.3831mA 18.1960mA 35.7406mA + 6.30 26.4789mA 18.2616mA 35.8712mA + 6.40 26.5747mA 18.3273mA 36.0018mA + 6.50 26.6705mA 18.3929mA 36.1325mA + 6.60 26.7663mA 18.4586mA 36.2631mA +| +[Pullup] +|Voltage I(typ) I(min) I(max) +| +-3.30 60.9662mA 46.6308mA 87.0217mA +-3.20 60.7240mA 46.4472mA 86.7600mA +-3.10 60.2994mA 46.2636mA 85.3500mA +-3.00 59.0755mA 46.0800mA 83.1700mA +-2.90 57.3963mA 45.5300mA 80.5900mA +-2.80 55.4705mA 44.3800mA 77.7700mA +-2.70 53.3739mA 42.9200mA 74.8000mA +-2.60 51.1729mA 41.2800mA 71.7300mA +-2.50 48.8960mA 39.5320mA 68.6000mA +-2.40 46.5650mA 37.7130mA 65.4100mA +-2.30 44.2113mA 35.8570mA 62.2000mA +-2.20 41.8320mA 33.9950mA 58.9610mA +-2.10 39.4555mA 32.1310mA 55.7110mA +-2.00 37.1056mA 30.2880mA 52.4470mA +-1.90 34.7793mA 28.4710mA 49.1720mA +-1.80 32.5319mA 26.6850mA 45.8900mA +-1.70 30.3631mA 24.9360mA 42.6060mA +-1.60 28.2959mA 23.2280mA 39.3910mA +-1.50 26.3236mA 21.5600mA 36.3540mA +-1.40 24.4385mA 19.9360mA 33.5720mA +-1.30 22.6312mA 18.3560mA 31.0630mA +-1.20 20.8932mA 16.8240mA 28.8020mA +-1.10 19.2273mA 15.3490mA 26.8550mA +-1.00 17.6525mA 13.9360mA 25.6750mA +-0.90 16.2417mA 12.5950mA 24.4660mA +-0.80 14.9068mA 11.3600mA 21.3180mA +-0.70 13.2931mA 10.2971mA 18.9703mA +-0.60 11.6685mA 9.2483mA 16.9105mA +-0.50 9.9513mA 7.8709mA 14.6683mA +-0.40 7.9801mA 6.2914mA 11.8564mA +-0.30 5.9303mA 4.6622mA 8.8439mA +-0.20 3.9153mA 3.0657mA 5.8617mA +-0.10 1.9400mA 1.5124mA 2.9160mA +0.00 -6.9700nA -2.5700nA -86.5000nA +0.10 -1.8799mA -1.4564mA -2.8429mA +0.20 -3.6735mA -2.8408mA -5.5653mA +0.30 -5.3808mA -4.1535mA -8.1679mA +0.40 -7.0025mA -5.3952mA -10.6515mA +0.50 -8.5393mA -6.5665mA -13.0149mA +0.60 -9.9917mA -7.6679mA -15.2608mA +0.70 -11.3594mA -8.6999mA -17.3894mA +0.80 -12.6449mA -9.6632mA -19.4005mA +0.90 -13.8465mA -10.5579mA -21.2942mA +1.00 -14.9659mA -11.3853mA -23.0724mA +1.10 -16.0032mA -12.1460mA -24.7353mA +1.20 -16.9593mA -12.8401mA -26.2837mA +1.30 -17.8352mA -13.4675mA -27.7167mA +1.40 -18.6299mA -14.0302mA -29.0372mA +1.50 -19.3454mA -14.5272mA -30.2434mA +1.60 -19.9815mA -14.9606mA -31.3381mA +1.70 -20.5404mA -15.3313mA -32.3204mA +1.80 -21.0208mA -15.7720mA -33.1924mA +1.90 -21.6437mA -16.0228mA -34.3429mA +2.00 -21.9782mA -16.2184mA -35.0021mA +2.10 -22.2442mA -16.3681mA -35.5553mA +2.20 -22.4522mA -16.4866mA -36.0104mA +2.30 -22.6203mA -16.5871mA -36.3794mA +2.40 -22.7636mA -16.6772mA -36.6831mA +2.50 -22.8919mA -16.7617mA -36.9446mA +2.60 -23.0116mA -16.8402mA -37.1814mA +2.70 -23.1247mA -16.9153mA -37.4005mA +2.80 -23.2299mA -16.9860mA -37.6054mA +2.90 -23.3289mA -17.0521mA -37.7972mA +3.00 -23.4225mA -17.1156mA -37.9765mA +3.10 -23.5107mA -17.1755mA -38.1452mA +3.20 -23.5932mA -17.2307mA -38.3020mA +3.30 -23.6690mA -17.2845mA -38.4487mA +3.40 -23.7421mA -17.3317mA -38.5851mA +3.50 -23.8099mA -17.3821mA -38.7103mA +3.60 -23.8639mA -17.4515mA -38.8302mA +3.70 -23.9593mA -17.5209mA -38.9459mA +3.80 -24.0548mA -17.5902mA -39.0277mA +3.90 -24.1501mA -17.6596mA -39.1834mA +4.00 -24.2455mA -17.7290mA -39.3392mA +4.10 -24.3409mA -17.7983mA -39.4949mA +4.20 -24.4363mA -17.8677mA -39.6506mA +4.30 -24.5317mA -17.9371mA -39.8063mA +4.40 -24.6271mA -18.0064mA -39.9620mA +4.50 -24.7225mA -18.0758mA -40.1178mA +4.60 -24.8179mA -18.1452mA -40.2735mA +4.70 -24.9133mA -18.2145mA -40.4292mA +4.80 -25.0087mA -18.2839mA -40.5849mA +4.90 -25.1041mA -18.3532mA -40.7406mA +5.00 -25.1995mA -18.4226mA -40.8964mA +5.10 -25.2949mA -18.4920mA -41.0521mA +5.20 -25.3902mA -18.5613mA -41.2078mA +5.30 -25.4857mA -18.6307mA -41.3635mA +5.40 -25.5810mA -18.7001mA -41.5192mA +5.50 -25.6765mA -18.7694mA -41.6750mA +5.60 -25.7719mA -18.8388mA -41.8307mA +5.70 -25.8673mA -18.9082mA -41.9864mA +5.80 -25.9627mA -18.9775mA -42.1421mA +5.90 -26.0580mA -19.0469mA -42.2978mA +6.00 -26.1535mA -19.1163mA -42.4536mA +6.10 -26.2488mA -19.1856mA -42.6093mA +6.20 -26.3443mA -19.2550mA -42.7650mA +6.30 -26.4396mA -19.3244mA -42.9207mA +6.40 -26.5350mA -19.3937mA -43.0764mA +6.50 -26.6305mA -19.4631mA -43.2322mA +6.55 -26.6781mA -19.4978mA -43.3100mA +[GND_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.30 -0.9552A -0.9302A -0.9778A + -3.25 -0.9306A -0.9058A -0.9532A + -3.20 -0.9061A -0.8814A -0.9285A + -3.15 -0.8815A -0.857A -0.9039A + -3.10 -0.857A -0.8327A -0.8792A + -3.05 -0.8325A -0.8083A -0.8546A + -3.00 -0.808A -0.784A -0.83A + -2.95 -0.7836A -0.7597A -0.8054A + -2.90 -0.7591A -0.7355A -0.7808A + -2.85 -0.7347A -0.7112A -0.7563A + -2.80 -0.7103A -0.6871A -0.7317A + -2.75 -0.6859A -0.6629A -0.7072A + -2.70 -0.6616A -0.6388A -0.6827A + -2.65 -0.6373A -0.6148A -0.6582A + -2.60 -0.613A -0.5908A -0.6338A + -2.55 -0.5888A -0.5668A -0.6094A + -2.50 -0.5646A -0.543A -0.585A + -2.45 -0.5405A -0.5192A -0.5607A + -2.40 -0.5165A -0.4955A -0.5364A + -2.35 -0.4925A -0.4719A -0.5122A + -2.30 -0.4686A -0.4484A -0.488A + -2.25 -0.4448A -0.425A -0.4639A + -2.20 -0.421A -0.4018A -0.4398A + -2.15 -0.3975A -0.3788A -0.4159A + -2.10 -0.374A -0.3561A -0.3921A + -2.05 -0.3508A -0.3336A -0.3684A + -2.00 -0.3278A -0.3115A -0.3449A + -1.95 -0.3051A -0.2898A -0.3216A + -1.90 -0.2829A -0.2688A -0.2986A + -1.85 -0.2611A -0.2485A -0.276A + -1.80 -0.2402A -0.2293A -0.2541A + -1.75 -0.2203A -0.2115A -0.233A + -1.70 -0.2019A -0.1953A -0.2133A + -1.65 -0.1856A -0.1809A -0.1957A + -1.60 -0.1716A -0.1681A -0.1809A + -1.55 -0.1593A -0.1563A -0.1683A + -1.50 -0.148A -0.1451A -0.157A + -1.45 -0.1371A -0.1342A -0.146A + -1.40 -0.1266A -0.1236A -0.1353A + -1.35 -0.1161A -0.1131A -0.1248A + -1.30 -0.1059A -0.1029A -0.1145A + -1.25 -95.8525mA -92.7685mA -0.1044A + -1.20 -86.0775mA -82.8695mA -94.7415mA + -1.15 -76.6285mA -73.2225mA -85.5735mA + -1.10 -67.6045mA -63.8875mA -77.1175mA + -1.05 -59.1405mA -54.9455mA -69.5895mA + -1.00 -51.4145mA -46.5095mA -63.0585mA + -0.95 -44.6125mA -38.7355mA -57.1555mA + -0.90 -38.7695mA -31.8215mA -51.1065mA + -0.85 -33.4345mA -25.8545mA -44.5675mA + -0.80 -28.1565mA -20.5265mA -37.8185mA + -0.75 -22.9755mA -15.7285mA -31.1295mA + -0.70 -18.0095mA -11.5805mA -24.6635mA + -0.65 -13.3705mA -8.0894mA -18.5475mA + -0.60 -9.185mA -5.219mA -12.9245mA + -0.55 -5.6163mA -2.9834mA -7.9946mA + -0.50 -2.8703mA -1.4348mA -4.0643mA + -0.45 -1.1245mA -0.5536mA -1.5008mA + -0.40 -0.308mA -0.1624mA -0.3485mA + -0.35 -35.6uA -20.3890uA -16.0uA + -0.30 0.0A 0.0A 0.0A + -0.25 0.0A 0.0A 0.0A + -0.20 0.0A 0.0A 0.0A + -0.15 0.0A 0.0A 0.0A + -0.10 0.0A 0.0A 0.0A + -0.05 0.0A 0.0A 0.0A + 0.00 0.0A 0.0A 0.0A + 6.60 0.0A 0.0A 0.0A +| +[POWER_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.25 0.1221A 0.1248A 0.1242A + -3.15 0.1200A 0.1232A 0.1212A + -3.05 0.1178A 0.1215A 0.1181A + -2.95 0.1153A 0.1196A 0.1148A + -2.85 0.1128A 0.1174A 0.1114A + -2.75 0.1100A 0.1151A 0.1078A + -2.65 0.1071A 0.1126A 0.1041A + -2.55 0.1039A 0.1098A 0.1001A + -2.45 0.1006A 0.1069A 95.9680mA + -2.35 97.0630mA 0.1037A 91.5590mA + -2.25 93.2670mA 0.1003A 86.8480mA + -2.15 89.1920mA 96.7010mA 81.7630mA + -2.05 84.8070mA 92.8600mA 76.2010mA + -1.95 80.0800mA 88.7860mA 70.0340mA + -1.85 74.9870mA 84.4790mA 63.1570mA + -1.75 69.5180mA 79.9450mA 55.5700mA + -1.65 63.6850mA 75.1950mA 47.4010mA + -1.55 57.5160mA 70.2440mA 38.8580mA + -1.45 51.0440mA 65.1120mA 30.1910mA + -1.35 44.3150mA 59.8210mA 21.8920mA + -1.25 37.3820mA 54.3940mA 15.5340mA + -1.15 30.3110mA 48.8520mA 11.4230mA + -1.05 23.2090mA 43.2090mA 6.9858mA + -0.95 16.3160mA 37.4680mA 3.1948mA + -0.85 10.2960mA 31.6320mA 0.8600mA + -0.75 6.1213mA 25.7150mA 0.1694mA + -0.65 3.1679mA 19.7630mA 65.5350uA + -0.55 1.1362mA 13.8830mA 37.1010uA + -0.45 0.2574mA 8.3464mA 19.4580uA + -0.35 73.3430uA 3.8624mA 3.1206uA + -0.25 33.6580uA 1.3608mA -12.8040uA + -0.15 17.7650uA 0.3668mA -28.1570uA + -0.05 5.8276uA 90.2420uA -42.9420uA + 0.00 0.1897uA 50.8660uA -50.1210uA + 0.05 -5.3380uA 31.9340uA -57.1590uA + 0.15 -16.0560uA 15.1110uA -70.8070uA + 0.25 -26.3450uA 5.7330uA -83.8870uA + 0.35 -36.2070uA -2.2074uA -96.3970uA + 0.45 -45.6400uA -9.6847uA -0.1083mA + 0.55 -54.6440uA -16.8200uA -0.1197mA + 0.65 -63.2180uA -23.6240uA -0.1305mA + 0.75 -71.3610uA -30.0980uA -0.1407mA + 0.85 -79.0710uA -36.2430uA -0.1504mA + 0.95 -86.3480uA -42.0600uA -0.1594mA + 1.05 -93.1890uA -47.5470uA -0.1679mA + 1.15 -99.5930uA -52.7050uA -0.1758mA + 1.25 -0.1056mA -57.5350uA -0.1832mA + 1.35 -0.1111mA -62.0370uA -0.1899mA + 1.45 -0.1162mA -66.2090uA -0.1961mA + 1.55 -0.1208mA -70.0530uA -0.2016mA + 1.65 -0.1250mA -73.5690uA -0.2016mA + 1.75 -0.1287mA -76.7560uA -0.2016mA + 1.85 -0.1305mA -79.6150uA -0.2016mA + 1.95 -0.1305mA -82.1480uA -0.2016mA + 2.05 -0.1305mA -83.2920uA -0.2016mA + 2.15 -0.1305mA -83.2920uA -0.2016mA + 2.25 -0.1305mA -83.2920uA -0.2016mA + 2.35 -0.1305mA -83.2920uA -0.2016mA + 2.45 -0.1305mA -83.2920uA -0.2016mA + 2.55 -0.1305mA -83.2920uA -0.2016mA + 2.65 -0.1305mA -83.2920uA -0.2016mA + 2.75 -0.1305mA -83.2920uA -0.2016mA + 2.85 -0.1305mA -83.2920uA -0.2016mA + 2.95 -0.1305mA -83.2920uA -0.2016mA + 3.05 -0.1305mA -83.2920uA -0.2016mA + 3.15 -0.1305mA -83.2920uA -0.2016mA + 3.25 -0.1305mA -83.2920uA -0.2016mA + 6.60 -0.1305mA -83.292uA -0.2016mA +| +[Ramp] +| variable typ min max +dV/dt_r 0.7026/0.4330n 0.4966/0.5731n 1.0018/0.3242n +dV/dt_f 0.6827/0.4387n 0.4730/0.5599n 0.9168/0.3576n +R_load = 50.0000 +| +[Rising Waveform] +R_fixture= 50.0000 +V_fixture= 3.3000 +V_fixture_min= 3.1400 +V_fixture_max= 3.4700 +|time V(typ) V(min) V(max) +| +0.0S 2.1621V 2.3517V 1.9420V +0.1010nS 2.1621V 2.3517V 1.9420V +0.2020nS 2.1621V 2.3517V 1.9420V +0.3030nS 2.1621V 2.3517V 1.9420V +0.4040nS 2.1621V 2.3517V 1.9420V +0.5051nS 2.1622V 2.3517V 2.2421V +0.6061nS 2.1615V 2.3517V 2.8060V +0.7071nS 2.2762V 2.3517V 3.1342V +0.8081nS 2.7361V 2.3522V 3.3164V +0.9091nS 2.9561V 2.3515V 3.3898V +1.0101nS 3.0907V 2.3704V 3.4244V +1.1111nS 3.1772V 2.6051V 3.4432V +1.2121nS 3.2242V 2.8360V 3.4539V +1.3131nS 3.2509V 2.9413V 3.4602V +1.4141nS 3.2670V 3.0020V 3.4639V +1.5152nS 3.2775V 3.0439V 3.4662V +1.6162nS 3.2845V 3.0714V 3.4676V +1.7172nS 3.2892V 3.0897V 3.4685V +1.8182nS 3.2924V 3.1025V 3.4690V +1.9192nS 3.2946V 3.1117V 3.4694V +2.0202nS 3.2961V 3.1186V 3.4696V +2.1212nS 3.2972V 3.1237V 3.4697V +2.2222nS 3.2980V 3.1276V 3.4698V +2.3232nS 3.2986V 3.1305V 3.4699V +2.4242nS 3.2989V 3.1327V 3.4699V +2.5253nS 3.2992V 3.1344V 3.4699V +2.6263nS 3.2994V 3.1356V 3.4699V +2.7273nS 3.2996V 3.1366V 3.4699V +2.8283nS 3.2997V 3.1373V 3.4699V +2.9293nS 3.2998V 3.1379V 3.4700V +3.0303nS 3.2998V 3.1383V 3.4699V +3.1313nS 3.2999V 3.1387V 3.4700V +3.2323nS 3.2999V 3.1390V 3.4699V +3.3333nS 3.2999V 3.1392V 3.4700V +3.4343nS 3.2999V 3.1393V 3.4699V +3.5354nS 3.2999V 3.1395V 3.4700V +3.6364nS 3.3000V 3.1396V 3.4699V +3.7374nS 3.3000V 3.1397V 3.4700V +3.8384nS 3.3000V 3.1397V 3.4700V +3.9394nS 3.3000V 3.1398V 3.4700V +4.0404nS 3.3000V 3.1398V 3.4700V +4.1414nS 3.3000V 3.1399V 3.4700V +4.2424nS 3.3000V 3.1399V 3.4700V +4.3434nS 3.3000V 3.1399V 3.4700V +4.4444nS 3.3000V 3.1400V 3.4700V +4.5455nS 3.3000V 3.1400V 3.4700V +4.6465nS 3.3000V 3.1399V 3.4700V +4.7475nS 3.3000V 3.1400V 3.4700V +4.8485nS 3.3000V 3.1400V 3.4700V +4.9495nS 3.3000V 3.1400V 3.4700V +5.0505nS 3.3000V 3.1400V 3.4700V +5.1515nS 3.3000V 3.1400V 3.4699V +5.2525nS 3.3000V 3.1400V 3.4700V +5.3535nS 3.3000V 3.1400V 3.4700V +5.4545nS 3.3000V 3.1400V 3.4700V +5.5556nS 3.3000V 3.1400V 3.4699V +5.6566nS 3.3000V 3.1400V 3.4700V +5.7576nS 3.3000V 3.1400V 3.4700V +5.8586nS 3.3000V 3.1400V 3.4700V +5.9596nS 3.3000V 3.1400V 3.4699V +6.0606nS 3.3000V 3.1400V 3.4700V +6.1616nS 3.3000V 3.1400V 3.4700V +6.2626nS 3.3000V 3.1400V 3.4700V +6.3636nS 3.3000V 3.1400V 3.4699V +6.4646nS 3.3000V 3.1400V 3.4700V +6.5657nS 3.3000V 3.1400V 3.4700V +6.6667nS 3.3000V 3.1400V 3.4700V +6.7677nS 3.3000V 3.1400V 3.4699V +6.8687nS 3.3000V 3.1400V 3.4700V +6.9697nS 3.3000V 3.1400V 3.4700V +7.0707nS 3.3000V 3.1400V 3.4700V +7.1717nS 3.3000V 3.1400V 3.4700V +7.2727nS 3.3000V 3.1400V 3.4700V +7.3737nS 3.3000V 3.1400V 3.4700V +7.4747nS 3.3000V 3.1400V 3.4700V +7.5758nS 3.3000V 3.1400V 3.4700V +7.6768nS 3.3000V 3.1400V 3.4700V +7.7778nS 3.3000V 3.1400V 3.4700V +7.8788nS 3.3000V 3.1400V 3.4700V +7.9798nS 3.3000V 3.1400V 3.4699V +8.0808nS 3.3000V 3.1400V 3.4700V +8.1818nS 3.3000V 3.1400V 3.4700V +8.2828nS 3.3000V 3.1400V 3.4700V +8.3838nS 3.3000V 3.1400V 3.4700V +8.4848nS 3.3000V 3.1400V 3.4700V +8.5859nS 3.3000V 3.1400V 3.4700V +8.6869nS 3.3000V 3.1400V 3.4700V +8.7879nS 3.3000V 3.1400V 3.4700V +8.8889nS 3.3000V 3.1400V 3.4700V +8.9899nS 3.3000V 3.1400V 3.4700V +9.0909nS 3.3000V 3.1400V 3.4700V +9.1919nS 3.3000V 3.1400V 3.4700V +9.2929nS 3.3000V 3.1400V 3.4700V +9.3939nS 3.3000V 3.1400V 3.4700V +9.4949nS 3.3000V 3.1400V 3.4700V +9.5960nS 3.3000V 3.1400V 3.4700V +9.6970nS 3.3000V 3.1400V 3.4700V +9.7980nS 3.3000V 3.1400V 3.4700V +9.8990nS 3.3000V 3.1400V 3.4700V +10.0000nS 3.3000V 3.1400V 3.4700V +| +[Rising Waveform] +R_fixture= 50.0000 +V_fixture= 0.0 +V_fixture_min= 0.0 +V_fixture_max= 0.0 +|time V(typ) V(min) V(max) +| +0.0S 1.2884uV 1.5543uV 1.3225uV +0.1010nS 0.5355uV 0.8430uV 0.6254uV +0.2020nS -3.0614uV 0.1113uV 0.5701uV +0.3030nS 0.6806uV -1.9546uV 10.3860uV +0.4040nS 3.2624uV 1.2769uV -0.2118mV +0.5051nS 32.7230uV 1.5286uV -24.1640mV +0.6061nS -0.3955mV 2.8080uV -9.9395mV +0.7071nS -15.7200mV 17.6660uV 0.1561V +0.8081nS -19.9850mV 99.9200uV 0.5397V +0.9091nS -0.4586mV -0.2072mV 0.9226V +1.0101nS 88.3420mV -6.1838mV 1.2091V +1.1111nS 0.2478V -18.1000mV 1.3886V +1.2121nS 0.4471V -16.0430mV 1.4951V +1.3131nS 0.6318V -10.1180mV 1.5589V +1.4141nS 0.7749V 8.8815mV 1.5984V +1.5152nS 0.8766V 55.5920mV 1.6234V +1.6162nS 0.9465V 0.1340V 1.6394V +1.7172nS 0.9942V 0.2360V 1.6498V +1.8182nS 1.0272V 0.3461V 1.6566V +1.9192nS 1.0502V 0.4492V 1.6613V +2.0202nS 1.0667V 0.5367V 1.6638V +2.1212nS 1.0785V 0.6066V 1.6656V +2.2222nS 1.0871V 0.6605V 1.6667V +2.3232nS 1.0934V 0.7013V 1.6675V +2.4242nS 1.0979V 0.7318V 1.6680V +2.5253nS 1.1013V 0.7546V 1.6684V +2.6263nS 1.1038V 0.7716V 1.6687V +2.7273nS 1.1056V 0.7845V 1.6689V +2.8283nS 1.1069V 0.7942V 1.6691V +2.9293nS 1.1080V 0.8016V 1.6693V +3.0303nS 1.1087V 0.8073V 1.6693V +3.1313nS 1.1093V 0.8118V 1.6694V +3.2323nS 1.1097V 0.8152V 1.6695V +3.3333nS 1.1100V 0.8178V 1.6695V +3.4343nS 1.1103V 0.8199V 1.6695V +3.5354nS 1.1104V 0.8215V 1.6696V +3.6364nS 1.1105V 0.8228V 1.6695V +3.7374nS 1.1106V 0.8238V 1.6696V +3.8384nS 1.1107V 0.8246V 1.6696V +3.9394nS 1.1107V 0.8252V 1.6696V +4.0404nS 1.1108V 0.8257V 1.6696V +4.1414nS 1.1108V 0.8261V 1.6696V +4.2424nS 1.1108V 0.8265V 1.6696V +4.3434nS 1.1109V 0.8267V 1.6696V +4.4444nS 1.1109V 0.8269V 1.6696V +4.5455nS 1.1109V 0.8271V 1.6696V +4.6465nS 1.1109V 0.8272V 1.6696V +4.7475nS 1.1109V 0.8273V 1.6696V +4.8485nS 1.1109V 0.8274V 1.6696V +4.9495nS 1.1109V 0.8274V 1.6696V +5.0505nS 1.1109V 0.8276V 1.6696V +5.1515nS 1.1109V 0.8276V 1.6696V +5.2525nS 1.1109V 0.8276V 1.6696V +5.3535nS 1.1109V 0.8276V 1.6696V +5.4545nS 1.1109V 0.8276V 1.6696V +5.5556nS 1.1109V 0.8276V 1.6696V +5.6566nS 1.1109V 0.8276V 1.6696V +5.7576nS 1.1109V 0.8277V 1.6696V +5.8586nS 1.1109V 0.8277V 1.6696V +5.9596nS 1.1109V 0.8277V 1.6696V +6.0606nS 1.1109V 0.8277V 1.6696V +6.1616nS 1.1109V 0.8277V 1.6696V +6.2626nS 1.1109V 0.8277V 1.6696V +6.3636nS 1.1109V 0.8277V 1.6696V +6.4646nS 1.1109V 0.8277V 1.6696V +6.5657nS 1.1109V 0.8277V 1.6696V +6.6667nS 1.1110V 0.8277V 1.6696V +6.7677nS 1.1109V 0.8277V 1.6696V +6.8687nS 1.1109V 0.8277V 1.6696V +6.9697nS 1.1109V 0.8277V 1.6696V +7.0707nS 1.1110V 0.8277V 1.6696V +7.1717nS 1.1109V 0.8277V 1.6696V +7.2727nS 1.1110V 0.8277V 1.6696V +7.3737nS 1.1110V 0.8277V 1.6696V +7.4747nS 1.1110V 0.8277V 1.6696V +7.5758nS 1.1110V 0.8277V 1.6696V +7.6768nS 1.1110V 0.8277V 1.6696V +7.7778nS 1.1109V 0.8277V 1.6696V +7.8788nS 1.1110V 0.8277V 1.6696V +7.9798nS 1.1110V 0.8277V 1.6696V +8.0808nS 1.1110V 0.8277V 1.6696V +8.1818nS 1.1109V 0.8277V 1.6696V +8.2828nS 1.1110V 0.8277V 1.6696V +8.3838nS 1.1110V 0.8277V 1.6696V +8.4848nS 1.1110V 0.8277V 1.6696V +8.5859nS 1.1110V 0.8277V 1.6696V +8.6869nS 1.1110V 0.8277V 1.6696V +8.7879nS 1.1109V 0.8277V 1.6696V +8.8889nS 1.1109V 0.8277V 1.6696V +8.9899nS 1.1109V 0.8277V 1.6696V +9.0909nS 1.1109V 0.8277V 1.6696V +9.1919nS 1.1109V 0.8277V 1.6696V +9.2929nS 1.1109V 0.8277V 1.6696V +9.3939nS 1.1109V 0.8277V 1.6696V +9.4949nS 1.1109V 0.8277V 1.6696V +9.5960nS 1.1109V 0.8277V 1.6696V +9.6970nS 1.1110V 0.8277V 1.6696V +9.7980nS 1.1110V 0.8277V 1.6696V +9.8990nS 1.1110V 0.8277V 1.6696V +10.0000nS 1.1109V 0.8277V 1.6696V +[Falling Waveform] +R_fixture= 50.0000 +V_fixture= 0.0 +V_fixture_min= 0.0 +V_fixture_max= 0.0 +|time V(typ) V(min) V(max) +| +0.0S 1.1109V 0.8277V 1.6696V +0.1010nS 1.1109V 0.8277V 1.6696V +0.2020nS 1.1109V 0.8277V 1.6696V +0.3030nS 1.1110V 0.8277V 1.6696V +0.4040nS 1.1110V 0.8277V 1.6696V +0.5051nS 1.1109V 0.8277V 1.6644V +0.6061nS 1.1110V 0.8277V 1.0431V +0.7071nS 1.1113V 0.8277V 0.4157V +0.8081nS 0.9851V 0.8277V 0.1612V +0.9091nS 0.5727V 0.8276V 77.0900mV +1.0101nS 0.2814V 0.8282V 40.8740mV +1.1111nS 0.1329V 0.8285V 22.9430mV +1.2121nS 73.6840mV 0.7997V 12.4510mV +1.3131nS 43.0370mV 0.5895V 6.8313mV +1.4141nS 26.7550mV 0.3604V 3.7992mV +1.5152nS 17.0970mV 0.2116V 2.1510mV +1.6162nS 11.1140mV 0.1248V 1.2529mV +1.7172nS 7.2776mV 75.3210mV 0.7544mV +1.8182nS 4.8176mV 47.2290mV 0.4826mV +1.9192nS 3.1888mV 31.3570mV 0.3253mV +2.0202nS 2.1519mV 21.8020mV 0.2392mV +2.1212nS 1.4430mV 15.5850mV 0.1806mV +2.2222nS 1.0065mV 11.3310mV 0.1485mV +2.3232nS 0.6888mV 8.3187mV 0.1251mV +2.4242nS 0.5069mV 6.1527mV 0.1108mV +2.5253nS 0.3566mV 4.5704mV 0.1026mV +2.6263nS 0.2717mV 3.4134mV 96.2470uV +2.7273nS 0.1995mV 2.5569mV 87.3860uV +2.8283nS 0.1853mV 1.9272mV 82.9260uV +2.9293nS 0.1277mV 1.4565mV 68.6140uV +3.0303nS 0.1252mV 1.1098mV 60.2230uV +3.1313nS 89.5590uV 0.8485mV 49.7170uV +3.2323nS 94.4160uV 0.6561mV 56.7570uV +3.3333nS 49.7470uV 0.5093mV 54.1530uV +3.4343nS 57.0870uV 0.3863mV 49.3370uV +3.5354nS 52.4280uV 0.3073mV 42.7020uV +3.6364nS 77.0030uV 0.2451mV 40.7070uV +3.7374nS 46.1550uV 0.1875mV 33.9890uV +3.8384nS 63.3650uV 0.1621mV 34.0740uV +3.9394nS 31.9750uV 0.1378mV 27.5110uV +4.0404nS 46.0820uV 0.1176mV 33.5240uV +4.1414nS 14.0450uV 0.1002mV 26.4520uV +4.2424nS 30.8440uV 91.6770uV 22.6270uV +4.3434nS 23.9580uV 76.4830uV 15.2880uV +4.4444nS 37.9550uV 66.7720uV 22.2140uV +4.5455nS 20.1420uV 54.3060uV 29.8260uV +4.6465nS 38.7320uV 46.2710uV 31.2670uV +4.7475nS 7.9010uV 34.7060uV 23.8310uV +4.8485nS 28.9680uV 27.4260uV 23.1060uV +4.9495nS 3.7079uV 16.8370uV 10.7150uV +5.0505nS 4.6522uV 25.8205uV 14.7445uV +5.1515nS 12.5270uV 19.1810uV 11.7510uV +5.2525nS 10.5410uV 17.0160uV 5.4213uV +5.3535nS 42.1190uV 11.8180uV 8.5329uV +5.4545nS 11.4930uV 19.2340uV 13.1240uV +5.5556nS 38.3480uV 27.0890uV 18.1120uV +5.6566nS 1.7742uV 38.8120uV 11.7850uV +5.7576nS 22.6050uV 31.4050uV 7.1004uV +5.8586nS -3.9145uV 19.9240uV -7.3305uV +5.9596nS 13.3160uV 7.4008uV 0.4354uV +6.0606nS 7.3534uV -0.9538uV 7.7540uV +6.1616nS 33.4920uV -8.2614uV 8.9420uV +6.2626nS 9.8852uV 1.6769uV 5.0332uV +6.3636nS 25.5040uV 16.3790uV 2.4944uV +6.4646nS -2.5946uV 32.5920uV -5.3283uV +6.5657nS 4.1073uV 30.8730uV 6.9637uV +6.6667nS 2.1062uV 21.8930uV 7.5789uV +6.7677nS 10.6270uV 5.1201uV 6.5788uV +6.8687nS -19.4020uV -8.0928uV 0.9709uV +6.9697nS 3.6023uV 5.3486uV -0.4159uV +7.0707nS -20.2800uV 10.3380uV -4.1503uV +7.1717nS 19.4790uV 23.0950uV -4.9697uV +7.2727nS -10.7860uV 7.5118uV -8.6698uV +7.3737nS 21.7550uV 9.0311uV -7.4803uV +7.4747nS 12.4390uV -5.6908uV -10.4050uV +7.5758nS 18.3510uV -4.5175uV -8.2164uV +7.6768nS -7.8114uV 1.1510uV -10.8020uV +7.7778nS 2.0275uV 12.3600uV -1.5528uV +7.8788nS -76.1190nV 12.0690uV 6.6952uV +7.9798nS 4.0097uV 8.9861uV 10.0890uV +8.0808nS 0.4972uV -1.8875uV 0.6130uV +8.1818nS 0.5840uV -11.3150uV -1.5820uV +8.2828nS -12.7280uV -15.9390uV -8.5048uV +8.3838nS 3.4867uV -5.2058uV -1.9719uV +8.4848nS -4.5739uV 2.6217uV 0.5424uV +8.5859nS 4.1249uV 2.6585uV 4.0878uV +8.6869nS -12.0140uV -0.6919uV 6.4732uV +8.7879nS -3.8915uV -10.4690uV 7.0541uV +8.8889nS -10.5260uV -22.7580uV 2.8919uV +8.9899nS 2.5661uV -25.3070uV -3.3709uV +9.0909nS -2.9240uV -11.4390uV -5.9996uV +9.1919nS -3.0241uV -1.1643uV 0.8082uV +9.2929nS -7.9366uV 5.3328uV 0.4492uV +9.3939nS -2.3621uV 8.5118uV 5.8039uV +9.4949nS -9.1146uV -8.6006uV -2.4704uV +9.5960nS -3.7574uV -19.3450uV -0.8494uV +9.6970nS -16.6030uV -15.4900uV -2.2380uV +9.7980nS -2.4824uV -3.6242uV 4.0030uV +9.8990nS -18.6820uV 15.5490uV 1.5950uV +10.0000nS -1.0707uV 8.4164uV -6.6712uV +| +[Falling Waveform] +R_fixture= 50.0000 +V_fixture= 3.3000 +V_fixture_min= 3.1400 +V_fixture_max= 3.4700 +|time V(typ) V(min) V(max) +| +0.0S 3.3000V 3.1400V 3.4700V +0.1010nS 3.3000V 3.1400V 3.4700V +0.2020nS 3.3000V 3.1400V 3.4700V +0.3030nS 3.3000V 3.1400V 3.4700V +0.4040nS 3.3000V 3.1400V 3.4700V +0.5051nS 3.3000V 3.1400V 3.4758V +0.6061nS 3.3001V 3.1400V 3.4570V +0.7071nS 3.2983V 3.1400V 3.0951V +0.8081nS 3.3299V 3.1400V 2.7403V +0.9091nS 3.2987V 3.1398V 2.4747V +1.0101nS 3.1080V 3.1409V 2.2935V +1.1111nS 2.8866V 3.1388V 2.1742V +1.2121nS 2.6919V 3.1507V 2.0966V +1.3131nS 2.5414V 3.1748V 2.0453V +1.4141nS 2.4321V 3.1565V 2.0112V +1.5152nS 2.3547V 3.0752V 1.9884V +1.6162nS 2.3001V 2.9642V 1.9732V +1.7172nS 2.2616V 2.8458V 1.9629V +1.8182nS 2.2341V 2.7389V 1.9561V +1.9192nS 2.2145V 2.6506V 1.9515V +2.0202nS 2.2003V 2.5809V 1.9484V +2.1212nS 2.1900V 2.5272V 1.9463V +2.2222nS 2.1826V 2.4862V 1.9450V +2.3232nS 2.1771V 2.4551V 1.9440V +2.4242nS 2.1731V 2.4314V 1.9434V +2.5253nS 2.1702V 2.4134V 1.9430V +2.6263nS 2.1681V 2.3996V 1.9427V +2.7273nS 2.1665V 2.3890V 1.9425V +2.8283nS 2.1654V 2.3808V 1.9424V +2.9293nS 2.1646V 2.3745V 1.9423V +3.0303nS 2.1639V 2.3696V 1.9422V +3.1313nS 2.1635V 2.3658V 1.9422V +3.2323nS 2.1631V 2.3628V 1.9421V +3.3333nS 2.1629V 2.3604V 1.9421V +3.4343nS 2.1627V 2.3586V 1.9421V +3.5354nS 2.1626V 2.3572V 1.9420V +3.6364nS 2.1625V 2.3560V 1.9421V +3.7374nS 2.1624V 2.3551V 1.9421V +3.8384nS 2.1623V 2.3544V 1.9421V +3.9394nS 2.1623V 2.3539V 1.9420V +4.0404nS 2.1622V 2.3534V 1.9420V +4.1414nS 2.1622V 2.3531V 1.9420V +4.2424nS 2.1622V 2.3528V 1.9420V +4.3434nS 2.1622V 2.3526V 1.9420V +4.4444nS 2.1622V 2.3524V 1.9420V +4.5455nS 2.1622V 2.3523V 1.9420V +4.6465nS 2.1622V 2.3522V 1.9420V +4.7475nS 2.1622V 2.3521V 1.9420V +4.8485nS 2.1622V 2.3520V 1.9420V +4.9495nS 2.1622V 2.3519V 1.9420V +5.0505nS 2.1622V 2.3519V 1.9420V +5.1515nS 2.1622V 2.3518V 1.9420V +5.2525nS 2.1622V 2.3518V 1.9420V +5.3535nS 2.1622V 2.3518V 1.9420V +5.4545nS 2.1622V 2.3518V 1.9420V +5.5556nS 2.1622V 2.3518V 1.9420V +5.6566nS 2.1622V 2.3517V 1.9420V +5.7576nS 2.1621V 2.3517V 1.9420V +5.8586nS 2.1621V 2.3517V 1.9420V +5.9596nS 2.1621V 2.3517V 1.9420V +6.0606nS 2.1621V 2.3517V 1.9420V +6.1616nS 2.1621V 2.3517V 1.9420V +6.2626nS 2.1621V 2.3517V 1.9420V +6.3636nS 2.1621V 2.3517V 1.9420V +6.4646nS 2.1621V 2.3517V 1.9420V +6.5657nS 2.1621V 2.3517V 1.9420V +6.6667nS 2.1622V 2.3517V 1.9420V +6.7677nS 2.1621V 2.3517V 1.9420V +6.8687nS 2.1621V 2.3517V 1.9420V +6.9697nS 2.1621V 2.3517V 1.9420V +7.0707nS 2.1621V 2.3517V 1.9420V +7.1717nS 2.1621V 2.3517V 1.9420V +7.2727nS 2.1621V 2.3517V 1.9420V +7.3737nS 2.1621V 2.3517V 1.9420V +7.4747nS 2.1621V 2.3517V 1.9420V +7.5758nS 2.1621V 2.3517V 1.9420V +7.6768nS 2.1621V 2.3517V 1.9420V +7.7778nS 2.1621V 2.3517V 1.9420V +7.8788nS 2.1621V 2.3517V 1.9420V +7.9798nS 2.1621V 2.3517V 1.9420V +8.0808nS 2.1621V 2.3517V 1.9420V +8.1818nS 2.1621V 2.3517V 1.9420V +8.2828nS 2.1621V 2.3517V 1.9420V +8.3838nS 2.1621V 2.3517V 1.9420V +8.4848nS 2.1621V 2.3517V 1.9420V +8.5859nS 2.1621V 2.3517V 1.9420V +8.6869nS 2.1621V 2.3517V 1.9420V +8.7879nS 2.1621V 2.3517V 1.9420V +8.8889nS 2.1621V 2.3517V 1.9420V +8.9899nS 2.1621V 2.3517V 1.9420V +9.0909nS 2.1621V 2.3517V 1.9420V +9.1919nS 2.1621V 2.3517V 1.9420V +9.2929nS 2.1621V 2.3517V 1.9420V +9.3939nS 2.1621V 2.3517V 1.9420V +9.4949nS 2.1621V 2.3517V 1.9420V +9.5960nS 2.1621V 2.3517V 1.9420V +9.6970nS 2.1621V 2.3517V 1.9420V +9.7980nS 2.1621V 2.3517V 1.9420V +9.8990nS 2.1621V 2.3517V 1.9420V +10.0000nS 2.1621V 2.3517V 1.9420V +| +| End [Model] lvt330s040haaaaaaaio +|************************************************************************ +[Model] lvt330s160aaaaaaaaou +Model_type Output +Polarity Non-Inverting +Enable Active-Low +Vmeas = 1.500000V +Cref = 0.0F +Rref = 1.0000M +Vref = 0.0V +C_comp 4.2000pF 3.8000pF 7.5000pF +| +| +[Temperature Range] 25.0000 0.1050k -40.0000 +[Voltage Range] 3.3000V 3.1400V 3.4700V +[Pulldown] +|Voltage I(typ) I(min) I(max) +| + -3.30 -46.0510mA -33.7410mA -59.5510mA + -3.20 -46.0510mA -33.7410mA -59.5510mA + -3.10 -46.0510mA -33.7410mA -59.5510mA + -3.00 -46.0510mA -33.7410mA -59.5510mA + -2.90 -46.0510mA -33.7410mA -59.5510mA + -2.80 -46.0510mA -33.7410mA -59.5510mA + -2.70 -46.0510mA -33.7410mA -59.5510mA + -2.60 -46.0510mA -33.7410mA -59.5510mA + -2.50 -46.0510mA -33.7410mA -59.5510mA + -2.40 -46.0510mA -33.7410mA -59.5510mA + -2.30 -46.0510mA -33.7410mA -59.5510mA + -2.20 -46.0510mA -33.7410mA -59.5510mA + -2.10 -46.0510mA -33.7410mA -59.5510mA + -2.00 -46.0510mA -33.7410mA -59.5510mA + -1.90 -46.0510mA -33.7410mA -59.5510mA + -1.80 -46.0510mA -33.7410mA -59.5510mA + -1.70 -46.0510mA -33.7410mA -59.5510mA + -1.60 -46.0510mA -33.7410mA -59.5510mA + -1.50 -46.0510mA -33.7410mA -59.5510mA + -1.40 -46.0510mA -33.7410mA -59.5510mA + -1.30 -46.0510mA -33.7410mA -59.5510mA + -1.20 -46.0510mA -33.7410mA -59.5510mA + -1.10 -46.0510mA -33.7410mA -59.5510mA + -1.00 -46.0510mA -33.7410mA -57.7460mA + -0.90 -45.1960mA -33.7410mA -53.3800mA + -0.80 -42.7900mA -33.0880mA -50.7690mA + -0.70 -40.7010mA -32.1190mA -48.9510mA + -0.60 -38.5892mA -30.1763mA -47.3570mA + -0.50 -35.7989mA -27.1490mA -45.2332mA + -0.40 -30.5530mA -22.5448mA -39.2561mA + -0.30 -23.3101mA -17.0730mA -30.0082mA + -0.20 -15.6753mA -11.4360mA -20.2124mA + -0.10 -7.9044mA -5.7449mA -10.2109mA + 0.00 9.1810nA 6.6370nA 14.6880nA + 0.10 7.8212mA 5.6449mA 10.1501mA + 0.20 15.3310mA 11.0300mA 19.9541mA + 0.30 22.5240mA 16.1530mA 29.4071mA + 0.40 29.3980mA 21.0130mA 38.5000mA + 0.50 35.9480mA 25.6080mA 47.2270mA + 0.60 42.1700mA 29.9370mA 55.5800mA + 0.70 48.0590mA 33.9980mA 63.5490mA + 0.80 53.6080mA 37.7880mA 71.1220mA + 0.90 58.8090mA 41.3050mA 78.2850mA + 1.00 63.6510mA 44.5440mA 85.0150mA + 1.10 68.1160mA 47.4970mA 91.2820mA + 1.20 72.1780mA 50.1540mA 97.0390mA + 1.30 75.8000mA 52.4980mA 0.1022A + 1.40 78.9390mA 54.5150mA 0.1067A + 1.50 81.5710mA 56.2010mA 0.1106A + 1.60 83.7180mA 57.5820mA 0.1137A + 1.70 85.4430mA 58.7040mA 0.1161A + 1.80 86.8340mA 59.6220mA 0.1181A + 1.90 87.9720mA 60.3850mA 0.1196A + 2.00 88.9210mA 61.0310mA 0.1209A + 2.10 89.7270mA 61.5880mA 0.1220A + 2.20 90.4240mA 62.0750mA 0.1229A + 2.30 91.0370mA 62.5080mA 0.1237A + 2.40 91.5820mA 62.8970mA 0.1244A + 2.50 92.0740mA 63.2500mA 0.1250A + 2.60 92.5210mA 63.5730mA 0.1256A + 2.70 92.9309mA 63.8720mA 0.1261A + 2.80 93.3099mA 64.1499mA 0.1266A + 2.90 93.6629mA 64.4089mA 0.1270A + 3.00 93.9929mA 64.6529mA 0.1274A + 3.10 94.3029mA 64.8829mA 0.1278A + 3.20 94.5958mA 65.1008mA 0.1281A + 3.30 94.8758mA 65.3076mA 0.1285A + 3.40 95.1436mA 65.5013mA 0.1288A + 3.50 95.4017mA 65.6576mA 0.1291A + 3.60 95.6462mA 65.9236mA 0.1294A + 3.70 95.8369mA 66.2546mA 0.1298A + 3.80 96.2034mA 67.0655mA 0.1301A + 3.90 96.5733mA 69.0584mA 0.1303A + 4.00 97.3652mA 73.2123mA 0.1309A + 4.10 99.2509mA 79.1820mA 0.1314A + 4.20 0.1022A 85.9597mA 0.1322A + 4.30 0.1063A 93.0914mA 0.1344A + 4.40 0.1126A 0.1004A 0.1384A + 4.50 0.1206A 0.1078A 0.1435A + 4.60 0.1294A 0.1153A 0.1489A + 4.70 0.1385A 0.1229A 0.1551A + 4.80 0.1479A 0.1307A 0.1648A + 4.90 0.1573A 0.1386A 0.1760A + 5.00 0.1669A 0.1467A 0.1878A + 5.10 0.1765A 0.1550A 0.1999A + 5.20 0.1863A 0.1634A 0.2120A + 5.30 0.1961A 0.1719A 0.2241A + 5.40 0.2062A 0.1805A 0.2362A + 5.50 0.2163A 0.1892A 0.2482A + 5.60 0.2265A 0.1980A 0.2603A + 5.70 0.2369A 0.2068A 0.2726A + 5.80 0.2473A 0.2158A 0.2851A + 5.90 0.2579A 0.2248A 0.2978A + 6.00 0.2687A 0.2339A 0.3108A + 6.10 0.2796A 0.2431A 0.3240A + 6.20 0.2907A 0.2524A 0.3374A + 6.30 0.3021A 0.2618A 0.3511A + 6.40 0.3136A 0.2714A 0.3652A + 6.50 0.3254A 0.2811A 0.3794A + 6.60 0.3374A 0.2909A 0.3940A +| +[Pullup] +|Voltage I(typ) I(min) I(max) +| + -3.30 0.3688A 0.3085A 0.4482A + -3.20 0.3595A 0.3039A 0.4356A + -3.10 0.3495A 0.2972A 0.4227A + -3.00 0.3390A 0.2892A 0.4094A + -2.90 0.3282A 0.2805A 0.3959A + -2.80 0.3171A 0.2712A 0.3822A + -2.70 0.3056A 0.2615A 0.3683A + -2.60 0.2939A 0.2514A 0.3543A + -2.50 0.2819A 0.2409A 0.3400A + -2.40 0.2697A 0.2303A 0.3256A + -2.30 0.2573A 0.2194A 0.3110A + -2.20 0.2447A 0.2084A 0.2962A + -2.10 0.2318A 0.1972A 0.2811A + -2.00 0.2189A 0.1859A 0.2659A + -1.90 0.2058A 0.1745A 0.2504A + -1.80 0.1927A 0.1631A 0.2346A + -1.70 0.1795A 0.1517A 0.2186A + -1.60 0.1662A 0.1402A 0.2025A + -1.50 0.1528A 0.1288A 0.1863A + -1.40 0.1394A 0.1175A 0.1702A + -1.30 0.1260A 0.1062A 0.1543A + -1.20 0.1126A 94.9202mA 0.1391A + -1.10 99.3436mA 83.7786mA 0.1252A + -1.00 86.4581mA 72.7899mA 0.1110A + -0.90 74.6466mA 62.0952mA 97.2911mA + -0.80 64.2979mA 52.0534mA 84.6162mA + -0.70 54.8762mA 43.3265mA 72.7261mA + -0.60 46.1133mA 35.9755mA 61.5078mA + -0.50 37.8734mA 29.3476mA 50.1553mA + -0.40 29.8849mA 23.1046mA 40.2866mA + -0.30 22.2622mA 17.0802mA 30.1199mA + -0.20 14.7337mA 11.2591mA 20.0045mA + -0.10 7.3149mA 5.5671mA 9.9673mA + 0.00 -27.6800nA -12.5600nA -0.2990uA + 0.10 -7.1350mA -5.3932mA -9.7862mA + 0.20 -14.0071mA -10.5601mA -19.2690mA + 0.30 -20.6131mA -15.4991mA -28.4448mA + 0.40 -26.9531mA -20.2101mA -37.3096mA + 0.50 -33.0231mA -24.6920mA -45.8605mA + 0.60 -38.8211mA -28.9440mA -54.0924mA + 0.70 -44.3460mA -32.9660mA -62.0013mA + 0.80 -49.5950mA -36.7560mA -69.5842mA + 0.90 -54.5660mA -40.3130mA -76.8361mA + 1.00 -59.2570mA -43.6380mA -83.7531mA + 1.10 -63.6650mA -46.7280mA -90.3311mA + 1.20 -67.7890mA -49.5840mA -96.5660mA + 1.30 -71.6270mA -52.2040mA -0.1025A + 1.40 -75.1760mA -54.5890mA -0.1080A + 1.50 -78.4330mA -56.7370mA -0.1132A + 1.60 -81.3980mA -58.6480mA -0.1180A + 1.70 -84.0680mA -60.3220mA -0.1224A + 1.80 -86.4420mA -61.7600mA -0.1265A + 1.90 -88.5180mA -62.9650mA -0.1302A + 2.00 -90.2990mA -63.9430mA -0.1335A + 2.10 -91.7860mA -64.7110mA -0.1365A + 2.20 -92.9940mA -65.3040mA -0.1391A + 2.30 -93.9530mA -65.7740mA -0.1412A + 2.40 -94.7160mA -66.1750mA -0.1431A + 2.50 -95.3540mA -66.5370mA -0.1446A + 2.60 -95.9200mA -66.8780mA -0.1458A + 2.70 -96.4460mA -67.2020mA -0.1469A + 2.80 -96.9450mA -67.5150mA -0.1478A + 2.90 -97.4230mA -67.8180mA -0.1487A + 3.00 -97.8850mA -68.1109mA -0.1495A + 3.10 -98.3320mA -68.3969mA -0.1504A + 3.20 -98.7659mA -68.6739mA -0.1511A + 3.30 -99.1879mA -68.9436mA -0.1519A + 3.40 -99.5979mA -69.2026mA -0.1526A + 3.50 -99.9963mA -69.3920mA -0.1533A + 3.60 -0.1004A -69.3920mA -0.1540A + 3.70 -0.1005A -69.3920mA -0.1547A + 3.80 -0.1005A -69.3920mA -0.1553A + 3.90 -0.1005A -69.3920mA -0.1554A + 4.00 -0.1005A -69.3920mA -0.1554A + 4.10 -0.1005A -69.3920mA -0.1554A + 4.20 -0.1005A -69.3920mA -0.1554A + 4.30 -0.1005A -69.3920mA -0.1554A + 4.40 -0.1005A -69.3920mA -0.1554A + 4.50 -0.1005A -69.3920mA -0.1554A + 4.60 -0.1005A -69.3920mA -0.1554A + 4.70 -0.1005A -69.3920mA -0.1554A + 4.80 -0.1005A -69.3920mA -0.1554A + 4.90 -0.1005A -69.3920mA -0.1554A + 5.00 -0.1005A -69.3920mA -0.1554A + 5.10 -0.1005A -69.3920mA -0.1554A + 5.20 -0.1005A -69.3920mA -0.1554A + 5.30 -0.1005A -69.3920mA -0.1554A + 5.40 -0.1005A -69.3920mA -0.1554A + 5.50 -0.1005A -69.3920mA -0.1554A + 5.60 -0.1005A -69.3920mA -0.1554A + 5.70 -0.1005A -69.3920mA -0.1554A + 5.80 -0.1005A -69.3920mA -0.1554A + 5.90 -0.1005A -69.3920mA -0.1554A + 6.00 -0.1005A -69.3920mA -0.1554A + 6.10 -0.1005A -69.3920mA -0.1554A + 6.20 -0.1005A -69.3920mA -0.1554A + 6.30 -0.1005A -69.3920mA -0.1554A + 6.40 -0.1005A -69.3920mA -0.1554A + 6.50 -0.1005A -69.3920mA -0.1554A + 6.55 -0.1005A -69.3920mA -0.1554A +| +[GND_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.30 -0.9553A -0.9303A -0.978A + -3.25 -0.9307A -0.9059A -0.9534A + -3.20 -0.9062A -0.8815A -0.9287A + -3.15 -0.8816A -0.8571A -0.9041A + -3.10 -0.8571A -0.8328A -0.8794A + -3.05 -0.8326A -0.8084A -0.8548A + -3.00 -0.8081A -0.7841A -0.8302A + -2.95 -0.7837A -0.7598A -0.8056A + -2.90 -0.7592A -0.7356A -0.781A + -2.85 -0.7348A -0.7113A -0.7565A + -2.80 -0.7104A -0.6872A -0.7319A + -2.75 -0.686A -0.663A -0.7074A + -2.70 -0.6617A -0.6389A -0.6829A + -2.65 -0.6374A -0.6149A -0.6584A + -2.60 -0.6131A -0.5909A -0.634A + -2.55 -0.5889A -0.5669A -0.6096A + -2.50 -0.5647A -0.5431A -0.5852A + -2.45 -0.5406A -0.5193A -0.5609A + -2.40 -0.5166A -0.4956A -0.5366A + -2.35 -0.4926A -0.472A -0.5124A + -2.30 -0.4687A -0.4485A -0.4882A + -2.25 -0.4449A -0.4251A -0.4641A + -2.20 -0.4211A -0.4019A -0.44A + -2.15 -0.3976A -0.3789A -0.4161A + -2.10 -0.3741A -0.3562A -0.3923A + -2.05 -0.3509A -0.3337A -0.3686A + -2.00 -0.3279A -0.3116A -0.3451A + -1.95 -0.3052A -0.2899A -0.3218A + -1.90 -0.283A -0.2689A -0.2988A + -1.85 -0.2612A -0.2486A -0.2762A + -1.80 -0.2402A -0.2294A -0.2543A + -1.75 -0.2204A -0.2116A -0.2332A + -1.70 -0.202A -0.1954A -0.2135A + -1.65 -0.1857A -0.181A -0.1959A + -1.60 -0.1717A -0.1682A -0.181A + -1.55 -0.1594A -0.1563A -0.1685A + -1.50 -0.1481A -0.1452A -0.1571A + -1.45 -0.1372A -0.1343A -0.1462A + -1.40 -0.1266A -0.1237A -0.1355A + -1.35 -0.1162A -0.1132A -0.125A + -1.30 -0.106A -0.1029A -0.1146A + -1.25 -95.9599mA -92.8329mA -0.1046A + -1.20 -86.1849mA -82.9339mA -94.9069mA + -1.15 -76.7349mA -73.2869mA -85.7379mA + -1.10 -67.7089mA -63.9519mA -77.2789mA + -1.05 -59.2439mA -55.0099mA -69.7479mA + -1.00 -51.5159mA -46.5729mA -63.2139mA + -0.95 -44.7119mA -38.7979mA -57.3089mA + -0.90 -38.8659mA -31.8819mA -51.2599mA + -0.85 -33.5299mA -25.9129mA -44.7209mA + -0.80 -28.2499mA -20.5839mA -37.9699mA + -0.75 -23.0669mA -15.7839mA -31.2789mA + -0.70 -18.0989mA -11.6329mA -24.8089mA + -0.65 -13.4569mA -8.1389mA -18.6889mA + -0.60 -9.2667mA -5.2646mA -13.0589mA + -0.55 -5.6915mA -3.0244mA -8.1194mA + -0.50 -2.937mA -1.4709mA -4.1747mA + -0.45 -1.1814mA -0.5866mA -1.592mA + -0.40 -0.3589mA -0.1961mA -0.4238mA + -0.35 -88.3674uA -58.3595uA -88.5118uA + -0.30 -18.8304uA -15.9765uA -16.7268uA + -0.25 -3.5316uA -4.0546uA -3.0027uA + -0.20 -0.6045uA -0.9665uA -0.4941uA + -0.15 -99.742nA -0.2204uA -76.537nA + -0.10 -17.537nA -48.631nA -14.0860nA + -0.05 -3.547nA -9.5380nA -3.817nA + 0.00 0.0A 0.0A 0.0A + 6.60 0.0A 0.0A 0.0A +| +[POWER_clamp] +|Voltage I(typ) I(min) I(max) +| + -3.25 0.6648mA 0.7494mA 0.5971mA + -3.15 0.5464mA 0.6392mA 0.4620mA + -3.05 0.4320mA 0.5325mA 0.3346mA + -2.95 0.3223mA 0.4288mA 0.2203mA + -2.85 0.2202mA 0.3288mA 0.1294mA + -2.75 0.1318mA 0.2341mA 72.8320uA + -2.65 67.1940uA 0.1484mA 47.8300uA + -2.55 33.3840uA 79.2130uA 38.1430uA + -2.45 21.1020uA 36.2270uA 33.4230uA + -2.35 16.7350uA 18.9540uA 30.1320uA + -2.25 14.6020uA 13.5630uA 27.2570uA + -2.15 13.0530uA 11.4700uA 24.5650uA + -2.05 11.6730uA 10.2220uA 22.0130uA + -1.95 10.3790uA 9.1903uA 19.5970uA + -1.85 9.1554uA 8.2406uA 17.3220uA + -1.75 8.0024uA 7.3472uA 15.1930uA + -1.65 6.9220uA 6.5055uA 13.2180uA + -1.55 5.9168uA 5.7151uA 11.4030uA + -1.45 4.9897uA 4.9762uA 9.7538uA + -1.35 4.1435uA 4.2896uA 8.2775uA + -1.25 3.3813uA 3.6558uA 6.9794uA + -1.15 2.7058uA 3.0755uA 5.8656uA + -1.05 2.1197uA 2.5496uA 4.9436uA + -0.95 1.6260uA 2.0788uA 4.2185uA + -0.85 1.2282uA 1.6639uA 3.6568uA + -0.75 0.9321uA 1.3059uA 3.6568uA + -0.65 0.7406uA 1.0064uA 3.6568uA + -0.55 0.6334uA 0.7687uA 3.6568uA + -0.45 0.5657uA 0.5976uA 1.9769uA + -0.35 0.5657uA 0.4934uA 1.5352uA + -0.25 0.5657uA 0.4388uA 1.2603uA + -0.15 0.5657uA 0.4063uA 1.0262uA + -0.05 0.2497uA 0.3931uA 0.8243uA + 0.00 0.1905uA 0.3931uA 0.7347uA + 0.05 0.1661uA 0.3931uA 0.6522uA + 0.15 0.1377uA 0.3931uA 0.5077uA + 0.25 0.1149uA 0.2673uA 0.3882uA + 0.35 95.5840nA 94.4790nA 0.2911uA + 0.45 79.2300nA 72.9410nA 0.2139uA + 0.55 65.5240nA 63.7780nA 0.1539uA + 0.65 54.1200nA 56.2440nA 0.1084uA + 0.75 44.6720nA 49.6060nA 74.7270nA + 0.85 36.8450nA 43.7060nA 50.5340nA + 0.95 30.3210nA 38.4180nA 33.5490nA + 1.05 24.8050nA 33.6240nA 21.8000nA + 1.15 20.0360nA 29.2180nA 13.6290nA + 1.25 15.7920nA 25.1030nA 7.7259nA + 1.35 11.8920nA 21.1950nA 3.1291nA + 1.45 8.2000nA 17.4270nA -0.8025nA + 1.55 4.6215nA 13.7460nA -4.4469nA + 1.65 1.0974nA 10.1160nA -7.9944nA + 1.75 -2.4045nA 6.5107nA -11.5260nA + 1.85 -5.8986nA 2.9177nA -15.0700nA + 1.95 -9.3903nA -0.6705nA -18.6430nA + 2.05 -12.8810nA -4.2566nA -22.2600nA + 2.15 -16.3730nA -7.8413nA -25.9390nA + 2.25 -19.8660nA -11.4260nA -29.7010nA + 2.35 -23.3620nA -15.0100nA -33.5700nA + 2.45 -26.8630nA -18.5940nA -37.5740nA + 2.55 -30.3710nA -22.1800nA -41.7450nA + 2.65 -33.8890nA -25.7670nA -46.1170nA + 2.75 -37.4190nA -29.3570nA -50.7240nA + 2.85 -40.9640nA -32.9520nA -55.6060nA + 2.95 -44.5300nA -36.5540nA -60.8020nA + 3.05 -48.1210nA -40.1670nA -66.3570nA + 3.15 -51.7470nA -43.7980nA -72.4990nA + 3.25 -55.4690nA -47.4690nA -97.5860nA + 6.60 -57.5580nA -49.34nA -0.2325uA +| +[Ramp] +| variable typ min max +dV/dt_r 1.4966/0.2592n 1.2936/0.4087n 1.7021/0.1678n +dV/dt_f 1.5290/0.2281n 1.3043/0.3741n 1.7112/0.1501n +R_load = 50.0000 +| +[Rising Waveform] +R_fixture= 50.0000 +V_fixture= 3.3000 +V_fixture_min= 3.1400 +V_fixture_max= 3.4700 +|time V(typ) V(min) V(max) +| +0.0S 0.7516V 0.9661V 0.6180V +0.1010nS 0.7516V 0.9661V 0.6180V +0.2020nS 0.7516V 0.9661V 0.6180V +0.3030nS 0.7516V 0.9661V 0.6180V +0.4040nS 0.7516V 0.9661V 0.6171V +0.5051nS 0.7517V 0.9661V 0.7829V +0.6061nS 0.7505V 0.9661V 2.0255V +0.7071nS 0.7950V 0.9661V 2.8797V +0.8081nS 1.7861V 0.9664V 3.3462V +0.9091nS 2.4193V 0.9655V 3.4176V +1.0101nS 2.8512V 0.9681V 3.4439V +1.1111nS 3.1357V 1.3754V 3.4564V +1.2121nS 3.2249V 2.1421V 3.4627V +1.3131nS 3.2565V 2.5293V 3.4661V +1.4141nS 3.2737V 2.7345V 3.4678V +1.5152nS 3.2838V 2.8969V 3.4688V +1.6162nS 3.2896V 3.0120V 3.4693V +1.7172nS 3.2934V 3.0632V 3.4696V +1.8182nS 3.2957V 3.0894V 3.4697V +1.9192nS 3.2972V 3.1045V 3.4698V +2.0202nS 3.2981V 3.1151V 3.4699V +2.1212nS 3.2987V 3.1221V 3.4699V +2.2222nS 3.2991V 3.1274V 3.4699V +2.3232nS 3.2994V 3.1308V 3.4699V +2.4242nS 3.2996V 3.1334V 3.4700V +2.5253nS 3.2997V 3.1352V 3.4700V +2.6263nS 3.2998V 3.1365V 3.4700V +2.7273nS 3.2998V 3.1374V 3.4700V +2.8283nS 3.2999V 3.1380V 3.4700V +2.9293nS 3.2999V 3.1385V 3.4700V +3.0303nS 3.2999V 3.1389V 3.4700V +3.1313nS 3.2999V 3.1391V 3.4700V +3.2323nS 3.3000V 3.1393V 3.4700V +3.3333nS 3.3000V 3.1395V 3.4700V +3.4343nS 3.3000V 3.1396V 3.4700V +3.5354nS 3.3000V 3.1397V 3.4700V +3.6364nS 3.3000V 3.1398V 3.4700V +3.7374nS 3.3000V 3.1398V 3.4700V +3.8384nS 3.3000V 3.1398V 3.4700V +3.9394nS 3.3000V 3.1399V 3.4700V +4.0404nS 3.3000V 3.1399V 3.4700V +4.1414nS 3.3000V 3.1399V 3.4700V +4.2424nS 3.3000V 3.1399V 3.4700V +4.3434nS 3.3000V 3.1399V 3.4700V +4.4444nS 3.3000V 3.1399V 3.4700V +4.5455nS 3.3000V 3.1400V 3.4700V +4.6465nS 3.3000V 3.1400V 3.4700V +4.7475nS 3.3000V 3.1400V 3.4700V +4.8485nS 3.3000V 3.1400V 3.4700V +4.9495nS 3.3000V 3.1400V 3.4700V +5.0505nS 3.3000V 3.1400V 3.4700V +5.1515nS 3.3000V 3.1400V 3.4700V +5.2525nS 3.3000V 3.1400V 3.4700V +5.3535nS 3.3000V 3.1400V 3.4700V +5.4545nS 3.3000V 3.1400V 3.4700V +5.5556nS 3.3000V 3.1400V 3.4700V +5.6566nS 3.3000V 3.1400V 3.4700V +5.7576nS 3.3000V 3.1400V 3.4700V +5.8586nS 3.3000V 3.1400V 3.4700V +5.9596nS 3.3000V 3.1400V 3.4700V +6.0606nS 3.3000V 3.1400V 3.4700V +6.1616nS 3.3000V 3.1400V 3.4700V +6.2626nS 3.3000V 3.1400V 3.4700V +6.3636nS 3.3000V 3.1400V 3.4700V +6.4646nS 3.3000V 3.1400V 3.4700V +6.5657nS 3.3000V 3.1400V 3.4700V +6.6667nS 3.3000V 3.1400V 3.4700V +6.7677nS 3.3000V 3.1400V 3.4700V +6.8687nS 3.3000V 3.1400V 3.4700V +6.9697nS 3.3000V 3.1400V 3.4700V +7.0707nS 3.3000V 3.1400V 3.4700V +7.1717nS 3.3000V 3.1400V 3.4700V +7.2727nS 3.3000V 3.1400V 3.4700V +7.3737nS 3.3000V 3.1400V 3.4700V +7.4747nS 3.3000V 3.1400V 3.4700V +7.5758nS 3.3000V 3.1400V 3.4700V +7.6768nS 3.3000V 3.1400V 3.4700V +7.7778nS 3.3000V 3.1400V 3.4700V +7.8788nS 3.3000V 3.1400V 3.4700V +7.9798nS 3.3000V 3.1400V 3.4700V +8.0808nS 3.3000V 3.1400V 3.4700V +8.1818nS 3.3000V 3.1400V 3.4700V +8.2828nS 3.3000V 3.1400V 3.4700V +8.3838nS 3.3000V 3.1400V 3.4700V +8.4848nS 3.3000V 3.1400V 3.4700V +8.5859nS 3.3000V 3.1400V 3.4700V +8.6869nS 3.3000V 3.1400V 3.4700V +8.7879nS 3.3000V 3.1400V 3.4700V +8.8889nS 3.3000V 3.1400V 3.4700V +8.9899nS 3.3000V 3.1400V 3.4700V +9.0909nS 3.3000V 3.1400V 3.4700V +9.1919nS 3.3000V 3.1400V 3.4700V +9.2929nS 3.3000V 3.1400V 3.4700V +9.3939nS 3.3000V 3.1400V 3.4700V +9.4949nS 3.3000V 3.1400V 3.4700V +9.5960nS 3.3000V 3.1400V 3.4700V +9.6970nS 3.3000V 3.1400V 3.4700V +9.7980nS 3.3000V 3.1400V 3.4700V +9.8990nS 3.3000V 3.1400V 3.4700V +10.0000nS 3.3000V 3.1400V 3.4700V +| +[Rising Waveform] +R_fixture= 50.0000 +V_fixture= 0.0 +V_fixture_min= 0.0 +V_fixture_max= 0.0 +|time V(typ) V(min) V(max) +| +0.0S 0.4849uV 0.6538uV 0.4584uV +0.1010nS -91.8400nV 63.2160nV -25.7920nV +0.2020nS -2.6993uV -0.4530uV -0.2185uV +0.3030nS -0.1263uV -1.9171uV 9.8177uV +0.4040nS 2.2148uV 0.3884uV -0.7508mV +0.5051nS 17.9080uV 0.4320uV -54.9180mV +0.6061nS -0.9283mV 2.0154uV -38.8140mV +0.7071nS -36.0310mV 19.4020uV 0.5590V +0.8081nS -59.6090mV 49.7370uV 1.7463V +0.9091nS -7.5013mV -0.5918mV 2.5098V +1.0101nS 0.3035V -13.7970mV 2.7118V +1.1111nS 0.8765V -46.7140mV 2.7764V +1.2121nS 1.5353V -51.2590mV 2.8047V +1.3131nS 2.0287V -34.8250mV 2.8192V +1.4141nS 2.2720V 25.7290mV 2.8271V +1.5152nS 2.3732V 0.1849V 2.8315V +1.6162nS 2.4197V 0.4573V 2.8339V +1.7172nS 2.4473V 0.8065V 2.8353V +1.8182nS 2.4633V 1.1645V 2.8360V +1.9192nS 2.4738V 1.4818V 2.8364V +2.0202nS 2.4810V 1.7269V 2.8366V +2.1212nS 2.4853V 1.8846V 2.8368V +2.2222nS 2.4883V 1.9770V 2.8368V +2.3232nS 2.4902V 2.0335V 2.8369V +2.4242nS 2.4916V 2.0698V 2.8369V +2.5253nS 2.4924V 2.0942V 2.8369V +2.6263nS 2.4930V 2.1112V 2.8369V +2.7273nS 2.4934V 2.1231V 2.8370V +2.8283nS 2.4937V 2.1316V 2.8369V +2.9293nS 2.4938V 2.1374V 2.8370V +3.0303nS 2.4940V 2.1420V 2.8369V +3.1313nS 2.4940V 2.1455V 2.8370V +3.2323nS 2.4942V 2.1480V 2.8369V +3.3333nS 2.4941V 2.1499V 2.8370V +3.4343nS 2.4942V 2.1514V 2.8369V +3.5354nS 2.4942V 2.1525V 2.8370V +3.6364nS 2.4943V 2.1533V 2.8369V +3.7374nS 2.4942V 2.1539V 2.8370V +3.8384nS 2.4943V 2.1544V 2.8369V +3.9394nS 2.4942V 2.1548V 2.8370V +4.0404nS 2.4943V 2.1551V 2.8369V +4.1414nS 2.4942V 2.1553V 2.8370V +4.2424nS 2.4943V 2.1554V 2.8369V +4.3434nS 2.4942V 2.1556V 2.8370V +4.4444nS 2.4943V 2.1557V 2.8369V +4.5455nS 2.4942V 2.1557V 2.8370V +4.6465nS 2.4943V 2.1558V 2.8369V +4.7475nS 2.4942V 2.1558V 2.8370V +4.8485nS 2.4943V 2.1559V 2.8369V +4.9495nS 2.4942V 2.1559V 2.8370V +5.0505nS 2.4942V 2.1559V 2.8369V +5.1515nS 2.4943V 2.1560V 2.8369V +5.2525nS 2.4943V 2.1560V 2.8370V +5.3535nS 2.4943V 2.1560V 2.8369V +5.4545nS 2.4943V 2.1560V 2.8370V +5.5556nS 2.4943V 2.1560V 2.8369V +5.6566nS 2.4943V 2.1560V 2.8370V +5.7576nS 2.4943V 2.1560V 2.8370V +5.8586nS 2.4943V 2.1560V 2.8370V +5.9596nS 2.4943V 2.1560V 2.8370V +6.0606nS 2.4943V 2.1560V 2.8370V +6.1616nS 2.4943V 2.1560V 2.8370V +6.2626nS 2.4943V 2.1560V 2.8370V +6.3636nS 2.4943V 2.1560V 2.8370V +6.4646nS 2.4943V 2.1560V 2.8370V +6.5657nS 2.4943V 2.1560V 2.8370V +6.6667nS 2.4943V 2.1560V 2.8370V +6.7677nS 2.4943V 2.1560V 2.8370V +6.8687nS 2.4943V 2.1560V 2.8370V +6.9697nS 2.4943V 2.1560V 2.8370V +7.0707nS 2.4943V 2.1560V 2.8370V +7.1717nS 2.4943V 2.1560V 2.8370V +7.2727nS 2.4943V 2.1560V 2.8370V +7.3737nS 2.4943V 2.1560V 2.8370V +7.4747nS 2.4943V 2.1560V 2.8370V +7.5758nS 2.4943V 2.1560V 2.8370V +7.6768nS 2.4943V 2.1560V 2.8370V +7.7778nS 2.4943V 2.1560V 2.8370V +7.8788nS 2.4943V 2.1560V 2.8370V +7.9798nS 2.4943V 2.1560V 2.8370V +8.0808nS 2.4943V 2.1560V 2.8370V +8.1818nS 2.4943V 2.1560V 2.8370V +8.2828nS 2.4943V 2.1560V 2.8370V +8.3838nS 2.4943V 2.1560V 2.8370V +8.4848nS 2.4943V 2.1560V 2.8370V +8.5859nS 2.4943V 2.1560V 2.8370V +8.6869nS 2.4943V 2.1560V 2.8370V +8.7879nS 2.4943V 2.1560V 2.8370V +8.8889nS 2.4943V 2.1560V 2.8370V +8.9899nS 2.4943V 2.1560V 2.8370V +9.0909nS 2.4943V 2.1560V 2.8370V +9.1919nS 2.4943V 2.1560V 2.8370V +9.2929nS 2.4943V 2.1560V 2.8370V +9.3939nS 2.4943V 2.1560V 2.8370V +9.4949nS 2.4943V 2.1560V 2.8370V +9.5960nS 2.4943V 2.1560V 2.8370V +9.6970nS 2.4943V 2.1560V 2.8370V +9.7980nS 2.4943V 2.1560V 2.8370V +9.8990nS 2.4943V 2.1560V 2.8370V +10.0000nS 2.4943V 2.1560V 2.8369V +| +[Falling Waveform] +R_fixture= 50.0000 +V_fixture= 0.0 +V_fixture_min= 0.0 +V_fixture_max= 0.0 +|time V(typ) V(min) V(max) +| +0.0S 2.4943V 2.1560V 2.8370V +0.1010nS 2.4943V 2.1560V 2.8370V +0.2020nS 2.4943V 2.1560V 2.8370V +0.3030nS 2.4943V 2.1560V 2.8370V +0.4040nS 2.4943V 2.1560V 2.8378V +0.5051nS 2.4943V 2.1560V 2.8500V +0.6061nS 2.4952V 2.1560V 1.9447V +0.7071nS 2.4905V 2.1560V 0.3637V +0.8081nS 2.4067V 2.1560V 67.8100mV +0.9091nS 1.4125V 2.1561V 29.4150mV +1.0101nS 0.4767V 2.1575V 14.9410mV +1.1111nS 0.1262V 2.1538V 7.5466mV +1.2121nS 43.6680mV 2.1382V 3.8422mV +1.3131nS 26.1960mV 1.7150V 1.8945mV +1.4141nS 16.2920mV 1.0257V 0.9804mV +1.5152nS 10.0550mV 0.5310V 0.5148mV +1.6162nS 6.1813mV 0.2066V 0.2988mV +1.7172nS 3.9618mV 85.0700mV 0.1781mV +1.8182nS 2.4789mV 45.0760mV 0.1309mV +1.9192nS 1.5559mV 28.7760mV 93.0170uV +2.0202nS 0.9974mV 19.8010mV 83.3720uV +2.1212nS 0.6445mV 14.0690mV 58.3290uV +2.2222nS 0.4351mV 10.1110mV 63.2910uV +2.3232nS 0.2957mV 7.2280mV 53.7290uV +2.4242nS 0.2210mV 5.1895mV 54.2190uV +2.5253nS 0.1601mV 3.7800mV 40.3540uV +2.6263nS 0.1279mV 2.7268mV 47.2160uV +2.7273nS 0.1002mV 1.9853mV 36.9860uV +2.8283nS 88.8660uV 1.4440mV 40.0480uV +2.9293nS 72.7920uV 1.0670mV 26.3870uV +3.0303nS 69.1040uV 0.7846mV 34.6390uV +3.1313nS 57.4210uV 0.5846mV 26.3910uV +3.2323nS 56.9730uV 0.4404mV 29.4630uV +3.3333nS 47.8400uV 0.3494mV 17.8610uV +3.4343nS 48.1880uV 0.2584mV 25.6730uV +3.5354nS 40.2540uV 0.2118mV 18.4590uV +3.6364nS 41.5730uV 0.1702mV 21.8800uV +3.7374nS 34.4050uV 0.1443mV 11.4790uV +3.8384nS 35.9660uV 0.1162mV 17.0260uV +3.9394nS 29.3730uV 0.1105mV 5.6280uV +4.0404nS 31.4140uV 89.4040uV 15.1470uV +4.1414nS 25.3750uV 81.0200uV 11.2580uV +4.2424nS 27.4070uV 65.5230uV 14.1370uV +4.3434nS 21.6970uV 73.0300uV 6.2960uV +4.4444nS 23.9220uV 61.3290uV 11.4660uV +4.5455nS 18.5100uV 55.7850uV 2.5000uV +4.6465nS 21.0070uV 43.3670uV 8.5284uV +4.7475nS 15.9410uV 53.7310uV -0.8463uV +4.8485nS 18.4620uV 44.3590uV 8.1933uV +4.9495nS 13.5820uV 42.1040uV 5.3730uV +5.0505nS 13.8755uV 37.5100uV 5.0024uV +5.1515nS 14.2440uV 35.8510uV 6.5401uV +5.2525nS 9.9306uV 32.2800uV -0.5688uV +5.3535nS 12.5350uV 22.2570uV 4.7038uV +5.4545nS 8.4738uV 33.7280uV -2.8971uV +5.5556nS 11.0900uV 26.9540uV 2.5971uV +5.6566nS 7.1635uV 25.5280uV -4.8609uV +5.7576nS 9.8408uV 16.9880uV 3.2391uV +5.8586nS 6.3372uV 28.3550uV 2.3236uV +5.9596nS 9.0525uV 22.5320uV 4.3172uV +6.0606nS 5.7477uV 20.9750uV 0.3230uV +6.1616nS 8.1503uV 12.8560uV 3.9291uV +6.2626nS 4.9071uV 23.9660uV -1.1511uV +6.3636nS 7.3040uV 18.3760uV 3.1809uV +6.4646nS 4.1860uV 17.0320uV -1.7162uV +6.5657nS 6.6287uV 9.1986uV 2.2452uV +6.6667nS 3.6832uV 20.0790uV -2.7234uV +6.7677nS 12.2830uV 15.0650uV 1.3304uV +6.8687nS 11.0620uV 13.9980uV -3.5352uV +6.9697nS 6.9534uV 6.5462uV 0.3451uV +7.0707nS 2.2290uV 17.0770uV -4.3708uV +7.1717nS 9.7848uV 12.3720uV -0.5607uV +7.2727nS 7.5664uV 11.6590uV -5.1318uV +7.3737nS 5.3831uV 4.8851uV -1.5330uV +7.4747nS 1.7643uV 15.0970uV -5.9574uV +7.5758nS 9.2753uV 13.3100uV -0.1708uV +7.6768nS 7.7000uV 23.0430uV 3.4595uV +7.7778nS 4.9844uV 14.4320uV 7.4385uV +7.8788nS 1.3245uV 8.9262uV -2.6402uV +7.9798nS 8.2644uV 4.9790uV 1.1411uV +8.0808nS 6.6183uV 14.1310uV 1.9398uV +8.1818nS 4.3304uV 7.8499uV 7.3706uV +8.2828nS 0.9901uV 15.2460uV 4.3539uV +8.3838nS 7.4534uV 11.2570uV -1.5751uV +8.4848nS 6.0071uV 10.9100uV -4.0515uV +8.5859nS 3.9708uV 6.6233uV 6.1371uV +8.6869nS 0.8938uV 12.1060uV 5.2581uV +8.7879nS 7.0344uV 11.9130uV 1.0700uV +8.8889nS 5.6379uV 18.0050uV -3.9073uV +8.9899nS 2.9239uV 9.1095uV 0.1500uV +9.0909nS 2.8023uV 6.8319uV 7.0838uV +9.1919nS 8.4026uV 3.9617uV 5.4861uV +9.2929nS 4.3812uV 7.2141uV -1.7852uV +9.3939nS 2.8463uV -53.3950nV -0.4309uV +9.4949nS 0.5257uV 12.7920uV -1.2755uV +9.5960nS 6.2361uV 11.5200uV 6.5424uV +9.6970nS 8.1020uV 10.1450uV 2.3600uV +9.7980nS 11.5310uV 9.4795uV 0.8669uV +9.8990nS 9.9990uV 13.4000uV 1.3956uV +10.0000nS 9.1292uV 5.3100uV 7.4741uV +| +[Falling Waveform] +R_fixture= 50.0000 +V_fixture= 3.3000 +V_fixture_min= 3.1400 +V_fixture_max= 3.4700 +|time V(typ) V(min) V(max) +| +0.0S 3.3000V 3.1400V 3.4700V +0.1010nS 3.3000V 3.1400V 3.4700V +0.2020nS 3.3000V 3.1400V 3.4700V +0.3030nS 3.3000V 3.1400V 3.4700V +0.4040nS 3.3000V 3.1400V 3.4709V +0.5051nS 3.3000V 3.1400V 3.4873V +0.6061nS 3.3010V 3.1400V 3.3893V +0.7071nS 3.2951V 3.1400V 2.0959V +0.8081nS 3.3780V 3.1400V 1.0643V +0.9091nS 3.2704V 3.1400V 0.7316V +1.0101nS 2.5838V 3.1420V 0.6666V +1.1111nS 1.8182V 3.1363V 0.6418V +1.2121nS 1.2499V 3.1699V 0.6302V +1.3131nS 0.9556V 3.2348V 0.6244V +1.4141nS 0.8500V 3.1829V 0.6213V +1.5152nS 0.8083V 2.8929V 0.6196V +1.6162nS 0.7853V 2.4877V 0.6188V +1.7172nS 0.7729V 2.0731V 0.6184V +1.8182nS 0.7651V 1.7150V 0.6182V +1.9192nS 0.7601V 1.4389V 0.6181V +2.0202nS 0.7571V 1.2526V 0.6181V +2.1212nS 0.7551V 1.1433V 0.6181V +2.2222nS 0.7539V 1.0821V 0.6180V +2.3232nS 0.7531V 1.0456V 0.6180V +2.4242nS 0.7526V 1.0220V 0.6180V +2.5253nS 0.7523V 1.0060V 0.6180V +2.6263nS 0.7521V 0.9948V 0.6180V +2.7273nS 0.7519V 0.9867V 0.6180V +2.8283nS 0.7518V 0.9812V 0.6180V +2.9293nS 0.7518V 0.9773V 0.6180V +3.0303nS 0.7518V 0.9745V 0.6180V +3.1313nS 0.7517V 0.9724V 0.6180V +3.2323nS 0.7517V 0.9708V 0.6180V +3.3333nS 0.7517V 0.9696V 0.6180V +3.4343nS 0.7517V 0.9687V 0.6180V +3.5354nS 0.7517V 0.9681V 0.6180V +3.6364nS 0.7517V 0.9676V 0.6180V +3.7374nS 0.7517V 0.9673V 0.6180V +3.8384nS 0.7517V 0.9670V 0.6180V +3.9394nS 0.7517V 0.9668V 0.6180V +4.0404nS 0.7517V 0.9666V 0.6180V +4.1414nS 0.7517V 0.9665V 0.6180V +4.2424nS 0.7517V 0.9664V 0.6180V +4.3434nS 0.7517V 0.9664V 0.6180V +4.4444nS 0.7517V 0.9663V 0.6180V +4.5455nS 0.7517V 0.9663V 0.6180V +4.6465nS 0.7517V 0.9662V 0.6180V +4.7475nS 0.7517V 0.9662V 0.6180V +4.8485nS 0.7517V 0.9662V 0.6180V +4.9495nS 0.7516V 0.9662V 0.6180V +5.0505nS 0.7517V 0.9662V 0.6180V +5.1515nS 0.7517V 0.9662V 0.6180V +5.2525nS 0.7516V 0.9661V 0.6180V +5.3535nS 0.7517V 0.9661V 0.6180V +5.4545nS 0.7516V 0.9661V 0.6180V +5.5556nS 0.7517V 0.9661V 0.6180V +5.6566nS 0.7516V 0.9661V 0.6180V +5.7576nS 0.7516V 0.9661V 0.6180V +5.8586nS 0.7516V 0.9661V 0.6180V +5.9596nS 0.7517V 0.9661V 0.6180V +6.0606nS 0.7516V 0.9661V 0.6180V +6.1616nS 0.7517V 0.9661V 0.6180V +6.2626nS 0.7516V 0.9661V 0.6180V +6.3636nS 0.7517V 0.9661V 0.6180V +6.4646nS 0.7517V 0.9661V 0.6180V +6.5657nS 0.7516V 0.9661V 0.6180V +6.6667nS 0.7516V 0.9661V 0.6180V +6.7677nS 0.7516V 0.9661V 0.6180V +6.8687nS 0.7516V 0.9661V 0.6180V +6.9697nS 0.7517V 0.9661V 0.6180V +7.0707nS 0.7516V 0.9661V 0.6180V +7.1717nS 0.7516V 0.9661V 0.6180V +7.2727nS 0.7516V 0.9661V 0.6180V +7.3737nS 0.7516V 0.9661V 0.6180V +7.4747nS 0.7516V 0.9661V 0.6180V +7.5758nS 0.7516V 0.9661V 0.6180V +7.6768nS 0.7516V 0.9661V 0.6180V +7.7778nS 0.7516V 0.9661V 0.6180V +7.8788nS 0.7516V 0.9661V 0.6180V +7.9798nS 0.7516V 0.9661V 0.6180V +8.0808nS 0.7516V 0.9661V 0.6180V +8.1818nS 0.7516V 0.9661V 0.6180V +8.2828nS 0.7516V 0.9661V 0.6180V +8.3838nS 0.7516V 0.9661V 0.6180V +8.4848nS 0.7516V 0.9661V 0.6180V +8.5859nS 0.7516V 0.9661V 0.6180V +8.6869nS 0.7516V 0.9661V 0.6180V +8.7879nS 0.7516V 0.9661V 0.6180V +8.8889nS 0.7516V 0.9661V 0.6180V +8.9899nS 0.7517V 0.9661V 0.6180V +9.0909nS 0.7516V 0.9661V 0.6180V +9.1919nS 0.7517V 0.9661V 0.6180V +9.2929nS 0.7516V 0.9661V 0.6180V +9.3939nS 0.7517V 0.9661V 0.6180V +9.4949nS 0.7516V 0.9661V 0.6180V +9.5960nS 0.7516V 0.9661V 0.6180V +9.6970nS 0.7516V 0.9661V 0.6180V +9.7980nS 0.7516V 0.9661V 0.6180V +9.8990nS 0.7516V 0.9661V 0.6180V +10.0000nS 0.7517V 0.9661V 0.6180V +| +| End [Model] lvt330s160aaaaaaaaou +|************************************************************************ +| End [Component] +[End] diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.alt b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.alt new file mode 100644 index 0000000..46f0e3d --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.alt @@ -0,0 +1,71 @@ +NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation * +NOTE All Rights Reserved * +NOTE DATE CREATED: Tue Aug 17 06:21:09 2021 * +NOTE DESIGN NAME: RAM2GS * +NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100 * +NOTE PIN ASSIGNMENTS * +NOTE PINS RCLK : 63 : in * +NOTE PINS nFWE : 15 : in * +NOTE PINS nCRAS : 17 : in * +NOTE PINS nCCAS : 9 : in * +NOTE PINS Din[0] : 3 : in * +NOTE PINS Din[1] : 96 : in * +NOTE PINS Din[2] : 88 : in * +NOTE PINS Din[3] : 97 : in * +NOTE PINS Din[4] : 99 : in * +NOTE PINS Din[5] : 98 : in * +NOTE PINS Din[6] : 2 : in * +NOTE PINS Din[7] : 1 : in * +NOTE PINS CROW[0] : 10 : in * +NOTE PINS CROW[1] : 16 : in * +NOTE PINS MAin[0] : 14 : in * +NOTE PINS MAin[1] : 12 : in * +NOTE PINS MAin[2] : 13 : in * +NOTE PINS MAin[3] : 21 : in * +NOTE PINS MAin[4] : 20 : in * +NOTE PINS MAin[5] : 19 : in * +NOTE PINS MAin[6] : 24 : in * +NOTE PINS MAin[7] : 18 : in * +NOTE PINS MAin[8] : 25 : in * +NOTE PINS MAin[9] : 32 : in * +NOTE PINS PHI2 : 8 : in * +NOTE PINS RDQML : 48 : out * +NOTE PINS RDQMH : 51 : out * +NOTE PINS nRCAS : 52 : out * +NOTE PINS nRRAS : 54 : out * +NOTE PINS nRWE : 49 : out * +NOTE PINS RCKE : 53 : out * +NOTE PINS nRCS : 57 : out * +NOTE PINS RA[0] : 66 : out * +NOTE PINS RA[1] : 67 : out * +NOTE PINS RA[2] : 69 : out * +NOTE PINS RA[3] : 71 : out * +NOTE PINS RA[4] : 74 : out * +NOTE PINS RA[5] : 70 : out * +NOTE PINS RA[6] : 68 : out * +NOTE PINS RA[7] : 75 : out * +NOTE PINS RA[8] : 65 : out * +NOTE PINS RA[9] : 62 : out * +NOTE PINS RA[10] : 64 : out * +NOTE PINS RA[11] : 59 : out * +NOTE PINS RBA[0] : 58 : out * +NOTE PINS RBA[1] : 60 : out * +NOTE PINS LED : 34 : out * +NOTE PINS Dout[0] : 76 : out * +NOTE PINS Dout[1] : 86 : out * +NOTE PINS Dout[2] : 87 : out * +NOTE PINS Dout[3] : 85 : out * +NOTE PINS Dout[4] : 83 : out * +NOTE PINS Dout[5] : 84 : out * +NOTE PINS Dout[6] : 78 : out * +NOTE PINS Dout[7] : 82 : out * +NOTE PINS RD[0] : 36 : inout * +NOTE PINS RD[1] : 37 : inout * +NOTE PINS RD[2] : 38 : inout * +NOTE PINS RD[3] : 39 : inout * +NOTE PINS RD[4] : 40 : inout * +NOTE PINS RD[5] : 41 : inout * +NOTE PINS RD[6] : 42 : inout * +NOTE PINS RD[7] : 43 : inout * +NOTE CONFIGURATION MODE: NONE * +NOTE COMPRESSION: on * diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep new file mode 100644 index 0000000..e5894f8 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.arearep @@ -0,0 +1,22 @@ +---------------------------------------------------------------------- +Report for cell RAM2GS.TECH +Register bits: 119 of 877 (13.569%) +I/O cells: 63 + Cell usage: + cell count Res Usage(%) + BB 8 100.0 + CCU2D 10 100.0 + EFB 1 100.0 + FD1P3AX 30 100.0 + FD1P3AY 4 100.0 + FD1P3IX 3 100.0 + FD1S3AX 64 100.0 + FD1S3IX 14 100.0 + FD1S3JX 4 100.0 + GSR 1 100.0 + IB 25 100.0 + INV 3 100.0 + LUT4 236 100.0 + OB 30 100.0 + PFUMX 16 100.0 + TOTAL 449 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn new file mode 100644 index 0000000..86c3161 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bgn @@ -0,0 +1,86 @@ +BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2 +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Tue Aug 17 06:21:07 2021 + + +Command: bitgen -g RamCfg:Reset -path C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC -w -jedec -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf + +Loading design for application Bitgen from file RAM2GS_LCMXO2_640HC_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. + +Running DRC. +DRC detected 0 errors and 0 warnings. +Reading Preference File from RAM2GS_LCMXO2_640HC_impl1.prf. + +Preference Summary: ++---------------------------------+---------------------------------+ +| Preference | Current Setting | ++---------------------------------+---------------------------------+ +| RamCfg | Reset** | ++---------------------------------+---------------------------------+ +| MCCLK_FREQ | 2.08** | ++---------------------------------+---------------------------------+ +| CONFIG_SECURE | OFF** | ++---------------------------------+---------------------------------+ +| INBUF | ON** | ++---------------------------------+---------------------------------+ +| JTAG_PORT | ENABLE** | ++---------------------------------+---------------------------------+ +| SDM_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| SLAVE_SPI_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| MASTER_SPI_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| I2C_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| MUX_CONFIGURATION_PORTS | DISABLE** | ++---------------------------------+---------------------------------+ +| CONFIGURATION | CFG** | ++---------------------------------+---------------------------------+ +| COMPRESS_CONFIG | ON** | ++---------------------------------+---------------------------------+ +| MY_ASSP | OFF** | ++---------------------------------+---------------------------------+ +| ONE_TIME_PROGRAM | OFF** | ++---------------------------------+---------------------------------+ +| ENABLE_TRANSFR | DISABLE** | ++---------------------------------+---------------------------------+ +| SHAREDEBRINIT | DISABLE** | ++---------------------------------+---------------------------------+ +| BACKGROUND_RECONFIG | OFF** | ++---------------------------------+---------------------------------+ + * Default setting. + ** The specified setting matches the default setting. + + +Creating bit map... + +Bitstream Status: Final Version 1.95. + +Saving bit stream in "RAM2GS_LCMXO2_640HC_impl1.jed". + +=========== +UFM Summary. +=========== +UFM Size: 191 Pages (128*191 Bits). +UFM Utilization: General Purpose Flash Memory. + +Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190). +Initialized UFM Pages: 0 Page. + +Total CPU Time: 1 secs +Total REAL Time: 2 secs +Peak Memory Usage: 245 MB diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bit b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.bit new file mode 100644 index 0000000000000000000000000000000000000000..cdb167c5268a3d603c732e7d12e97a54bdf5657d GIT binary patch literal 6667 zcmb7Jdt6gT_McoXm|%pwqzR(lJRk%SlYkl?N&?}L2#BB-TOT0=2(1qYu?4GZh~cSX z07bxvAQT_%x|H_U+S=AA2*HAa+OD;B4QSPMw-u#z)vD~=B+%dI^V>gudp@~yXU_S~ znKLtI&dl6QfTT>lJ})a9OV8evm$hZHW^0yyiw=w3qRZc+%hcy>*^I^H=?nF`?95GI zb+)b$aw4!vlILY^f_1RKMPc*Rd3pgB8X^*h2t&kS@Bvd5v2=Z=ersU_7N55{b0g+b zgNZ`I7Xo>9VP5WLY;)$O>bfkj+^dAk$@O z^YqzS`mH*ME!rZ^5(+_TrcNJ$Icv;!)R-T(az%Wq2+HJ{dI(&(H5-#*TXQkdVobOw zLM)09F2uw_u?VDXc^4Wb7A*v^TQf#b8q{^%$ScnmzRwoaUCOR@~3*E_pDT)E26vSe(wW>)Tk`GY3$F#tBy1~gp zQk8fH5ec1T0A52BB}^0mw-z=UdU28q-|q(kp2C+!H8^<3*CMU@VWSFo@Q7%akyb2q zuZ;-?WOc>u|`4pyX0CAF&$Da@-doC_Ol!e552N(wiBaRo7vogB#y8cAdy%dBcl(s_kwGK5HV3CFQ z6{TY}!6W{LRndqx^c(ZV+NClvph_J!__X3f=<`igpIEZ6lJdw)IqXrj*E%Xrj6>Vg zznzV7EGoN07XYygcdjt(pc~k2Y!VbP)hT;hmqoqXT32DA8(jTFWaNhT&v?d3R+5!+ zK8YNjRA9C~CchnXO|AmMe5WE*eh=Mi$BuAPs#7ale<zY~g?)?cT_4;`C=X{)IOzlC=>+f>bY89nUv=gw36mQ=22X~Y2E z396Td`5ly%t>Fp8+O&%on0v+DNT$!avQeioh!{5rNtIO7M61(-V&<0!Eyk!wA!XT+ zzoh~$cEGkAAi_xjB!kIxkd}-HauMcW-8qX-KCcC`fz$8HW|w@=y(pjgc;!gCr=CO6 z3OhdGqjr^@(1U{rfu*`HIur}g=8P3%TxRJVrIA(J`Jq~o`2L;P61dV-I0~R9Y!}BA zyHGIlnTjrE9YTk?$>Nq;A(SYjPC(4-lrk-5l;yI#7{=q{$dZ}7y70!F={e9w-XiA; zPDCiDp4N6HS=q+M4&~v9jb-}ln(NoJ_lyh!t_2e7c+zlqxi=ra$l3YS_dV|kcK6dyCtfbzDQesIL^X+J-*=<( zh2h*WBFV^Z=45-7oD$9uE(3 zp}^(&B>q~tg*{XPeTH6vjlQTP0yx5Gt8vvo)rSdgd)pC;c z+lHWTB^m4n_aXlD+mrMxYfiQ(jK<&D#c#GHE39u1Fj|K>(gljjm2E&F?7g<;Yj_Oc#fe~*_s?{rT_jrlUT z??1|4pq8BD)Bxb&=WLlayeL0EckLy6|+n51+n%R3~BjJr5*~&Xn<|wCH~>1%ykS! zxX;Ym#Ij9Qfj4G(emK=(_w35f?UoyN>xgIlJ1slY+~% zr*fo3&}~Qx);ZwFO6oQB=RtxSHDO(ibs}44{Y=hlhE4R13=;-Gkpn|ul^FBui$=0n zFN;VC%HYlals#~X@_HcPf+9q2z%eMj#h%{9jpY_MZJGcI2kbl-TGQ8O9m1*wPXA}E(>W=qDe|Y#+ z;iKY#i~DYvC*jm*W52xmN6Jy(@W#6C`1rQxEB^J_bN^jC51skP4?FpL2$X(f;!v;*L>$EUK6U)69d<#3eGpg336lwSb8B8Vh_4I?j3 zZmco|TisbhuAH$d0u7`gLX^@9k8aj6A(V39vv(UI>Ug`dIizK;A5}-Z-Chkm1W{vn zWq<*|br-aDvWIeyRMbYT%<*OXhz7(hrm|YKX$GoB3O6YnhguufM4E#4#k{$wG(7Cu zz%oCwnXoN{roY-J0d5i;0zpDag?dD?B8Zs9`}O)t49~X`+~4Qb%oO-0SQsC4c0{viJy_gtPFEyBu{B9 zE^7RnPbuSjPNKsm97gc#JYlHaRwUz~qbyES(baR|Au}V*L%C`(KsyaM1Q5Jt+7ir< zD4)!0sNPy}?j7#ty)|#sts}*(w6Vqz)@z0eu{`ZE-UmlqCbBu@=JFO!^{k&N(G$ZP zqV(NEtG4ezf1cjIocw4UAz%>caISSV30-N4BV3|-lvii`D3X>OP2^vfRC-a%l{vy+ zAk0Ci$#fHIM2ED?)u?}so+k4ZG>I63Vcl}w@(g78xThj7S)!U6Eh=94JX8LI=)c;^`9Rn_tI~8PVQf#gYHyllx%ttFL`S8_z!c zs(d^sa7F016Niq}Il*ufdUbQjce86WY0+OscDCtXy?hbr_2AQKC)Vc<_a}_cW+dLd zJ#ltTbp7nA3jzEWy-ri$67(Jjjq>*DSyQ^BclNDkzn9J}Ek2Zg@7du<{>c>n%kJp2 zzuhhH3@q4Qx-Dntg6C8B4K(9D@4M@6t(vi;=UVaD@4t%QW8Znv*tM;7^!}L-TJQFL zyW`1RTi8YawgJ~#hgX5zeLbRZYhy{oL>Ff!8@*tNf;|tvd(9XeR+Sfk2*m!ln~bc5vyJk&U2$(Cr}_T z7ao$xb^sbT7PcKZ(V!LC4!7o;mq)DUq;NE^_qX&h??4pOX$^sVl;PK#`O!$K%s23f zptC(Ai>3&ss}=3dvrReh9u0OH@T)e_x}aR;--zch%2q#xpG2eM^mDrl zDjVs{CUjNe)D3xBYb2GodYxzFaA#Dyta1BhzN%rL?1cbprr7u zU@%b(Fe)7_r&&e>+_Gh zs78pME2-!T@UK-YP4+EV%G0dS*A>tc$bAMwY;E4h5G76Wl;RDtW+~&|)ru|;`D9w4 zzwV;e22ww+riOi#9){X?+W^tPBjG?0J|~9sWn!y(G36Vptx8dsP_@BV`|xZH@!&sIbS|5_Dt@Ho zF7?6bIU}|ea~{eSKi|6l{T$7fXohjO_NX%S>3s-wYG$r%v=lu1bIF;6vv=Msn^V1~ zJ1n!t`Xj5E<i7iY3=eQjdyuzwr_0Dz=o=Cz9spyfp~8>|zyQF#0Vmoq+e!m4 zz7c~T1E=mIBx($hQU=L&twUx54udNVC-`{-1fcy^n9a1q1QJn%5tvfB)NVkW49K#k zm=GFMiefm&^_d}z!X>)70jl#j<<`SIjJ%BE>Jx2#bo*F4U^~C^pffY*O zmooHD-WTNbq)~b-gW3Wblz@E!@W?7$2ofYHw!c~a@x>YellRAjGG_ynp-6bvesAKQ z|B;ENl%211YhF!*GdxN2toN@2@8?Qp-gWp3oZ8V~)8m2r35_qWI3>|G>tq!G=BU7q zp?ec|cO99q`0RY84WD>+E_dRm|Ai1nosR~Ho9PDjEA-K+^bZyISm0mz078V*V2ok&!P7Vg3 zAprW7KdOS9Ogl{QeTrkxhMznzf0%Vqd;=10p|C+k(tZ=pa=IoVNQ&l39ey~e51^lh z0MhG@UE0mtQCm|j1@LxeMveK7ss;xa?;{sqj&Ra?_Or>%b94QJ?rl%SHU_eVv zr1Oq4AD~tMrX~e%3KrllSIF()fn*7e@O%)8^uf%BPE6? zBf@R#Kajr()Z^aZB9Z~MBC5#}oNp^?N8q?le#HJm;>dY$TLzFX)!z5)g!Q6n<703i zo;jT30{vehF{`@R>X4##s2Zpv$mf^tYGGdP9s|giSuA_5t12A5xw0X0?#NS0-=3#S z;gz*l)a(K6_IGr~*B|*nHFe8P}didaye*-Q= BDV+cS literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd new file mode 100644 index 0000000000000000000000000000000000000000..352b225eb29e8ecbfcb2e640beec10959dc89e97 GIT binary patch literal 302666 zcmeEv2b^6+_5a)SLJ~@-p)5@TiMsE-eOrP-cHi!XHJfa*n-CCi2@rGz0zwcFjcdh* z4I82&VEtnS#DcwJFFz}aG%J>X9VPGoJ?G51Q|{bNAa9=m?)!Ys?%Z={=FUAcr_G$1 zyZ7E(wltM~@!7)U!sMZ@6{Tgvh4l+Ae_>Oh>Ed%sr?<2e2C6Gg7%V-$t+nO2YGKXl zzEwpaTMPK@MSr&Y_iH?%P?*&}*u8eRzvqM{#U@8uv0^98)2t}*mlB#Nciitw}Y^gLTo2trM>orxw$iftflA+m-vOlmnd-! z;Y*bGg~OL9@e7A9QQ{X4U!ue>9KJ+}UpORsiCb7!Egw$>2o(QSi$}b#Jx0Rn3Qn!^~ zI3!Lhzi>#LR(|1dG_>*yr`pReEbX-~93AHse&O&XD*VFXOH}xU!UsvW|0~={nARChR!(nX=>D7Xg#qex_|u-l3i}Ka+YQ z7iSE0cSQ%C2*~W)GHQlUI5uQOWX{OEEu$v$wv3w0+cIjr+s=DSw`9Jz2-wV~iKNZG z&0{kAwy>htG-pVP5Y8?=K2b$1XP0K8P9N%tj&_mLnRl6_-d%^HGLSPVDiYi)?7Xu` z^31zT&&<0_&dj^q)81XD(ZXGRqn+ErT$#6pw=!=txdMs4>%egDNOjfl$cnC2BYph? zy<~mucJaj*kDPtMhLHjg);> zb#TRs-l1xL*8u06xvGC9i$YP7tB&h0`FK_xyHGn%g6cVCVW()HSz4~h1)U5=J=qLW z11X=Az{#g9EQU335A9+zq-x+!nhhC$64cKr3){mAxSQ<#w(vd=FMGcd-shgQ_glmJ z+?DozIlRw(Y44Z9``nrKz9B$DdU=0K;*Oxas3BWEq>t#KT}Y0{2_%Zh$q-UVY-=Y& zNFp(_oeUw3#Nu``ghUeK+sP18N$hYZLpV;vBzH2T=)iQIy0KdA8ukY<46s3QsIy^uZ_p!)S;f$D~|Kq z*Ty`~cLHt64R@Yq2%WTs+wa^rluqvRYdH4}sp)8{Q40*zkdYJ1c>070ueluAcBw6Al^r!yizm}&6P%r-b#^1ytQ;w zL|;Yl86*((6v>}K0?|g1{7ixbpNxa}fE1mR3VCmog&Y)3u0rkKp|wkWkkXRteUVRSDK()7iuQ%h;7#wRVVHt?9>Ct0NmX zY`W<1v-f)96M@*lU(=sa!Q?fAt7`aBT}`*qAHXC4&DT!>(A3~Gz;wV2z)Zj_z-+)S zfH{Dy530ML+8t^2*Qou2Q zCj*WJbOE{nRX`7*7tjY-26zf!IbZ;=05Jd0lXA&8Q^7rR{&lKxE%0mz-s`n z1-uUMdcYe1Zv?yv@MgeU0B;3c1$aB)YQQys>j3Wtych6(!1aI+06qx#5a7drj{rUj zxB+k@;3mMw03Qc@0`N(|rvSGAJ`MN`;In|w0X`4-0^o~)F9E&`_zK{wfUg0*4!9NY z4Zt@6-vWFa@EyQ+0pA0BAMgXf4*~xN_z~d8fS&+<3iuh|=YZP)zX1Faa68~vfI9$p z0`3Cb4Y&vJYrt;+zXkjb@O!`?0QUm^2>27=&w#%GwgBz}+z{0Hz~z=MFTfSRyuQxpDA0!#)>0Zau<155|Z0L%o;0?Y>N0+<7s3z!Gk6|fs% zcfcNi`G7qEdja+a>;u>rupeLnV1K{?fCB*s0h$2^0}cTk3OEdKIN))BBLE8lM*@xl zECMVBJRa}_z!L#2fFhs-C<9sn6+j!H9nb;j1S|m@4R{h@Dc~5ulL5y9x&YmPDxe3@ z3+MwZ0~`nF2RsF^954V_0aytb1grwA1`Gj)0c!wj0mlQ@0Zss%2zV;sB*4jlQvgo` zi~vprtOuM1I2~{XU<2Sxz|#TG0E_~j2{;RIHsBnm%^8n8RoDaAFunBM>;MstS z02c!;0XzqADd4$)=K(eYo(~uUya4b*z>5Gc2D}9DQov<^mjPZ5cm?2rrzz$XEp0^9=lG~hFU z&jLON_&neXfG+~R1o$%GD}b*8z6SU@;8wsl0N(_B3-E2gcL3i7d=Kz_zz+aF1pFW1 zM}Qv#eggO@;AeoJ18xKS0`N<~?SNka?f~2gxC?MM;2yxQ0lxwK7Vta3?*V@R+za?4 z;7E)g)#a=KZ`HXMt|rRRF~NZ|5csm`0pB2=QqLCmqTeI? z;ufZedsmM1kF<4WzUnSXwo0qt4fFJgBkRw2=19pW;9T@kR#SIHl`ft9_gsLx8#`9? zF5{L#q)At&-Ob{uuqC-(07zN~=%&c^D$aWE6Gy&7VD5YU`*-^;FRq z{h{9s%(kP;N9jnN&P4}vuF+$W1&(GU#L`RX^U{- z3@U)^elup#`XRrO-_tWUR*J2yC$}J?PJc~E90!=vy|#}kS;jXA+wWkH8)G#7%rqU^ z>UZdT3@{m=StFQ+pcQ28{`(O%Tkslw2k@$dz$+Y=~>U6!C5M0U$)L??8=d1dka^e z78Y|8VU@mz)?&1S)c(joYuELf*2Z2kkU#CQp~zKK%06#FxOB7>BiTu7ITEckB7f#? z96I-+>bYkRZ#Wwb_7w-}Z7;P&3K8$OMtOgDq;Gh|$f(~<^O8YCg1zWBeugRH(`qjf z7c^?DOl|i&X?MJK(S5--U+`C;F4%M$>h!ytXxYY{$61M%aDx+CzF>^e_%jQYoPh|Ia2Sz}P7g+Kwc4u=EvT+B z`a?fkp+9yk-f!t-3`{ABztql`1GLXyHiirS+2a0Kvg6txIj=$~t>u+tQit0(f9NfU zz8CY0pK<1BbST-@`9sp;{lNsI+i;_{j9>i_=`G^~aS?qu!D$8N#&_a|_UzSToC|*_qbNPbP>q;(NitqD#%TOO#-bSbjG>J0 z0%(objWHU3xJI9aFmsb+e0P#@GRA}!;#a}MPLl1tNjB8iS!8N)W_mDYev*vuPcqIX zc@UGbbfcpq($%y3MU!F1#2>EC4S!%Btn4j*XT>#AA`b}cgP6fH9m>2bRKEu4Kb!oi zESqSz(Nei1+th=w@F{q+L&1+F6r|=lPhdU{4Gc>%UmiIm^M|%?kG6RvLo2KVoS!`1 zX@i$zCL8du1N=v`qeA9^FD#${+M@1`H5EJAcu1RrAZM{%b#Au71o20AvMNGTj&AV@e&7YJTDR&AVC!ItZCS4_jTCvsBv>xU5hM`eb!z;VZ zrB)b^$+J^6RE%Zm4iYa@@M6Ag>JJ1s4O#7gR&i)w@y4Y0xS6A!l?W|@R5gnG9kuP^&)<{o&E+x5d=y zs{@1d-_8<%ryygl20cke4pAqV6bZ)#6OabX82zE&VX$BmyO*QM z)!`FoSLgvV80m4M6vp^r_~R3%!xysFF}3|&^2x+LW=zle6_@?d8KZ-5u*K9;$?sD; z*O)fG{YlC|cg#xkng6;L?`t!7ut3`a?f|`l~IgQ@J?hAEQ6?!&vKpo=gi&+j0INvUs0H^EZ|&m|=G0MMtZj zgEWS;-+2oWm;Ok$s)d;~dj{(u$SBwsGO>h*kK#@2h-&wF*4TnRX$;bTK5>#%BY%m- z{bKX9L%I3E?6aki&(;?2_b*Lux)e$G1q|v`o^&GOBF5Cgf(b%6|c! zW%eKIE6X+`35a@Q^oM>yBg!rV)BQ2}L%-Q43=YxyC01O07VsZZ8K1vXVrm^;n&}TY!TG~`<|qbAn$PIzwkHGM z$2N_+IarbP?Y>|U%_vZxdIsr}Z(rK_HqvRdHRdl!Tc@c++}ROZt}(;eCxAiv6tI0E ze9S}FZ;X|6*@JI7c5kJEfDF=qUu&UdD>3`TY&PB}REq7UJ{mq_^oM@-^+>ptW7^g4 z&tOt+pHxT0)=o?x`r6DOeR)fZ&X|SB8n?b@90X{PT4?EvNdI&rV{-yjJZitBi^q)i zfReE%q$`TCwxLTfHf2WAADe7%8+(E}bBzAb4>w9Mo6>qP-2yXYsni(#p&wBKlQ`+( z6t}UqZ$;1gP3s{=eW5c??hQX<^h`{}2j+VExiL|m&Si{QYSbA0p`TTO{&=!@e@LZk zjWI$xS9JX?@l1>U!=0H{|LKT&7+5}X-ug4B>0|VVezLO-i7~pO-wfI>n$E|&NO60N z^3ZQ4?>J3mG#gQoVT^Lo4>>7fAbhmh?1~Iyl#6~dX-)|FM*Mf0M%$-bPN5uQ^oM>) zR{t$IYcV6MFW)$8DI=>dSUGDsBdf2&IcsZ1R^LV9td)$cKG$*9wv4PkFLKs)KkF>o zpdKOYbl$igP}XMlNh_HH+RkFJo9?pdyd^xWImsN<%$YN5_Pb4L4*JbD!|yoB9Q2&c z?021H4*Je!_B&592fb%A``ss*gZ{Ib{SK7OVGkyx^M##c?g+X&$?ThRlDX5*JcE`^ zQp`DpPg4M&jS;iaZzgRr9Y|#~J4}&bjB?SBa~>DZNnuk?PK4z|B?Iqt4RTTlPFjZW zZdx}d0)V3Oi}vGs`8WzJtWY-edigkXD3y<}L494+yg+nK#a8uz3lO)(8GRn0i~$E| zMt}TM#$fboMt|&6#$e=YMt|H>#$eQIMt{sx#$d#2Mt{6h#$dE-Mt`hR#$cptMt_`B z#$c3dMt_V`#$beF1yBecXcgov27Qxc^*d)8Wes{~CK=M{4xdJ+Bcy6IS;JcCpaq{B zrz2jIYW0Q|pVZ)v$ysz>M&#ks`5cYl={B?fc*z_*-)8nlon#Kioz3izJjoo4J)7Ad zeUdpCe>Sr}0wr@W25n}46iVh`9NNtONR-UMSlrdpd1Rzm45maO`of;J1&UNaqA|v( zGwDYK0(#p5*qVU?;i&HkdEFK$a{>P?=m`PcZGl!U;M0f-1hlsWuyBYb`8_}d0{Ytm znD{{fzkjJf&5*hT5ua-He!w zoHwl)?CD)nt*$LK5A`l9E;)2?B_&!ovAVcqb@S>L{OKz$>1*z5!JlQrLrZ%4hr7DL zhRv{-SFAl@3H~)#dnr|H9v^Z}g#_>fjPUGd*?5lHr!6 zEf=4;e*OBz!$p%?vZNcx#Z~&#Lw~w)XK|JO^x#i3?IoQ;waI%;T3*zIdDAKME<}e( zFyHYulx!+YTCMq3n|zZHoXn(|)Mqf0@qU7uzPx355p9>NY4WOaF_mA|+`u~~xuy*E zonUI5+IK>No+d<*0+h!*Y=+XuRJ+1HZ|aJcr1*40JIOV(YhZ0@WX0NnHF7-}q%<`X zLFrW|7pAQ18B|&zscl-8QcZfege9388Vs?x~T ziy<1^azXpAr-H_F^|-G79;^fNru8XIf4VuxxoJ*2;Srg-$6L&0h!QtWUAe5khffDN z7M*CW`J|60+iTw0ez|bA>A-}uJG!`)j{(k}YB_t#V~4Y+<(%zt?}T%<9Q6_BY&lLu zbWOL%vx}CKnX_B$HFNe`gtLv@O*p%~=dr@sGc0FMf9!Df%$&0u%-MVtOa^E3 z(Ki{KExMk~%0x%A&MsR{X3j3zYv$}Lg|m$rWjVY0SmEs1ma}I)b~t;NoUYA? z24@S`N3C%=rz@tjE0&X)vs>*obM^;?vs=YQu$=vv@w4Yz&Yttw;p}-iXE&C!J6O+V zaCYKL7uiAgd~hij(b?^mlbN&I>@{=tmxZ${A!k2k{OsK@y{~X~d%)Qp)*63@x9VjUyLg?ePD@Y`Pxhqu1PD_0`=uvW0wV~2EgBM zIxS7_Yt`>Qj~&+CFK68eWL;UBc0J!>Vmr5_*t*!s2fthO>#k{+Tcjjg)+=HpLd3Q{+OBP-rutJg2xVPACR;5gtNBKp<*G&edc79Dl!|E%z=lN^)Z%{ znfsU8Yv%0D!r9nR;RckItDS0E9|=QrGNP`UAZ(`LD+N>Vm4cc0Lcwf&onQ{WOfU~$ zCD;vLB-jIABiIur>)!YZ!M^wc!2*1J-~fDi;GjY?zBzCRzBh0fzBTYTd}m;x2~isu zT-IN0US3?X`(^KzKg$F(da4Dg$)M|BgHED{N0+pY*U zm>7uhT6$>z%HvHiTeX)0*bw=%1phR!4at$g<9mljoqIVJ#zWFgCEW~liL)1)M8wI7+H;`p&O4pa<3w<)w!uYk4e3p*JW}?Z-)5d2B zl53U+|FYLC4<;FT;7^Z39;m9f@_?iPVz%la55CP#LRubd?mB97^~gme0VuTy(qMC1 zKy2<_w6MCUClL@!mOxGn_pVtA*v?qjw4^B3TTmRLg5uz)pg1%a6db>5^iUqlS}Sne zY+`?GZ!D|$`mVzu--i@AkuX0CgEK7ilQ6*dMw0s^3<^gXVGz!!7h6;s;CnVM?~2ym z+(mj5dfmAAR&Cqp^BtL$5E>4U% zD_2s#VHl}={n2{pZlPqoJJ8xNzZ1sfif7qtX4Kh+QGEv;T3aXLj${j-EP1lxr$BS> zxD|i3%lXEN?`C72jQK9tj5kI+aErR@W5#dCrj)qBzEJh>5m7x1LOmw-l;j3GOmw>u zr4a^u(Tzq64fb+TzLJ^|ADH?#Yn4SW0B!dl(=Ihvg#7O$T_E{o~DKMu_f2Cp8q znH_DNpChKnogVVj>oGyMUAs-=iv97b*cV4R{Rugz=bT*dOa9Dy(_%b!s~N%Izec^m&RL`FO}qTtttG^Ujtdzu?)VyB*(yyF7mx`=?F33e3@o2%QN-`m#d5@{^P#R?$#0q5-%HCwYyoH5U!JXsDlPaBYC16Wf}a@^e=1lX*Ojd;PcNcjAA1 z@Hx59{J*QcF4KhkZblyX6Y`a6tBM1BzuskDam{(6++8>y$NRPm_i@+6w9bQ5|JGQc|T#*ErSH@tV0oALggs!}_m+`J^`=A4`B!OiJ)>o!(-F}M1I zJ~KD1wb!gRdxW`hbe%sZ-fow}Xeo?l#c3(5KFob(gt^&YR>rP}*}Tm|*|y(Sev&fw z(biTz#@fnDbH>gYyB>@^Bc-OxEv%+vZgjcAcCjv;yNlzA5iM)#?yV&H)j5fY16;mn z1q0jHFS6GxD5l$M7B(}C;P8)Xs#H6yRiKM$vXnNh4(|3_T*0A>X_#>9I+kPKx>5_~ z+cw|b=rwrS2xgTiSYy*a{D@a~MeWH^gW%X)Zsc;K9&#hLq87*iH+H_%Vdmz7*qNpc zmdDxh|Eu9~f6l!Xb86N)ATOLk-pMkk&x-kLTqZZBKdqMY`kB9^hG2IX{g`gEadX=h z?p_CDzgumBt|)I;bKcH*yB@qvd=&jc9@J^Ry9lcC!+l}}(Fe$upS{bXtJ!f_WWA8jMuRYeT@3VG&Z_dLx z57&=}U2ZHTn@`g_Q%fC5zzeayYrQ9>LhJn*mShs}8}_>P(D=9e5zzEe$y z_eXW=Q*wUH`LQ1SI4Px5OT~n>0;9OFMbuvB}pR2$q=T<6WZyu;|t3lcQvY= z|K@;Eoh4hiQ1mILyJ^XpZQzHoqbr#xlZ~9@0@u|M23-c!SY94w>=ij<=Zsws#*P@v z@&$s}1X`(*#F2-~(B)*BERY6G&auXx&9X}^q@+Gl~Jj%DwhhmRH%nkh-?UNHFM|b z^C8-@41uQLt0YbH1J>^~tRJqE7mMmB+Xyt-SXK{1;l_xeK+&k!0*)wEc-&n_(Qfe6 zvN?yN(}ojXHK(m7cGdjh8xcDatU9FPV0Ba+4Cmq?7YFqa2Wcar)Z#6r`E%v0=GA_1 zeRw%HA~K^^xXnriD%~_DdiE5F*tbb~1KEKCD&wuqG-Wj?d*oE+6V4AH-a6IlUA_thxfCTuNj| z5|GLZ>aVj*&mv^8y=Eb>K!iY>A9+JUz%H&ep$zRs3(#AB;TY#(3 zO=bkS3|&}i<1=Rdr!wFKl>zIbGT_8q2IMlJ9x@mRa)ZX9dQ3^ zAL1mH4^NHChm&*pkjsaOkq^Z-X4{y2C>J?mF1jl3gz5O^!^^BZVEOQ2d(96z!pH~z zgK8CLMbFMQ(9v_WFtOu4#8naV0ph`x3Rv=|11jNJu;qY>Mw(%OM`0HJ-Q6|Y+WJ<9 zIGv}dL^vfX5k_)}kV}M#kq9O63}k{J4B{!tw^d`}qSTSG1$NYm0G?Jj$X>HZ_@@yG zsg=s)3_3dChBm>{7FY_`tB1*OZCoTc8|2A{c$g7-6BeBdLabNGaB5UCoR&+5Try0I zWGKsdH!&is>IJ~w@Tj6Fa2v{&&X0KT=EHi?^Uz9AvRPysbm(Ocm!Jt8b zbS7;m3wDwq{nMjj;EY@hc{Lgm#Nxoo^+UI#Do9csdBZ| zmktuVSTC0#I!nNzN3=Jej>dC0@vD2_eDR7;zqBV{@35qREMjA%udg|NBHlAqK#W=e zakdo@XXOGS7Z4L8Ad0Qbr^M@#qq16g{XiWBcV(fHxw7D#gu^0YA1e}AL>z6e`O$AQ zBEpYGD7)u-$mt$(Ebhdeh>hT8nlq%6GtEDU3lFD(CZ2KV~Z- z788<9gk&l3#)pQR49`-N;XG?HTwqOx^K)5{%Yu5yg7l#vMSF^F+GHrYpQKF*3fb78 z_JkM2AmzwW@fRZZvezs_cC*)PPQ1ZhvkbYy$PoV+?ozd4=NeUp(3)g@VjHh<=Ngmk zQr++~7Ri}m{Ey5Dj$%xX?uA6bgybTgt#B458*flt7&R!KoePm%h}1)f#0(0KTZ|hN zfoC@#rmK`smGAqye~g*_Z3VoY+wjCSg_1(r)YeiG|p1OWJ8^rzwmmkgxxk z4VWqzXw~Ck%LIEmgMQ)*n1}y0?&qtd*c_D+XQKG z@OsPsEDo-)*DMZRW5j_Uj!!wn<{!ybU{PRP2E<44x`j?Yvfc1Mo^yDKS^+OMvH;z3 z87Da~>ZQ3X$Ynu2WI;MGs%W=H#^xN7;Hb#?h%M&arr;37!ZlVBur#^bUbBhtDtpb6 z;*~~H_@R@Cjk1zLwqG~#YG-}6OWY7yslXZpuT&tsffg(%%7Onmeg%RaXwpG~BQ(YH z5dU*C;^k2@;uX2*$VEpzL`T|;D3+Oh<4YGM{bEA6j=9BhKTC&u?KMeL(p;ir5Zx zjvG7;`3?dFSMzpkD;nrNdm(g-M1t``{>P5TYt-?0wRJpRXC05%=JFwz5A~1_k;TqZ z^5w&rUcvgRdT6P z52+IKNyZ7MDzz|grxrCPh2d1U`_iH5)ApKcxXefgKeiHEHT)S1@k#0xpZ(^D)q&t_ z$Hb5cPCD{Mj*axWzi&IYZ&iD7?0mJ?`}|th@QEv{?p_U4&CSW&$@L~REZ!Iu0dLMl zKrR9%Mg)|~$w`o*c~ELk%!Aazha)#Um!xT$WqvjtjLf;Kx?BRy)$dpnius zL|qWE6!>TdUECm7gF~x%qGA!dAP>nZz)7*=M?8f4xFq+(+01oiR8qV(mlV0Am>@~f zmV{S_;y{jniLHY=2Sla@4w2UNl#*8CPC2fC#EA&xS+uhUF4cY#t!U z1@+X6eG#sNI^<*3dD-9HoJd#-6zqPetoY8H6?0Z>EGs7FtmnZ_dcS2oV#UHw?KP{% zv%!kxvYM!Vz#f*;?36bJ@sp7%6W;X**jo`{MW{lyPpoHFM-~qd1#1;YdF)4k5IbBXtpq0{dv9dB+!_1|uA~ z?L{bxb|D4&ke$eP=>O4fJ@jW>Y<~-O?9+Yh+X}#ECd5(Fo(_XV)+-0=frX1*p ze9;#-Y(yv-sbs9mOlm#UVoyXZk`aoD8W^Iw+~PWsSebo@eE7bc4|6_jBp(*#^vX0J zo?!WoJ;wLgYv#jOjg~u$YM*wR8E{_WC=8YTl~2-!wDp^O#tC9Xgk8e+>`Azg10ZA% z2hH6e4(cH?;{VGTF=xcaG9t5Cni0n=<8h96*lS|M!rNtCvD2(D85TtFfz^dHWkYkQ z^*epxD=}S2!AD?29JPSGQ?ygUWk<45<;%6WFS{XvL+KH7G~BbarFr#3K5lxPZ`txf z-;x!@?TtnNr9Pl>OV=A20NjT-$vZJWm`5Yz(FpZe-HZf8wcd#q90)XkHR+jYn~lGq+UTqGIAxR!n@xiiuC>Vj>q4^$-*3L!wF*&yIJcL|bq| zlsh+(oJ1R(5Y=>q6&P$nlagae&kp9ql6urao6%zsW9__KzAb7P(?cv@<3cSxA!BxYt8pYNR5&YcqxW4^+C zm0DjYTx~gzIdOsEG(Y4F@z^%JMdPvSx0x}6 z6}EjbAGK|+`jT?h7jv%4xvFtob#0)d-fOtZ4*(j4T@Ik0%=}iL!&^FH z24^=bJPNRMrbO7e+g2dKH`lh3N^FVn>FLcWc0E?^jmy<7LXOy(!yU>5w#8@7{4AFS5s+R=V-cRC41ICd2-6 z#Lnot+&102?<>1~FK4%$-5Seo$#xpwz58~+ZXZ>43!_V-noVXjcbwf$h-fyMIpH}= zU$Y6rnGcf5rgImy9n&m7R6hJc&WAZ4Hk1!Llh`qz55E`i;eN`8e(Xuf-A+#1%&3<7 z?d0f>@F54Cm<2tK7sc8g_|3$JCaQ3|LQ#ICjQ4*zJuaf}y+qI}=yuELL%yZl%b zteU$E2eMIvR_yBYmCa}@3NMs{o8%)E%1%&z;4vsSUP9m2bmmW#t$v)dRnAru$5s(H zt~0}p`;pIaO+(6Yew+%v5@Tmf^lS!MsH?|xW>-X?EyO|{<}E1>#ra$exDAt<%7)uw zxSuJ*{WNE|oZ%*p;nK60rIy5i4EHFOjx& z$f+n5Ub~QEOhW!Ic5=*G{A7YsOS0}5mMgWJPeg@F|Gy06#&UbjmA%_ubF+S;awQDM z>9AIH{Uf};8Idc|2ya=i32CZ<;>JLX8K*gOsA=C^5ch~n{3#+?1rSUb%~9g z7-oWQa@KcDaQgP`JHA($=?^(G<;*m3%oOp_+RgG#Xan9K@Y#U!nI9j7uvp&)q;;J7 zMKGKg@zEsuoFjw$I6d;rk{|{?8Gt_MtMRhi71;16WyC+`jF>ZGLm9Cc|B`Rv9|0p? zpo|!X5_Q@|4?9^>uLA&XiZCKE8_Y+IVX&b@@XqSGxKZD4O#DT8{LhBRA+he`B(J7! z$yYb>)s1?rZluq9Ewiq*>!t2Vj%8kqkcC!1Qul#C04%iET;>pa%?lU@tAOw$pW3R< zs;GPxs-9=Ew?_m7qR5z;o~q2` zhwI=Z4{Nzn3EE~NrwG@BnW8%@VYbpNr?bE(^Pq__yRGTZe=1M?Bj>4{rzVc4A_2Lj zBmzEM&?}eYfptxCXfU6Y%A9z$a$*?c;(SM2zbRI~J7xxi^`6llAZ> zBe8Oo@nYrV8{hH3-I6$>sq^ldrUx2(*j}@%`5}AFuI2|+j`)E)(CBvYMFacmCr6e? z{J&{S?2wt2-e}?TgtO7?f#%L^?!5io@@7pYIogJ6FDrX$kRuu2-l`r zmMdAizf`%>57)tGiLISof3Ey$j4NqLCiy@pN3GH}I0V@_s-9wcNZr>--A`sju<5IBw#=FO%eR1_)ZZT)G1Z%!c za|1#0LVL~X{{VZ<>VJO~G=3mcsoL(Vf0|{h-}ldByV}Wr=%FQFd;{GRE&NGzc=hD# zZ$s-hA%t-`8SPDza;=|h{fX21ktht=Ntagb^DM`)YTu+B=SMqLT#lo|$!Gys0tf3c zh4#CcYNtKzNqjtQap$w(d5^o<*oJ?PhHrm2O;x!t#VUVnL%{2?w3wDF|6KV`jPftG z1uEYA_IKMjI=)m+&R+{>2}}BE;ZXhW9tfM$?KP|ao9s2K{~J{p`5|Gg)nbdQ|7CrQ zR>SLaH1`b=X++VM*e8btGVBA;KopAo+KHWtrkN^NW>~p0+sc($xm?NRNjgZN9a30=Cvh76J9(@W?b_$?$fl z-uJXT$UOQsBvC5OI#o0nn}XqY^+T98ZG+CAs2oUHL^7Y{a!p zM@}P|lr$AY`fSHTrDF%K64U8dNS(zXpqTr%=hUR8J(c0+=M0xK+{7_lsLBowRN1?f ztNaKnEV0@R2of<(ORV)eD>?Riz4}=NIe!s(z|nu%<}P& zsbU*j!E`f}2!{m{;VgU2OCL@M2TvH?pb{etnsN@(P>o(cJ9%kDVvtj6^*8oI(MNaS zs?rg~+cVvBfU@fTx#rF_cVjiTw|?nHMU+b!bFYV6zGQ#(D&<2zlnRHATFY9j^CtFI z$+q5ce>FNuM4OadQhxNKiAvR0%a5uT$}pz0GtMl6%v;raesT1iK(7zRd}dBb5;s=8Tv#;>0mx#Maa8SD~%< z;(*(3Qf~93X0U!}nT;4t&qS-w7OL2%QTfCrT{fiYNczqS9o7J@tINXSL>$b}v`AU} zD8u5IB6>V0dAV?LzFe3u7uI9BQ1(!{o1scPyCN%ssZCU@hT}_#WjX0LefZKffoS-! zz2-;lYp=QbeN=RWp+S!5(6dSFw}0d7kqOiI*`yL9MBhj=+c_wxoW9&tXMs{|uHSKP zO;1#L@&qeSidLSq z^*>OFk_u+>LwLm)p#$CO@nNdZ#Uu(O1*8ThbY1V8lbYNz6k%b|3Q1w z;DK1DpO0{)4QUUH`_qAoJRFN{qx^ zbYz?bJ<~Eh3xSjEH4B0JR0#NSQTRHgosrOeJmgl;1mKQO|NS5)1oTih{>(B3Cno-b z&Qs9BFHh9h&#Op_iMl!%ohmLmtb=j1bugCX;vyFp^$-{7lO&66iD6Jo$&zB*IML7L zmduS3Wtj#^N0Pk2iWL?!Z?M-aW_m#!D_RlGHJD0-<;H^m_CD<4A({ci0R8)mKWh|$kjCQV&r0K zwb$Md&qQua8SFd3)Ht>81e-@DNNgVSuo>#!m}>d>drgx8B)$ zlbo>0D1wke;rLcj#kfyh9)5W-Q{f)W%vj zt*2W9>G33c%_aVyy=FcAew8kMa2mb?Y&SgOAZ0#-wtirb_O%2vQRhEFSg zC>@=!Xw85o6rv@<`k4W@XE3UKE0~YoXpAW!X7R(B;wPhf$%^7bnCtIVNzr3%g=N-O z=*w+|+*YWEtsp@N?i66LeLSCD4l#&_A(T3jEtLKu!7~Fv@;-abQt8w7nxB1(3Ysup zu+tg@WDd|%C{&Qd-Hy8(ABxEtcGFe7z&t=m6YZO;zcjTCe z?Lf1V*p!Zs(9pRq$y%bIiCMSBh{MW=LpdYn6OfIZfGl?K5=6|%FID0SweXFA5sRuY z{b0fpCLnDfkv$rb)(Yyui9e5UBG^#4FkwR8+Eky3f&aC=zE-8g8f&kwv-bM&xxJpN z>w2hbnSAt2ayFFX(~HISaTYPlEg6d#ErATV#9s5W=GbdC%0H(v#*bCR=P<2^p?OCV zGa_K>afaK`5fLNyHcdA=svbKSID&bbn*K!P;S+LApKJPw)AZ?$%B8mC z`^WvtYJPkkj`w%{U!CT!*S8*9Bdlgle8R-OPW99Gu!|nJ)(XV36h6y4}5_MUHMoUUGaRgiPQL&~pqVnKrMjpTC{B`injsqqXIRz#bgSCW%vF1?+Uud(W%}9E-r`or=buYOp4W=&{Zc21 z03Nvhq}RqkNI3eM_4m*0eb(Q9R3YLAs+EHvdvYOY>Wy58dMNPN@qJk1g4U*qFr5_x z#<&%A@gXMU_`Xq<9?!7S<18yZo|#LJTzb?)dZZnLQgNJsvXY#|6}A0KiR~Yr`d4O| zAR@583KuAHNC zwRT{DJeH*^2Uqqk?jIakbKBFRl)Btyr~maOL9eu9eG2j;~hx2bY$g zP;NecsJCy)AWp_xwT!mX^{+%_&HXEfdgzLA&4t43!c=~i933%j%}{@G-o#XXJPfp^ z^9qxZiBiuhOz-Jh)0O0zLJyI$Q@Ki$9;AmPcsy9r)9La4Rg#Y;S4dpXKJ;)r?C@3n zEBkxMJnVqQRw%gr*T$mXG|!Xph2u8%t&;-)g|q|7*Bp0J(w?7?_JYQf_MkLrd73Ut z+Ww8zp{`->M?>3Wc5El5?WTmb7dD=@2c~Juk|j#pr);cNecG0Ha*^=tgtiwop0)?1 zXxq->K1$o=J);{>YB|LnxTT$(xECkHy`=HPou4Ky>&qx{2Z*>um$++^?{@B_QsFrX zaW8E=ara0QmraByaaRy=OCE8PD4(5_xY%N7EQRMap18ZGiOcp@l(;L2xMh#H$-zuJ zDRDO^#C?9_iMv~xxV#l4O58yrZmUmRjZfQ2i941M_XUk7?yhO#^3qC_xT}b`6`#1e zPPvm3_k{^@U(|Tw&Px-QgQBCvT}{MobBQ}p+R2HFZ`l}``;x{JcW#=v+1}X@5x3nZ z?oKWiUYZd1vc?m4PMWyc{@HMKXmDL%=$1A9ekYX+FH5NV^2Sqlmo#;=J+w7UUC+`T zXxquh?kf`NzOwPu#l%uDZ6haPN2TuC6ds74H`_~F$JF)Qw1MOh#+_8|zBZxm>l#m8Sp;+^bmeoIQOR%u zQ`hs;29ho0J1KQvpHTOT##1-FKd>X)PdkyR>p5xz?K`<-zzOEY+>OkK}Y8`#-r$8e5=q3)X-PhI)8h9?=aJ++gVy1uK{zLQVvz9pgVm5rya1aNxP z&34sJX6pLB+Ri>dhHwrucCTtYb!AVdN8M~+?G&bNhvx|=d!=_$t@gHrx^Hhhbtk3e zZnm%XG^TE+OWp3BeXa5x33ab-JawB=)Rp6nqZZl7s^j`4&eALcmv{2{v3Dk)QLlFtec-L}43+IX`6IaxZc6&#V~y{F z#gRV9c8{NlKJZq8hMYj&M{*zBob4Q%; zz7LLy^g*_Nd^Y;PTU8n=JvuytPbGbDOXK_C$VeY#JILpt54^RfA!i!&k<179u9UG% zKhyX=SQzPpY!7)O`oLSE8Y(?Hbn<7DKKNYY`{0O3A7s18=b{h1b*v#Lvgnb_2cJ*+ z;0ulKgU3bsAlpek4}IXRb`3eZ9v{hl@WrGLzSQ_WI6Tq^*>3W)&<8~?fN{tP0(&HP z!k3dy_)6nD;jl<2WIM{|Qz!VIva{~{NbZEMCY|uL#&^P@=}wSieWIT71w-B8jFA&K z@<{H4uP2>wYvViNkVq$FyULrW69P_nbl67tzKO90(NxI>?jqis2 zBi)egEnmdl;K`#kosW*lmhUCK@cqX3!h%RIWc$k(b1!(a*lUunLl+8@~#}8_D=cW`-Xp z-SCsfcf&rBZpe0N-Dq^3*vor+SM?614(yAEVrL!Wml1{S9_r^sWIwDE{%%it=U0vIowi8tWP8=m zNAGx^1bWBn?MG$r+>!LoosI9EN~CwP9quvoj^|;ZcdP(=RQAqYN$=d<_}*!a^iH-P z{sQ!l=Xs!atn2Zp?45g(-uZRod#4=fooxU7h3Fm6Bk3+W3)+v$-uX?^JHKsw@023F zlkK;^2)*MuD(Ia@x!(C*(mTIzeD4$^y_45~3ZwK!ZI=wI5hn3FwSCRwuB(y(BMSM?89m(zWuR`d@KYI1P6-z0~t z!z*!rO%-RN6AA}y4X+VQe-+oO1_oN-0`<2z>7tV^Iq9;KZgtWXC*9_x+fBMl+K5LL zokwxnJc`riQJgl9;4p9eOx$ zbO`#&t`)sY_;llG>$-_XvHR@H;yy?x_jmQn%X0nnb=_U?J;k8kEzH5sm;oAq1cM@c zQ+fC~nn%=UDi3>Znnw(vR37#pG>@3DsXT1OYMzWXvn{20#EneV!x~-l$kvxs9@Z<< zt9{GXbz#F79r2RN!oqC^vJ9{3={}M3ghXcQ7$Qq!!W>K+lS7&k=3vSo2am((Q7p=)P(<1x9TetZf|xp_d%_$%-)M3OJ%VA)P(;a<8Sp<&A;@A_)O!p~ks{PgIZKnCu zwS6n%4U|vx%uHl^v<{|tk|#RU%S`elPjs-CndnKL=x{GH)ssBY0bgdaCwZbnzRZMA z@NuDT$Ta#Yk zdZH9=O?rXzL@C^w^aAIJQdmCcnzScM;nt)VxSpt(ZB2TC^9Tt84p13M3NcEYN}>;0 zXUAw+FPU;sy9Ngo9toN*x;d0`*>P_pEnVYOGCJ(Na0h7ATHD}-Ep31(> zy_9{M`zZT1_fPh1?wjn}+%MU;nH7@TARz<590P9`(#uPz_^+uQDF> zO5;&)yf+cwFoQti7iQfYk9y(~W|bU|dg26Tl^l+On!0k8{OO%PKkE*c-1s;*@37D(+a8RID)hGVl7r zKIj*5!7|Hoi!<-~l0K~27xdxXapk~Pg-ErwCj!|aWap~ATE*;y) zrDOZJ<59ey%vOy{$MK}8xI0<(cKBvoKxJ`qGRuz3*W%h_mK~Rl;?QK49hZ*c%4C)u zmyVw33}_(^Ojf<(yky=TcRY5EOUKS}$D_C^na>?}Jc@&oS$15$mM=LcW%rZ?ui^e> zyrd?6piJ^zblS-JGtM6ok3X7^hu{p8PrR*YJ|?2(6PGKRkIAX|#P5pcW5Q}aalWGY zc&KVV@${qlnAn<6-2G@i9=@7SeE#_%{b(_gKF~Z3WI6rpv)E@(XTs7BWtv5qE;)VV zf=#D!#?(`J!HaUvM9wqTZyL?&4$8>gkz~y156Z~>kz~y15X#6Ml4Q*25z5Ftl4Q*2 z63WP3l4Q*26UxYal4Q*26w1h*l4O)#iP3RTW>JNX=Z-_iL6?r_jtCu7T}X?{+Rx`- zuqocpw2~C-=RLwvgJloOHx;eBXoLMQIyZUGZ+v58vDi_;{X%!4X%hYsEUDGDz5}b{ zO{-hz4*dvqGEj%FC<>xbm_iB7iCe8m)5pOHcN}HaB7&3qwc3MEt=0bh8^K9h^AnD~ z)IM_h$cByUPdj_VNU5E0RcYBwB=;&54%{7eT;7*7LtEBV?WN50TUx9AIIamP?-BNi+Z6Hbf37lv_+bWW>2A4 zCmbpy@-)fgYt%Ww{pC}FlZF!x4}AjiyhvM47%98;MN*dT(eHpy;~I7xSNX>Bu({7e!?p5UUca{ZX`^tCh1!3&o4&4 zp9oIU`i_Ltvi2PG7@ z4(#{k!)mqrZgbkVhm^hV6bCn7+P7uBg9H207=7?k2e-H6d+^^5ZXX$=`@Pk{?OP}; zej488?+$K1^IW$r3nbr`Upe{q7o7Ku0}Re>%Ymk>+vbC$&E9)73vTO0&NB{{HqY7W z;0}@cynKfe{lI_Eb@G9pcODzyAU;3#rb5A^!Q*PRAKXwVEZD~>dxYfMf4+lTD0J~? zb)<{~j|N9cUwZ9ZBsi~+7E7Dwj5*JEym^lY92_`--fh8~9NZI4S(h#?^1fbuMbkdF zKa6bm-oprY4+l9#{Nc4(CBEB7D)L>Nk@+57jL@I!zT3IfzMzj(6S#e8RDsvN7ZW4b zYCqe*R=fTAcz4kA?ym?=($ZgoD-`CBNj{RbcQ2ECk`@{%PU|DxanBbdpQMEzic9j{ zjQUuH;y$NMzN(Z>@}WL$AJupFS0ta}ynLp8oNt-QXKT2 zIC@{oN6*^wd&wthdG39u*!Q4(M6)gH1t)2tpWvi@|AJm6J@??Hl+UI`juJ-3)xU0( z`X2nZ;HbU_|1I?ij_NBE_WS|WL2`xi*)-V%ilgyI&t3c!(Esm}PtziU2`A6x_eK9I zU8q0qyUn~W(@Ngs-YccPEx)q$QJU&gocmmDGd-8mluvP9K0_DIhcxFiILenim+5TU zfHXhX;4~l6S!u6nqO;=kxintH)CK)an(}SENZyU;JmV8W+c{eWM>MB2(OGd?-{L-7 zpQfpOQXkQo>cd!rKAt;+>eDohKaxp;(|mU>wD}}W{bBQ6b1jVn=ncp!>2po1&aw4T zz8}1UbdKO8t$IjsZhiaD7aY~SKWqTfVTvREpm9oLM8=h-WxVKE6S^P|NjACP>C zqkKd^>bC`lX!|HF`4)gS!bhfm=~+BpaG%qXPjUL(J7K$OTO=+0D>#)KhGxtQnl|)v z_=Ea`=&b!AY00NJr8CJC#YtM^i{jjTN>i3Yml`?bJr}k=><{H5O|zGl@R9V})*j7= z|D48uj04MWBCl9Zviu|7lX1dw(%|Ng37yF|(ms+j)u%X-lf-XZdn_MG+T>$?(|jZY zG@nhgkCo)J?Gsu_`zXzFgJo>3_I2pH2cSEMj<-Lb#s>WMwS<#C6`Y@s2; z(B=WkXWP!WK7%v&2)Fd7R2KQnJ!CFbm_XPKK^IW+{IPAp$FE(N9 z$~_lnpT+r}g}>`GzsYx&!I^uUkMuv~GxrGh#si?Et_BYGnyw*?dX)Aa;q*TJr#diE z1CPHjZ&laO2twSd%Xyx4poy{;d75YXd6*;|89jqgg`o}W&$wh{Rd$b6Z*ZW|E z(=c^(r{FX_3w2FnK?-Nwq*-Bphw@q6{;$Uy|3Yw@)@Kn`%Sh`9L(^Mrl<2e5Tks&Z zK>E9HOhkY{sU2irZ#tFIocMo(z8?NVUe*rMA|@Z-uhqVADqeAo;3QqEy>?Tr_D`OJ z(R8hL;}?<7$bU&=9Gs1Lln+W-N$ax+N1p*apAyULs~jT@eDE?mQ)m3?tw*M2>gc5( zybPikt$*-TstIWl>2M9necF1Y!SVfC?f18WwSOcyNo#(>adS$PI!@X{!x0asr)=HL z4kqY5wq@RH+w)X1ACPN9Pcr1dB_#Q4OS_ZtrKq*tNv^r{IDG{EKN~M{9pS{T+xlL8 z7Gd;0rW;=kx_)19n%?*Iz+FSQLP67uj@PNlLP67HS5m!heZRh>R{Kwv;3QqEednd9 z?<&Dbn(QWeNx|uJX-==UG=f_zI4Pq9A{;1V$_RxV9Lg|`%W{X5>2AMt$P^@r`tL+5 zUSm5%sXyG6&{av@-j$;Mpv^T~o-Fkh3Xg~9^WYB!r|FhAgEB^YYkHT>$`OTvq(LnV zT%9qLw4v6pU*Eo9O38;_GHIqpyX5n5gM`z13!V+18A^HnXxo3Y={s4OXo&{^ICh1!3w<|E?`x_}MY2Yxe^9RE|lCIT$bA7G$-#1G>Ny{^~ z9&Oq}GU;e(pWuivENTXXGP@$9QsxpnviBm5gF*RQD_4{<%d9HfSA;~(kt+)Xb>EY% zsM+6$5=TZIAgBj#v$+lw)RtQ;mo!TwwmxrQc!=JIeg_*>IAB|$V)2_j$@o#!-M0B1 zQrZ1S+ed6HtCn!D*U}@ZS8W5z@(M7giZ31eZ-<6Xv;UHtk=G~1*dJf_loc6zP zCE>KMWn5{WQ$5tLWGHC=a@{(zO>!6L*5mFW8)4&nOJx$<2F|Dp;u@) zZc>+3GrP#T>OK8d!vRIFd(S0~hTF~H?z6Io%~~yu|1ZW=>ecjkq6QV$Y8>2sT8-ee zjOHh-;`V=4t@i8dB%h`^AL_u$uu+&REphct2Cc%>H@U#Jnu%*#4b^|(D}q*EU|NX( z^wazM!)Q!eW76Cj&PT0bEj1|3p@(R5PO^PHLngcKewOt$n&oj@e_1WkWLxoSlY{F9rr)k(00d$tVYVq zXWm>JqKd__8X;znm(cpl!54=pQh)-dfHMIoTj<; zW}thxk4rwi&u`~2#C^EWIL^1CYjh*R9oPg|EiX;&hH>_aA*k3hy;WtHbo08QIQp!b z$BFH;o z>n@^vg@UH1uLfhl24tK`Pg{?v($fT|>3L5eA_`9HEAMIR({$-SwmwZCu^Cp$Po%7- zXWb7R+qjsiFlllwMC4*-!?a=EqC#OGSd8@3G__^H*@Dx2`(RpN{(Qk{djD5}w*L^E zrj_1=b<65Z3YDca<(qe$l+`xR$2-i~PjH&%e5_*7PSb`%Uxa*{B%h}Dc^~Tio8UCf zG`&l3N?#eQ4i5Kav?@+$L=KqJO6fuQlrGwS8J$vA+sZg@^U%2$bqOO4TWx@0e&Ft) zT(gxPI;KyxER$#h%83$qKbfw#>G_zJ+2w8WY)x|;PIcOYG`ELw8%vc+8{J%Eg&CNK znlpEbRkJ`*nYpcwn$@M|aI#HHDJO4Hs+xik$61jAP*;54nzqI?_C2i0@W9^P;^nHXqNp>Vvs{4^x# zt=@s=yv+86zWfx&S5lYfP%Gu0MlXlbjz}93)P2jXe*-QeTkQTW+p)V+6ZEk#E%yS| zFh@*3rUE*iiO&PT-k{Qv$+%4(W)!TpD(aXRST zeD^K)^Br*$?7Zs*r|CuTeeX9ekEY2Sy}wKH>GOza`dq@%^MsH#A3cxtk$JAxBc!B! zJyu1nlir*TEankk0snT-t34I9E0pW8Q0GV3&cic_!MD89YMQlza?PC$XdR8!g*MlD zgz^iHNx}Orv$>kl7Id++h4c;D^dZ6_D`fDNSL)*lgBpo3u*4z{Qyk^frx8xd-glWk zjxbs`=`t*vt{0r7NtZ!p8-q&Hq|4A29txVS)qdWBE}1W7C5`1CgnWJPZ-SF_t@hJl zm@88SCuvZ2F;P}g`cKpoQP*UPH>u@4&3+HW`@2r>1pfn44(7Fa5rhC z8I@Mtv?7M|x1~N!vwkxMpF9Ior>z*OKcak^CVERB5LU~QuG;UpgrR3hdd4w@!osTr zr|IdS>GWQ~NgDN{+_Ya1PSe__Za(CBdad^3g9SGkWA%Gze-wvzyX#8HNA06Dy&LsC zJws@&qgwLmyR+V68mt@Y5!G{oRXsGKN!-n{;_g!z4doDalUr3O^nN&fMd&Tt?Pb_BdDSqqUViAannDfsO`E!&(7OMuSbyf~Ec4 z_sTOgP5#bLUoJRJ>+>P*TRY3_@0@7gdNw3?pdr!6cMIZfbOYnoFnl0B^PoYCl_aBf(Rjie(y2Qz) zF2-lNGe%nYTezWWb|;3@`Z+Hk~A!N*q%PSdOwB4zLU zjO5d{Qcr7}^?8KT=Q0k@W1M-e*3Bx%JcII4-IPzBQSFAhDHKk!Do8v0XV$k~VCG{S zvp_riNbA-p%CQu*!yT(bJN%>8Yf{v$*6MJ_z|SxSpssZ!Yx`iD(REV!98)AdLx>*2c6^{Gja)c0ejK9gqpf7I0H z;%+tdnRfB)moXi*4cxb;EsR4O7^iI^Qw8P__c#92PoKyAZJx_GJdbhw-0INaI(3&% zwnFDMqW`D8*t138T~csfWKEZhg=v_mB4c6N74&$Dx1p?BL~wFn6#kr}1xE^h4i+a~ z59O}Q*pjZ*u7$;|>$j4w)jsz{i<7iIi|WHy)#w7PCKWNN5@{{GiMC50reG zUIw)zI4ne)^fUemLG*li#@W*$piU|jVz}oo#@xzFB%h|WO|n$qPB))sDWOaC4kb+d zZ)7J5O_kENiY?^$Fd1Yzly;)f6lm>ms$K8n^}Yog;x~emwB{!qMGinv6bd7@IWH7p z??w)2bDYQlV~&wpsLc_P;5FwU(;R3g`Vkw_{XH(#Rb@?+--Xe073I=2n*9pY`xC)Q z+BBQ%qitW<8;0Mhw%rRMfL@4F4jC~luLK(`#KC9?*6ybQ^fM#iW9ZF==q<@YH?#(* zl6@?BB@Kh*UbKCg5jArFCzZf&2K~|8`2Q@F+8{W3#u1;F_c@|w^6~vz?Y2HLlmsW~TJ46L zU|`)RI7!!P?|*lp(EJs_NxD}1z|gNOucLd0 z>US85{M*5m4DPRjlQJmViyoeBaGVD2IMjEipYOYO!3=$$;3QqEy#sTsIuayZt9@h) zBjFIqCuvag{h;Q>f|E3;4zsWsGK<Ge-_NF%!3WpseI7yp)CBaEsm7s)^4RJ`E zE}cLUgD8$paN}u?5}n{Wt4i%Z$)SQ&8GbYAkCw;(%Tdp%g5x3n8$;MXnS6X7Huv{H zupbCc(jd;sVEexdPST<@Wa?bY)M{5xw}h26%DxMP#Y6|SMbd^4zY!cMx~;#|mm(Yp zA-i#rKEn=3+Ngq|?atRgo!)sYP`|VGPlam2eA&g;ELQT|X}0{*Gw26dNR5(8@&9(9 zek!>4fY%m2!w|@fD7la5JIImQ>@I4$R{P~7h$`?N)hB7RY%#S=a9Z}WM_JyMG@grD zp#^4?Dee;sFv_lxvXTbzer{!zq-(WzV^-)WGpi)&T20z6Wu*;DCDRsuo|1`hhTug? zZ?FU>>O#`F1lP?%&iuaifrC3;Z@T)kwfU8}KN8|{z0@8< zTprDDK%@Q;5O(4?byhL!4uGQXz(KY{bf|E29)z_iqwg^trB2xAFSlG|VT&d3xm**dzX^DFT1{XwtyV6FeHvzRVP2Atv$rv5EpAq76z0@9OnVajsdsE-DNc?LbNHYhlGhElCW zg*K+C<^<+}U&tbw^K(1alxj}=uu|=6p&HjqbtfJVsC5NuItl;R&<)dS%)QYJ%Mn)# zPVR$JEp~2E(kKggGw0_)KBBJC+)PQt1M=Ihm3&(EUCmfX+f#6ouGQWSOJKUu$dZOl z`4U9gn{g^>Y3JcRQkHu9@E&cu;G|tjCB=c_7$H3U%571Kvw?T6r8tonCV)G+qZGfx zTIG+I>gPSxqLkuS3dOlzdblwhrBW2b)7?3sg_8`$dC5=j*J?}^qe&!fDD-!EBc{;b zVHcrmr`;tuNuv(xnLEo5^wzq(|W#N zt9@yI%!J%4I7y={y@eU~Qctb+ssCWCy-V^*TJr7pfRFoVpVcIi79Fp;mUR4K-~$?) z3!h=y!p}o}L^XXbaQi(VIC+LrvOs1I*8_XNM} zCtx+GlOk!aSmBTF>|YKV{z?=VwuMze{j|!0rM`Ajz%)0SQH3(g=wn20|!O4NYo7 z6%ZE`ke4O_v4En8%3Cae*ib=Hv4GMMP-!9%H6S5{4!e0F(ssYUbMCokXTI6>kKcZb z-^-ahGxy%PGjnI2dFBCMJGz2rzo3Cpvtyh2IM#X>S+w}NBf8O;&d2dLz0%UM`Tr`f z=tkp)lh9g!6($-!zE|045}npPJ?jk_PzSARS%7sTs**{_XX{2Rcv`Wpef;_oPnB71f zH0O<|eZDZ!@X0fZ--}MGWh=1dx-OE1TnDl41;SK^tj3Gn@OOr+#zET3pApLAp7{J5 z&;2Jn)7-Y~8m+;k)cFj_;8~vDHu1_v=p!Hj zU~;|}%@;ZRDwb)>9_2+jC@U<)^3eJ-!!^$;t#3g#!()e149{p z8`5+amW3~zOFlGG(jUd*r-1b8p&#d@;wKQM|1?LI@!pTay)9Cqo)z_59B=D)?f=6n) z8CbhqQ@VkM<^Io=OGCRvar)xyDS*HH zqu9Mzl2Il88sn9rp=J!@;$t3S&g-&y>hrDjl-IQDB5FRKZ+q)J@tNNA)2KHl`<52d zn;*h=%f)Bfa@OTdybS)AeqefQ=%aHxczZVKXqwcc#-vC1ON7uVT zb$863{EK@JXfmuKHB2S<=bcM@>~2djM6kOrLK^>^=O6^$uiq9>i|Mm}fg$ZVVWvO* zZJgA)TbSw3;f#%s5t?>gnpQAax3nxM8hTo(_D%^Mj(^o{92wTd1ca7qYykBhVM$=) zMEnD15vFuZ4U5ZxG10*8#^d<#I@9>w)2GKxyIhvX*$`7QFPIs;e%QUIxv(J5l&ZGNjIZ2V~nOUu0r zMX!&TqB`civAW;Dt>p=lp~uU<3$$b>ts_8$PK5gAlm+g|>W=jV01*_rR{Vm+uL7AK zjq@G-6CoY`OtZHR+&T>Q_%IkG;a{D%Z%#AD_v)X!QbzX!@s=&_TY&yi1#o5xb?wSxMdLXJ{t8JR%;Fo_+9|vo% z{H-w4-B_b~Y&7jMB;xkW@Y$gvR)Ykk-Wl~)gT(5pCPe%+lHV>O#_JG2aF*oD^p4ft zv(QD7Hb2CftGCf7&z!+*pOaAR*oc;*S5>DqauwCsqb#y>0y``Z|hhe_1lD*z8LXo z?_^=7xt@CTV~Hj0y3lR5n>;NdnJ*L#F~9IJY~SKyUqYK<23v1c`SNCVUwUv20-;NW?C>_2bzzWeNdz&zXr*xFjg*AVQBMKF$>X!zb`MKBMh zV^$T+toX$`srOixWdy$dq{)ZR=tIyp)_YqwS|36rbr&e*=s4?+G)_X#20*3NsxI zkGiO%wgM-Gk-t>b7)^AW8n`#tJDSQRzJvSVL~oQdqRzun=j9{BXL>T$QcwR_ znCV?$#s|+7X4>UKooSP&L_>e2Xv4`E{xC}YaZ;h>o@6_Bt-ewZA&`_|+kG*Ke3yr;hm2Pybz)=4UmwnO*g_(9;+Sr`44|kZhiy-K0MN#bIutU^S zrnh#6nKH$SJ~2?HO!_^iO!gE0^3Ul`vj|N4`q0X&8Pof!)uCDj(D;kGu^6AwihAY; zG)Tw0M!N|Ujc5|Sqm{Ag+-lv4e5UdH0Gcc?TyZfiobZYwB9=#;7a=^ous9*1odNxP zs1u46=_^aH7uSdU+<7FU)18K^pC<2r#?c=;@$}P=JC@I3^djKU2?QvUbf&c zo`oFsZ4;L5!s^)!vqIkXd&~O$C_$Y&7br(ZYS4Z;l0S)G47L0VDOXpP4*Uj&J;DyG zJ3@k7LpaY`@5f$5Ztj|P8O%F~Wf@Uk)W$$oa z=-Ywxz=97?JI_20elc}wtStYx_$S78?sWB^v+u4Sj%{03Pw;T|##KGk%=rBr(y`Uz zZL$6voF}nJ2ZmWly?T{B;utZ%Q4D(~0yxa5^=OSTDHgBQ?yn`QwvE?Rh03gG(61N9 zVh&FvR7xc*gbM{R95{j;7{S+RyjyD;Tb5g47g*0%U?cNF%rtA1zDZ~nplTtR*643kUDM{cpu*OY3@Q=x=mf|W z^OQXw zys;Sm@hust1F4(jY+milc%rG6)}G)GD_e#Rs*9|9# ztM{H#yaUB*F^@jR$!x_t%9hp#l(Db6knKou)n{}uUd9&R)FQ+wY#DUtdO+Ts)< z4JQFUDn8Sjz7IPMh|u|GIwGaRhGKm&R~9}#_wXmpOf#={L2Il(16$V-X(_X5=GE(% z&2_wVvt%J3Y4Y{n(=6Y(1?5?t=?Kv87hjFh-u$b}YCh^?kccAbd1{ z9?|zw8AZFYg6aW+yZ#~N$-^2f$eGbKc7T{M;1`;Y&;~EOO`59uA%OF2ek_`AKb2>i zd;^CFbDmHeO~^l|6BFN{im`&eQYx+nRtgUl>*uJ}r@GMDicZ=#( zKj^4Gun+G$cgEgxc4NG+c=jrZKtJ!q|1Ls-)lmjQ+#ava?ii{cGVd+6de?JbsXXVgn&g`Ov111#b^iC&vMu{Etp?lHPhbz_>qmepcg5}= zYp6H=4OO#V>ZtONt*yi5Ls^c6@yg|&c&CoFl(c@m3srxDwA|9O+QCvi<_V%Pm} z+3L%>m#$Hk4+pF0W!sbo1m|d>SwHxU6&E)FG=3rcGBj0S z<^#4g#zB_@*EkwoV7mB3<9N=?rCW&BI@p(Ov+SjV3S}KpAIgP+T`!L_tw5;2wX^c> z(R1SI@lh6L`o0YIZjkG1SBni1YaCU~I$i;2JpwuG zt8UGA*mrxGG&Y4?n<1{MUj4^5_TpK9s%t9Wjbou#;OKAl@?=8wL3!+$#XE*Omw0ia zGIk$bUJNu;oj%?o!{_Q5prPs=CNHC^*JHP$^k|am86Y|!q55M1i1evr`;UrTIQdAZ zvpR-{-b|?L`4Y4mdb@+1DP)o9yg@s)9~M;6jokBr|hU#izj zhAK;ZI0umGBLf(p=$Hf5NrV$rWA_O~Aw;=+JXddlc+9PwiAz|Rura$d+HrJez*yP# zJ%iu39a}*6_t01DGvbR^PBFO49Ww8_Y$z4YC$Ul9x@;C={4H1~s#p6IjMqC7>)1jV z>utxTI(@bMi-oTX^+rQtCqZ?f-f(mNCKmGRj%+=gGfjP<;|%9HXs19R1 z`TI&vccxiK@9pG+jnW1;y#+l!BTO{X&@R4n!`K6e2ivfJ$GoC3CL@~jl@g*UAN`@Z zJig)6_7h>E@vhXz%9}!>F;-m2K}LLo*!g&)cPn8$k3JTio_t+|Dp~Hs6D+@ZBpUwr zjgnV1ux}&p&dNJj2g>U{T3B62_X1(X257hIgzi1E&KpF9$seETwQ z@t3tXKpBvcf1=@|yW`*9MEEup+Xn5?j9fPu_trb` zR?DANo@kcG!LJ#+1IL{Q-{S~6`QC2kySWwTiN7gKbVKhn<#FkFr|Iv?>;7B&i~4V| zLI2odKhd&_J_LdAF67s(8-wyaMOjn6omZ6wOY&GgQZCZuLzyuilzLylH0`}|(TWSa zQDp4p%ZhxWlP}u0_(6o6f08V<9s?Q6SdVyD&V!gi(}joHkJ zcfFz=()NE97PkMZ?BX$2V;p>Pmd83w48OyyiLon|+Bt>=hOsL!{PNG^6xUfEuUOs+ zjIr^$Oxl!u^hNS{Z1VU+Y;YWku?F&SY=4$DcpOmd;PF6xURW2|lCK-_UA%AZ{(B+( zU_oLF#21@%dq_U_)xfZfiv7uKH}yUE#o_pueUSF;=bRT&h00T3k<9KhfniLIxhMMv z%j^G#%Cqk>W^8y;f4|BL46-ne^!w8C9nbXQ3;7;T`O=5vJF2%keaOC}$)0&J1|XVY zd&QC>eMr6|-Q+`hpXfyF@a{8j`V4(g1w)C1Tj|yK6j7Ca68e!=*!fL){ zUBXmH&x}bd;zvWxzjs+~+63nfZTM)Zy;0&NsCh~y&3P%!d5J|jFiIL2%^!PWKOSoS z>T4mc`{J_PwE3?s;kwQLuF@j4`S(Y~m!e{XEa5Xjr^&xAEX?|K3ApTC&3qv=!Vc!G z`IcUrd{pYVtzyveD`MQBgOjxallyGKh*ohiYz~Y z1P@9Y4l+yooky6`HF+)VCr0zfe%*D&{I0vYkvHpJR{6DUJ+YYo9Mx9e-Jh%d5b$ph z@aIK9(U_el;BghALz-1IMp2mZpBa6M&L){CKIf&9?j^>S{}062O?;xIu{M2M!5F%Lz}6(DBI06ZWWr(}>bb5I)DMvsyfQZF*?o+9elnD@(^YLNfJL51{62 z`mDeBc^aYSWpqN_tCjrwuU*`R$hIy1(1x7Wc8N2`n0q||k2Gxosgh@fv1CK{)izFThA5uK}zmEyB} z%iqA_V7V~S_#E34zC7GCey>FIe_HYdEq(QX!|6D3I@+rU(`W-s%Kk>F<>SiC(MpYV zuQs%f6j_v}9S4HjREa0vP*HwukI%cT?Vok`5&XS<R(K93Z)Xz$PhDtG19ax6l z)N<+h|7O@d5LvziPrXvou$HCgtCpo$zeazKbYPK2jQu&rk{eX_7+|iu`pB5;{#FSm z9DUtUI`1~JZ+)x?Npkha&0$YWB|RG80eZm5$!a^I`Xq#(P#t2y83*;D%j14m*wae{td}%e8l#}^Evd229hBD?N2SgKgsH9Z z2<%fSInQ7HF?>@X4qmHANmhwg|m0dg{D?PDKh%d&fZ$Uncpw?G@rw(QR zBgQo*ha3AJv5vXI?0@nnmRI~re!@Om{3WoP6H9-QPq4h=8){d`Jgc-XvCbWYxqa2H zEU&b$+7M+S+Oux4{>JmDcy5{PrnZp{+(v2})`9%lMrsGu;r5^nx=}vu;`WHy3uA#s zAK5vuPb3z$@0k$+`Ok;WO4WBgJu}qb^&FuRV*NDKmS^$Mz}AVv;X%+q1kH9j_P!zW8{T}3s$DT z)Ssd83|CEhp5eYy9;1T7#7so59k{zdo*Lg(QAj5 z$BgVM#a^B*tD8Mfl-lE~<~UU~)mhcC$L{3uw=ypf)MoKH5C2eBz?T-+``(YUn+!I5V%(cnQ?<)^_lrq38V z4}Y;<_>0OD&AeqcHueH~y+>Tpln*1{tIe`J@L9|-9}y-RR~erN-i)(FHyVGw4)OSn z;u8%UaF$IQnD5rt%i2IRMj+VHyPLHvUA@hEFwL{4XuRTZ1v<|n@rjlmow;VJvG%{Z zJla<_?f<2 z_KmuZ!6+*1mbRKz%4@qTv8=-~)7KpJ*e7GAIazt@Iy|%4F0ZbEw%bE|qNVTBuMEY! z_8YVx*NVA|5$nc(rA;}NAVRF`)hE4`zVtKrWu%e)SN#!kU|4p)B*yzFV+TJYXZ1Z| zr4Oo~vAojX)CV$x$en)jb@htReSz)TwG$$&%3OS0eyqIq=itNkWbkFXQ8(VsP2KF{@@?iVz7~;Ck7Txw6C3}kF#9;`?Y&0z z+Q$P!1NN zYd!Dzcm+X(D=Rbsk|#2gb;%l^7$dNhEG8k(KLyqC8}9-5Zrj z+L2YYPqw-2(KWv;X(weDc(KGej;yMWAWUOMi2u_PJQ%8uhHo!3c2#kXBdcvnMnl#1 zpd+gX3YR0R>U_E*tGkNyyQD%(OU7NLP{&C{A-D|Ppbp#|e^vX6=)B>i)!xAY%2;1K z>yYdVMTqbycwcp-#=%x~1qghTK33cQ2sM6Rb^QHUan%=EVgRA^_0cE?Th%q{aIA3u z8%uC%s5+^Cy2|RPc6SU__Buy$)rZ86qzk6YKY`y*7C#n^EcDrpk}uM+Q#le*O1Ci4 z`0ufJvGHnQq7fao8y$SR{5V}F~CUV51Fif%OSM^rT`cLPO3Nsg5BoB95B z0glDz2q_x%VnlC86h_@dvtD2?3bV}5J_PgbCrmW$S&#cK!QKb*vtH4ZnH_8x>>go*^}d99zbR~a(6alx()MhFcWtD4JxjRW=f8$} z|Dn91p%-VaZJM$E&0zbCzy|C6Kj`tLtT$-Y(GIU}wjXA*2Zgyk?z^?vS+v@yBO^NV zJ&bnqo%5ozEtfXe@el0t=#9BXL$unh<0SFbb$FJo?d+Xh+l9aVM`RsA%l`)VVbY%? zs(}wuU(vGPQb*IFr`pnIH*CK*PC|tJgz}13UR}!LHq3ULFFv=?%U6{45KTU`+f?z@ zw(|L;8XNzt_|&edm#@`Qk0$JXVT1L;PwfZ%*4&EUt6rTeQ@`y$f-cpnNv6SBbGOSc!_p# zKO*12t>SZ^30uuiTg_J;>KDXH{~#Z4My6fd=G22{f;71n`Nlsh%zcLS_TH#^-Dd*B zlg5~Gv(JQ0=PIxFE(3%8ScmOL`FIA3@~I#7%$UyVe>F6^td8ujt{lT1*^fZI+;|L| zmhurnr9&4um0zha78_@yRGw%|8GV>44iqN3(fB+3f6E;HM1$`Tv_=m1qG1V!W-w#O z7jiWk&s<#|l8Xk0O@ZfsAU@Fu*DwV3j}RtWGJ7a-9nb#;(*quRuny7Kd^iL3X80!> zHkgCspd-a68d%t8XdOOk>pJeg9yZ7+Sv020)60gLXgDc`sE)^)%Ug62!iYZ!6V1Hv z?+iOdGcWYb5YzR>aK5YZ)_jfag$>Gbb|p*Dl4UR~4jV~d50jQLwZFFWyXWd2FN3`O4c=UWOY@ zSo)v+h59^qgYt@o?N7iko}sd6+1{Ih){V9uod+mHtId5ZnQhKv$!v3PD%E;)wW(hF zWK4aZ8j3mmv%dX@7W;`-yLCLIyiHiz)O9e;;kan!_2Cfpd5&YH@2ih$)N)@XAE$2e zxxW$Paam&SAN1M2AHxPQ9Y{X)0b->u$VS3!EBU+f+VAD>lEuD3z6N$GG$)ig+Fw+8 z_Hp_NLjlbS;v?4eyfE8zC~INvPV4b1YFs9F8TbV>R$8`bzgfC0;UAJ@0dP zQ9b4~R0VCHNPA5z;h3Qh6cg^qc1<^$hhH?}l8qA!8lx^29DX@dG&Yjv1Iv+DG-~4r zGEsTWxBNalhC$T9Iz-d2Fr&XX6!YUBm$wj2(mzIgq7j*FSY99!jb6y!c(nK=fBJ52 zbh+L)zJwVrXLQjh|A1A+Xs$)>M#mJwFzvV%z-hLc>p}y85?2@*! z9^7Zwv>!%^Rj~Uoa_cr>JYo!5cJ`?4@?x~zOXV56|1XGEGBOdZynZ}d*W3P- z^44{%*iM*rdjm7PBLvZi&ak0A{=?!EEn9IlLmM<<3x(A-_2Hcw^COogEZeQgrXNsw zmTx(tgK_sJ7Bmk4VS_U36)pKZYBymADQ}E>h-_m_Odpa@ATN5SeIu~HCzifJ-{#{V zj@tI;m~GJyVzy1+kWZjJevUqqzM+1hyzU?J3Gx-+VBUVr-pp%1Q2T2}>PSBzA0NHN zYot$HdWutnK1hx`8p2}pWByM_d&wC z)6Rhn4sVi=SjR2mvmXTZSYqi1VZVuz*<(&%A4n{Gqi4np7JJjs8MAsp!qe6;FG$3U zi3ez}yp2(DiX;s0I8Uv)qs&AEf#;l%b8LDCj&zRL? zB16@o*7K!MSM~j_S@I0EIGP4s(QmMb#{7w~V{l##8goW3uuGL!G>nY?>CI;4!Cd-3 zm{*SypJ;kti82TIZUEo4$rrTveCW@zgy&w|%*U~@?G|C8G2WnmdSh8Mngp0v8%@~q z=JH}3%(0FKDc`=9vY^adDO=x%q3?@-R$kF*gF9C(uZ+dcd*8(FWN@sc~jbU;kSr9_lTBV{OG){!(*cJ#`u=?8tdPu zvUAWX&+XChUvK1{p$3son+0sL0i_aU-O<2YtwrTj^o@s-y8{)7{i@u^|KY!TG z@=>?0+|ZVfq0JvaUr1k*??4vLtC%y{Mu9C)EPW{E)t#9abIZ=+lRv3EA6b$=C6;~_ z^52Pe<6N755OeJvD$nyd`TCR3{vw}H_qK14uYsq`8vG;+u@yNW+Bc{Nk5EuI`vJ@2 z+_-oaf0b7@5?`?u`?BO~S~+3>XL*)|d3lhRnC(K0t5{<8gTT&CEd793$6Z<8T}76T zMTspcy7l2;Lf9`KdWB8*Oe}qay72>l)J=ZSqtC|uuFSt}NWKso7S$VagrL=MZ^(&N z_X_%@BCh56hMe+9Lf#>`&RaY;_W!3cya-M9f3rG!B%RtY+ zyO;Ijj_GvOoaxE-zOrNO$+jxXE7oeW*z<370Ap8bjP|Pbk3IiZw}N>7-Kk9PUY=Il z=AM76^R+qu)~|nkL^ak|lbpx~N8?sydH$^|;mNkT)5K79pNyx`>L^OCH=@=5hF0H< zt;$w3okv4w3yWQCSa13FVET^=6OI4oymDtVw)}h89lgXDY4R~19*T9MZ^V2Nd9aRn z6YGdS3zJ;(HouCfbgXx<9`q#(qS2R7mM#f!dSXwx4$7~&e`W5RbL?ID1FZSJjI@k0 zMWYEY*7W5%VvrBxsdeMU8q_V;4$rC%^D(a1LY?bHZr!}8n{i z!BK>-nsS73`>G8!F1jrPdn&P~R9?(e*f)xK3U;6m$rp(gpHv&>_?c}fUsPWEq}ov3 z&bx(bL*;c_s=X+4X*ac(_}p&9_OM2L*ERV-0S)SXCe0*gu=9Sf?8~M6V z5TDyfZ6ldo9`km>E-_D~U7|eXjq*-Vd4VlRY=N+_6$as$e`3GoOy6*e`wu4RQ?a*{ zIc8Iw7(E_lsII{#v>Biw*I=6!zgW@gl4Q{9lGk}8h0>iytY_vV{@hw>=6u50t$MMT z5d3KAFfSI16=U1_Wt@u{>|f5q;++nRv)jLjVRnnL^0uL~TlHRXR$afY;Hj*7jlxj1 zhw9mFpYlZ0P_XX~y&nzAM+#-SMtl@I>(%nltIKLr*t1*pUWli%>eWO;)eDSgW%1S1 zWIUT=YeuWzk5|}eKHhw!$p=5q z$j;?Gb3R7+1H~u0(Rda-eg-TW^*#fGos{JTt@1jt8i|=P>ch+ko>6ZL6OG=>kpj=u z!h2J<7*$6!^SyQ=DN9DswgGnsz2hlbyQaE@(=;3lqhKFq zcGhb?V!r;R#(b?%t(#x5tg)_@DPP)Z(GKOAqiFVEI-&S#-MnL0W8OWi>+maSYMX4| zU9;Y7k45v*z8SfT#@@;vu@`?uE zdRP%&E=;ua?SwqEv)UiOv*73H2lNvjXW|UtHV^E@#Ins}Rz<&#SvCDHFs!5kOFvM* zAXfT^+S&t?WRdO~U~B`mzxeD2#CQ!52Q~Wv^R_QnUfYT?kAG7#+c#ncg+Im&nl>O` z7oIayU;B%ELVWfO^;_xY{>!`_819(Y{z8nq&BW|4#P|v$G5bqkrze*EGV0hh>j)p} znK_x}xxLJ1Ye6i|XVr`KgwTS+y;v_+jBIFazgQo0*7?pO>nj}?=QE5(F`rH2?;Wao zb&#&QdavG9SMQu}NuBVoddnS)QVLifg=%NnfT;@B?N4K3w0xpdmT!sA*g`}Ne(_f{ zE*QkLr0@QjMpcY!a&&jzf1HbvrCa5R#`mi*7d~{2u!Zl#e_t~^#tTyj@2I-!>K)ZlD!H%PuwFAOiOfhw$8&Rv&Wdvz(AYwl z!Z&(|#-N2J@L?sNlVI?i41zo|&QV-Nn@Df%RJ}gy5@7 zhk0v-rr}#Fi=W0X9ilY-GH4{ zS$`F(t(Emsz9*3UY$adNDa%I5m)Jk{Dx2M+#iz^bagsdJVg0pX{oL3VEj|y)q31@x zXgAjZ-TQtm%;k}fx4Mx}d3*G++k1w#NOjUP%`)6c&uEc9p+r22s#}C7Jz0xSdMX_l zBoKxciIMf*;{2?K7OYNsX4Umc&qFvoQ@3*3pmKg*#JqS>B}~w&*rx%N4znV{b`&WZ zjt_o)Mb`BxZQ|D*P z)ntTb0UG8`IpP}M;C}zr zq1uZTSumjY{+4yo)X4r-{LbQUVv+7NVyk?CC#LrYBnAh61XX-AR)2xVVw_m6Xda)n zJX4_be`Q7?v?dF*U?*l4tSknxXIzXh<kG)-d zqEk^s`mCb~i+aQSOnk6tY{Q>eE*I~RT=h%(qt%UitC#e%mqk-hyEm(*2wGR!y4Uh5 zTfQp7X7Wp#%7cgnPVQ@8M5}lE#ZBe0RML*UyZ2^`MXZM(Y><0RjqR9+ihHre=w8)V zHwnR2hWqNKShd69uWnKXesxoMBm;LydY=OpX_X8lA1*d_?bQYDaI$dJ>H+Q;xXj^@ zvAWZ}wlTbgYah!a8aCdsJe3qJdHiJ7d@q~~8)vr=jp`5~`nqcBz^5saO8P0?ViDVK z_dTY}JBHL>q+Tb;Z!{X0U{7}BXZck>EX(va60!fz7#Rm{TdW;~+8V53-jeXm?GwYQ4vt*h9Fs$vOrMU}NjSoi1( zJ5?rW%KOPIuNGy2u)bQ9c>L4Sve~SbmNjod`hUgADkRCSS+7 ztMIYB1;V0i&@wME9E1NEy4#g{vln-6Pb2xMdzP{9V zG~(tr(PnOE>hbu;iMg#PGq!97`P43}Vw9;lFYQAN+hDKbe#ci!8G)@3<}_k+wg&cn z@Ml_-cLMn=6S+Viomiv^d+>|Q>oj8c1~KYwy|k2DFud&>{K3}J`f>bzqVNYFgDYa0 z78q?tEYpa!^x?lhjenUI*t5c%Mw$Qn82Il0A=PP#y@j}bFb&w35kd50txoJM3|GpF zK82W9X~Hgn4Mu*Qd`wGhE(bvjhLI*Lwtn~^@Bx&YTYK(r|JW6a_Wi^s8UgRufW0P6 zw5GzyyIRXTkkYx|PIKqY-fQ34d*X}E`|Pw=E3nqdad+_=ryg_iN9Ub*Y(PDer|2cJ zN6I|7vAzLX2YP_s`4F6ZNRVk({9Xj%BgoWM-wgDW2UX+G>F@j<%OTSI9lI%n{wgWj zKyI8xSKqM%6ea$)oG^YDA&hX&M51yld;8C?NLl(HpC36vO9M=>Opf|;g^~dtG1I@zXmvs(>s_*{b zsQ`z}f$G-Tqf}OX6&E@pi?5FgjH85>h5ZsTot{|G>gT<=A;v!6+udkfjy~*uDH>l3 z*bn1w&MBfXKEE4d>WeB*G%#3C9|Sb5hxGpX1t08^ZpYX-_)XKK<2$B*RC%tC4>n&v znHW_QJ^E^R(ILW2v$d8n7{lKn_FII9>6QG#HtFEohFnFiHtbux{a?f&Thg3!eZQ?` zrhI6|K03H0GJD`(VUVN5SO7~S%zy_?)JeQ@dRReFvTy(~QCzjenhr zkP1^!gfkJIn6~`PTlivSm3*SDQ>pib@1fq`l+eaB>s4rj3rN?2yWW~x4>_g9HpFYz z-Q^)vd6IficVVVwkHWVmo(M9n1Ko&!rpae)6KsLJIjyC|_MvXe-$~3mDsRn4eaQFY zW#W_UtMW-sq9rfFc*qnOx&gy1rv>)y#4?T8ob7@A1bmqm@JK_E-n1Qhk3Sz*vIu7 z7{f4PnMN$au#16ZT3`&rfH{r&Mi_PuFsA|g@);PK`l>WwmoLCDKHX_7P3cQEcA78_ z_fH+}w8WzSYbto^aAEEryu9P(7wbBqipPbdRIcU>oXtZ{v*d6)-jZqmYW#++z*|W z82cQtOd}e7j##DzwmJU$hLSdjL6_D{i#D2rOND-!7}AKnb}*hOuZ#A{G-3}ege~uO zn)tr?3k0SYI!#zCm9UqoG+|sSt@$VTv#?=h#Z1 z3^Wh32CTb71~~C4SQ*X~CK`hRoZdG}iH7~cj^r!lwQY@oFYE$`O`0Ea)g6|QHEG+M z^~xT05tV1TV$ciuZ|8^~EM1l4cv}TtUJ!I*R+pLCPIO=a@mN(_Qckws{(f8%e*&YrB|T3Ys{ z58!dh`^x*=cERdYrd_c5a)MoOmomQD1>aquv8>0k;1}~-lMC*WF1U;23DdnB=AaAW zH}XcF9NrjnvMX*07XaOULQBggZxAbV+ic8xn+P)UEkZpIiGcn7IpdXtSe$iDl`B3JzH6NWF_!45ygT>M#5q%^7Xw-e72SC!A@hlNG{}K=Sul37x^TgI?{F&;UA?VeG%^SjUgS$vBQyN z;BIFZ!9ZA@cXvmsuIq3|s=f~Hj`W8zaJwT_x0HBZsJ@nPNcMm#$ekbqN@7U*MLMv=ub#O(8X zmZuI9i^@gnAm|1ya35~CYfrAqhWxb zYIQ#TG+|t+kB0+ZyY&OWneGsg2qix8}{Jkgj`&$XKbD6{3^t0snzoAZVoUMKF5=@&GSOEZ@yj{F3_W zxT~A`s(ay_vM_O%t8PShmwRXFg$Js}`to*nxoR?Vm+LI^TNmZBEb4OOVlA6y$27Hg zRvA9S#GR_F55JKYU2fdZvdf(>EV|tJjmBkXqs!edOmw61iyxIPCmK`E!D!CS#U~n5 z39yIygo#EML45f1Vqu~wCz@$a&BrMbuj)kXS?IPsoEKd)>1fs)nYS5}ZUrO1F3=K2 znt6Szul0Bo`fKPw3>!3LKEXqWX?ArF9j0yXB1=C8;5W|20w%hWX>^|x;fgUlna-~H zPUf{e*lxCqWaX{D;l81-^Q8Mm-l7xvq}%Md`yT9#!zbmxtD&09=rgeAK2836rXG_u zs+BLDJsgb8bTIrLA&gJf8t-C1daU7UqGqZ!bCS3-})!Uy^jhL z-Duo^r)FC9nbs3Mt)%zfsqBRQvqYDdOQBazXi{ixnhc{@^=_k~>VCNF5Chdsx!x)G z&k~j%qq6F^DbYLPabdN2@F_v{$1E`&#lyntGMx$1m`(7?39{-dXA%SJ@357HwXq5& z;&fTEcUNI?bO7t?J7!?i%`Pe$&*~nvyPJmlVH9n9T6s;!D0^2k*0DPD#M+*Jri1S> zBBh)*3}d>F4do^sU1~S-6>NP)ly&n<6 z%fd_t-%4UdzHvQ};pgHr&8;#Y9WZTs*LlZc29vF@7m_sj=u7;oG2K&#G|0*~)Z}mC zv)uv<`;iY_6E=!2nzpi@okDX`E@zQO;dZ}69Yl#4RR#fW4NnL_$0^$@ga%U9Jy zUiwH=4}n)ul6vFp3>Jp5r`lFnAg;dZb>2b?c=gV-&0Q@%Jz`YXTCUIH zU8KzU$e;&6luOgx__etofrvEmV;LWd#-#o&Os>(ZOg9=|!9>+JU3o=wWGNH9X!Nd4 z5D<(OpJ_f})&0SYe2zpT8X5pTd9 z9Dj*M9SoPV4r8xP#j^f0$}5_Au?!27m_}a2ZDS{hPc+M;=EGnYB^K$_t>PngQDVWD zO|TvFEGbQZW@fKiwCAu*PzA>4s-umsJ|C4(eP>VA1dFhW;2^f>P>Tt(bW$Y#3RAk8 zV99F2*aS;f(-A)3VaFqUJTJl{buXY=HlJuT%bQ61sxZ-*N5^_KWSV9y^*Rr?FV!sQ z1xdH<;bsArG`(CO`)S5>2MGr;rLz~9j~LU5i7%TVMunxN3I0n>@bHwTCh)*kT{ZYA z4oN6*v$8{Qp+>3tD+ue!6l~Rpr>F@Y-ia7kf5+S|g^1JD1dqNdj7{+9s~F4>l5yfGITBVAPci6J^Bd@Vi7!}yrek*>VkYVAFnfuK`i8>t(4B0TYTAk zv2tCe=6eU^!KMLi{p4Ov&FA2@`mTk8+p0wkRhLJ)k+&*q(rT)Daxb;mlZT}x*rHoy zi{jTU`XrtqL`TXFDH^S~H5~Xr@rgz&;`xNH=n$#Y8dgLdBiN=CtF$PR}BI*#00r5UWk2ebwjXL-o zBj+Xay@3Uf&uy4)I}n5BOy;Gph#rf)E1wf)I(o>UA5vwjPQJ8bl=DpKE&q#`8nMLv z`+N+$Q%)o5JR@$$8fBvROmB4=ruVtR zOz-nI*f{ku?Q-!hF!b47`aDb-oB#Gw^~}h4qt!ptR{WdR9#|5rlrVqv9RFo zaV}w((?{M&3~Bsxo>AvOpCg2s-eP0;z~_XSKK9|BXUC?nS>^DtfDbE)b zF-=UsywJH$QSllIhA#W(CKVBZ@K4P6y&f)=Y6ti2&LzIfw?z70i6M=D&a>N3aGLMq z!c3o!X;nA*wzQab87X@B)C)!Q3nWd)mx}1$RfTKb-z0i^8%6K<5;9$eS$v_Yr|4_m z;atL^TEx>%BkDYxtcAmj=ZeqtLGLZ)hpB5|h=oV~TVdQRkV7_*QXnON;5VFUC{HZsj$7@wSL>?-yp; z<)%6@^t@EmaqCo9+9iOWNh8Ij$VAR#teM$g|S*j`cC8Y;yhcy6bgr1T1+3cpaejs*slL!Hs&YH2Zj z=@q4QOh@aW+*D^esotUv{bqd_?yYiV#I+J)2x4j#V#}G+#AoeR-rZ3(Uee!yhXWHeaIi>0Cvf@sE|XP$%}BIaFD z)XCOqtWnhYF@-?D^Rp&(&=vW|)@fkSo^XBLI^d4+kh#RisjW9BWTx@Yd3O6rX$jLO zBM4pACO*?A&ulcF$f?!z`P{<1QhcUeSE@+=ZTY{V;wRZfAkDJkfSBZ{;&azEshD$- zn2`y)sdc3A+;^Ny)w5cD(KAXy8vmSUCd{OrSoEi)PwhaB4~oz9rHHw$j%k-Gb;$o} zQDzLx{%zD--2(zAp$JcXy-As9FEgXf5F$H_3+_vtO9HXdB^aKa#<9+Mwz{OuM5a%} z0pjO3R$kL*{{SHlyoZ0LFTKLg?QvEkY1ah>cV^rZ!NY4sM?O(I9@V#(kEFw^DB06j z45y=*8;!fyZ1%~g(LIz)GDW?gP7G<(QF##7f!63y=-s{#EW4fQlgGiw?^b!H&quvF zo4_ecwCjq?bmr|dZ}QZbwN@4_N25X3#lO=^&$|Ks{?XwBax>$X0r@OR|HOQ9JD0Fn z*kHk;G-1kvh0QZ)D1@qZO{F!YT1 zHKb`?^!*&$4u8Wbux~WA8-_Ffi66f|M7~(QfqOZ*olAW0*$wBk7L>Hen|b)c>|+>@ z`Dc2QwXmea?7%Z?Se-m``)J44i{dvU zaJX@Z;=jOz1vOStJO@$nBOe^hijTw`PsNo>0`MsD7AFA9G@{NE%I1J+dV{~91MH`~ zrbix)U7U4=neM>cvDrq#OuH^A84=?fMakbGPAbU9S?K={7{wn`4IKpJ~@G#bdyIt0;a8-okxkh~mG3v%vvZQGBEd7C-Xc zRTSS4!3A4axx~k9+%3?$P9y3(@5R=N`>yE^VB^Sr*L2r9=)39arZ+gC7{l~vbh^#c zkfztW7B6^wRI-@1OftqKCca&aacfJA{QG=_4C zj}=es1(s=%H}ix6Qc2TOUoQRG^u$k<{%pGY-qN2Wm&!#8g*@#`;G)H5*y6H`KDy?gJ zV?^g}UDK{#ibuRVP!zu%@fc#LRi-Jl%Qy`DtGIf$uK1C!ttdWjx)fI~DIRWh0!qp> zqRtb_J}W-cQ_*!ikeQx{IMM@|>F&$RKxW!?Ny%72Hl!q5Ydyv3^=DE%J@3wm_y7sg z(sDC8Cg-kZ)PsF9>d~Zp~y~1K5O1>`iDCUiEJFzME3X35vv1u4z$rn94vF$Mo5sQwH*i7u) z5(^(mjP3jQJAh>xr6Km%OURpL3}Tm}j;w1CI|D;x%0!HF0?V`P##o+p9K=wbZ83n0nhQj#oICRi& zV`FlIvJi2PY2GtmleL=}D;IwgI|&4a=Zo=FTbW74jxrG*+Yd}wVg8h%`B<3?+_R?@wH7!A#g z9scpqc0^PpAB1Mn&uR@9r++5qJd9`FN-0f`X)Vit)1#M_<-cj(nd2t~rb9#2B@L%i z!_|grSS=9<+#2qt@_^?3LCq^R%5-v@t#IgJ_!!L`;X| zC_|ESVbMCGNPdXceDzY_qiwU~>3v*H_MM1KpT|GUX#9(D1k%OWmQqHaJh7zF)078y zA>mM>4j!7u?{+?TSm-YF|A%*19j2!vBzq7J#Xr-oOB!y6l-AXUYIsKJU!FPsy@qE% z+OHK2WAub(F;dqWqJKFpG>klW{`Q!6Tnf#no!*%-J^gs|L7Su}V-$b-bD?01>Cg~$ zNkdHiEv@e;8p08H7jf5jO8>eoXOLzMBgir{e&57i?h2Nga|Sevd8gJ8J|FW*V9tYc zdrVeMc;$qIS@FBn>Vw7_t_urWFUiaq`;F}nVoVnn*c5VndvVr*Hfh=DtEsA9*PwLJ*J9-Whh7o_7&q$9A`qeWHL zImvlakVtphF!qQEXk-y&Yw)6X^^vz?Vv!Ck z(uNU(j@P>OP%$nj&0;Zb7|t4~@&Xh;7r!uLxGt;0240A9Lt>GhVg%MRZBktJ*t$-s z8|$gXvk{X0YS-C=58=@l0=r|^*?X`o{&{ zLYKm~m99c(NYZsxC<8!D`f=y-OQo?!0h)z*r#j?JEBPH7bqIr+Hf?z%4HgL zL|w{jKGxw6&8j|$?|O-?z!tg`S6<~2ro25r3M1zC!ZI_$^^5<(7JN?8-zXi55R@9&c4&tZE7DdV%QdCS0SwW~ zlUSq!gE#}*rWi*Z`-yqmLkN7!EuFsaG#-ah#BcGqT@$M02-^Iu6>+Gawy$tL#+{0&jv*f zZ17RV`tdd)w8{9#hHsPcKS4S+PW5nG8jnX9r#zBE=}sFeMJx+894f_CrA;iwLqly5 z0$+vF?}8Mc$r{o6;~z>X9!f0IQ;NWv+azYcu|s%p|%h!(w8DA zfeAJ3kk@$F!eK2x+fepmocGq;;O?>I>l&NQJ&a+HeiY$+Yfl61iwo| zdTUHVJ~3NRq5 z0jfWVw1=SjWxjP-iOa*&iQ^?GG0|8!pKYN{gC7A8UpQ{!JH6ryT73Q@lFR!eh9z&j zh{ne9xU%sg8sDJ&0S1M;RflMmr&sqZ1Aaqs@x?a`u|ksO1zYhxVanSxb!L2Ty>((~ zBEL^71RuWx6*JV9vcfx1F{Zj+ zRM>ubS%>Waw|D@9+M(s$>m3O}wZ-LP1!N>wd-ZwxJvnE?qSX~y7%%C zo5mP|R`XqpqERxQF?HsmTzPchq<>G9#{(z)W&_t({fo1XC|h~`VQlm9Fv`j$;uDP- zeNC)G9v3E>;RVGPCK;rqWl?>^O1@1bj zF{|87ksTsT_*o>sjq1{kM5hU#lGtIwr@#lXI%WwI?V^d%6=K-ix^#%WVe^a7E*~kw zp69me>kH(p;^^dpcJ)>QdkOxO8NZN98#RRvsSZiL zOedzLI`}9x(nD(VWxA?k-uTi|Q$Q4<**3*tC@^#wSi5xA1uC_#`c~N*DM)Z4c_{?B zCNWgnccQZ-mg({Q*G(8dn1Ralmrl~aRYH8W|sGg6;8wstG ziZ1rHkCjO3Bnh^nx^*v{A24+3{TO~v!|$#vv(RzH6`rN>&ot|QWoVApTET`J%PbQgZhR}#ENc`Ro1o4#2VWRv&?}Vn3!dTE&K0O9hQ%L z16cmWzL(|W6o+U{%|+dMCPz>(OY65dSrrKG!iW+X-!3&$E@Z4f9&-q1HI#K_nK131)rW=r;^oA$ zMmtqy^;Va38>;RG#dyO8>RMQeH&nfNK38g;@$efgARl^zb| zUGM#uAfWD0UeWLl?jRx>qMc=5m8Xy5U?hn8aJ&J{qu9f=`1qM5=Cyob=ZV6cm-6}Y z@+Rya@mW6FrEB3ZSg)|U4vqS5FZ^OuaJ#7;+_98bHYZ=Pz3l6*B|g~_x<%h|Ug&aa~^H}|fRcUh&j zDGzzwjm)S0P08 zIKbXgeT~g6yjO9J>%^hYot=w(dHC}UU?Z`Kgf!-Lp7tIb&b*Nrv`>1<`&(KzSznmx zDOlun?wMH9lQBm;eT*>EaWDsUX}2IYFWy@;9H%|o`fWc#aLmT+;!PZt@y9(|zUsFP z4Ck|crnx8En4Nq{s~BQ@s=~pf^?jx4)iDq7p+X%d*{RVoEg8DBY75UDfH%uQeXP1Fw?OW%>_RGoQIgN33qyI zRhuu|@u=FJ4r`u<`cM7w+x(EG3Ae4j*yAU^exYm$ zpby3MDEsadGYYfD8a(BG0lz0zawpxj66?C}lNntw>Cu>$-tH4-n(OYyzQRnaI$}Lj zrcDX2|3DFuixLd0^%*p;Q=TuAaBkU&Q)8q$wP|kjV>fsut1+$dvg>(a92@yoPb`4~ z>zOurdV~qHiZ~}=96BBaRmTA=&XZ-Slj4XIIZ&-n1Blj_ru15{=@jS5N}MNCoWOdv zn>=j_5?gmF;@CRFoJd<)b`)ysd{cw&Xke2KGdZ0L$y%de`X0cCpIB}xGtX?{tXe=k!hK#w9V|pa)F)G4$>6K%1Gg)SVnTX!wGoWWMb zP*@#OM!|HNjw&b{Y=3eh#}&}!w=A>Jqkn<+zgC!O`kyP`s;2(<*D8P zUy!48wVzVUb0TE8q>?A;kw1cMzbAQ2$DkkE7Hn(i0y^fPz~&xz)UjtFL+kDmhx;I7 zX&xB*`=xt~p-qwZn7#I&tK#w2CiTL)quMJnR6U<^FtUA#5vCdJk{If_g?TM25f0vz(XtXlIvlopyyW7qu}-FitimkU26*VFOU~f~Nsl}lj`w@< ziROaTSIwHXKF#Hgehucuz{Ee(95}U4vI@4inQtO&?z>M-Z@n=F$BcqZM{vt&2{w>i zkR|M>@@hWq7UK{@mczW-1upusF72V=&B&x9YW%6nvt7o(KDzuJYl5Ulqdk{wDL&KG zS09(bARV?uGZC@fP-5|${kn1-@OydFh*09wWVWQz{?S8&!+I~pB;_n;&36uJ>!c5yYiP|@q zHuCRq}iO;^M!LGvKi-Dz2+Q&GM z=?MXbE0oO-3B~Fm%Oge~(}1V)h|$N0+1H41&yJY(?x?5yg!S5A+}6b0=ET_6#N6hz z5!+hB8SBLZI2}aTqs&G7!;lwYMx9WeKDTqiK;9S2j#th_;zaU73|V(b71M3|A`A9? z_-C52MmrYv{4=ehiS$g{ZrTj|wti6Ju#y8Q4)i*&h%-{+)X(NE;T>g?G4xakpw?m~ zE)Ty5T>aG0bQ_j8`j!WTPMR;;uZ@{4@+M8;J7x(R>^HsBGPh!^@RQRE%rZ5rPW>9^}fF>mdS-O2A(L79mAKM8O0-( z@qsVVNTVq4mnbzh@I)mZc1A+Hoy{(q&q(=IXp@^Sv8G9X%i{E@u ze4@pt9iLU|IJc_fT=G#=VJe&AmpX_w_7&#xl!ut}(tt61&MocfXY2QriN)5c?f|aZ zbLl;5&%wvXXwN0sn0sw1I`T);3_(+D@E%sgZlpI0<6i0{B)0Bf#CZn_c^C!OC)8I@ zY?u@3;&nPJ;z_b7^Z*r#9g7yMdSU~zx=?jIA9gekzweXz`l{s^_DSPues$_MS5WoN z<*CZpTYY-4gQB=r{DNh0Gc#zM8aNAK>^9;P4UzCLPD8o#=&`)NhQY`3FJr)@UG-hY z!WWM{@5_3#j=-`G$)F9>=YB0dZkRszYn9h~yD-UM^9WOYwvRB?X9HCjo`;0dvR>xx zz1=1vADU=V3@Zn!i8zeH(s5k8I^*p2sJ6S^9(R_(knNk6?t^7|FT_7g+JOZPX>NkG z?rg@wl1B&=omP#lZPTf7Vk#%Ki}Ki^xN2=ZsAxj5G3?d1_ga%`IenOluFs~fDQT1$ z$pa`Prhn7;or5XAFZqH7MqikiSmZ%pM6cH7vT5uwari;#Ps@l_UY{>jIlwTi43>wo z2bKsEA2gm36WPI~&syW^7D7Ze@tC^`BdS>|R_)kU9aJx9h;;(y`~6bHpta&#`2%5G z39kHsx{2rbc&7b~t0NyrfS4veED9WnF=Zke;N>_(edh zdqGU&cS{G)rtyoFP{)y(H}c>p!(QIaHZ8vKi;^$$0Gn0i1vY+>F!A+lH#LqZMt?lC zH2n_nvvpyD>a86>aiV;F8AcFC5bAikKp39`s_c+Tt2~r@6>?;^6^$%66(MV^bH0?R zv5u#OF;C}w3sta=r!7^59ci(M(bprMJ*;%c-O%PZ4^c;Ggy1fXD*nkCU7UF8!NuhW zRU;bDfqqmXG|?yq-sb>Aw2PKFGxWOb;YA#nF(RtU!?xwd8_YEHF~2yGoz`QS>`S2D0vd9d|mUVNIyDUb7T1=#;eK+(WH3k;>RpNYorFW~o^ zBo;IzKu_oG$H8ap8`!^|AnY$ei_afnsxkL7m189{FUs!5j36xw#=b`^``+X!(f7g? zkCYMVOg?r{U6ElUR^LLh5l<)!%r?~&#}IyqhrakvKa&%Ug(Q}~W9N%cbZU6QP|V*S z3Jp(A4Nn#yv2IK@p<(33{tJ>?=M_CT{#^(Ws#j{Q$Dw6Nv>pc)sM2HnRVbtfg?vW! zicUSU^+FF8!xlZX(j&3%gNn>uho&CM7kV6&dIVo=MNFqEN0sjQNpueM_14&&PieIql>jU4WsMT z?*Msbt~R!^pLMmA5j%%xWkw;(Q3xJouwRJg%#2OboLxmD4c69%RpGnuZnPA%i9Qvy z___$OyvT!J^nt;=Y&E6<6JO8tX<`3kigGzR*Sif?u6lLJ2ceD~MmY??YjEJG2JE0U zh^&*Y#6e`8bQ`}0WGoc_s}vC}g*q_m4k~wVDtB+eI*t`4z8;O4#}?mm!&NU3P3JfZ zNvpR}vrj}TeHH~i1qa0|Cz?Y&s}m;L zA`pu{5e|1;k$WHHVB1xvqs{|FC#|}7&Y0pvBWzN}B+-b+CSWXCsk%j@82W$qQPD1X zP@V{=j~^;eb+wY^nO}B>YI(i`c{Zrz2^#W@t>g(h<(V%TL!S95PhdR?q9%viex!)B z2O0`NMPKzgFChdKD>fY>k~rm~ew~;8+WQ=Witm;uH=?O)k-D zCkz#7aS2_q^kiVsxzCW9Bt%*akuIVbs!B9ZcVJaLQJ82vz!`-V)cwLlqv-Y$&x)qE zu{!aIwg|+qTGDpoiA8KY&7cd_mqZYPvyFecSTaK~aUvNZgYSqC4GfMop0go_9MLYu zRYxd%($K1_X^a~+7!JojTVk5ZuQ+E7T!Q4Bvqa;1^zUPw?@-mEQOr#&Mwn<9O)UCd z#8@9K^7No|n4;dgKNsfQnR*pdRPuCVf21)B{Rw@KYMUN`y$gRuz%(Bz$UG=AX%)@9 zAY&~75W4@etLYa*r7CQ9*tLs|8^en3L{vmF| zB^zvl#N7ocQn^*#+(^8y1OhY!H36$>Bq0QZN`4{)3K$_`z)Gv4RPcftO+hHJSgchH z1cYb-73ES;2}nrf;i|hS4=N=4_kGVh?>}d=ai0hNH)qbwoH;Xd=FIhLi&$`ob+r;T zrdU1OhSOd>svc7@j@>^r5dJw1i{iKr=PraCyrxcYFRi*BLl*`MYwxcY%1G)5>Ek2c z;}9#tF%WHj9rFc-@;86naSAQM9Y)%$dAeHHtZH@E3+oPzv;KNLM~4naY4Q#GGE#&v z3laQ}5MaNOap00MHf}<|LVIKuR<&rrAhfXfbnD)6@XNwGE($kzw`k(Sl{ci&IJ2s7 z5rUz@{P53g#TfcYH8`c`iW9*PA;uaBBMcfc8~RD11$Hu|;r>%N)4B$=tmeWTJ`j+OC^EQsKlctE#Qzrp5&Z_dvK}Vn^Kfg99Z>a54z3-66 zocQHb^vz7O;<%ytUD1qV6Kx;SjAKJBXz*CoGS05zoTbq*ulY^Y5SCBo7WHr_8W_Y- zbUc2;sWwKGFJpo456s#azZe~L3fCCF78l(E*TD3QM0wepQ zDT4TfEf#55#=-v^=hlKOfk=D8u%(%J8MU(SUz96O#8_KRurS7Wj8J>OLKzFQ?SYRZ z2rbOEXIgXa%y-Vi=IJD@@}5_PLL43<&oxfciLfH+Omp-_bKJLRK1Y-?8A9 zCFFXd&_b5xVY+^IQMJ0(Uow4gXkx(rGb=SPF)dV`O^mP(a!fo`((oY1L@brX_&;AX zVJA%*4hc?)#`>`;&P8bRw8ZIU*%osM&OHP&VBgL{m5>*%-XW zZxc-zF3jcCdI(Ew#r4b~JgAGSn2?EnQTLbfNiU8f{g6GqXTTN;6DeLK{3pcuXVHYU z31hu+6NY+Y_t#X^*hw=kcZ@@CAFl$v3)Kv}HJFxeNJ3TS2OY}bDNa-)#wst}2qOxt znD43!I~isDCb=uClrV5p z=d02v6`0lzqv#`&MwnL2G*%ybeB;up!_#G>^LB{ENxSK&E)FpHtqOWCXkfI_Tw1#S zar|CVXo1UBtI{#=`hXhPy1P3%_8%uc;a2OGU17IpizbZmembP4?vRIYDcz>wv~}>P zytpq+K8h;7&;k|p7SYppj?e;C#Cwa3Yf19Dmz zDh5QHG-pu5{>oaoj7p0{i&1G2F1_A>G5l)Lgpo8_hZm59ogMk;ctn@IOd`?-ya&By z)o>9>Ivk{Q_3&hovM<7>g+E1x`J7;>EAE==c{N7!g1Jn|8;JbNG`vH_z_ble_syaSJ3G=~uS3R9RTJo(7ZMv%$+s3%5Xp^pfCmx z2%{%zjU}K@D^b9)X!OdCakV%#@3hlTWm9N;MuNT)cqN+@2H14<5JL{_P}jyfqP-4v zbrVXPZdM*dF7%#skIt2!-g$JP)5A}m{H8-cZ#ocpD~Rw;l@O6Y`18_GPPZ$1t?Cdwe~BOPZ+HO&Yj&4)n@b*1 z&Q|MNpT}O+bD{}@_P?-BA1buKt=9Ty(BfYbO_=GSyibm#jawvIl?8Fvigxc}V!B$X zw**#tKK*8yfABKw`(=tN3_dJaOhgL^^AK2ZdoC2s^>G`9nHL`wO_+Sp!TUwCEO&nf zdcI0DVb&2Fu;a20m4~gi+f(OXBkuL&L%9m(O1aLGqKpM|rQ9A%mc`c)OyfJue&zuSMv@OzHm!$PvD4Od?^*~y!59yrpRoydgFYGqcv+k`+nGcnX>9wG1*^flSQR1Ne zy=k-@O0TUy%GW8H^asn_oH6IfqmDd|FJK?mhq#T)>o|hEVwRMS^pXS>4(>Jb8v!gq`kAXpvr3 zIXD`h8>#GcB&%D3Y~67)3*?JY4$8SP={hiIFr9wZN*FZgdh0%tNf84g^f3lQO8 z&KD&PZOJ_92bS=Vx^spV2~IciQDky)29l0L#*pL4_}zkfx`WbG+&L3M;JumpBs$HrQ+Rfhuuy(H)*+0b!LkL^x8#1A` zD~j5#U}g?4>J3_R(hNPH|K*zZLFg{%a`})sN&Sd_=*Yaq%Ka}yVND<(_Wu@^jTA>T zg*%M29lkOVHF|XwgD&~oLEJmYt$M7c236FHY9i(U!DH_bNhVx?l%WTs46UL$} zR;~SVyKs><;x?yDpA=T~D^E+rqGaZM7A3$$yXB=1ygu9pVg$sncAInKQI^+ro zBaEc!^9+b4?CeNmn-1Nqt|GpM78{)-jdvi$69Xg0JF_Bu2vI^F8h2ofbBe=!YPiFY zH}0{|JoAisr<{S{#@8hPy!;Lekj&+|v;^qm=~ALev+Gpw0%i&KF)hwP8(~7y@#v4D z*)M=n(%csF2}aD<~x^@R|DDJ$cr#?-3s>+3SPgUgUu1V=h@4rIP@fW&Z zieGd`KdNrL*8^w>;o#yBaKXD_!m2}vIMN*$ZMni+(UzS-H$V%CfpW3AOtdF1-y||oe zmF7d;0IFG%=K6BG6d&Ug|#+GKR}hh=D%IKjX1~gf1Tz&6xG23y_tMG`;gpsTI!b%;#{4!1Q<^ChFwhR1&JD zcmdfNd993negXt*7vH@S<3u{@e4t2q(T;W)f1#O|A?O4M$a=@0FjlWtzzIE`6l1K6 zeX*`G34`{ly2>Ps)iSJ%b*@)jVU1LJJj8MEdp^D}ewX-!Tdf;E3uA!6oB0Zt&+$d0 zb{x^PlHjzh48`Tg9lM{hHY$y)5Y&+3dNVl&@@s0dIaMA+-s~uv&5M)P?8rM^bSio= zzvbBO{SRSi=Rug`A4?+PQkv67(!37D(r|5ql4lz4bVX?*ZgaYB;#{kOj6!-`QiYkx zyO@@GK|Q4wUC*5OMHlgwrEsftGahxAc%38^E>dH#WnIO`G#3&ZZS{HJi2(I)E)zT*w8Nr`J@O)-pF<*LpDJF2ZR#{+jgb}B&wjf`G+`tIXLAeg2$4_NNs~qs5#n55#lhs2J3-mlk#rMCBhOBY6HW9i zWFMBz7*S9tf0;no$tdd?Go}DE{=H`H5hLx%c*Gd-d2SjW*m0>tk3dR&bS9b7Qu#zSp9wpIa_ghsQSQmahdf0b7Ux6Lh`_Ni<@*Pj{A`WJ_ z{*EViY55&b#bHJWg9YMuJQXII;y8>nw&pkCxA7kmXf$vi5{KE#t564l)Q?`1KB7#n zesHCGg^R?MPk@g>dJsnG;deP=EG)FZ*rtKC@Tbm%!N=)4Dkb6;KJKdfOl9WtX>Y}m z2<^BLhqM}(d_zzc3#7PEg3empSZie&0?`@sbZrtyurS+yUTr1Sh2n`5zn6KoW{k0X zu^nb(gu%jWhh2}jfkBI;NuxN?xxOuN*uv%)3zI&E1#NlK@I1Kh|MELU6NdB{hK3#%&FRq{@fM#j`Os#c6JMrj zwj_6kTY=>B>rIyBwr|7Lxxd5>EWVzzb|T9uqDe>40mh{l?-Na!mmBKIE@2rKZ>u?O zj9Ia$1^H6Gz0HyN`ch8Ti~DVk+r3e7htXaTO?BgrAe!pIJwY_-96DfIExMO}NvnD$ zjnA5pW<5*4qFK+R^*~SLvrZ$AQ%GZfP`)(2e3nWb!t${vxJOt%xUEg4XI;gX+%Zbe zx(eD!g;wk%W$E6ixYkwB;E_a630=WOjpfb%tR}A5lzijNvzU<&V$*Bcj>0@ZbLE~C z7$?YYh6b@l!rmo}+CKs<5Q8^q!g5vhAhxmGR?%=AM_*~Ysfq}j$n&E42<&uf6QuOU z`Yy^woQSam3b~`u0<*C)ifF=4hBQ25c=GutFFbqsVl0JEnbrqV>UQ9_G8NW;LAC?QK9%1Y!Jy=Ix;i9_D;>$FD3@=o> zxu~}AMJGN%H1RbLLkf-WRlPz#Twu&y2_&xd45foTWf~<;#DJkuSE_igk9ek!rO1N! zTIZQQXa!Gr8gXZ{9N;gQ%T79*fvgLb2;^!`?wi_&-^TYP_Gn;uVzDlop02|xG2`Up z%J0x@Z1C3VLLef!@(Jc>!%h#su#UcsW=x@Ug1Lt8zbi(U4^Y644&%7BcB^PPu1-3u za7;&niqCQ4c3X+d{1``~l8^p(n8BNC-9Z5Q)Wg0oUwrbNePLd_CC>Ut)bs6dq<^54 zX*bT1ItI_IJA&3_TI@bU>dkMpriLTDO_SMj6D$c%&IIyN3Rb#utpEz+Vao;MtG2i@df4ID0$}zB} z#4VUIiPj8%_?9Zm?iim}!PulPK6wt8zLd0!(i11w1!1x<^W~p$%+cN|nsLn6g2sK` zsMT9*tuh~Eoj1A0;h$W_Oegj%P1^S=wW`RFU_Z!TdW~@>&LC_%ThbWED&<>5Ghax0 zw54XwmcTUp8{tus;KOL3&%my)O6-?|oGWyy{F40IWK^g*wN&99UM^t_;L6o~a9w;{ zMHu{?J^hg47&~dwnuqBYdbF|Isz`Go^D3COPt`8Ns>a;BEA9N0HYQF4pNkk}J<51j zlv>_B4<`y!Yu=MER?;C7rou5i-Co6cje2lC^8ixVo%B(p(#*n?qVo0~jgt2>$%@1D zT`!ukk|7N~LO2I2L=#5Rm^1Z|g!I~cb5KiVUiQnt z5kg6PG&<#;_2}+lF-oeZP{Iz zjX7nsyjn&BM$Cq+E0q;18OG$}^E2?v8tE(CrHD99y>sjVX2S1&W(#`XZD{!f;6?z9{K4qKOY1Pg3lL zS~|2uDmE{?yL4#-+oemNx=rS}do>bShD0tYITy@TM(vUCWU6qKWaV(ako1>@#*!jD zN@70N8N#EUf{zwp1|l?mq>|9W!MMi#g5(>!Z>I$wIKFI=y=gDReLLN~fDsKtj9DDt zN98Qe?y@kRz`~H_&l(A1Edc#y!WhLBM*sXN3SN#<2$%i@tuqhvJwCbe3A3+3Qmp5Z zFURdYO|DIL(FkcE#5#P&!i@V%AB2iHg|53ao_+TZ_3eK0N^Z>9Rs zNYiN^>uTf{mN*e(EfOp(Mi_;B5NiSOV_ECMMd$@p7(N3T0tT%)xjc$-SMAuyo0;UT zL9YcQ8)XSo+mDXz$oO52&bPmE7M3*r7@cXqyS=tw;UaZuztL8ok<^YGZFPAmiQDCr z>By{ck4i#e*gr2GUXn77cc&%HqL94W>Tx`MdIAehJa*HSjt98=Cu0u1QTfVMFGW}d zd^MtHf9w^Y`rWOC)m^(^P+arH1-h$66E5mIYdG!6|3m97suC8Tmr_mp6RN^DBZSct z(8BEk2&0rovy{VS2{UkkXvEzxZ=3r0D_T?$%qSa{m+7KMJ|voDQQFpIO|SO?rKgdK z>le{Sep34g)&ErpmbTwqBk5(|(MnRBh_Mx_xvtOxgLWi5t5QwEps~nUM_|1ZjwX(p_eZaeL`q4Fh)%hpAE$<}2)g_kM`KKLWL8+mVSA*XW`N0VGzXEY?; z1X8*ayNj|BCxV|uFnnz)TNuC4k#DC8I~nqE{KGW9qw({q*rs%oo5K-`lbeH0Pg?UG z!xSAEihXl!4#K>(0Gp11g?z$zMdi{;6PDC&Hs<@yHZ-Ld6<1gl;I(0vu6L9r6<>7k zms9~|y;$Y_MO8H{0gun;5yGxt+GZNf1kH(G6hyYL;_)BBD2tB7KjZN8nxYwpPZu=2 zT{I)w^?eeD9g2Ccf;j1EDbHoo!Nz&T4NT`Iy>^f;6JE$!CpgoiM|%`mA`2}ixEy=# zr!sYphv$8s_>3vLUjM0axoC-m?@`2b9M~U!$WoqQcEcILy?ilvTBxv zu{@6>E#0syZ05om#k@&l8t*RXvugC|h{dOwnXyKlOyj0cxIIngF?=6F8#WKr_HBPQ zEsjiPboA8w>egp5CE=;^vI9Dj7DtRJ4Wp=3!cK-X&KW1;xA9=j8Yf$sV4gD`P^@A4 zm}gs|e6wC#@t*<2?vME^Ek%BQ}dRUHMRS`WyPuJ2c;=y&$j*3Q- ztDtR66?pNWJsmGNvOAdBl&pax>!9(`naFx$%^I7PJk5}gGWp!YHTBV%u%YI}0MUy! z_5d>h?P%a@@C*NS`!%8|9RG$UeLGXw{N&??)g=5j9;@P@BeQ$Oz%KAYsf);c<$&M=ARK;1UO!7A= zEKb_uOAVZtA^1py=WW6e=R%0HwuloL&J!#{+j$CHMBI=>+)zXe8XmbrP-Aly5OdKu zlXpUOiC|x7$MPmfTR`m1VE2t}sC{}bFkXy$2cmsO84FW~=z(7>LI;+;^Ouik@3x`^ zDLe9UObOR%OBE5Nr7Mwjh*d0(P5JvR(GAQg_rWXrbSsGIK4`_XVh(kB)_}cISV>Eq zY5Kyu;sA{FL0ZM}Fgc;u(s#EBSaWnQTl=3*=sUJjIYgkef?)W@$;3$sd5M~{k6 zm{n?;SMQ@@pR8hH_kx$P@`eH=r>67fVKE-!#AK9x^k!uxT*Ul95)=Exthm8jMH6Q!bUr4J#fv=O&d8oEslH0qyT$W`hsb7R(JRe>~Ti z8&;xmo)g-6TH?@FFH^J6n+YDiQzd$@I~{pyyW>#Q*+}Ga{Pt58N|BUGjj{S9^6|7`PYsSd&Y|R(rIa^rXNjgYvI6s+@+TwON zOMH>q&XTY$_4?CRyzKkZBj7{#@N)#hPC8^MPb^QtKN`?+;J?4hz-5C=GRn1aUk7&}bQS8==ymF6c?Sfdy^ z)8V?dhB%W!Z{1f@3pPGs_Et=n?q|lvY(Pv#G+`%A8hY#GXehsvILySuR$6X^gSDw2 zHgbMFd2qa@h2zrPh!GcnE6t6%mCkplHpj zj8UGWS;J2eT>RzjXQ*wG+n^ z(zAZaVHw&T+(WP>YnWukhoCe6@pjTWI*ebt5Iv)aZA`IzQpQ->G3MNvvyY5d6(;F9 z>ldn|7y`q@Jd*w8SIH9OgUcbSdGUL7Rh{vKZ({hkg!#coE|^~`*Yi0@vS1n;9cGr{ zA*SIGtvPMd)Ts;l`ug5@INd$;#a5MeA|*f@N}r4XiAKHq7dzhbHY>u15MBi1pwJC| o#gVWMcUVWGV-!Nh9shR=kD0e{=DUuYcl^S6#~jsY+;h+W10KoCd;kCd literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad new file mode 100644 index 0000000..36624e7 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.pad @@ -0,0 +1,273 @@ +PAD Specification File +*************************** + +PART TYPE: LCMXO2-640HC +Performance Grade: 4 +PACKAGE: TQFP100 +Package Status: Final Version 1.39 + +Tue Aug 17 06:20:57 2021 + +Pinout by Port Name: ++-----------+----------+--------------+-------+-----------+-----------+------------------------------------------------------------+ +| Port Name | Pin/Bank | Buffer Type | Site | PG Enable | BC Enable | Properties | ++-----------+----------+--------------+-------+-----------+-----------+------------------------------------------------------------+ +| CROW[0] | 10/3 | LVTTL33_IN | PL3D | | | CLAMP:ON HYSTERESIS:SMALL | +| CROW[1] | 16/3 | LVTTL33_IN | PL6A | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[0] | 3/3 | LVTTL33_IN | PL2C | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[1] | 96/0 | LVTTL33_IN | PT6D | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[2] | 88/0 | LVTTL33_IN | PT9A | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[3] | 97/0 | LVTTL33_IN | PT6C | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[4] | 99/0 | LVTTL33_IN | PT6A | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[5] | 98/0 | LVTTL33_IN | PT6B | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[6] | 2/3 | LVTTL33_IN | PL2B | | | CLAMP:ON HYSTERESIS:SMALL | +| Din[7] | 1/3 | LVTTL33_IN | PL2A | | | CLAMP:ON HYSTERESIS:SMALL | +| Dout[0] | 76/0 | LVTTL33_OUT | PT11D | | | DRIVE:4mA SLEW:SLOW | +| Dout[1] | 86/0 | LVTTL33_OUT | PT9C | | | DRIVE:4mA SLEW:SLOW | +| Dout[2] | 87/0 | LVTTL33_OUT | PT9B | | | DRIVE:4mA SLEW:SLOW | +| Dout[3] | 85/0 | LVTTL33_OUT | PT9D | | | DRIVE:4mA SLEW:SLOW | +| Dout[4] | 83/0 | LVTTL33_OUT | PT10B | | | DRIVE:4mA SLEW:SLOW | +| Dout[5] | 84/0 | LVTTL33_OUT | PT10A | | | DRIVE:4mA SLEW:SLOW | +| Dout[6] | 78/0 | LVTTL33_OUT | PT11A | | | DRIVE:4mA SLEW:SLOW | +| Dout[7] | 82/0 | LVTTL33_OUT | PT10C | | | DRIVE:4mA SLEW:SLOW | +| LED | 34/2 | LVTTL33_OUT | PB6C | | | DRIVE:16mA SLEW:SLOW | +| MAin[0] | 14/3 | LVTTL33_IN | PL5C | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[1] | 12/3 | LVTTL33_IN | PL5A | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[2] | 13/3 | LVTTL33_IN | PL5B | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[3] | 21/3 | LVTTL33_IN | PL7B | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[4] | 20/3 | LVTTL33_IN | PL7A | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[5] | 19/3 | LVTTL33_IN | PL6D | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[6] | 24/3 | LVTTL33_IN | PL7C | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[7] | 18/3 | LVTTL33_IN | PL6C | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[8] | 25/3 | LVTTL33_IN | PL7D | | | CLAMP:ON HYSTERESIS:SMALL | +| MAin[9] | 32/2 | LVTTL33_IN | PB6B | | | CLAMP:ON HYSTERESIS:SMALL | +| PHI2 | 8/3 | LVTTL33_IN | PL3B | | | CLAMP:ON HYSTERESIS:SMALL | +| RA[0] | 66/1 | LVTTL33_OUT | PR3D | | | DRIVE:4mA SLEW:SLOW | +| RA[10] | 64/1 | LVTTL33_OUT | PR5B | | | DRIVE:4mA SLEW:SLOW | +| RA[11] | 59/1 | LVTTL33_OUT | PR6B | | | DRIVE:4mA SLEW:SLOW | +| RA[1] | 67/1 | LVTTL33_OUT | PR3C | | | DRIVE:4mA SLEW:SLOW | +| RA[2] | 69/1 | LVTTL33_OUT | PR3A | | | DRIVE:4mA SLEW:SLOW | +| RA[3] | 71/1 | LVTTL33_OUT | PR2C | | | DRIVE:4mA SLEW:SLOW | +| RA[4] | 74/1 | LVTTL33_OUT | PR2B | | | DRIVE:4mA SLEW:SLOW | +| RA[5] | 70/1 | LVTTL33_OUT | PR2D | | | DRIVE:4mA SLEW:SLOW | +| RA[6] | 68/1 | LVTTL33_OUT | PR3B | | | DRIVE:4mA SLEW:SLOW | +| RA[7] | 75/1 | LVTTL33_OUT | PR2A | | | DRIVE:4mA SLEW:SLOW | +| RA[8] | 65/1 | LVTTL33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW | +| RA[9] | 62/1 | LVTTL33_OUT | PR5D | | | DRIVE:4mA SLEW:SLOW | +| RBA[0] | 58/1 | LVTTL33_OUT | PR6C | | | DRIVE:4mA SLEW:SLOW | +| RBA[1] | 60/1 | LVTTL33_OUT | PR6A | | | DRIVE:4mA SLEW:SLOW | +| RCKE | 53/1 | LVTTL33_OUT | PR7B | | | DRIVE:4mA SLEW:SLOW | +| RCLK | 63/1 | LVTTL33_IN | PR5C | | | CLAMP:ON HYSTERESIS:SMALL | +| RDQMH | 51/1 | LVTTL33_OUT | PR7D | | | DRIVE:4mA SLEW:SLOW | +| RDQML | 48/2 | LVTTL33_OUT | PB14C | | | DRIVE:4mA SLEW:SLOW | +| RD[0] | 36/2 | LVTTL33_BIDI | PB10A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| RD[1] | 37/2 | LVTTL33_BIDI | PB10B | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| RD[2] | 38/2 | LVTTL33_BIDI | PB10C | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| RD[3] | 39/2 | LVTTL33_BIDI | PB10D | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| RD[4] | 40/2 | LVTTL33_BIDI | PB12A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| RD[5] | 41/2 | LVTTL33_BIDI | PB12B | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| RD[6] | 42/2 | LVTTL33_BIDI | PB12C | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| RD[7] | 43/2 | LVTTL33_BIDI | PB12D | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW | +| nCCAS | 9/3 | LVTTL33_IN | PL3C | | | CLAMP:ON HYSTERESIS:SMALL | +| nCRAS | 17/3 | LVTTL33_IN | PL6B | | | CLAMP:ON HYSTERESIS:SMALL | +| nFWE | 15/3 | LVTTL33_IN | PL5D | | | CLAMP:ON HYSTERESIS:SMALL | +| nRCAS | 52/1 | LVTTL33_OUT | PR7C | | | DRIVE:4mA SLEW:SLOW | +| nRCS | 57/1 | LVTTL33_OUT | PR6D | | | DRIVE:4mA SLEW:SLOW | +| nRRAS | 54/1 | LVTTL33_OUT | PR7A | | | DRIVE:4mA SLEW:SLOW | +| nRWE | 49/2 | LVTTL33_OUT | PB14D | | | DRIVE:4mA SLEW:SLOW | ++-----------+----------+--------------+-------+-----------+-----------+------------------------------------------------------------+ + +Vccio by Bank: ++------+-------+ +| Bank | Vccio | ++------+-------+ +| 0 | 3.3V | +| 1 | 3.3V | +| 2 | 3.3V | +| 3 | 3.3V | ++------+-------+ + +Vref by Bank: ++------+-----+-----------------+---------+ +| Vref | Pin | Bank # / Vref # | Load(s) | ++------+-----+-----------------+---------+ ++------+-----+-----------------+---------+ + +Pinout by Pin Number: ++----------+-----------------------+------------+--------------+-------+---------------+-----------+-----------+ +| Pin/Bank | Pin Info | Preference | Buffer Type | Site | Dual Function | PG Enable | BC Enable | ++----------+-----------------------+------------+--------------+-------+---------------+-----------+-----------+ +| 1/3 | Din[7] | LOCATED | LVTTL33_IN | PL2A | | | | +| 2/3 | Din[6] | LOCATED | LVTTL33_IN | PL2B | | | | +| 3/3 | Din[0] | LOCATED | LVTTL33_IN | PL2C | PCLKT3_2 | | | +| 4/3 | unused, PULL:DOWN | | | PL2D | PCLKC3_2 | | | +| 7/3 | unused, PULL:DOWN | | | PL3A | | | | +| 8/3 | PHI2 | LOCATED | LVTTL33_IN | PL3B | | | | +| 9/3 | nCCAS | LOCATED | LVTTL33_IN | PL3C | | | | +| 10/3 | CROW[0] | LOCATED | LVTTL33_IN | PL3D | | | | +| 12/3 | MAin[1] | LOCATED | LVTTL33_IN | PL5A | PCLKT3_1 | | | +| 13/3 | MAin[2] | LOCATED | LVTTL33_IN | PL5B | PCLKC3_1 | | | +| 14/3 | MAin[0] | LOCATED | LVTTL33_IN | PL5C | | | | +| 15/3 | nFWE | LOCATED | LVTTL33_IN | PL5D | | | | +| 16/3 | CROW[1] | LOCATED | LVTTL33_IN | PL6A | | | | +| 17/3 | nCRAS | LOCATED | LVTTL33_IN | PL6B | | | | +| 18/3 | MAin[7] | LOCATED | LVTTL33_IN | PL6C | | | | +| 19/3 | MAin[5] | LOCATED | LVTTL33_IN | PL6D | | | | +| 20/3 | MAin[4] | LOCATED | LVTTL33_IN | PL7A | PCLKT3_0 | | | +| 21/3 | MAin[3] | LOCATED | LVTTL33_IN | PL7B | PCLKC3_0 | | | +| 24/3 | MAin[6] | LOCATED | LVTTL33_IN | PL7C | | | | +| 25/3 | MAin[8] | LOCATED | LVTTL33_IN | PL7D | | | | +| 27/2 | unused, PULL:DOWN | | | PB4A | CSSPIN | | | +| 28/2 | unused, PULL:DOWN | | | PB4B | | | | +| 29/2 | unused, PULL:DOWN | | | PB4C | | | | +| 30/2 | unused, PULL:DOWN | | | PB4D | | | | +| 31/2 | unused, PULL:DOWN | | | PB6A | MCLK/CCLK | | | +| 32/2 | MAin[9] | LOCATED | LVTTL33_IN | PB6B | SO/SPISO | | | +| 34/2 | LED | LOCATED | LVTTL33_OUT | PB6C | PCLKT2_0 | | | +| 35/2 | unused, PULL:DOWN | | | PB6D | PCLKC2_0 | | | +| 36/2 | RD[0] | LOCATED | LVTTL33_BIDI | PB10A | | | | +| 37/2 | RD[1] | LOCATED | LVTTL33_BIDI | PB10B | | | | +| 38/2 | RD[2] | LOCATED | LVTTL33_BIDI | PB10C | PCLKT2_1 | | | +| 39/2 | RD[3] | LOCATED | LVTTL33_BIDI | PB10D | PCLKC2_1 | | | +| 40/2 | RD[4] | LOCATED | LVTTL33_BIDI | PB12A | | | | +| 41/2 | RD[5] | LOCATED | LVTTL33_BIDI | PB12B | | | | +| 42/2 | RD[6] | LOCATED | LVTTL33_BIDI | PB12C | | | | +| 43/2 | RD[7] | LOCATED | LVTTL33_BIDI | PB12D | | | | +| 45/2 | unused, PULL:DOWN | | | PB14A | | | | +| 47/2 | unused, PULL:DOWN | | | PB14B | | | | +| 48/2 | RDQML | LOCATED | LVTTL33_OUT | PB14C | SN | | | +| 49/2 | nRWE | LOCATED | LVTTL33_OUT | PB14D | SI/SISPI | | | +| 51/1 | RDQMH | LOCATED | LVTTL33_OUT | PR7D | | | | +| 52/1 | nRCAS | LOCATED | LVTTL33_OUT | PR7C | | | | +| 53/1 | RCKE | LOCATED | LVTTL33_OUT | PR7B | | | | +| 54/1 | nRRAS | LOCATED | LVTTL33_OUT | PR7A | | | | +| 57/1 | nRCS | LOCATED | LVTTL33_OUT | PR6D | | | | +| 58/1 | RBA[0] | LOCATED | LVTTL33_OUT | PR6C | | | | +| 59/1 | RA[11] | LOCATED | LVTTL33_OUT | PR6B | | | | +| 60/1 | RBA[1] | LOCATED | LVTTL33_OUT | PR6A | | | | +| 62/1 | RA[9] | LOCATED | LVTTL33_OUT | PR5D | PCLKC1_0 | | | +| 63/1 | RCLK | LOCATED | LVTTL33_IN | PR5C | PCLKT1_0 | | | +| 64/1 | RA[10] | LOCATED | LVTTL33_OUT | PR5B | | | | +| 65/1 | RA[8] | LOCATED | LVTTL33_OUT | PR5A | | | | +| 66/1 | RA[0] | LOCATED | LVTTL33_OUT | PR3D | | | | +| 67/1 | RA[1] | LOCATED | LVTTL33_OUT | PR3C | | | | +| 68/1 | RA[6] | LOCATED | LVTTL33_OUT | PR3B | | | | +| 69/1 | RA[2] | LOCATED | LVTTL33_OUT | PR3A | | | | +| 70/1 | RA[5] | LOCATED | LVTTL33_OUT | PR2D | | | | +| 71/1 | RA[3] | LOCATED | LVTTL33_OUT | PR2C | | | | +| 74/1 | RA[4] | LOCATED | LVTTL33_OUT | PR2B | | | | +| 75/1 | RA[7] | LOCATED | LVTTL33_OUT | PR2A | | | | +| 76/0 | Dout[0] | LOCATED | LVTTL33_OUT | PT11D | DONE | | | +| 77/0 | unused, PULL:DOWN | | | PT11C | INITN | | | +| 78/0 | Dout[6] | LOCATED | LVTTL33_OUT | PT11A | | | | +| 81/0 | unused, PULL:DOWN | | | PT10D | PROGRAMN | | | +| 82/0 | Dout[7] | LOCATED | LVTTL33_OUT | PT10C | JTAGENB | | | +| 83/0 | Dout[4] | LOCATED | LVTTL33_OUT | PT10B | | | | +| 84/0 | Dout[5] | LOCATED | LVTTL33_OUT | PT10A | | | | +| 85/0 | Dout[3] | LOCATED | LVTTL33_OUT | PT9D | SDA/PCLKC0_0 | | | +| 86/0 | Dout[1] | LOCATED | LVTTL33_OUT | PT9C | SCL/PCLKT0_0 | | | +| 87/0 | Dout[2] | LOCATED | LVTTL33_OUT | PT9B | PCLKC0_1 | | | +| 88/0 | Din[2] | LOCATED | LVTTL33_IN | PT9A | PCLKT0_1 | | | +| 90/0 | Reserved: sysCONFIG | | | PT7D | TMS | | | +| 91/0 | Reserved: sysCONFIG | | | PT7C | TCK | | | +| 94/0 | Reserved: sysCONFIG | | | PT7B | TDI | | | +| 95/0 | Reserved: sysCONFIG | | | PT7A | TDO | | | +| 96/0 | Din[1] | LOCATED | LVTTL33_IN | PT6D | | | | +| 97/0 | Din[3] | LOCATED | LVTTL33_IN | PT6C | | | | +| 98/0 | Din[5] | LOCATED | LVTTL33_IN | PT6B | | | | +| 99/0 | Din[4] | LOCATED | LVTTL33_IN | PT6A | | | | +| PT11B/0 | unused, PULL:DOWN | | | PT11B | | | | ++----------+-----------------------+------------+--------------+-------+---------------+-----------+-----------+ + +sysCONFIG Pins: ++----------+--------------------+--------------------+----------+-------------+-------------------+ +| Pad Name | sysCONFIG Pin Name | sysCONFIG Settings | Pin/Bank | Buffer Type | Config Pull Mode | ++----------+--------------------+--------------------+----------+-------------+-------------------+ +| PT7D | TMS | JTAG_PORT=ENABLE | 90/0 | | PULLUP | +| PT7C | TCK/TEST_CLK | JTAG_PORT=ENABLE | 91/0 | | NO pull up/down | +| PT7B | TDI/MD7 | JTAG_PORT=ENABLE | 94/0 | | PULLUP | +| PT7A | TDO | JTAG_PORT=ENABLE | 95/0 | | PULLUP | ++----------+--------------------+--------------------+----------+-------------+-------------------+ + +Dedicated sysCONFIG Pins: + + +List of All Pins' Locate Preferences Based on Final Placement After PAR +to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): + +LOCATE COMP "CROW[0]" SITE "10"; +LOCATE COMP "CROW[1]" SITE "16"; +LOCATE COMP "Din[0]" SITE "3"; +LOCATE COMP "Din[1]" SITE "96"; +LOCATE COMP "Din[2]" SITE "88"; +LOCATE COMP "Din[3]" SITE "97"; +LOCATE COMP "Din[4]" SITE "99"; +LOCATE COMP "Din[5]" SITE "98"; +LOCATE COMP "Din[6]" SITE "2"; +LOCATE COMP "Din[7]" SITE "1"; +LOCATE COMP "Dout[0]" SITE "76"; +LOCATE COMP "Dout[1]" SITE "86"; +LOCATE COMP "Dout[2]" SITE "87"; +LOCATE COMP "Dout[3]" SITE "85"; +LOCATE COMP "Dout[4]" SITE "83"; +LOCATE COMP "Dout[5]" SITE "84"; +LOCATE COMP "Dout[6]" SITE "78"; +LOCATE COMP "Dout[7]" SITE "82"; +LOCATE COMP "LED" SITE "34"; +LOCATE COMP "MAin[0]" SITE "14"; +LOCATE COMP "MAin[1]" SITE "12"; +LOCATE COMP "MAin[2]" SITE "13"; +LOCATE COMP "MAin[3]" SITE "21"; +LOCATE COMP "MAin[4]" SITE "20"; +LOCATE COMP "MAin[5]" SITE "19"; +LOCATE COMP "MAin[6]" SITE "24"; +LOCATE COMP "MAin[7]" SITE "18"; +LOCATE COMP "MAin[8]" SITE "25"; +LOCATE COMP "MAin[9]" SITE "32"; +LOCATE COMP "PHI2" SITE "8"; +LOCATE COMP "RA[0]" SITE "66"; +LOCATE COMP "RA[10]" SITE "64"; +LOCATE COMP "RA[11]" SITE "59"; +LOCATE COMP "RA[1]" SITE "67"; +LOCATE COMP "RA[2]" SITE "69"; +LOCATE COMP "RA[3]" SITE "71"; +LOCATE COMP "RA[4]" SITE "74"; +LOCATE COMP "RA[5]" SITE "70"; +LOCATE COMP "RA[6]" SITE "68"; +LOCATE COMP "RA[7]" SITE "75"; +LOCATE COMP "RA[8]" SITE "65"; +LOCATE COMP "RA[9]" SITE "62"; +LOCATE COMP "RBA[0]" SITE "58"; +LOCATE COMP "RBA[1]" SITE "60"; +LOCATE COMP "RCKE" SITE "53"; +LOCATE COMP "RCLK" SITE "63"; +LOCATE COMP "RDQMH" SITE "51"; +LOCATE COMP "RDQML" SITE "48"; +LOCATE COMP "RD[0]" SITE "36"; +LOCATE COMP "RD[1]" SITE "37"; +LOCATE COMP "RD[2]" SITE "38"; +LOCATE COMP "RD[3]" SITE "39"; +LOCATE COMP "RD[4]" SITE "40"; +LOCATE COMP "RD[5]" SITE "41"; +LOCATE COMP "RD[6]" SITE "42"; +LOCATE COMP "RD[7]" SITE "43"; +LOCATE COMP "nCCAS" SITE "9"; +LOCATE COMP "nCRAS" SITE "17"; +LOCATE COMP "nFWE" SITE "15"; +LOCATE COMP "nRCAS" SITE "52"; +LOCATE COMP "nRCS" SITE "57"; +LOCATE COMP "nRRAS" SITE "54"; +LOCATE COMP "nRWE" SITE "49"; + + + + + +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Tue Aug 17 06:20:59 2021 + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par new file mode 100644 index 0000000..f2f6100 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1.par @@ -0,0 +1,233 @@ + +Lattice Place and Route Report for Design "RAM2GS_LCMXO2_640HC_impl1_map.ncd" +Tue Aug 17 06:20:51 2021 + +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. +Placement level-cost: 5-1. +Routing Iterations: 6 + +Loading design for application par from file RAM2GS_LCMXO2_640HC_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application par from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +License checked out. + + +Ignore Preference Error(s): True +Device utilization summary: + + PIO (prelim) 63+4(JTAG)/80 84% used + 63+4(JTAG)/79 85% bonded + + SLICE 131/320 40% used + + EFB 1/1 100% used + + +Number of Signals: 401 +Number of Connections: 1131 + +Pin Constraint Summary: + 63 out of 63 pins locked (100% locked). + +The following 4 signals are selected to use the primary clock routing resources: + RCLK_c (driver: RCLK, clk load #: 52) + PHI2_c (driver: PHI2, clk load #: 13) + nCRAS_c (driver: nCRAS, clk load #: 7) + nCCAS_c (driver: nCCAS, clk load #: 4) + +WARNING - par: Signal "PHI2_c" is selected to use Primary clock resources. However, its driver comp "PHI2" is located at "8", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. +WARNING - par: Signal "nCRAS_c" is selected to use Primary clock resources. However, its driver comp "nCRAS" is located at "17", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. +WARNING - par: Signal "nCCAS_c" is selected to use Primary clock resources. However, its driver comp "nCCAS" is located at "9", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew. + +No signal is selected as secondary clock. + +No signal is selected as Global Set/Reset. +Starting Placer Phase 0. +............ +Finished Placer Phase 0. REAL time: 0 secs + +Starting Placer Phase 1. +.................... +Placer score = 65362. +Finished Placer Phase 1. REAL time: 6 secs + +Starting Placer Phase 2. +. +Placer score = 65089 +Finished Placer Phase 2. REAL time: 6 secs + + +------------------ Clock Report ------------------ + +Global Clock Resources: + CLK_PIN : 1 out of 8 (12%) + General PIO: 3 out of 80 (3%) + DCM : 0 out of 2 (0%) + DCC : 0 out of 8 (0%) + +Global Clocks: + PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 52 + PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3B)", clk load = 13 + PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL6B)", clk load = 7 + PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL3C)", clk load = 4 + + PRIMARY : 4 out of 8 (50%) + SECONDARY: 0 out of 8 (0%) + +--------------- End of Clock Report --------------- + + +I/O Usage Summary (final): + 63 + 4(JTAG) out of 80 (83.8%) PIO sites used. + 63 + 4(JTAG) out of 79 (84.8%) bonded PIO sites used. + Number of PIO comps: 63; differential: 0. + Number of Vref pins used: 0. + +I/O Bank Usage Summary: ++----------+----------------+------------+-----------+ +| I/O Bank | Usage | Bank Vccio | Bank Vref | ++----------+----------------+------------+-----------+ +| 0 | 13 / 19 ( 68%) | 3.3V | - | +| 1 | 20 / 20 (100%) | 3.3V | - | +| 2 | 12 / 20 ( 60%) | 3.3V | - | +| 3 | 18 / 20 ( 90%) | 3.3V | - | ++----------+----------------+------------+-----------+ + +Total placer CPU time: 5 secs + +Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd. + +0 connections routed; 1131 unrouted. +Starting router resource preassignment +WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. +WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. +WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew. + +WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. + Signal=wb_clk loads=1 clock_loads=1 + +Completed router resource preassignment. Real time: 8 secs + +Start NBR router at 06:20:59 08/17/21 + +***************************************************************** +Info: NBR allows conflicts(one node used by more than one signal) + in the earlier iterations. In each iteration, it tries to + solve the conflicts while keeping the critical connections + routed as short as possible. The routing process is said to + be completed when no conflicts exist and all connections + are routed. +Note: NBR uses a different method to calculate timing slacks. The + worst slack and total negative slack may not be the same as + that in TRCE report. You should always run TRCE to verify + your design. +***************************************************************** + +Start NBR special constraint process at 06:20:59 08/17/21 + +Start NBR section for initial routing at 06:20:59 08/17/21 +Level 1, iteration 1 +0(0.00%) conflict; 980(86.65%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.167ns/0.000ns; real time: 8 secs +Level 2, iteration 1 +1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 9 secs +Level 3, iteration 1 +1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 1 +26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Info: Initial congestion level at 75% usage is 0 +Info: Initial congestion area at 75% usage is 0 (0.00%) + +Start NBR section for normal routing at 06:21:00 08/17/21 +Level 1, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 2, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 3, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 1 +12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 2 +5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 3 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21 + +Start NBR section for re-routing at 06:21:00 08/17/21 +Level 4, iteration 1 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Start NBR section for post-routing at 06:21:00 08/17/21 + +End NBR router with 0 unrouted connection + +NBR Summary +----------- + Number of unrouted connections : 0 (0.00%) + Number of connections with timing violations : 0 (0.00%) + Estimated worst slack : 1.135ns + Timing score : 0 +----------- +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. + + + +WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. + Signal=wb_clk loads=1 clock_loads=1 + +Total CPU time 9 secs +Total REAL time: 10 secs +Completely routed. +End of route. 1131 routed (100.00%); 0 unrouted. + +Hold time timing score: 0, hold timing errors: 0 + +Timing score: 0 + +Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd. + + +All signals are completely routed. + + +PAR_SUMMARY::Run status = Completed +PAR_SUMMARY::Number of unrouted conns = 0 +PAR_SUMMARY::Worst slack> = 1.135 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Worst slack> = 0.304 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Number of errors = 0 + +Total CPU time to completion: 9 secs +Total REAL time to completion: 10 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd new file mode 100644 index 0000000..c0f7313 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/5_1_par.asd @@ -0,0 +1,41 @@ +[ActiveSupport PAR] +; Global primary clocks +GLOBAL_PRIMARY_USED = 4; +; Global primary clock #0 +GLOBAL_PRIMARY_0_SIGNALNAME = RCLK_c; +GLOBAL_PRIMARY_0_DRIVERTYPE = CLK_PIN; +GLOBAL_PRIMARY_0_LOADNUM = 52; +; Global primary clock #1 +GLOBAL_PRIMARY_1_SIGNALNAME = PHI2_c; +GLOBAL_PRIMARY_1_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_1_LOADNUM = 13; +; Global primary clock #2 +GLOBAL_PRIMARY_2_SIGNALNAME = nCRAS_c; +GLOBAL_PRIMARY_2_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_2_LOADNUM = 7; +; Global primary clock #3 +GLOBAL_PRIMARY_3_SIGNALNAME = nCCAS_c; +GLOBAL_PRIMARY_3_DRIVERTYPE = PIO; +GLOBAL_PRIMARY_3_LOADNUM = 4; +; # of global secondary clocks +GLOBAL_SECONDARY_USED = 0; +; I/O Bank 0 Usage +BANK_0_USED = 13; +BANK_0_AVAIL = 19; +BANK_0_VCCIO = 3.3V; +BANK_0_VREF1 = NA; +; I/O Bank 1 Usage +BANK_1_USED = 20; +BANK_1_AVAIL = 20; +BANK_1_VCCIO = 3.3V; +BANK_1_VREF1 = NA; +; I/O Bank 2 Usage +BANK_2_USED = 12; +BANK_2_AVAIL = 20; +BANK_2_VCCIO = 3.3V; +BANK_2_VREF1 = NA; +; I/O Bank 3 Usage +BANK_3_USED = 18; +BANK_3_AVAIL = 20; +BANK_3_VCCIO = 3.3V; +BANK_3_VREF1 = NA; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par new file mode 100644 index 0000000..edce2f7 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.dir/RAM2GS_LCMXO2_640HC_impl1.par @@ -0,0 +1,28 @@ +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Tue Aug 17 06:20:51 2021 + +C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_640HC_impl1.p2t +RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir +RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset +C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml + + +Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. + +Level/ Number Worst Timing Worst Timing Run NCD +Cost [ncd] Unrouted Slack Score Slack(hold) Score(hold) Time Status +---------- -------- ----- ------ ----------- ----------- ---- ------ +5_1 * 0 1.135 0 0.304 0 10 Completed + +* : Design saved. + +Total (real) run time for 1-seed: 10 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.drc b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.drc new file mode 100644 index 0000000..ec074a2 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.drc @@ -0,0 +1 @@ +DRC detected 0 errors and 0 warnings. diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.jed b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.jed new file mode 100644 index 0000000..3343732 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.jed @@ -0,0 +1,1431 @@ +* +NOTE Diamond (64-bit) 3.12.0.240.2 JEDEC Compatible Fuse File.* +NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.* +NOTE All Rights Reserved.* +NOTE DATE CREATED: Tue Aug 17 06:21:07 2021* +NOTE DESIGN NAME: RAM2GS_LCMXO2_640HC_impl1.ncd* +NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100* +NOTE JEDEC FILE STATUS: Final Version 1.95* +NOTE PIN ASSIGNMENTS* +NOTE PINS RCLK : 63 : in* +NOTE PINS nFWE : 15 : in* +NOTE PINS nCRAS : 17 : in* +NOTE PINS nCCAS : 9 : in* +NOTE PINS Din[0] : 3 : in* +NOTE PINS Din[1] : 96 : in* +NOTE PINS Din[2] : 88 : in* +NOTE PINS Din[3] : 97 : in* +NOTE PINS Din[4] : 99 : in* +NOTE PINS Din[5] : 98 : in* +NOTE PINS Din[6] : 2 : in* +NOTE PINS Din[7] : 1 : in* +NOTE PINS CROW[0] : 10 : in* +NOTE PINS CROW[1] : 16 : in* +NOTE PINS MAin[0] : 14 : in* +NOTE PINS MAin[1] : 12 : in* +NOTE PINS MAin[2] : 13 : in* +NOTE PINS MAin[3] : 21 : in* +NOTE PINS MAin[4] : 20 : in* +NOTE PINS MAin[5] : 19 : in* +NOTE PINS MAin[6] : 24 : in* +NOTE PINS MAin[7] : 18 : in* +NOTE PINS MAin[8] : 25 : in* +NOTE PINS MAin[9] : 32 : in* +NOTE PINS PHI2 : 8 : in* +NOTE PINS RDQML : 48 : out* +NOTE PINS RDQMH : 51 : out* +NOTE PINS nRCAS : 52 : out* +NOTE PINS nRRAS : 54 : out* +NOTE PINS nRWE : 49 : out* +NOTE PINS RCKE : 53 : out* +NOTE PINS nRCS : 57 : out* +NOTE PINS RA[0] : 66 : out* +NOTE PINS RA[1] : 67 : out* +NOTE PINS RA[2] : 69 : out* +NOTE PINS RA[3] : 71 : out* +NOTE PINS RA[4] : 74 : out* +NOTE PINS RA[5] : 70 : out* +NOTE PINS RA[6] : 68 : out* +NOTE PINS RA[7] : 75 : out* +NOTE PINS RA[8] : 65 : out* +NOTE PINS RA[9] : 62 : out* +NOTE PINS RA[10] : 64 : out* +NOTE PINS RA[11] : 59 : out* +NOTE PINS RBA[0] : 58 : out* +NOTE PINS RBA[1] : 60 : out* +NOTE PINS LED : 34 : out* +NOTE PINS Dout[0] : 76 : out* +NOTE PINS Dout[1] : 86 : out* +NOTE PINS Dout[2] : 87 : out* +NOTE PINS Dout[3] : 85 : out* +NOTE PINS Dout[4] : 83 : out* +NOTE PINS Dout[5] : 84 : out* +NOTE PINS Dout[6] : 78 : out* +NOTE PINS Dout[7] : 82 : out* +NOTE PINS RD[0] : 36 : inout* +NOTE PINS RD[1] : 37 : inout* +NOTE PINS RD[2] : 38 : inout* +NOTE PINS RD[3] : 39 : inout* +NOTE PINS RD[4] : 40 : inout* +NOTE PINS RD[5] : 41 : inout* +NOTE PINS RD[6] : 42 : inout* +NOTE PINS RD[7] : 43 : inout* +QP100* +QF171904* +G0* +F0* +L000000 +11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000100101010000 +00101000010001000000011000000101010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000110010010001110100000100111010 +01001100010100000000000000010001000000000000000000000010001000000110010010000000000000000000000000000000000000000000000000000000 +00000001001010000000010000110010000000000000000000000000000000000000001000010100111100100000000000000000000000000000000000000000 +00000000000000000000000001000110000011001001000001001000100100000000000000100111011001100000000000000010000000000010010010011110 +01010000000000000000000000000000000000000000000000000000000000000000000100010000000010011000100101100011010011000000000000000000 +00000000010000100010100100000100110000000000000000000000000000000000000000000000000000000000001001000000010010000000000000000000 +00000000000000000000000000100001100111000001001100000000000000000000000000000000000000000000000000000000000000000000000000000100 +00100000000000000000100001000000000000000100001100100000010000010101110001100000000000000000000000000000000000000000000000000000 +00000000000000000000001001110000000000000000010011100000000001100000011100101000010001100001001110000000000000000000000000000000 +00000000000000000000000000000010010100000100101000000000000000000000000000000000000000100011000100110000000000000000000000000000 +00000000000000000000000000000000000000000001011100000010010010011000000100011000000001000000000000000000000000111010000000100001 +00000100001100111100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100101000 +00000000001001000100100100011010000110010001000110000000000000000000000000000000000000000000000010101000010111000000001010100001 +01110100110100011000001001100000000000000000011000100100000010000000010010110010100000100001001001111001010000000000000000000000 +00000000000000000000000000000000000000000000000000000000000100010100111000010000100000000010011100001000010001000111001000000100 +11000000000000000000000000000000000000000000000000000000000000000000000000000100010000000100111000010101000000000000000000000100 +10111001001010100111001000010000000000000000000000000000000000000000000000000000000000000000000000000100010100111000010001000100 +00110011100000000101001000000000010000100100011000000111000010000000000000000000000000000000000000000000000000000000000000000000 +00000000000000100111000011000000110011001100000010000000110011000000000000000000100000010101000000001001000000000000000000000000 +00000000000000000000000000000000000000000000000000101001000000000000001000010000000000000000000000100110100100101001000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110000000000000000000000 +00000000000000000000000000000000000000000000000000000000000001011111010100000100000000000000000000000000000000000000000100110000 +01011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100 +00000000000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100100001111000000000000000000010010010 +01101001101000100000000000011001100000000000000000000000000000000000000000000000000000000000000000000010011101000010000000000000 +10001010110010001100000000000000001000101001110000000000000000000000000000000000000000000000000000000000000000000000000000000100 +01000010011100000000000001000010000000000000100001100000010010100000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000001000000000000000000011100001000010000000000000000000000000000000000000000000000000000000000000000 +00000000000010001000100000000010010101100010111001000001010101100010100000011100100000000010001010001010001100001001010000100001 +00000100110000000000000100101100101000000000000000000000000000000000000000000000000000000011000000110010000001000010000010000110 +01110001000110100111000001000110001000001000001010010100001100110010010000000000000010001100000000001001001001000000000000100110 +00000000000000000000000000000000000000000000000010011110010110110000000100011100011010011000100010010011001011010000000000000000 +00001000000000100110100011000000000000100011100011000000000000000000000000000000000000000000000000000000001000010100000000000100 +00000100000001000101110100000000010001110010101001010000010010100000000000001000000001001100000000000100101100101000000000000000 +00000000000000000000000000000000000000000000100111100111100101000000001000110010010110001000000001100110000001000111000001000010 +01000110000010001010111000000000000000000000000001100111100000000000000000000000000000000000000000000000000000000000010011000100 +11010000110011100100111001000100000010000010011110000100010111100000100000000010000110011000000000000000000000000110011110000000 +00000000000000000000000000000000000010001000000101101010001000100101000001001010010001101001110001010010000010010010000010010000 +10001110011100000100111010011000000000000000000000011000001110000000000000000000000000000000000000000000000100000000000000100100 +00000000010011010000010101110010000001000000001001010100011001001100010000000100001010000001100110000000000000000000000000000000 +00000000000000000000000000000000000000000000000001000101001110001010101010101000111101100000100000111000100011001100101000101111 +00000010010010100111001100001000111001111001010100010100100101011100110100111010101010000111100100001100011000110101100011000011 +01101001101100100111111000010010011100101111110100101001001101000100011110000101101000010000000000000000011001111001001000000000 +00000000000000000000000000000000001000000000000000011001000011000011000011101000010010011000010111110000100100010010110011100001 +00100101100000000101010100111111000000100110100000110011110010111001000011001010100100100011111001000001001100100000000000010001 +01000000000000000110011110000000000000000000000000010110101000011001110000000000000000000000000000010110110010111000101011000111 +00110010001110000011010110001001000100001111000100001110010010101101000100000110100010101000110111000000111000100011001001001000 +01000101000001001010010001010011110101010001110100100000001000101001110001100000111000000000000000000000000000000000000000000000 +00000000000000000100001100010100000001010010001100110000001101001001011100000011000000001000101110000010100110100010100101111000 +00011000011000100100111010001101101000010100101100111100011101111010000110010011100010001001110000010011100000000110011110000000 +00000000000000000000000000000000000000000000001001000001000011001001100010100101101110110000101001000101001110000101010000001100 +01001010010001000010011100001001000011000011100101001100011100011001000011000000111100100000110001101011010000101101010001000100 +01100000000000000011000001110000000000000000000000000000000000000000000000000000000001000100010011100111000001001000110111100000 +00100000100111110010001001001110100011100101000010001001101000011101101110000101001001000110110000000100110100110100011100100010 +01100000000000000000000000000000000000000000000000000000000000000000000000000100100000100011111010000011010010011001111101000010 +00011110000000100110010001111101000001100010001110000110010001110001110000110000011010000011011010100110100011100111011000110011 +00100000100001000101111011011000000100010100011011010000101001110000000000000000000000000000000000000000000000000000000000000000 +00000100011010001000111000010001000000010001110000110101111001100010001001111010011000001001111001101001010110001100010000100001 +01011110110000011100001000010001110001110011110110001001010100011010000010011001001000000000000000100111000000000000000000000000 +00000000000000000000000010010110010000000011010000011100100101110100000110000110010110010010010000101001001100100110100001010010 +11001010110001010001100010001101001100101100001100000010011000010001011001011101100001101110001001000100011000000000000000110000 +01110000000000000000001000110010011100000000000000000000000000000000001001010000001110001000111000010010001100010101011001010011 +00101010010000100010110110000001001100001001110010000010001111000011101100110000000100000100101111010000001001011001110010001000 +00010001000000000000000000000000001000011001110010010101000010001001100000000000000000000000000000001001100000001000010010000100 +10010111000100100100110100000010001010010110011100000010000110001100110110010011110000011001110000010110011100000010010010100000 +00000000000000000000000000000000000010010000000000000000000000000000000000000000100001100001110110000001110110010010001111001010 +01000100000101011111001001010100111000010101001100001010011101000010001000010000011010000011000010100110101101000010011100000100 +11100000011000001110000000000001110001000000000000000000000000000000000000000001001010001000010101110010101110101010011001001001 +00101111000001001001111001011000010100011111000000100011000110001000010111100100010010101000001000011001100100101011000010101100 +01100010010010010100100010100101111001000100001110000001000000000000000000000100011000000000000000000000000000000000000000000000 +00000100100001000010000010000011001000101000010001000011001101010010100111100001101101110110000000010011001100100001100110011011 +00000100110100001100001100001100001100101010000010010010001100000000000000000000000001000100100101000000000000000000000000000000 +00000000000001001000010001000010010101110001000010000110011010000010000010000010010000010110011100011001000011100001010010000010 +10101000111100110000001000111101000101010010010010010011001110011001100101100110000010110110011010011000000000000000000000100100 +00110110000001001100000000000000000000000000000000000000010010000000001000010000101011101111100010010010101100100100110011000000 +00100001100000101001100110100110010010101001110100111010011011001000011001110100110110000110010011011000110101000110100011100111 +10000010000101001000000000000000000000000000000000000000000000000000000000000000000110011010100010100001001111010000110010001011 +10111000010000011101000000110001110111001100110110111001111101010100111111110011110011000111010101011110011110101000111001000001 +01000110111111101110111111101000011000011111110111000011100110011111001100011101111110001111010001100110000000000000000000000000 +00000000000000000000000000000000000000000010101111001101010001010001000110111011111110010011001000101110111000110000111001110100 +00011011100001100011101110111110110001110011010100010010011101110001111101110100011110011111110100011011100001100001111111001001 +11000111101010101101000100100100101110111111110001111001001111100110000110011000011000111011111001001110000110011000111110001111 +01000110001100000000000000000000000000000000000000000000000000000000000000000000001010111011000000100011110111011111111111100011 +11111001101011100111110000111111011000001110111111110001000101001011111111010011001001101111000000011001111110110110000011000011 +11110110111101100001101111110111011000100101100000111111011101101101111111110011101010010110100011110010011000101101000111000111 +01110011000110000000000000000000000000000000000000000000000000000000000000000000000101100000010011011011101111010000100000111111 +10010110011011111100000010101000110001000101111101100111110111001110111111111100011001100111111111111000000110100111101100001111 +11111111001000011100001111111000101101101111111111111101001101110111111100110001101000111000111111110110011000000000000000000000 +00000000000000000000000000000000000000000000000000100001111010000000001100010001001001110011000000111001001000111111000000001000 +00001001110010000001100001100111100000000100000001110000100001000000100011111100000000110000001110010000001001000000000000000000 +00000000000000000000000000000000000000000000000000101011000100101000100111010010001000101001110111000000110011001001110000000010 +00000000000001001000010111001001010000000000000000000000000000000000000000000000000000000000000000000000000100111111000001010011 +00000110010001011100010010000011000110000000010111110001100010001100000011000010110010001001000011101000010001001000000000000000 +00000000001001100000000000000000000000000000000000000000000000000001001010010001001001110000001000110000010011100001011000000000 +10001010011110011101001100000000000000101101000000000000000000000000000000000000000000000000000000000000000111000001000000011000 +11101010011001100000011101110000100010000000001100100001000000100000000100110100000100001101110000000000000000000000000000000000 +00000000000000000000000000000000000000000001001100100111100100100100000010010101000000100100100001000000100101000100000011000110 +00000010111100101101000111010000001000110000000000000000000000000110011110000000000000000000000000000000000000000000000000001001 +00100111010111000100010010011100001000011101000010000001000000000010000110011100000100111000100001100110100100010011000000000000 +00000000011001111000000000000000000000000000000000000000000000000010110101000101011001001101001111001101111001000000100100010001 +00010110001110001101000100001100011000011011000001001110000011100010000100010100111010011000000000000000000000011000011100000000 +00000000000000000000000000000000000000000000000000010111010000011000101001001111000010011100000011010101100110000010101011010101 +00111000010110000010000110011000000001011101000000100111000010111110001100010000010001110110100000000000000000000000000000000000 +00000000000000000000000000000000000000000011110000001100010001010010110000000110000001100100011101010011101000100100010010011010 +01110110001010011000110101110000010010010010000111000101001011010100011101001010001011010010011001001001100100000010001110001100 +00000100100010010100000101110000000000000110011110010010000000000000000000000000000000000000000000100000000001000001000111101110 +00010000101110010000100000111001000011001100001101001010110110101011100001001000000010000110011010010110001100011000110001100100 +00100100000100001110100011011100011011000000100110011010000010100011110100010110011010000010000111011001000010001000100010000000 +00000000011001111000000000000000000000000000000000000000000000000010000101001011110001000011000011001001110001000000111001100010 +01101001101110001100010001011000100100011001000011001111000000001110100000100101111000100010011100101111011000100011100011000001 +00100010100100010000000000000000000001100001110000000000000000000000000000000000000000000000000000100011100010010001111001000101 +00100010101010101011000101001000001000001001001001001100100001110011100111010000101001111001100100010100001100110101100100110010 +10101100001010100000100010100111001100100101100110100110100110110010010001101100110110100000111100010001001110000011101000000100 +01100000000000000110011110000000000000000000000000000000000000000000000000001001000110010001001010100001011111110001000110010010 +01001111110010100100001110100010110101110011001001000100000100001100111100101100010010010111100100101001101111001000110010001010 +00011000101100100010100110111010000010001001001010100111000000000000000000011000011100000000000000000000000000000000000000000000 +00000000000001100100100100111000111000100010011011001000011011001100111000100111100111010011111000011000010111010001010001001001 +00111001000101100001010110010010010010001000011001101101100010100010100011011010000110110000101010101100000000000000000000000000 +00000000000000000000000000000000000000000000000000000101010100110110110000001000111001010100011010010110010011110100010100110000 +10001111110000011111001000010001110000001010101000001101100010011010000101000000111000100010111010010010001100100011000000101010 +10110100000000000000000000000000000000000000000000000000000000000000000000010011100000001100011010100000111001000101000010110010 +10101011111110100001010011110110011000110001000001001011001101000100100100000100010100011110000101010000010001001001010101100110 +01000101000110000000000000000000000000000010011100000000000000000000000000000000000000000000001000000100101010011001011001001110 +10001110000001001100010000110111110000110110011101000001001011000101111000001100000000110010010010001011001000100100101100101001 +01011100110000101111110000101010010110011101000000000000000000000110000111000000000000000000000000000000000000000000000000000000 +01000010100110110001001110101000000100110110100000111000110001001111001010011100100001001010011110000001000101011101110010100011 +10100000010000111100100001101000001100100010011011000110010110011000010110110010100100110111010000000000000000000000000000000000 +00100001100111000010000110011101010100000000000000000000000000000000101110001000101000111010010010000111000110001101000010010101 +01011111001011001101001001101011000111000010010010011100110001100100001010011110001010000010001010000010011010011010011001000101 +00110100111100111101100011001001001100001010100111000001010100000001011011001000000000000000000000000000000000000000000000000000 +00000000000100011111100000001100100001101001001001101000111101000010010000101001011100101010110001000110011101111000000100010100 +00010001000011100010001101100010100100110001110010010001000111101000001110100100110000110100110000101100100001100110100010101110 +01100110010000000000100000000000110000111000000001001100001011000111001000000000000000000000000000000000000000000000001001010100 +00010001110101000110001010111001001010100010100111011010100100010011010010110010100110011001001100110001110010000110000110010110 +00010101001000100001011110000000011011010001001101101100000101001000010000100000000000000000000001111000000000000000000000000000 +00000000000000000000000100011001100000011000001001110000100101100111100011100001011001010101011001001011001110100100111000101010 +01011000001001000010110110110110001000011100110011001110000100000101011100101000000000000010001100000000011110000000001001000100 +10000000000000000000000000000000000000000000010000010011101000001110000001010011101100100001100000100100110001110010010110001010 +00001001000110001001011000100011001110110100000110101111011000000100101100000101111100001101111000110010010111010110001000111110 +01000011100101010001000101110001000000000000000100001000000000000111100000010010000100011010011000000000000000000000000000000000 +00000010011000001001100010010111001000100010001010110011001000011001101001110010111111010000011001110100110101100101100010001011 +00100001100100001000111001100010001001000011110000110000101011110011001010011010011000000100111000000000000000000000000000000000 +00000000000000000000000000000000000111111001111111110100101000111100110001100000011110011001101010001010000110011001110011010000 +11010001010000110011010111001111110101000111001000001001001111001100011100100111111000000010100010010101111110011100100011011111 +11111111101001101110011111001101100000000000000000000000000000000000000000000000000000000000000000000000001010111100000011110000 +10101101100000101000111000110010001111000000111111111101100010111111101111101111111100101110001100010100110111111111111111011000 +11110011000011001110101001001100110110111001000010001101111011000100100101111111100000010001111111111100100100111111011010010010 +00111001101111111100110011000011000100111110011000100011000000000000000000000000000000000000000000000000000000000000000000000000 +00101011100011111100000011011101001101110111111101110101101011111100110100100111101111111100100011100001110100010011011100001110 +11111110100001000001111111010011111110011111111110010100011111110111001010101000110001100011111110101100100111011111111001110011 +11110001011110011100110011001010100011111101101010010000000000000000000000000000000000000000000000000000000000000000000000010001 +11111001111100001110111011111110111010110100111010100010000110100011100110011001100100111100001101000110010001101010001111111010 +01010001111101111011011111111010001001011000001101111111100110111011101111101011110110110111111100100111000101111011111111010101 +01000011110010011111110111010011000000000000000000000000000000000000000000000000000000000000000000000000001000011110100000100011 +11110000000010000001000101001100011000100111001001000001101000111001000000010011100100000010001111110000000011000100010010011100 +11000000111001001000111111000100001001000000000000000000000000000000000000000000000000000000000000000000000000100011010011001000 +10010010101000100100001000010010111000011001000101011000011001100001100100100110011000001000101001010000010010100000110010001001 +00001001000101001100000000100100000000010000000000000000000000000000000000000000000000000000000000000011001000101101001011000100 +11110000110011001001110100111100001010001110010010011010011111010000101011001001011001001001001001111000011101000001100011000000 +00000001000011001110101010100100000000000000000010010000000000000000000000000000000000000000000000000000000101101000100110010011 +00100111100010101001100010100111010011101001110100111100000101101100110100110010011001110110000010011010011100000111000100000000 +10111101000000010011000100111000000000000010000110011000000000000000000000000000000000000000000000000000000000110001100110000110 +01001001001000010110001010110000100100010000110010001001000011010101010100111010000001000010100000010010000000100011000000000100 +00010000101000010000011000000111001010001100000011110000001100000000000000000000000000000010001000000000000000000000000010001101 +01111010000000010011110010101000101001000100101010011110001011101010000010001101000111000001000101110010000010001110001110100100 +10001110000000000000011000101001001111001111000000000000000000000000000000000000000000000010000000000000000000000010001100000101 +00101001101000011011000000100001110100000110011110011111100010001001001000111100010101000110011000011010000101001101110100000100 +00100110010001010011011100010001000110011010000101101000010100101000000000010001011001000100000000000000000000100101000000000000 +00000000000000000000000000000100110000001001100001011001001110111000010000101100100011110100001001011011001101101000010100111001 +00110100110101001100110001001011000111000000110010010000000000010111101000101110000100100111010011010011110001110011100000000000 +00000011001110000000000000000000000000000000000000000000000000000000010000110000001110001000100001100010111000010011100001010001 +00101010000010000110001100100100101111100001000000100101100000000100011111010000000000000100110100000110001001001101000010000011 +00000011000000000000000000001001100000000000000000000000000000000000000000001010011110000100101001111100000011000100101000010110 +11000000011001001011001011000001000001101000010010000110001010001111000011101101000010001000101000001101000010100110101001000111 +00000101101001010010010010010001000101010010100100011010010011001001110010010000000000000100100100010000000000000000100011000000 +00000000000000000000000000000000000011010000101000000100000100101100110110010011001110100000100000001000101101110000100100110010 +00011001010110001001000010001001001110110010010001001010100000100111100101111000100110011101111000010110100001010001010011011001 +00100010010010000101000000000000000000000000000000000000000000000000000000000000000000000000000100011100101100001010100110000000 +10010010011110100111100001000001001000100000010011100010000110010010001010011010011110001101001111000001001110010010111000010101 +00111011100100001101000001100111011000100011001000000000000001001011000010000000000000000000000000000000000000000000000000000001 +00001110000101011100100001000111100100001111000100010000101101000010101110011000100011100111010110001000110000010111011000100011 +01101110100011011001000101101011000100111100000011100001011110010001100101010010111100001001100100101110000110010001011110000000 +10001000001100100100010001010010110011110011100000000000000000000000000000100110000000000000000000000000000000000000100000100001 +00100010100001111001000010000111101000000010001010001110000110111110010110001001000001001010100111010001010111110011110001110100 +11000011110100000101010101111100011111010000010011101101000010111100100101001111000011001111000111110011000000100000000100100000 +00000000000000000000000000100011000000000000000000000000000000000101110110010001010001111011001001010010010010001110000100100011 +00001000011000101110001000100100100100111000001010110001001110110001001001001101001111110000100000100111110110000011100010001001 +00001110000100011000011101001010011001100011000011101110000000000000000000000000000000000000000000000000000000000000000000000100 +10000100100001001101110000100000100110010000010011010000011010000100111101100010000001000010010110010001011101000001001001000001 +00011010001010011001101000110001000101000010100110100111100000010000011100000101001110010000100100000100101000000000000000000000 +00000000000000000000000000000000000001000011001101100001010110100000100001011011100001010100001111001000010100101101000101110111 +00000010000001010011000111101110100000111000100110000001001010100011110001010111000100101000000100101001100010001010001110001001 +00011000000010000100000000000000000000000000000000010001000000000000000000000000000100001100111101011010110101001001000101000011 +01010001001001000101001011001010001101001001100110100110010010101000110000010110101000111001100010001111000100011000010001010011 +00101100110000000000000000000000000010110100000000000000010011100000000000000000000000000001001101000011001010110001001010110010 +00110100101100101110010001010000011100001001111000000010001011100100001011001000100001100100001100101100111010010010001011001000 +10101110111000110011100110000010000111001001001101100001010011001000001010111001000000000000000000000000000010111000000000000000 +00000000000000000000000001000011001000000010001010010110010101011001110100000010001011100010000100001111001000001100000011100001 +01100011000100011100110001000010010000001110000001100111100001000100101100110100111000010000010001110001100000000000000000000000 +00000000000000000000000000000000000000000000000110010010001000111000001101000010010111111010001100110001001110111010011110010111 +00001110001000111110110000100010100000110100000110011000100001111000100010010110000110010010001011100001001000001101000001100110 +10010010011111001001011000011100001100100111100110001001001101000010111100000000000000000000000000000000000000000000000000000000 +00000000000000000000001100010100000001000011000011010110100001010001001100010001001000100100000110001000101011100100010100000110 +11011101001111010011001111000101100100010100110110111000011001000011001011000101110000100100111100110100111100000011001010011100 +01101010010100000000000000000000000000000000000000000000000000000000000000000100110100101101111110010001011100100001000011001001 +10100100110001110011110011010100101001101111000110010011110111000100011101110100101011011010011001110011001001000001110101000101 +01001001000100110100000100001110001001000100110100101010011000000010001100000000000100011000000000000000000000000000000000000000 +00000000000001001000100000001010010100010110010000110110010010010111001100000011011010100100010001001101101000111000001001001000 +00100001101110001010100100110010110110000010001101100101101001000100011010000010100110000000000001000110000000000000000000000000 +00000000000000000000000000000001111100100110011101011100100000011010010010100000001001011000111011000110111000000010000101110000 +01110011011101100000111010100101000000100110010101111000100011001100100100011011010010100001100111010010010001001101010010111001 +00000000010010100000100110000001001100000000000000000000000000000000000000000000000001101111111111111000001111010001100001011011 +10111110010001010000110000010100001111110000111110111101010001010000110001111110000101100110011111101010001101111111111010111110 +00011010001100111001100110111111111011111011110110000111111100110010101000101000010100010011001111010101111111111001101110011111 +10010011001100000000000000000000000000000000000000000000000000000000000000000110111111101101110000111101110101100001110110010001 +01100100010100100011111110111100001110111011000010100011011101001111111011111101111111000011101100001110111010101011011000000111 +10101001010111100110011111010001110010010100011111110011000111010001010001001000111001101110001111111111101111110101100100111111 +10010110000111011000101011000101001001100010011111111100110001100000000000000000000000000000000000000000000000000000000000000000 +00000011000011111100110000110011001111000000111100001110110001000101100110000101011111011100011000011101110111111111100111111011 +10000101000111111000010101111001100110110111000011100111111110011001110100111011001001111010101001001110001001111111110111000011 +00111111101111111111010111100110011100010000101000101000011100010111110010000101011110100110011001111111001011111111101010001100 +00000000000000000000000000000000000000000000000000000000000000000000010001011000011110110000111111100110010111011101111101010101 +00001101011111011100010011010100011110011111000011111011111101000011001001111011001000011110010011111100100110011011001001111010 +10100001111000101110100010111011101010111010000111100110110001101101010101111101111101100001111111001000001101001100101000100101 +10100011110011001001100000000000000000000000000000000000000000000000000000000000000000000000100001100111100011111100010000110001 +00111001001000001101000111001100010011110011000010001111110001000011000000111001001000111111000000001100000011100100011100001010 +01100011011100100010011100100000001001110010000111101000001000111111000000001001000000000000000000000000000000000000000000000000 +00000000000000000001000100011001001000100110000001001000010110100110010000100010011110011110010100100101010011100000000010010000 +00000000000000000000000001001010000000000000000000000000000000000000000000000000000100000001000011101001101100100000000010010110 +00111000011001110100001100111100001100011100111000100001100111100010100111000110010101011100000011001011000101000110010000100000 +00000000000000000000100100000000001001100000000000000000000000000000000000000000000100110100110010011010001001000101001100010011 +11001100100111110001001011110010000100011100110010001000001001101001011001101000110100011111000010010011101001110001000101001110 +00000000000000001011010000001001100000000000000000000000000000000000000000000000000000101010010011110010010000001100010001010011 +01000011000110100000101011110101001000100101100000100100100100100111010001110000011000100100100100100110011000100010001001011001 +10010000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000010010000001001000001111000000010 +10100100000101001100111010101110011010010001001110100001100101110010100110010001000100100110000010001110110110101000000000000000 +00000000000000000110011010000000000000000000000000010001100000100011000010001100000100011000100000000100110001000111000011001111 +00011100011100111100110100001101011010000010011100010010101000100100001001100100010101100100100000010000010010100011001000101001 +10100011000000000000000000100101000000000110011110000000000000000000000000010010100000100101000010010100000000010001100010110110 +00000100111010000111110000001001100001010011110000010000100101110100011011101010001000001100010100100101010010100100110100110000 +00100110000100011010011010011000000000000000000100100101101110000111100000000000000000000000000000000000000000000001001100001100 +00101010011000110000110010011100010000110001110000001001000001110000001110001010011100100000100100100011010000000001100010101100 +00110001100001100001010000000010010000000000000000000000000000000000000000000000000000000000000000000100100010001110000111010110 +00011011001000010001100100010100001010011010011001100000011100111100000010010001000001110010000010000110001110010100000101001100 +11101000001000100000000000000000000000000000000001110110100100100000000000000000000000000000000000000000001000000011010000011001 +01010000001110000101110100010110010110011110000001001111110010010100110100010110011000100100011101100100110100000100011100110100 +11001001101100010001100110010110011001000011100100100100000000110001001011011000000000010001000000000000000000000000000001100111 +10000000000000000000000100001100111000000000000000000000100110010110110001110011101000111001111110101001100110000110100000110001 +11001000100111011010000101110000100100000100111100111010010111100010001001001110001000100110010001010111110010011010000011000111 +00011000111001000000000000000000000000000001100001111000000000000000000000000000000000000000000000000001000011001010001000010100 +00011100110001100110000110110001111000010101000001000001000110100010100011110110001010011011000010100100010110000110001100010010 +01001001001011000001001101100010010010011010010010011110000111011000010001100110000000100111000000000000000000000111111110000000 +00010011000000000000000000000000000010001100000000101111100001110110000000100101110001100110011111100000110100010100010100000100 +10010001110011010111001001010010011010001011010101001000101000000100110001000001001111000010100001100111010001010011000000000000 +00000000000000110000111101111000000000000001000110000000000000000000000000001001100000001001000100101100110010011000010101001100 +11000001110000001100110110100001010110000100110100010100000001001011101000001111100001001001110100100010100111000011011001110001 +10011011011010000100000000000000000000001001100000000000000000000000000000000000000000000000000000000100011010000111100100000100 +10010011110010010010011100010011100010011010000100011100010010010001110000111000011001000111001000100010110010010010001111000011 +01110001100010011001100100010011000110001001101000000100010101010100100000001000000000000000000000000000000000000000000000000000 +00000000000000000000011110100001001110110001000100100100010101100101110100001010001110111101000110110000001111000101001101000001 +10011001011111000110111000100111011000001001100010001111010000011001111110010000100001000111001000000000100001000000000000001000 +11000000010001100000100001000000000000000000000000000000001001000001001110011000110011110000100101001000100101100011100011101101 +10001001000110010111010011010000101000111000011000001100100001010101010011110011000011011000000110110000000001110000100100110000 +00000000000000000000001100001111000010110100000010011100100111000000000000000000000000010010100000100111100111101011010000110010 +10101011010011100010011111100010101100100100100100101111111000000111100010000001000111001100011000101000001000111100100001100010 +01001000101010100001100100000001000100000000000001000000000000000111100000000000100000001000010000010000100000000000000000000000 +00010000101000101000011100010010100111001110000100101001001000110100010101001100110011100000010010000110010101000111001100100010 +10011110010010001101011111011101000001100001110011010010010011011000001010100000000100110000000000000100100000000000000001010010 +00000000001000100010000000000000000000000000000000000010001110000100100110111000010110100111001000101001101011100100010110100011 +00010011011100100000101101100000111000000111000100011101010001100011100110100001100110100111001000100101110100111001010101001100 +00000011100100000000000000000000001100001111000000001001101001000100110000000000000000000001001100000000001000110000000010000010 +00111001101001100000100001011001001000100000110010001010010010010111001000011000011000111110000001001110100001111000001010010100 +10011000010010000100101010000010011010010000000000000000000000000000000000001000111000100000000000000000000000100011000000000100 +11000001100000011000100010110010001001100010010110010010010010010011111001001101000110100111000100111110001001000110010001010001 +01110100100100110111000100010011010001110000110011000111100000001000000001000011100100100110100110110011000000000000000000001000 +01000000010011000000101001000000000000000000000000000000000000100001100100011011010010100100010101110111011010000011000111001111 +11010000000101100110000110011100001000100101100000100100100000110001000110010010001010000001000110110011100011100001000100110100 +10110001010100110011010000000100111000001000101111001000000000000000100010000000001001000000100101000000000000000000000000000000 +00000000001110010001111001000010000010011011110001001000101000010110001000110111000100001100101111000000110010001000101001001001 +10010011010001111000101001011111000110100110100011101111101111111001000100010000110000011000010101001110000000000000000000000000 +01001100000000000000000000000000000000000000000000100001110010001001100110011001110001000111110111101100000011110011000001010001 +10000001110000111100100001111110111100110110011001110100001100110000001010001110111111011111100000100110100000101000010000010100 +00111111101111101111110110110111111110111010101000000000000000000000000000000000000000000000000000000000000000000000000000000110 +00100111111110000100100110110000010010110001111101010101010001101110000110000001111001100001000111101010101110000001111011100001 +11001000010100010001111011101111010001000100100111101101110111111110100011011001000111100110010001101111001111110001010010000010 +10001011011010001010001001001010001100111111100011101000000000000000000000000000010011000000000000000000000000000000000000000000 +00011000010101001001100110011100011100011111100110101101100000011011101101000111101111111110010001011011100001100001111010001110 +10001100110000110011010001100001100100011100000011011101000110011001111000011001000001101001100110011000011001011101001011000111 +11111110011100010000101111111011111110001000000000000000000000000000000000000000000000000000000000000000000000000000011010101011 +10000101001011111100111011011110111011111110000010000111111101101001101010001111101110100001110011001111111100001011101111011111 +11110011111000011110011101010111010000011110011001000011001001100110011100110111110111111111011001000011100001111111011111110011 +01111011101111000100001011111010000000000000000000000000000000000000000000000000000000000000000000000000000010000000111000010000 +11000100010101010100110001100010001011000011001111000111001100011001010000011010000110011000100010110000110011110000110011000110 +11100100100011111100010000110001000100100111001100000011100100000000000000000000000000000100110000000000000000000000000000000000 +00000000000000001001110000000000000001000010000100000000001000010000000000000000000000000000000000000000000000000010000110010000 +00000000000000000000000000000000000010000100000000000001000011101000001000010000110011010000101001110100001100110001001110000000 +00000000000000000000000000100110000000000000000000000000000000000000000000000000000000000000001011000100000000100110101010001011 +01010011010000010000110011000000000000000000000000000001001110000000000000000000000000000000000000000000000000000000000000000000 +10000010101100001000000010001000100000000100100000000000000000001100110000000000000100001000000000000000000000000000000000000000 +00000000000000000001001010100111110010000101010010000000000000001000001001011010010000100000000000000001000010000000000000000000 +00000000011001111000000000000000000000000000000000000000000000000000100100010001010000100000000001000000000100001100110100001100 +10010011101000011101000010010000100000000000000000000000000000000000000001100111100000000000000000000000000000000000000000000000 +00000010011010001100000000000000001001100000010011001001110000000000000000000000000000000110000111100000000000000000000000000000 +00000000000000000000000000001000001100010010000000000001000110000100000001000100010000001110000010000000000000100000000000000000 +00000000000000000000000000000000000000000000000000001000110000000100111010000100000000100001001000011000010000000000010000100000 +00000000000000000000000000000000011001111001001000000000000000000000000000000000000000000011010000010000001000110000100000000011 +00000011000000000001000100000001000000000000000000000000000000000000000001100111100000000000000000000000000000000000000000000000 +00000000000000000000000000000000100000000000000000000000000000000000011000011110000000000000000000000000000000000000000000000000 +00000010010010000110011100000010000000100100100000000000100111011001100000000000000000000000000000000000000000000110011110000000 +00000000000000000000000000000000000000000000001001100000000000010111101101001010001000000000000000000000000000000000000000000000 +11000011110000000000000000000000000000000000000000000000000000000100010000101001000000000010011110011010011000000010001000100110 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000001001100000100010010010101 +00101000000001001000010001011100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111 +00000001000110000001000110111000000100100010000100111000001001100000000000000000000000000000000000100111000000000001001000000000 +00000000000000000000000000000000000000000001101001001100101100101100000100000010001001001111000011000000100010010011100000000000 +00000000000000100000000001100001111000000000100011000000000000000000000000000000000000000000000010001100000000000100101100110001 +00111010110101001100100011010001101001100110000110000000000000000000000000000000000000000000100101000010010100000001001110000000 +00000000000000000000000001000010111100100000111000100000000001010101000111001001001111000100111001011011010011001110001000010110 +01001111000101010011000110100110000000000001000100000000000000100010000000000000000000001000000000010011001000100001011100000000 +00000000000000000000000101101100111000000000100001001010110010011100100100010010101100100100010010001001011111010000000000000010 +00000000000000000000000110000111100000000000011000110000000000000000000000000000000000000000000000000000101010000000000011000100 +10100111010011101000100100111001000101000100100111001001010000000001001000000000000000000000000000000001001100000000000000000000 +00000000000000000000000010001100000000000001001100110000110010001111101000000100110011000011000100001010011001000100000000000000 +00000000001000100100001000000000000001010010100111000000000000000000000000000000000000000000001001110000000001001110010001101001 +10111010000011000101001101001100111000100001001101000011000101000001000110100000000000001001100001001010000000000010000111000101 +00000000001001000000110001100000000000000000000000000000000000000000000001000111001110000000010000100000100101001001111001000100 +10110011110010101001000100101001000110000010010000000000000000010011100000000000000000000000000000000000000000000000000000000000 +00011000000111010000000000000100000101000100100101000111111110011010010011100111111111111110011100100101100111111111111110011010 +01001110011111111111111001110010010110011111111000000111010010000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000010101111000000111010001101100000000000000011111111101001001010000110000110100111011000000110000110100111011000 +00101000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010111100110010100010010100 +00000001100110011111110111010010000110000110100111011000000110000110100111011000001111111100100011000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000111100110000000000000011111011101000011100111111111111110011010000011 +10011111111111111001110000010110011111111111111001101000001110011111111111111001110000010110011111111111111001011100000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000110000001111001100001000111111000000000000001000000011 +10000100110110100010000011110100111001001000111111000100110110100010000011110100111001001000111111000100110110100010000011110100 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000010000 +00000000000000000000000000000010000010001010011100000000000000000010000110010000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000001000010000001001100000000000000000000000000000000000000001000101000100000000000100 +00111100001000000000000000000010000000000010001100000000000000000000000000001000111001100000000000000000000000000000000000000000 +00000000000100111100111000000000100001010011100000000000000000000000100101000000000000000000000000000010011010010000000000000000 +00000000000000000000000000000100011000000000010101100000100001000001000010000000000000000100011000000000000010010000000000000000 +00000000011001111000000000000000000000000000000000000010011000000000000100111000001000110000000000000000000000000000000000000000 +00000000000000000110011110000000000000000000000000000000000000000001001000000010000000000000000100000000000000000010010100000100 +01100000000000000000000000000000011000011110000000000000000000000000000000000000000000000010010100000000001000101110000001100101 +00000000000000000010010000100000001001010000000000100101000000000000000000000000000000000000000000000000000000000000000001000110 +10011000000000100011100110011100000100000010000100000000100010000100110010010100100001000000000000000000000000000000000001100111 +10010010000000000000000000000000000000000000000100101010010000000000010001101001010000000000000010000000000000000000000000000000 +00000000000000000110011110000000000000000000000000000000000000000000000000000100101101100010111000000000000010010101011100001001 +00000000000000000000000000000000000000110000111100000000000000000000000000000000000000000110010010001110010000000000000001000000 +00001000000000001001000000000100100000100111000000000000000000000000000000000000011001111000000000000000000000000000000000000000 +00000000000000000000001000010000000000000010001100100001000000000000000000000000000000011000011110000000000000000000000000000000 +00000000000000000000000000000001000100000000000000000010001000000110000001100000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000010000000000010011100000101101010010100000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000100000000000000001000010000001001110000100000000000000000000000000000000000000000 +00100111000000000000010011000000000000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000011 +00001111000000000000000010001100000000000000000000010011000000000000000000000001000100000000000001000000000000000000000000000000 +00000000000000000000000001001010000000000000000000000000000000000000000000000000001000000000001001010000001001000000000000000000 +00000000000000000000000000000000100000000000000000000000000000000000000001011100000000000000110000001100000000010010000000000000 +00000000000000000000000000000001100001111000000000000000000000000000000000000000000000100000000010001000000000010010000000000000 +00000000000000000000000000000000000000000000000000000100011010100100000000000000000000011011010000000000001001010100010011011000 +00100111000000100101010110001011101000110000000000000000000000000000000000000000000000000000000000000100100000000000000000000000 +00100010000000001000011001000110110000001001110000001000011001000100010000000000000000000000000000000000000000000000000000000000 +00000000001001000011000101000111010000000000000000000000000000000000000010001101001010000000100111000111001000010011000000000000 +00000000011001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000001000010000010000100000100001000001000010000010000100000100001000001000010 +00001000010000010000100000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000100101100001000000000010010110000100000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000100110000000000001001100000000000010011000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000100111000000000001001110000000000010011100000000000000000000 +00010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000001100010001110100000110011000000001010111100011000100000111111100111 +11100000000000010101111000110001000001111111001111110000000000000000000000000011000101011101000101100110000000000000000000000000 +00000000000000000000000000000000000001100010001110100000110011000000001010111100011000100000111111100111111000000000000101011110 +00110001000001111111001111110000000000000000000000001000011000111000111001111001110000000000000000000000000000000000000000000000 +00000100111000000000000000001001110000000000000001100010001110100000110011000010001111101000001110100000000110001100111111000011 +11110000000000000000001010111100011000110001100111100000011001110000000000000000000000000000000000000000000000000000000000000000 +00000000000000010001111101000001110100000000110001100111111000011111100000010000110001111000110011111000001111100000000000000000 +00101011110001100011000110011110000001100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100010001100100011010011110001 +10100111100011010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000001001010000000000000001110001010000000001111001001001101111111111111111111111111111111111111111 +11111111111111111111111111000010100000000000000000000000000000000000000000000000000000000010101010100111001000100000000000000000 +00000000010000000000000000000000000000001111111111111111111111111111111111111111111111111111111101011110000000000000000000000000 +11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +* +NOTE END CONFIG DATA* +L50560 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +* +CDFAC* +NOTE FEATURE_ROW* +E0000000000000000000000000000000000000000000000000000000000000000 +0000010001100000* +NOTE User Electronic Signature Data* +UH00000000* +00DE diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.log b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.log new file mode 100644 index 0000000..d0353b8 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.log @@ -0,0 +1,4 @@ +---- MParTrce Tool Log File ---- + +==== Par Standard Out ==== +==== End of Par Standard Out ==== diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf new file mode 100644 index 0000000..2743d95 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf @@ -0,0 +1,4 @@ +#BLOCK ASYNCPATHS; +#BLOCK RESETPATHS; + +#FREQUENCY 200.000000 MHz; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata new file mode 100644 index 0000000..641fd41 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lsedata @@ -0,0 +1,9954 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp new file mode 100644 index 0000000..e49c545 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mrp @@ -0,0 +1,402 @@ + + Lattice Mapping Report File for Design Module 'RAM2GS' + + +Design Information +------------------ + +Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial + RAM2GS_LCMXO2_640HC_impl1.ngd -o RAM2GS_LCMXO2_640HC_impl1_map.ncd -pr + RAM2GS_LCMXO2_640HC_impl1.prf -mp RAM2GS_LCMXO2_640HC_impl1.mrp -lpf C:/Use + rs/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2 + _640HC_impl1.lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMX + O2-640HC/RAM2GS_LCMXO2_640HC.lpf -c 0 -gui -msgset + C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml +Target Vendor: LATTICE +Target Device: LCMXO2-640HCTQFP100 +Target Performance: 4 +Mapper: xo2c00, version: Diamond (64-bit) 3.12.0.240.2 +Mapped on: 08/17/21 06:20:50 + +Design Summary +-------------- + + Number of registers: 119 out of 877 (14%) + PFU registers: 119 out of 640 (19%) + PIO registers: 0 out of 237 (0%) + Number of SLICEs: 131 out of 320 (41%) + SLICEs as Logic/ROM: 131 out of 320 (41%) + SLICEs as RAM: 0 out of 240 (0%) + SLICEs as Carry: 10 out of 320 (3%) + Number of LUT4s: 255 out of 640 (40%) + Number used as logic LUTs: 235 + Number used as distributed RAM: 0 + Number used as ripple logic: 20 + Number used as shift registers: 0 + Number of PIO sites used: 63 + 4(JTAG) out of 79 (85%) + Number of block RAMs: 0 out of 2 (0%) + Number of GSRs: 0 out of 1 (0%) + EFB used : Yes + JTAG used : No + Readback used : No + Oscillator used : No + Startup used : No + POR : On + Bandgap : On + Number of Power Controller: 0 out of 1 (0%) + Number of Dynamic Bank Controller (BCINRD): 0 out of 4 (0%) + Number of DCCA: 0 out of 8 (0%) + Number of DCMA: 0 out of 2 (0%) + Notes:- + 1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of + distributed RAMs) + 2*(Number of ripple logic) + 2. Number of logic LUT4s does not include count of distributed RAM and + ripple logic. + Number of clocks: 5 + Net RCLK_c: 52 loads, 52 rising, 0 falling (Driver: PIO RCLK ) + Net wb_clk: 1 loads, 1 rising, 0 falling (Driver: wb_clk_550 ) + Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 ) + Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS ) + Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) + Number of Clock Enables: 14 + Net RCLK_c_enable_27: 8 loads, 8 LSLICEs + + Page 1 + + + + +Design: RAM2GS Date: 08/17/21 06:20:50 + +Design Summary (cont) +--------------------- + Net RCLK_c_enable_20: 4 loads, 4 LSLICEs + Net RCLK_c_enable_29: 2 loads, 2 LSLICEs + Net RCLK_c_enable_25: 2 loads, 2 LSLICEs + Net InitReady: 1 loads, 1 LSLICEs + Net RCLK_c_enable_24: 2 loads, 2 LSLICEs + Net PHI2_N_151_enable_1: 1 loads, 1 LSLICEs + Net RCLK_c_enable_26: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_3: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_5: 2 loads, 2 LSLICEs + Net Ready_N_280: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_6: 1 loads, 1 LSLICEs + Net RCLK_c_enable_28: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_7: 1 loads, 1 LSLICEs + Number of LSRs: 8 + Net RASr2: 1 loads, 1 LSLICEs + Net nRowColSel_N_34: 1 loads, 1 LSLICEs + Net wb_rst: 1 loads, 0 LSLICEs + Net nRWE_N_210: 1 loads, 1 LSLICEs + Net C1Submitted_N_232: 2 loads, 2 LSLICEs + Net wb_adr_7__N_92: 2 loads, 2 LSLICEs + Net nRowColSel_N_35: 1 loads, 1 LSLICEs + Net Ready: 7 loads, 7 LSLICEs + Number of nets driven by tri-state buffers: 0 + Top 10 highest fanout non-clock nets: + Net InitReady: 36 loads + Net FS_10: 32 loads + Net FS_11: 32 loads + Net FS_9: 26 loads + Net FS_7: 25 loads + Net FS_8: 23 loads + Net FS_5: 21 loads + Net FS_6: 21 loads + Net FS_12: 20 loads + Net Ready: 18 loads + + + + + Number of warnings: 0 + Number of errors: 0 + + +Design Errors/Warnings +---------------------- + + No errors or warnings present. + +IO (PIO) Attributes +------------------- + ++---------------------+-----------+-----------+------------+ +| IO Name | Direction | Levelmode | IO | +| | | IO_TYPE | Register | ++---------------------+-----------+-----------+------------+ +| RCLK | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ + + Page 2 + + + + +Design: RAM2GS Date: 08/17/21 06:20:50 + +IO (PIO) Attributes (cont) +-------------------------- +| nFWE | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| nCRAS | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| nCCAS | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[0] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[1] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[2] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[3] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[4] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[5] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[6] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Din[7] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| CROW[0] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| CROW[1] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[0] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[1] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[2] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[3] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[4] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[5] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[6] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[7] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[8] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| MAin[9] | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| PHI2 | INPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RDQML | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RDQMH | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| nRCAS | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| nRRAS | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ + + Page 3 + + + + +Design: RAM2GS Date: 08/17/21 06:20:50 + +IO (PIO) Attributes (cont) +-------------------------- +| nRWE | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RCKE | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| nRCS | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[0] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[1] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[2] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[3] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[4] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[5] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[6] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[7] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[8] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[9] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[10] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RA[11] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RBA[0] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RBA[1] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| LED | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[0] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[1] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[2] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[3] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[4] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[5] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[6] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| Dout[7] | OUTPUT | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RD[0] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RD[1] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ + + Page 4 + + + + +Design: RAM2GS Date: 08/17/21 06:20:50 + +IO (PIO) Attributes (cont) +-------------------------- +| RD[2] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RD[3] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RD[4] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RD[5] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RD[6] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ +| RD[7] | BIDIR | LVTTL33 | | ++---------------------+-----------+-----------+------------+ + +Removed logic +------------- + +Block i2 undriven or does not drive anything - clipped. +Block GSR_INST undriven or does not drive anything - clipped. +Signal PHI2_N_151 was merged into signal PHI2_c +Signal nRWE_N_209 was merged into signal nRWE_N_210 +Signal RCLK_c_enable_22 was merged into signal InitReady +Signal n2557 was merged into signal nRowColSel_N_34 +Signal n4935 was merged into signal Ready +Signal n4933 was merged into signal nRowColSel_N_35 +Signal GND_net undriven or does not drive anything - clipped. +Signal VCC_net undriven or does not drive anything - clipped. +Signal FS_972_add_4_1/S0 undriven or does not drive anything - clipped. +Signal FS_972_add_4_1/CI undriven or does not drive anything - clipped. +Signal FS_972_add_4_19/S1 undriven or does not drive anything - clipped. +Signal FS_972_add_4_19/CO undriven or does not drive anything - clipped. +Block i4008 was optimized away. +Block nRWE_I_53_1_lut was optimized away. +Block InitReady_I_0_586_1_lut_rep_73 was optimized away. +Block i1683_1_lut was optimized away. +Block i1044_1_lut_rep_86 was optimized away. +Block i1684_1_lut_rep_84 was optimized away. +Block i1 was optimized away. + + + +Embedded Functional Block Connection Summary +-------------------------------------------- + + Desired WISHBONE clock frequency: 50.0 MHz + Clock source: wb_clk + Reset source: wb_rst + Functions mode: + I2C #1 (Primary) Function: DISABLED + I2C #2 (Secondary) Function: DISABLED + SPI Function: DISABLED + Timer/Counter Function: DISABLED + Timer/Counter Mode: NO_WB + UFM Connection: DISABLED + PLL0 Connection: DISABLED + PLL1 Connection: DISABLED + I2C Function Summary: + + Page 5 + + + + +Design: RAM2GS Date: 08/17/21 06:20:50 + +Embedded Functional Block Connection Summary (cont) +--------------------------------------------------- + -------------------- + None + SPI Function Summary: + -------------------- + None + Timer/Counter Function Summary: + ------------------------------ + None + UFM Function Summary: + -------------------- + UFM Utilization: General Purpose Flash Memory + Available General + Purpose Flash Memory: 191 Pages (191*128 Bits) + + EBR Blocks with Unique + Initialization Data: 0 + + WID EBR Instance + --- ------------ + + +ASIC Components +--------------- + +Instance Name: ufmefb + Type: EFB + +Run Time and Memory Usage +------------------------- + + Total CPU Time: 0 secs + Total REAL Time: 0 secs + Peak Memory Usage: 36 MB + + + + + + + + + + + + + + + + + + + + + + + + + Page 6 + + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. + Copyright (c) 1995 AT&T Corp. All rights reserved. + Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. + Copyright (c) 2001 Agere Systems All rights reserved. + Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights + reserved. diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mt b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mt new file mode 100644 index 0000000..2d70ad1 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.mt @@ -0,0 +1,9 @@ +-v +1 + + +-gt + + +-mapchkpnt 0 +-sethld diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ncd new file mode 100644 index 0000000000000000000000000000000000000000..352b225eb29e8ecbfcb2e640beec10959dc89e97 GIT binary patch literal 302666 zcmeEv2b^6+_5a)SLJ~@-p)5@TiMsE-eOrP-cHi!XHJfa*n-CCi2@rGz0zwcFjcdh* z4I82&VEtnS#DcwJFFz}aG%J>X9VPGoJ?G51Q|{bNAa9=m?)!Ys?%Z={=FUAcr_G$1 zyZ7E(wltM~@!7)U!sMZ@6{Tgvh4l+Ae_>Oh>Ed%sr?<2e2C6Gg7%V-$t+nO2YGKXl zzEwpaTMPK@MSr&Y_iH?%P?*&}*u8eRzvqM{#U@8uv0^98)2t}*mlB#Nciitw}Y^gLTo2trM>orxw$iftflA+m-vOlmnd-! z;Y*bGg~OL9@e7A9QQ{X4U!ue>9KJ+}UpORsiCb7!Egw$>2o(QSi$}b#Jx0Rn3Qn!^~ zI3!Lhzi>#LR(|1dG_>*yr`pReEbX-~93AHse&O&XD*VFXOH}xU!UsvW|0~={nARChR!(nX=>D7Xg#qex_|u-l3i}Ka+YQ z7iSE0cSQ%C2*~W)GHQlUI5uQOWX{OEEu$v$wv3w0+cIjr+s=DSw`9Jz2-wV~iKNZG z&0{kAwy>htG-pVP5Y8?=K2b$1XP0K8P9N%tj&_mLnRl6_-d%^HGLSPVDiYi)?7Xu` z^31zT&&<0_&dj^q)81XD(ZXGRqn+ErT$#6pw=!=txdMs4>%egDNOjfl$cnC2BYph? zy<~mucJaj*kDPtMhLHjg);> zb#TRs-l1xL*8u06xvGC9i$YP7tB&h0`FK_xyHGn%g6cVCVW()HSz4~h1)U5=J=qLW z11X=Az{#g9EQU335A9+zq-x+!nhhC$64cKr3){mAxSQ<#w(vd=FMGcd-shgQ_glmJ z+?DozIlRw(Y44Z9``nrKz9B$DdU=0K;*Oxas3BWEq>t#KT}Y0{2_%Zh$q-UVY-=Y& zNFp(_oeUw3#Nu``ghUeK+sP18N$hYZLpV;vBzH2T=)iQIy0KdA8ukY<46s3QsIy^uZ_p!)S;f$D~|Kq z*Ty`~cLHt64R@Yq2%WTs+wa^rluqvRYdH4}sp)8{Q40*zkdYJ1c>070ueluAcBw6Al^r!yizm}&6P%r-b#^1ytQ;w zL|;Yl86*((6v>}K0?|g1{7ixbpNxa}fE1mR3VCmog&Y)3u0rkKp|wkWkkXRteUVRSDK()7iuQ%h;7#wRVVHt?9>Ct0NmX zY`W<1v-f)96M@*lU(=sa!Q?fAt7`aBT}`*qAHXC4&DT!>(A3~Gz;wV2z)Zj_z-+)S zfH{Dy530ML+8t^2*Qou2Q zCj*WJbOE{nRX`7*7tjY-26zf!IbZ;=05Jd0lXA&8Q^7rR{&lKxE%0mz-s`n z1-uUMdcYe1Zv?yv@MgeU0B;3c1$aB)YQQys>j3Wtych6(!1aI+06qx#5a7drj{rUj zxB+k@;3mMw03Qc@0`N(|rvSGAJ`MN`;In|w0X`4-0^o~)F9E&`_zK{wfUg0*4!9NY z4Zt@6-vWFa@EyQ+0pA0BAMgXf4*~xN_z~d8fS&+<3iuh|=YZP)zX1Faa68~vfI9$p z0`3Cb4Y&vJYrt;+zXkjb@O!`?0QUm^2>27=&w#%GwgBz}+z{0Hz~z=MFTfSRyuQxpDA0!#)>0Zau<155|Z0L%o;0?Y>N0+<7s3z!Gk6|fs% zcfcNi`G7qEdja+a>;u>rupeLnV1K{?fCB*s0h$2^0}cTk3OEdKIN))BBLE8lM*@xl zECMVBJRa}_z!L#2fFhs-C<9sn6+j!H9nb;j1S|m@4R{h@Dc~5ulL5y9x&YmPDxe3@ z3+MwZ0~`nF2RsF^954V_0aytb1grwA1`Gj)0c!wj0mlQ@0Zss%2zV;sB*4jlQvgo` zi~vprtOuM1I2~{XU<2Sxz|#TG0E_~j2{;RIHsBnm%^8n8RoDaAFunBM>;MstS z02c!;0XzqADd4$)=K(eYo(~uUya4b*z>5Gc2D}9DQov<^mjPZ5cm?2rrzz$XEp0^9=lG~hFU z&jLON_&neXfG+~R1o$%GD}b*8z6SU@;8wsl0N(_B3-E2gcL3i7d=Kz_zz+aF1pFW1 zM}Qv#eggO@;AeoJ18xKS0`N<~?SNka?f~2gxC?MM;2yxQ0lxwK7Vta3?*V@R+za?4 z;7E)g)#a=KZ`HXMt|rRRF~NZ|5csm`0pB2=QqLCmqTeI? z;ufZedsmM1kF<4WzUnSXwo0qt4fFJgBkRw2=19pW;9T@kR#SIHl`ft9_gsLx8#`9? zF5{L#q)At&-Ob{uuqC-(07zN~=%&c^D$aWE6Gy&7VD5YU`*-^;FRq z{h{9s%(kP;N9jnN&P4}vuF+$W1&(GU#L`RX^U{- z3@U)^elup#`XRrO-_tWUR*J2yC$}J?PJc~E90!=vy|#}kS;jXA+wWkH8)G#7%rqU^ z>UZdT3@{m=StFQ+pcQ28{`(O%Tkslw2k@$dz$+Y=~>U6!C5M0U$)L??8=d1dka^e z78Y|8VU@mz)?&1S)c(joYuELf*2Z2kkU#CQp~zKK%06#FxOB7>BiTu7ITEckB7f#? z96I-+>bYkRZ#Wwb_7w-}Z7;P&3K8$OMtOgDq;Gh|$f(~<^O8YCg1zWBeugRH(`qjf z7c^?DOl|i&X?MJK(S5--U+`C;F4%M$>h!ytXxYY{$61M%aDx+CzF>^e_%jQYoPh|Ia2Sz}P7g+Kwc4u=EvT+B z`a?fkp+9yk-f!t-3`{ABztql`1GLXyHiirS+2a0Kvg6txIj=$~t>u+tQit0(f9NfU zz8CY0pK<1BbST-@`9sp;{lNsI+i;_{j9>i_=`G^~aS?qu!D$8N#&_a|_UzSToC|*_qbNPbP>q;(NitqD#%TOO#-bSbjG>J0 z0%(objWHU3xJI9aFmsb+e0P#@GRA}!;#a}MPLl1tNjB8iS!8N)W_mDYev*vuPcqIX zc@UGbbfcpq($%y3MU!F1#2>EC4S!%Btn4j*XT>#AA`b}cgP6fH9m>2bRKEu4Kb!oi zESqSz(Nei1+th=w@F{q+L&1+F6r|=lPhdU{4Gc>%UmiIm^M|%?kG6RvLo2KVoS!`1 zX@i$zCL8du1N=v`qeA9^FD#${+M@1`H5EJAcu1RrAZM{%b#Au71o20AvMNGTj&AV@e&7YJTDR&AVC!ItZCS4_jTCvsBv>xU5hM`eb!z;VZ zrB)b^$+J^6RE%Zm4iYa@@M6Ag>JJ1s4O#7gR&i)w@y4Y0xS6A!l?W|@R5gnG9kuP^&)<{o&E+x5d=y zs{@1d-_8<%ryygl20cke4pAqV6bZ)#6OabX82zE&VX$BmyO*QM z)!`FoSLgvV80m4M6vp^r_~R3%!xysFF}3|&^2x+LW=zle6_@?d8KZ-5u*K9;$?sD; z*O)fG{YlC|cg#xkng6;L?`t!7ut3`a?f|`l~IgQ@J?hAEQ6?!&vKpo=gi&+j0INvUs0H^EZ|&m|=G0MMtZj zgEWS;-+2oWm;Ok$s)d;~dj{(u$SBwsGO>h*kK#@2h-&wF*4TnRX$;bTK5>#%BY%m- z{bKX9L%I3E?6aki&(;?2_b*Lux)e$G1q|v`o^&GOBF5Cgf(b%6|c! zW%eKIE6X+`35a@Q^oM>yBg!rV)BQ2}L%-Q43=YxyC01O07VsZZ8K1vXVrm^;n&}TY!TG~`<|qbAn$PIzwkHGM z$2N_+IarbP?Y>|U%_vZxdIsr}Z(rK_HqvRdHRdl!Tc@c++}ROZt}(;eCxAiv6tI0E ze9S}FZ;X|6*@JI7c5kJEfDF=qUu&UdD>3`TY&PB}REq7UJ{mq_^oM@-^+>ptW7^g4 z&tOt+pHxT0)=o?x`r6DOeR)fZ&X|SB8n?b@90X{PT4?EvNdI&rV{-yjJZitBi^q)i zfReE%q$`TCwxLTfHf2WAADe7%8+(E}bBzAb4>w9Mo6>qP-2yXYsni(#p&wBKlQ`+( z6t}UqZ$;1gP3s{=eW5c??hQX<^h`{}2j+VExiL|m&Si{QYSbA0p`TTO{&=!@e@LZk zjWI$xS9JX?@l1>U!=0H{|LKT&7+5}X-ug4B>0|VVezLO-i7~pO-wfI>n$E|&NO60N z^3ZQ4?>J3mG#gQoVT^Lo4>>7fAbhmh?1~Iyl#6~dX-)|FM*Mf0M%$-bPN5uQ^oM>) zR{t$IYcV6MFW)$8DI=>dSUGDsBdf2&IcsZ1R^LV9td)$cKG$*9wv4PkFLKs)KkF>o zpdKOYbl$igP}XMlNh_HH+RkFJo9?pdyd^xWImsN<%$YN5_Pb4L4*JbD!|yoB9Q2&c z?021H4*Je!_B&592fb%A``ss*gZ{Ib{SK7OVGkyx^M##c?g+X&$?ThRlDX5*JcE`^ zQp`DpPg4M&jS;iaZzgRr9Y|#~J4}&bjB?SBa~>DZNnuk?PK4z|B?Iqt4RTTlPFjZW zZdx}d0)V3Oi}vGs`8WzJtWY-edigkXD3y<}L494+yg+nK#a8uz3lO)(8GRn0i~$E| zMt}TM#$fboMt|&6#$e=YMt|H>#$eQIMt{sx#$d#2Mt{6h#$dE-Mt`hR#$cptMt_`B z#$c3dMt_V`#$beF1yBecXcgov27Qxc^*d)8Wes{~CK=M{4xdJ+Bcy6IS;JcCpaq{B zrz2jIYW0Q|pVZ)v$ysz>M&#ks`5cYl={B?fc*z_*-)8nlon#Kioz3izJjoo4J)7Ad zeUdpCe>Sr}0wr@W25n}46iVh`9NNtONR-UMSlrdpd1Rzm45maO`of;J1&UNaqA|v( zGwDYK0(#p5*qVU?;i&HkdEFK$a{>P?=m`PcZGl!U;M0f-1hlsWuyBYb`8_}d0{Ytm znD{{fzkjJf&5*hT5ua-He!w zoHwl)?CD)nt*$LK5A`l9E;)2?B_&!ovAVcqb@S>L{OKz$>1*z5!JlQrLrZ%4hr7DL zhRv{-SFAl@3H~)#dnr|H9v^Z}g#_>fjPUGd*?5lHr!6 zEf=4;e*OBz!$p%?vZNcx#Z~&#Lw~w)XK|JO^x#i3?IoQ;waI%;T3*zIdDAKME<}e( zFyHYulx!+YTCMq3n|zZHoXn(|)Mqf0@qU7uzPx355p9>NY4WOaF_mA|+`u~~xuy*E zonUI5+IK>No+d<*0+h!*Y=+XuRJ+1HZ|aJcr1*40JIOV(YhZ0@WX0NnHF7-}q%<`X zLFrW|7pAQ18B|&zscl-8QcZfege9388Vs?x~T ziy<1^azXpAr-H_F^|-G79;^fNru8XIf4VuxxoJ*2;Srg-$6L&0h!QtWUAe5khffDN z7M*CW`J|60+iTw0ez|bA>A-}uJG!`)j{(k}YB_t#V~4Y+<(%zt?}T%<9Q6_BY&lLu zbWOL%vx}CKnX_B$HFNe`gtLv@O*p%~=dr@sGc0FMf9!Df%$&0u%-MVtOa^E3 z(Ki{KExMk~%0x%A&MsR{X3j3zYv$}Lg|m$rWjVY0SmEs1ma}I)b~t;NoUYA? z24@S`N3C%=rz@tjE0&X)vs>*obM^;?vs=YQu$=vv@w4Yz&Yttw;p}-iXE&C!J6O+V zaCYKL7uiAgd~hij(b?^mlbN&I>@{=tmxZ${A!k2k{OsK@y{~X~d%)Qp)*63@x9VjUyLg?ePD@Y`Pxhqu1PD_0`=uvW0wV~2EgBM zIxS7_Yt`>Qj~&+CFK68eWL;UBc0J!>Vmr5_*t*!s2fthO>#k{+Tcjjg)+=HpLd3Q{+OBP-rutJg2xVPACR;5gtNBKp<*G&edc79Dl!|E%z=lN^)Z%{ znfsU8Yv%0D!r9nR;RckItDS0E9|=QrGNP`UAZ(`LD+N>Vm4cc0Lcwf&onQ{WOfU~$ zCD;vLB-jIABiIur>)!YZ!M^wc!2*1J-~fDi;GjY?zBzCRzBh0fzBTYTd}m;x2~isu zT-IN0US3?X`(^KzKg$F(da4Dg$)M|BgHED{N0+pY*U zm>7uhT6$>z%HvHiTeX)0*bw=%1phR!4at$g<9mljoqIVJ#zWFgCEW~liL)1)M8wI7+H;`p&O4pa<3w<)w!uYk4e3p*JW}?Z-)5d2B zl53U+|FYLC4<;FT;7^Z39;m9f@_?iPVz%la55CP#LRubd?mB97^~gme0VuTy(qMC1 zKy2<_w6MCUClL@!mOxGn_pVtA*v?qjw4^B3TTmRLg5uz)pg1%a6db>5^iUqlS}Sne zY+`?GZ!D|$`mVzu--i@AkuX0CgEK7ilQ6*dMw0s^3<^gXVGz!!7h6;s;CnVM?~2ym z+(mj5dfmAAR&Cqp^BtL$5E>4U% zD_2s#VHl}={n2{pZlPqoJJ8xNzZ1sfif7qtX4Kh+QGEv;T3aXLj${j-EP1lxr$BS> zxD|i3%lXEN?`C72jQK9tj5kI+aErR@W5#dCrj)qBzEJh>5m7x1LOmw-l;j3GOmw>u zr4a^u(Tzq64fb+TzLJ^|ADH?#Yn4SW0B!dl(=Ihvg#7O$T_E{o~DKMu_f2Cp8q znH_DNpChKnogVVj>oGyMUAs-=iv97b*cV4R{Rugz=bT*dOa9Dy(_%b!s~N%Izec^m&RL`FO}qTtttG^Ujtdzu?)VyB*(yyF7mx`=?F33e3@o2%QN-`m#d5@{^P#R?$#0q5-%HCwYyoH5U!JXsDlPaBYC16Wf}a@^e=1lX*Ojd;PcNcjAA1 z@Hx59{J*QcF4KhkZblyX6Y`a6tBM1BzuskDam{(6++8>y$NRPm_i@+6w9bQ5|JGQc|T#*ErSH@tV0oALggs!}_m+`J^`=A4`B!OiJ)>o!(-F}M1I zJ~KD1wb!gRdxW`hbe%sZ-fow}Xeo?l#c3(5KFob(gt^&YR>rP}*}Tm|*|y(Sev&fw z(biTz#@fnDbH>gYyB>@^Bc-OxEv%+vZgjcAcCjv;yNlzA5iM)#?yV&H)j5fY16;mn z1q0jHFS6GxD5l$M7B(}C;P8)Xs#H6yRiKM$vXnNh4(|3_T*0A>X_#>9I+kPKx>5_~ z+cw|b=rwrS2xgTiSYy*a{D@a~MeWH^gW%X)Zsc;K9&#hLq87*iH+H_%Vdmz7*qNpc zmdDxh|Eu9~f6l!Xb86N)ATOLk-pMkk&x-kLTqZZBKdqMY`kB9^hG2IX{g`gEadX=h z?p_CDzgumBt|)I;bKcH*yB@qvd=&jc9@J^Ry9lcC!+l}}(Fe$upS{bXtJ!f_WWA8jMuRYeT@3VG&Z_dLx z57&=}U2ZHTn@`g_Q%fC5zzeayYrQ9>LhJn*mShs}8}_>P(D=9e5zzEe$y z_eXW=Q*wUH`LQ1SI4Px5OT~n>0;9OFMbuvB}pR2$q=T<6WZyu;|t3lcQvY= z|K@;Eoh4hiQ1mILyJ^XpZQzHoqbr#xlZ~9@0@u|M23-c!SY94w>=ij<=Zsws#*P@v z@&$s}1X`(*#F2-~(B)*BERY6G&auXx&9X}^q@+Gl~Jj%DwhhmRH%nkh-?UNHFM|b z^C8-@41uQLt0YbH1J>^~tRJqE7mMmB+Xyt-SXK{1;l_xeK+&k!0*)wEc-&n_(Qfe6 zvN?yN(}ojXHK(m7cGdjh8xcDatU9FPV0Ba+4Cmq?7YFqa2Wcar)Z#6r`E%v0=GA_1 zeRw%HA~K^^xXnriD%~_DdiE5F*tbb~1KEKCD&wuqG-Wj?d*oE+6V4AH-a6IlUA_thxfCTuNj| z5|GLZ>aVj*&mv^8y=Eb>K!iY>A9+JUz%H&ep$zRs3(#AB;TY#(3 zO=bkS3|&}i<1=Rdr!wFKl>zIbGT_8q2IMlJ9x@mRa)ZX9dQ3^ zAL1mH4^NHChm&*pkjsaOkq^Z-X4{y2C>J?mF1jl3gz5O^!^^BZVEOQ2d(96z!pH~z zgK8CLMbFMQ(9v_WFtOu4#8naV0ph`x3Rv=|11jNJu;qY>Mw(%OM`0HJ-Q6|Y+WJ<9 zIGv}dL^vfX5k_)}kV}M#kq9O63}k{J4B{!tw^d`}qSTSG1$NYm0G?Jj$X>HZ_@@yG zsg=s)3_3dChBm>{7FY_`tB1*OZCoTc8|2A{c$g7-6BeBdLabNGaB5UCoR&+5Try0I zWGKsdH!&is>IJ~w@Tj6Fa2v{&&X0KT=EHi?^Uz9AvRPysbm(Ocm!Jt8b zbS7;m3wDwq{nMjj;EY@hc{Lgm#Nxoo^+UI#Do9csdBZ| zmktuVSTC0#I!nNzN3=Jej>dC0@vD2_eDR7;zqBV{@35qREMjA%udg|NBHlAqK#W=e zakdo@XXOGS7Z4L8Ad0Qbr^M@#qq16g{XiWBcV(fHxw7D#gu^0YA1e}AL>z6e`O$AQ zBEpYGD7)u-$mt$(Ebhdeh>hT8nlq%6GtEDU3lFD(CZ2KV~Z- z788<9gk&l3#)pQR49`-N;XG?HTwqOx^K)5{%Yu5yg7l#vMSF^F+GHrYpQKF*3fb78 z_JkM2AmzwW@fRZZvezs_cC*)PPQ1ZhvkbYy$PoV+?ozd4=NeUp(3)g@VjHh<=Ngmk zQr++~7Ri}m{Ey5Dj$%xX?uA6bgybTgt#B458*flt7&R!KoePm%h}1)f#0(0KTZ|hN zfoC@#rmK`smGAqye~g*_Z3VoY+wjCSg_1(r)YeiG|p1OWJ8^rzwmmkgxxk z4VWqzXw~Ck%LIEmgMQ)*n1}y0?&qtd*c_D+XQKG z@OsPsEDo-)*DMZRW5j_Uj!!wn<{!ybU{PRP2E<44x`j?Yvfc1Mo^yDKS^+OMvH;z3 z87Da~>ZQ3X$Ynu2WI;MGs%W=H#^xN7;Hb#?h%M&arr;37!ZlVBur#^bUbBhtDtpb6 z;*~~H_@R@Cjk1zLwqG~#YG-}6OWY7yslXZpuT&tsffg(%%7Onmeg%RaXwpG~BQ(YH z5dU*C;^k2@;uX2*$VEpzL`T|;D3+Oh<4YGM{bEA6j=9BhKTC&u?KMeL(p;ir5Zx zjvG7;`3?dFSMzpkD;nrNdm(g-M1t``{>P5TYt-?0wRJpRXC05%=JFwz5A~1_k;TqZ z^5w&rUcvgRdT6P z52+IKNyZ7MDzz|grxrCPh2d1U`_iH5)ApKcxXefgKeiHEHT)S1@k#0xpZ(^D)q&t_ z$Hb5cPCD{Mj*axWzi&IYZ&iD7?0mJ?`}|th@QEv{?p_U4&CSW&$@L~REZ!Iu0dLMl zKrR9%Mg)|~$w`o*c~ELk%!Aazha)#Um!xT$WqvjtjLf;Kx?BRy)$dpnius zL|qWE6!>TdUECm7gF~x%qGA!dAP>nZz)7*=M?8f4xFq+(+01oiR8qV(mlV0Am>@~f zmV{S_;y{jniLHY=2Sla@4w2UNl#*8CPC2fC#EA&xS+uhUF4cY#t!U z1@+X6eG#sNI^<*3dD-9HoJd#-6zqPetoY8H6?0Z>EGs7FtmnZ_dcS2oV#UHw?KP{% zv%!kxvYM!Vz#f*;?36bJ@sp7%6W;X**jo`{MW{lyPpoHFM-~qd1#1;YdF)4k5IbBXtpq0{dv9dB+!_1|uA~ z?L{bxb|D4&ke$eP=>O4fJ@jW>Y<~-O?9+Yh+X}#ECd5(Fo(_XV)+-0=frX1*p ze9;#-Y(yv-sbs9mOlm#UVoyXZk`aoD8W^Iw+~PWsSebo@eE7bc4|6_jBp(*#^vX0J zo?!WoJ;wLgYv#jOjg~u$YM*wR8E{_WC=8YTl~2-!wDp^O#tC9Xgk8e+>`Azg10ZA% z2hH6e4(cH?;{VGTF=xcaG9t5Cni0n=<8h96*lS|M!rNtCvD2(D85TtFfz^dHWkYkQ z^*epxD=}S2!AD?29JPSGQ?ygUWk<45<;%6WFS{XvL+KH7G~BbarFr#3K5lxPZ`txf z-;x!@?TtnNr9Pl>OV=A20NjT-$vZJWm`5Yz(FpZe-HZf8wcd#q90)XkHR+jYn~lGq+UTqGIAxR!n@xiiuC>Vj>q4^$-*3L!wF*&yIJcL|bq| zlsh+(oJ1R(5Y=>q6&P$nlagae&kp9ql6urao6%zsW9__KzAb7P(?cv@<3cSxA!BxYt8pYNR5&YcqxW4^+C zm0DjYTx~gzIdOsEG(Y4F@z^%JMdPvSx0x}6 z6}EjbAGK|+`jT?h7jv%4xvFtob#0)d-fOtZ4*(j4T@Ik0%=}iL!&^FH z24^=bJPNRMrbO7e+g2dKH`lh3N^FVn>FLcWc0E?^jmy<7LXOy(!yU>5w#8@7{4AFS5s+R=V-cRC41ICd2-6 z#Lnot+&102?<>1~FK4%$-5Seo$#xpwz58~+ZXZ>43!_V-noVXjcbwf$h-fyMIpH}= zU$Y6rnGcf5rgImy9n&m7R6hJc&WAZ4Hk1!Llh`qz55E`i;eN`8e(Xuf-A+#1%&3<7 z?d0f>@F54Cm<2tK7sc8g_|3$JCaQ3|LQ#ICjQ4*zJuaf}y+qI}=yuELL%yZl%b zteU$E2eMIvR_yBYmCa}@3NMs{o8%)E%1%&z;4vsSUP9m2bmmW#t$v)dRnAru$5s(H zt~0}p`;pIaO+(6Yew+%v5@Tmf^lS!MsH?|xW>-X?EyO|{<}E1>#ra$exDAt<%7)uw zxSuJ*{WNE|oZ%*p;nK60rIy5i4EHFOjx& z$f+n5Ub~QEOhW!Ic5=*G{A7YsOS0}5mMgWJPeg@F|Gy06#&UbjmA%_ubF+S;awQDM z>9AIH{Uf};8Idc|2ya=i32CZ<;>JLX8K*gOsA=C^5ch~n{3#+?1rSUb%~9g z7-oWQa@KcDaQgP`JHA($=?^(G<;*m3%oOp_+RgG#Xan9K@Y#U!nI9j7uvp&)q;;J7 zMKGKg@zEsuoFjw$I6d;rk{|{?8Gt_MtMRhi71;16WyC+`jF>ZGLm9Cc|B`Rv9|0p? zpo|!X5_Q@|4?9^>uLA&XiZCKE8_Y+IVX&b@@XqSGxKZD4O#DT8{LhBRA+he`B(J7! z$yYb>)s1?rZluq9Ewiq*>!t2Vj%8kqkcC!1Qul#C04%iET;>pa%?lU@tAOw$pW3R< zs;GPxs-9=Ew?_m7qR5z;o~q2` zhwI=Z4{Nzn3EE~NrwG@BnW8%@VYbpNr?bE(^Pq__yRGTZe=1M?Bj>4{rzVc4A_2Lj zBmzEM&?}eYfptxCXfU6Y%A9z$a$*?c;(SM2zbRI~J7xxi^`6llAZ> zBe8Oo@nYrV8{hH3-I6$>sq^ldrUx2(*j}@%`5}AFuI2|+j`)E)(CBvYMFacmCr6e? z{J&{S?2wt2-e}?TgtO7?f#%L^?!5io@@7pYIogJ6FDrX$kRuu2-l`r zmMdAizf`%>57)tGiLISof3Ey$j4NqLCiy@pN3GH}I0V@_s-9wcNZr>--A`sju<5IBw#=FO%eR1_)ZZT)G1Z%!c za|1#0LVL~X{{VZ<>VJO~G=3mcsoL(Vf0|{h-}ldByV}Wr=%FQFd;{GRE&NGzc=hD# zZ$s-hA%t-`8SPDza;=|h{fX21ktht=Ntagb^DM`)YTu+B=SMqLT#lo|$!Gys0tf3c zh4#CcYNtKzNqjtQap$w(d5^o<*oJ?PhHrm2O;x!t#VUVnL%{2?w3wDF|6KV`jPftG z1uEYA_IKMjI=)m+&R+{>2}}BE;ZXhW9tfM$?KP|ao9s2K{~J{p`5|Gg)nbdQ|7CrQ zR>SLaH1`b=X++VM*e8btGVBA;KopAo+KHWtrkN^NW>~p0+sc($xm?NRNjgZN9a30=Cvh76J9(@W?b_$?$fl z-uJXT$UOQsBvC5OI#o0nn}XqY^+T98ZG+CAs2oUHL^7Y{a!p zM@}P|lr$AY`fSHTrDF%K64U8dNS(zXpqTr%=hUR8J(c0+=M0xK+{7_lsLBowRN1?f ztNaKnEV0@R2of<(ORV)eD>?Riz4}=NIe!s(z|nu%<}P& zsbU*j!E`f}2!{m{;VgU2OCL@M2TvH?pb{etnsN@(P>o(cJ9%kDVvtj6^*8oI(MNaS zs?rg~+cVvBfU@fTx#rF_cVjiTw|?nHMU+b!bFYV6zGQ#(D&<2zlnRHATFY9j^CtFI z$+q5ce>FNuM4OadQhxNKiAvR0%a5uT$}pz0GtMl6%v;raesT1iK(7zRd}dBb5;s=8Tv#;>0mx#Maa8SD~%< z;(*(3Qf~93X0U!}nT;4t&qS-w7OL2%QTfCrT{fiYNczqS9o7J@tINXSL>$b}v`AU} zD8u5IB6>V0dAV?LzFe3u7uI9BQ1(!{o1scPyCN%ssZCU@hT}_#WjX0LefZKffoS-! zz2-;lYp=QbeN=RWp+S!5(6dSFw}0d7kqOiI*`yL9MBhj=+c_wxoW9&tXMs{|uHSKP zO;1#L@&qeSidLSq z^*>OFk_u+>LwLm)p#$CO@nNdZ#Uu(O1*8ThbY1V8lbYNz6k%b|3Q1w z;DK1DpO0{)4QUUH`_qAoJRFN{qx^ zbYz?bJ<~Eh3xSjEH4B0JR0#NSQTRHgosrOeJmgl;1mKQO|NS5)1oTih{>(B3Cno-b z&Qs9BFHh9h&#Op_iMl!%ohmLmtb=j1bugCX;vyFp^$-{7lO&66iD6Jo$&zB*IML7L zmduS3Wtj#^N0Pk2iWL?!Z?M-aW_m#!D_RlGHJD0-<;H^m_CD<4A({ci0R8)mKWh|$kjCQV&r0K zwb$Md&qQua8SFd3)Ht>81e-@DNNgVSuo>#!m}>d>drgx8B)$ zlbo>0D1wke;rLcj#kfyh9)5W-Q{f)W%vj zt*2W9>G33c%_aVyy=FcAew8kMa2mb?Y&SgOAZ0#-wtirb_O%2vQRhEFSg zC>@=!Xw85o6rv@<`k4W@XE3UKE0~YoXpAW!X7R(B;wPhf$%^7bnCtIVNzr3%g=N-O z=*w+|+*YWEtsp@N?i66LeLSCD4l#&_A(T3jEtLKu!7~Fv@;-abQt8w7nxB1(3Ysup zu+tg@WDd|%C{&Qd-Hy8(ABxEtcGFe7z&t=m6YZO;zcjTCe z?Lf1V*p!Zs(9pRq$y%bIiCMSBh{MW=LpdYn6OfIZfGl?K5=6|%FID0SweXFA5sRuY z{b0fpCLnDfkv$rb)(Yyui9e5UBG^#4FkwR8+Eky3f&aC=zE-8g8f&kwv-bM&xxJpN z>w2hbnSAt2ayFFX(~HISaTYPlEg6d#ErATV#9s5W=GbdC%0H(v#*bCR=P<2^p?OCV zGa_K>afaK`5fLNyHcdA=svbKSID&bbn*K!P;S+LApKJPw)AZ?$%B8mC z`^WvtYJPkkj`w%{U!CT!*S8*9Bdlgle8R-OPW99Gu!|nJ)(XV36h6y4}5_MUHMoUUGaRgiPQL&~pqVnKrMjpTC{B`injsqqXIRz#bgSCW%vF1?+Uud(W%}9E-r`or=buYOp4W=&{Zc21 z03Nvhq}RqkNI3eM_4m*0eb(Q9R3YLAs+EHvdvYOY>Wy58dMNPN@qJk1g4U*qFr5_x z#<&%A@gXMU_`Xq<9?!7S<18yZo|#LJTzb?)dZZnLQgNJsvXY#|6}A0KiR~Yr`d4O| zAR@583KuAHNC zwRT{DJeH*^2Uqqk?jIakbKBFRl)Btyr~maOL9eu9eG2j;~hx2bY$g zP;NecsJCy)AWp_xwT!mX^{+%_&HXEfdgzLA&4t43!c=~i933%j%}{@G-o#XXJPfp^ z^9qxZiBiuhOz-Jh)0O0zLJyI$Q@Ki$9;AmPcsy9r)9La4Rg#Y;S4dpXKJ;)r?C@3n zEBkxMJnVqQRw%gr*T$mXG|!Xph2u8%t&;-)g|q|7*Bp0J(w?7?_JYQf_MkLrd73Ut z+Ww8zp{`->M?>3Wc5El5?WTmb7dD=@2c~Juk|j#pr);cNecG0Ha*^=tgtiwop0)?1 zXxq->K1$o=J);{>YB|LnxTT$(xECkHy`=HPou4Ky>&qx{2Z*>um$++^?{@B_QsFrX zaW8E=ara0QmraByaaRy=OCE8PD4(5_xY%N7EQRMap18ZGiOcp@l(;L2xMh#H$-zuJ zDRDO^#C?9_iMv~xxV#l4O58yrZmUmRjZfQ2i941M_XUk7?yhO#^3qC_xT}b`6`#1e zPPvm3_k{^@U(|Tw&Px-QgQBCvT}{MobBQ}p+R2HFZ`l}``;x{JcW#=v+1}X@5x3nZ z?oKWiUYZd1vc?m4PMWyc{@HMKXmDL%=$1A9ekYX+FH5NV^2Sqlmo#;=J+w7UUC+`T zXxquh?kf`NzOwPu#l%uDZ6haPN2TuC6ds74H`_~F$JF)Qw1MOh#+_8|zBZxm>l#m8Sp;+^bmeoIQOR%u zQ`hs;29ho0J1KQvpHTOT##1-FKd>X)PdkyR>p5xz?K`<-zzOEY+>OkK}Y8`#-r$8e5=q3)X-PhI)8h9?=aJ++gVy1uK{zLQVvz9pgVm5rya1aNxP z&34sJX6pLB+Ri>dhHwrucCTtYb!AVdN8M~+?G&bNhvx|=d!=_$t@gHrx^Hhhbtk3e zZnm%XG^TE+OWp3BeXa5x33ab-JawB=)Rp6nqZZl7s^j`4&eALcmv{2{v3Dk)QLlFtec-L}43+IX`6IaxZc6&#V~y{F z#gRV9c8{NlKJZq8hMYj&M{*zBob4Q%; zz7LLy^g*_Nd^Y;PTU8n=JvuytPbGbDOXK_C$VeY#JILpt54^RfA!i!&k<179u9UG% zKhyX=SQzPpY!7)O`oLSE8Y(?Hbn<7DKKNYY`{0O3A7s18=b{h1b*v#Lvgnb_2cJ*+ z;0ulKgU3bsAlpek4}IXRb`3eZ9v{hl@WrGLzSQ_WI6Tq^*>3W)&<8~?fN{tP0(&HP z!k3dy_)6nD;jl<2WIM{|Qz!VIva{~{NbZEMCY|uL#&^P@=}wSieWIT71w-B8jFA&K z@<{H4uP2>wYvViNkVq$FyULrW69P_nbl67tzKO90(NxI>?jqis2 zBi)egEnmdl;K`#kosW*lmhUCK@cqX3!h%RIWc$k(b1!(a*lUunLl+8@~#}8_D=cW`-Xp z-SCsfcf&rBZpe0N-Dq^3*vor+SM?614(yAEVrL!Wml1{S9_r^sWIwDE{%%it=U0vIowi8tWP8=m zNAGx^1bWBn?MG$r+>!LoosI9EN~CwP9quvoj^|;ZcdP(=RQAqYN$=d<_}*!a^iH-P z{sQ!l=Xs!atn2Zp?45g(-uZRod#4=fooxU7h3Fm6Bk3+W3)+v$-uX?^JHKsw@023F zlkK;^2)*MuD(Ia@x!(C*(mTIzeD4$^y_45~3ZwK!ZI=wI5hn3FwSCRwuB(y(BMSM?89m(zWuR`d@KYI1P6-z0~t z!z*!rO%-RN6AA}y4X+VQe-+oO1_oN-0`<2z>7tV^Iq9;KZgtWXC*9_x+fBMl+K5LL zokwxnJc`riQJgl9;4p9eOx$ zbO`#&t`)sY_;llG>$-_XvHR@H;yy?x_jmQn%X0nnb=_U?J;k8kEzH5sm;oAq1cM@c zQ+fC~nn%=UDi3>Znnw(vR37#pG>@3DsXT1OYMzWXvn{20#EneV!x~-l$kvxs9@Z<< zt9{GXbz#F79r2RN!oqC^vJ9{3={}M3ghXcQ7$Qq!!W>K+lS7&k=3vSo2am((Q7p=)P(<1x9TetZf|xp_d%_$%-)M3OJ%VA)P(;a<8Sp<&A;@A_)O!p~ks{PgIZKnCu zwS6n%4U|vx%uHl^v<{|tk|#RU%S`elPjs-CndnKL=x{GH)ssBY0bgdaCwZbnzRZMA z@NuDT$Ta#Yk zdZH9=O?rXzL@C^w^aAIJQdmCcnzScM;nt)VxSpt(ZB2TC^9Tt84p13M3NcEYN}>;0 zXUAw+FPU;sy9Ngo9toN*x;d0`*>P_pEnVYOGCJ(Na0h7ATHD}-Ep31(> zy_9{M`zZT1_fPh1?wjn}+%MU;nH7@TARz<590P9`(#uPz_^+uQDF> zO5;&)yf+cwFoQti7iQfYk9y(~W|bU|dg26Tl^l+On!0k8{OO%PKkE*c-1s;*@37D(+a8RID)hGVl7r zKIj*5!7|Hoi!<-~l0K~27xdxXapk~Pg-ErwCj!|aWap~ATE*;y) zrDOZJ<59ey%vOy{$MK}8xI0<(cKBvoKxJ`qGRuz3*W%h_mK~Rl;?QK49hZ*c%4C)u zmyVw33}_(^Ojf<(yky=TcRY5EOUKS}$D_C^na>?}Jc@&oS$15$mM=LcW%rZ?ui^e> zyrd?6piJ^zblS-JGtM6ok3X7^hu{p8PrR*YJ|?2(6PGKRkIAX|#P5pcW5Q}aalWGY zc&KVV@${qlnAn<6-2G@i9=@7SeE#_%{b(_gKF~Z3WI6rpv)E@(XTs7BWtv5qE;)VV zf=#D!#?(`J!HaUvM9wqTZyL?&4$8>gkz~y156Z~>kz~y15X#6Ml4Q*25z5Ftl4Q*2 z63WP3l4Q*26UxYal4Q*26w1h*l4O)#iP3RTW>JNX=Z-_iL6?r_jtCu7T}X?{+Rx`- zuqocpw2~C-=RLwvgJloOHx;eBXoLMQIyZUGZ+v58vDi_;{X%!4X%hYsEUDGDz5}b{ zO{-hz4*dvqGEj%FC<>xbm_iB7iCe8m)5pOHcN}HaB7&3qwc3MEt=0bh8^K9h^AnD~ z)IM_h$cByUPdj_VNU5E0RcYBwB=;&54%{7eT;7*7LtEBV?WN50TUx9AIIamP?-BNi+Z6Hbf37lv_+bWW>2A4 zCmbpy@-)fgYt%Ww{pC}FlZF!x4}AjiyhvM47%98;MN*dT(eHpy;~I7xSNX>Bu({7e!?p5UUca{ZX`^tCh1!3&o4&4 zp9oIU`i_Ltvi2PG7@ z4(#{k!)mqrZgbkVhm^hV6bCn7+P7uBg9H207=7?k2e-H6d+^^5ZXX$=`@Pk{?OP}; zej488?+$K1^IW$r3nbr`Upe{q7o7Ku0}Re>%Ymk>+vbC$&E9)73vTO0&NB{{HqY7W z;0}@cynKfe{lI_Eb@G9pcODzyAU;3#rb5A^!Q*PRAKXwVEZD~>dxYfMf4+lTD0J~? zb)<{~j|N9cUwZ9ZBsi~+7E7Dwj5*JEym^lY92_`--fh8~9NZI4S(h#?^1fbuMbkdF zKa6bm-oprY4+l9#{Nc4(CBEB7D)L>Nk@+57jL@I!zT3IfzMzj(6S#e8RDsvN7ZW4b zYCqe*R=fTAcz4kA?ym?=($ZgoD-`CBNj{RbcQ2ECk`@{%PU|DxanBbdpQMEzic9j{ zjQUuH;y$NMzN(Z>@}WL$AJupFS0ta}ynLp8oNt-QXKT2 zIC@{oN6*^wd&wthdG39u*!Q4(M6)gH1t)2tpWvi@|AJm6J@??Hl+UI`juJ-3)xU0( z`X2nZ;HbU_|1I?ij_NBE_WS|WL2`xi*)-V%ilgyI&t3c!(Esm}PtziU2`A6x_eK9I zU8q0qyUn~W(@Ngs-YccPEx)q$QJU&gocmmDGd-8mluvP9K0_DIhcxFiILenim+5TU zfHXhX;4~l6S!u6nqO;=kxintH)CK)an(}SENZyU;JmV8W+c{eWM>MB2(OGd?-{L-7 zpQfpOQXkQo>cd!rKAt;+>eDohKaxp;(|mU>wD}}W{bBQ6b1jVn=ncp!>2po1&aw4T zz8}1UbdKO8t$IjsZhiaD7aY~SKWqTfVTvREpm9oLM8=h-WxVKE6S^P|NjACP>C zqkKd^>bC`lX!|HF`4)gS!bhfm=~+BpaG%qXPjUL(J7K$OTO=+0D>#)KhGxtQnl|)v z_=Ea`=&b!AY00NJr8CJC#YtM^i{jjTN>i3Yml`?bJr}k=><{H5O|zGl@R9V})*j7= z|D48uj04MWBCl9Zviu|7lX1dw(%|Ng37yF|(ms+j)u%X-lf-XZdn_MG+T>$?(|jZY zG@nhgkCo)J?Gsu_`zXzFgJo>3_I2pH2cSEMj<-Lb#s>WMwS<#C6`Y@s2; z(B=WkXWP!WK7%v&2)Fd7R2KQnJ!CFbm_XPKK^IW+{IPAp$FE(N9 z$~_lnpT+r}g}>`GzsYx&!I^uUkMuv~GxrGh#si?Et_BYGnyw*?dX)Aa;q*TJr#diE z1CPHjZ&laO2twSd%Xyx4poy{;d75YXd6*;|89jqgg`o}W&$wh{Rd$b6Z*ZW|E z(=c^(r{FX_3w2FnK?-Nwq*-Bphw@q6{;$Uy|3Yw@)@Kn`%Sh`9L(^Mrl<2e5Tks&Z zK>E9HOhkY{sU2irZ#tFIocMo(z8?NVUe*rMA|@Z-uhqVADqeAo;3QqEy>?Tr_D`OJ z(R8hL;}?<7$bU&=9Gs1Lln+W-N$ax+N1p*apAyULs~jT@eDE?mQ)m3?tw*M2>gc5( zybPikt$*-TstIWl>2M9necF1Y!SVfC?f18WwSOcyNo#(>adS$PI!@X{!x0asr)=HL z4kqY5wq@RH+w)X1ACPN9Pcr1dB_#Q4OS_ZtrKq*tNv^r{IDG{EKN~M{9pS{T+xlL8 z7Gd;0rW;=kx_)19n%?*Iz+FSQLP67uj@PNlLP67HS5m!heZRh>R{Kwv;3QqEednd9 z?<&Dbn(QWeNx|uJX-==UG=f_zI4Pq9A{;1V$_RxV9Lg|`%W{X5>2AMt$P^@r`tL+5 zUSm5%sXyG6&{av@-j$;Mpv^T~o-Fkh3Xg~9^WYB!r|FhAgEB^YYkHT>$`OTvq(LnV zT%9qLw4v6pU*Eo9O38;_GHIqpyX5n5gM`z13!V+18A^HnXxo3Y={s4OXo&{^ICh1!3w<|E?`x_}MY2Yxe^9RE|lCIT$bA7G$-#1G>Ny{^~ z9&Oq}GU;e(pWuivENTXXGP@$9QsxpnviBm5gF*RQD_4{<%d9HfSA;~(kt+)Xb>EY% zsM+6$5=TZIAgBj#v$+lw)RtQ;mo!TwwmxrQc!=JIeg_*>IAB|$V)2_j$@o#!-M0B1 zQrZ1S+ed6HtCn!D*U}@ZS8W5z@(M7giZ31eZ-<6Xv;UHtk=G~1*dJf_loc6zP zCE>KMWn5{WQ$5tLWGHC=a@{(zO>!6L*5mFW8)4&nOJx$<2F|Dp;u@) zZc>+3GrP#T>OK8d!vRIFd(S0~hTF~H?z6Io%~~yu|1ZW=>ecjkq6QV$Y8>2sT8-ee zjOHh-;`V=4t@i8dB%h`^AL_u$uu+&REphct2Cc%>H@U#Jnu%*#4b^|(D}q*EU|NX( z^wazM!)Q!eW76Cj&PT0bEj1|3p@(R5PO^PHLngcKewOt$n&oj@e_1WkWLxoSlY{F9rr)k(00d$tVYVq zXWm>JqKd__8X;znm(cpl!54=pQh)-dfHMIoTj<; zW}thxk4rwi&u`~2#C^EWIL^1CYjh*R9oPg|EiX;&hH>_aA*k3hy;WtHbo08QIQp!b z$BFH;o z>n@^vg@UH1uLfhl24tK`Pg{?v($fT|>3L5eA_`9HEAMIR({$-SwmwZCu^Cp$Po%7- zXWb7R+qjsiFlllwMC4*-!?a=EqC#OGSd8@3G__^H*@Dx2`(RpN{(Qk{djD5}w*L^E zrj_1=b<65Z3YDca<(qe$l+`xR$2-i~PjH&%e5_*7PSb`%Uxa*{B%h}Dc^~Tio8UCf zG`&l3N?#eQ4i5Kav?@+$L=KqJO6fuQlrGwS8J$vA+sZg@^U%2$bqOO4TWx@0e&Ft) zT(gxPI;KyxER$#h%83$qKbfw#>G_zJ+2w8WY)x|;PIcOYG`ELw8%vc+8{J%Eg&CNK znlpEbRkJ`*nYpcwn$@M|aI#HHDJO4Hs+xik$61jAP*;54nzqI?_C2i0@W9^P;^nHXqNp>Vvs{4^x# zt=@s=yv+86zWfx&S5lYfP%Gu0MlXlbjz}93)P2jXe*-QeTkQTW+p)V+6ZEk#E%yS| zFh@*3rUE*iiO&PT-k{Qv$+%4(W)!TpD(aXRST zeD^K)^Br*$?7Zs*r|CuTeeX9ekEY2Sy}wKH>GOza`dq@%^MsH#A3cxtk$JAxBc!B! zJyu1nlir*TEankk0snT-t34I9E0pW8Q0GV3&cic_!MD89YMQlza?PC$XdR8!g*MlD zgz^iHNx}Orv$>kl7Id++h4c;D^dZ6_D`fDNSL)*lgBpo3u*4z{Qyk^frx8xd-glWk zjxbs`=`t*vt{0r7NtZ!p8-q&Hq|4A29txVS)qdWBE}1W7C5`1CgnWJPZ-SF_t@hJl zm@88SCuvZ2F;P}g`cKpoQP*UPH>u@4&3+HW`@2r>1pfn44(7Fa5rhC z8I@Mtv?7M|x1~N!vwkxMpF9Ior>z*OKcak^CVERB5LU~QuG;UpgrR3hdd4w@!osTr zr|IdS>GWQ~NgDN{+_Ya1PSe__Za(CBdad^3g9SGkWA%Gze-wvzyX#8HNA06Dy&LsC zJws@&qgwLmyR+V68mt@Y5!G{oRXsGKN!-n{;_g!z4doDalUr3O^nN&fMd&Tt?Pb_BdDSqqUViAannDfsO`E!&(7OMuSbyf~Ec4 z_sTOgP5#bLUoJRJ>+>P*TRY3_@0@7gdNw3?pdr!6cMIZfbOYnoFnl0B^PoYCl_aBf(Rjie(y2Qz) zF2-lNGe%nYTezWWb|;3@`Z+Hk~A!N*q%PSdOwB4zLU zjO5d{Qcr7}^?8KT=Q0k@W1M-e*3Bx%JcII4-IPzBQSFAhDHKk!Do8v0XV$k~VCG{S zvp_riNbA-p%CQu*!yT(bJN%>8Yf{v$*6MJ_z|SxSpssZ!Yx`iD(REV!98)AdLx>*2c6^{Gja)c0ejK9gqpf7I0H z;%+tdnRfB)moXi*4cxb;EsR4O7^iI^Qw8P__c#92PoKyAZJx_GJdbhw-0INaI(3&% zwnFDMqW`D8*t138T~csfWKEZhg=v_mB4c6N74&$Dx1p?BL~wFn6#kr}1xE^h4i+a~ z59O}Q*pjZ*u7$;|>$j4w)jsz{i<7iIi|WHy)#w7PCKWNN5@{{GiMC50reG zUIw)zI4ne)^fUemLG*li#@W*$piU|jVz}oo#@xzFB%h|WO|n$qPB))sDWOaC4kb+d zZ)7J5O_kENiY?^$Fd1Yzly;)f6lm>ms$K8n^}Yog;x~emwB{!qMGinv6bd7@IWH7p z??w)2bDYQlV~&wpsLc_P;5FwU(;R3g`Vkw_{XH(#Rb@?+--Xe073I=2n*9pY`xC)Q z+BBQ%qitW<8;0Mhw%rRMfL@4F4jC~luLK(`#KC9?*6ybQ^fM#iW9ZF==q<@YH?#(* zl6@?BB@Kh*UbKCg5jArFCzZf&2K~|8`2Q@F+8{W3#u1;F_c@|w^6~vz?Y2HLlmsW~TJ46L zU|`)RI7!!P?|*lp(EJs_NxD}1z|gNOucLd0 z>US85{M*5m4DPRjlQJmViyoeBaGVD2IMjEipYOYO!3=$$;3QqEy#sTsIuayZt9@h) zBjFIqCuvag{h;Q>f|E3;4zsWsGK<Ge-_NF%!3WpseI7yp)CBaEsm7s)^4RJ`E zE}cLUgD8$paN}u?5}n{Wt4i%Z$)SQ&8GbYAkCw;(%Tdp%g5x3n8$;MXnS6X7Huv{H zupbCc(jd;sVEexdPST<@Wa?bY)M{5xw}h26%DxMP#Y6|SMbd^4zY!cMx~;#|mm(Yp zA-i#rKEn=3+Ngq|?atRgo!)sYP`|VGPlam2eA&g;ELQT|X}0{*Gw26dNR5(8@&9(9 zek!>4fY%m2!w|@fD7la5JIImQ>@I4$R{P~7h$`?N)hB7RY%#S=a9Z}WM_JyMG@grD zp#^4?Dee;sFv_lxvXTbzer{!zq-(WzV^-)WGpi)&T20z6Wu*;DCDRsuo|1`hhTug? zZ?FU>>O#`F1lP?%&iuaifrC3;Z@T)kwfU8}KN8|{z0@8< zTprDDK%@Q;5O(4?byhL!4uGQXz(KY{bf|E29)z_iqwg^trB2xAFSlG|VT&d3xm**dzX^DFT1{XwtyV6FeHvzRVP2Atv$rv5EpAq76z0@9OnVajsdsE-DNc?LbNHYhlGhElCW zg*K+C<^<+}U&tbw^K(1alxj}=uu|=6p&HjqbtfJVsC5NuItl;R&<)dS%)QYJ%Mn)# zPVR$JEp~2E(kKggGw0_)KBBJC+)PQt1M=Ihm3&(EUCmfX+f#6ouGQWSOJKUu$dZOl z`4U9gn{g^>Y3JcRQkHu9@E&cu;G|tjCB=c_7$H3U%571Kvw?T6r8tonCV)G+qZGfx zTIG+I>gPSxqLkuS3dOlzdblwhrBW2b)7?3sg_8`$dC5=j*J?}^qe&!fDD-!EBc{;b zVHcrmr`;tuNuv(xnLEo5^wzq(|W#N zt9@yI%!J%4I7y={y@eU~Qctb+ssCWCy-V^*TJr7pfRFoVpVcIi79Fp;mUR4K-~$?) z3!h=y!p}o}L^XXbaQi(VIC+LrvOs1I*8_XNM} zCtx+GlOk!aSmBTF>|YKV{z?=VwuMze{j|!0rM`Ajz%)0SQH3(g=wn20|!O4NYo7 z6%ZE`ke4O_v4En8%3Cae*ib=Hv4GMMP-!9%H6S5{4!e0F(ssYUbMCokXTI6>kKcZb z-^-ahGxy%PGjnI2dFBCMJGz2rzo3Cpvtyh2IM#X>S+w}NBf8O;&d2dLz0%UM`Tr`f z=tkp)lh9g!6($-!zE|045}npPJ?jk_PzSARS%7sTs**{_XX{2Rcv`Wpef;_oPnB71f zH0O<|eZDZ!@X0fZ--}MGWh=1dx-OE1TnDl41;SK^tj3Gn@OOr+#zET3pApLAp7{J5 z&;2Jn)7-Y~8m+;k)cFj_;8~vDHu1_v=p!Hj zU~;|}%@;ZRDwb)>9_2+jC@U<)^3eJ-!!^$;t#3g#!()e149{p z8`5+amW3~zOFlGG(jUd*r-1b8p&#d@;wKQM|1?LI@!pTay)9Cqo)z_59B=D)?f=6n) z8CbhqQ@VkM<^Io=OGCRvar)xyDS*HH zqu9Mzl2Il88sn9rp=J!@;$t3S&g-&y>hrDjl-IQDB5FRKZ+q)J@tNNA)2KHl`<52d zn;*h=%f)Bfa@OTdybS)AeqefQ=%aHxczZVKXqwcc#-vC1ON7uVT zb$863{EK@JXfmuKHB2S<=bcM@>~2djM6kOrLK^>^=O6^$uiq9>i|Mm}fg$ZVVWvO* zZJgA)TbSw3;f#%s5t?>gnpQAax3nxM8hTo(_D%^Mj(^o{92wTd1ca7qYykBhVM$=) zMEnD15vFuZ4U5ZxG10*8#^d<#I@9>w)2GKxyIhvX*$`7QFPIs;e%QUIxv(J5l&ZGNjIZ2V~nOUu0r zMX!&TqB`civAW;Dt>p=lp~uU<3$$b>ts_8$PK5gAlm+g|>W=jV01*_rR{Vm+uL7AK zjq@G-6CoY`OtZHR+&T>Q_%IkG;a{D%Z%#AD_v)X!QbzX!@s=&_TY&yi1#o5xb?wSxMdLXJ{t8JR%;Fo_+9|vo% z{H-w4-B_b~Y&7jMB;xkW@Y$gvR)Ykk-Wl~)gT(5pCPe%+lHV>O#_JG2aF*oD^p4ft zv(QD7Hb2CftGCf7&z!+*pOaAR*oc;*S5>DqauwCsqb#y>0y``Z|hhe_1lD*z8LXo z?_^=7xt@CTV~Hj0y3lR5n>;NdnJ*L#F~9IJY~SKyUqYK<23v1c`SNCVUwUv20-;NW?C>_2bzzWeNdz&zXr*xFjg*AVQBMKF$>X!zb`MKBMh zV^$T+toX$`srOixWdy$dq{)ZR=tIyp)_YqwS|36rbr&e*=s4?+G)_X#20*3NsxI zkGiO%wgM-Gk-t>b7)^AW8n`#tJDSQRzJvSVL~oQdqRzun=j9{BXL>T$QcwR_ znCV?$#s|+7X4>UKooSP&L_>e2Xv4`E{xC}YaZ;h>o@6_Bt-ewZA&`_|+kG*Ke3yr;hm2Pybz)=4UmwnO*g_(9;+Sr`44|kZhiy-K0MN#bIutU^S zrnh#6nKH$SJ~2?HO!_^iO!gE0^3Ul`vj|N4`q0X&8Pof!)uCDj(D;kGu^6AwihAY; zG)Tw0M!N|Ujc5|Sqm{Ag+-lv4e5UdH0Gcc?TyZfiobZYwB9=#;7a=^ous9*1odNxP zs1u46=_^aH7uSdU+<7FU)18K^pC<2r#?c=;@$}P=JC@I3^djKU2?QvUbf&c zo`oFsZ4;L5!s^)!vqIkXd&~O$C_$Y&7br(ZYS4Z;l0S)G47L0VDOXpP4*Uj&J;DyG zJ3@k7LpaY`@5f$5Ztj|P8O%F~Wf@Uk)W$$oa z=-Ywxz=97?JI_20elc}wtStYx_$S78?sWB^v+u4Sj%{03Pw;T|##KGk%=rBr(y`Uz zZL$6voF}nJ2ZmWly?T{B;utZ%Q4D(~0yxa5^=OSTDHgBQ?yn`QwvE?Rh03gG(61N9 zVh&FvR7xc*gbM{R95{j;7{S+RyjyD;Tb5g47g*0%U?cNF%rtA1zDZ~nplTtR*643kUDM{cpu*OY3@Q=x=mf|W z^OQXw zys;Sm@hust1F4(jY+milc%rG6)}G)GD_e#Rs*9|9# ztM{H#yaUB*F^@jR$!x_t%9hp#l(Db6knKou)n{}uUd9&R)FQ+wY#DUtdO+Ts)< z4JQFUDn8Sjz7IPMh|u|GIwGaRhGKm&R~9}#_wXmpOf#={L2Il(16$V-X(_X5=GE(% z&2_wVvt%J3Y4Y{n(=6Y(1?5?t=?Kv87hjFh-u$b}YCh^?kccAbd1{ z9?|zw8AZFYg6aW+yZ#~N$-^2f$eGbKc7T{M;1`;Y&;~EOO`59uA%OF2ek_`AKb2>i zd;^CFbDmHeO~^l|6BFN{im`&eQYx+nRtgUl>*uJ}r@GMDicZ=#( zKj^4Gun+G$cgEgxc4NG+c=jrZKtJ!q|1Ls-)lmjQ+#ava?ii{cGVd+6de?JbsXXVgn&g`Ov111#b^iC&vMu{Etp?lHPhbz_>qmepcg5}= zYp6H=4OO#V>ZtONt*yi5Ls^c6@yg|&c&CoFl(c@m3srxDwA|9O+QCvi<_V%Pm} z+3L%>m#$Hk4+pF0W!sbo1m|d>SwHxU6&E)FG=3rcGBj0S z<^#4g#zB_@*EkwoV7mB3<9N=?rCW&BI@p(Ov+SjV3S}KpAIgP+T`!L_tw5;2wX^c> z(R1SI@lh6L`o0YIZjkG1SBni1YaCU~I$i;2JpwuG zt8UGA*mrxGG&Y4?n<1{MUj4^5_TpK9s%t9Wjbou#;OKAl@?=8wL3!+$#XE*Omw0ia zGIk$bUJNu;oj%?o!{_Q5prPs=CNHC^*JHP$^k|am86Y|!q55M1i1evr`;UrTIQdAZ zvpR-{-b|?L`4Y4mdb@+1DP)o9yg@s)9~M;6jokBr|hU#izj zhAK;ZI0umGBLf(p=$Hf5NrV$rWA_O~Aw;=+JXddlc+9PwiAz|Rura$d+HrJez*yP# zJ%iu39a}*6_t01DGvbR^PBFO49Ww8_Y$z4YC$Ul9x@;C={4H1~s#p6IjMqC7>)1jV z>utxTI(@bMi-oTX^+rQtCqZ?f-f(mNCKmGRj%+=gGfjP<;|%9HXs19R1 z`TI&vccxiK@9pG+jnW1;y#+l!BTO{X&@R4n!`K6e2ivfJ$GoC3CL@~jl@g*UAN`@Z zJig)6_7h>E@vhXz%9}!>F;-m2K}LLo*!g&)cPn8$k3JTio_t+|Dp~Hs6D+@ZBpUwr zjgnV1ux}&p&dNJj2g>U{T3B62_X1(X257hIgzi1E&KpF9$seETwQ z@t3tXKpBvcf1=@|yW`*9MEEup+Xn5?j9fPu_trb` zR?DANo@kcG!LJ#+1IL{Q-{S~6`QC2kySWwTiN7gKbVKhn<#FkFr|Iv?>;7B&i~4V| zLI2odKhd&_J_LdAF67s(8-wyaMOjn6omZ6wOY&GgQZCZuLzyuilzLylH0`}|(TWSa zQDp4p%ZhxWlP}u0_(6o6f08V<9s?Q6SdVyD&V!gi(}joHkJ zcfFz=()NE97PkMZ?BX$2V;p>Pmd83w48OyyiLon|+Bt>=hOsL!{PNG^6xUfEuUOs+ zjIr^$Oxl!u^hNS{Z1VU+Y;YWku?F&SY=4$DcpOmd;PF6xURW2|lCK-_UA%AZ{(B+( zU_oLF#21@%dq_U_)xfZfiv7uKH}yUE#o_pueUSF;=bRT&h00T3k<9KhfniLIxhMMv z%j^G#%Cqk>W^8y;f4|BL46-ne^!w8C9nbXQ3;7;T`O=5vJF2%keaOC}$)0&J1|XVY zd&QC>eMr6|-Q+`hpXfyF@a{8j`V4(g1w)C1Tj|yK6j7Ca68e!=*!fL){ zUBXmH&x}bd;zvWxzjs+~+63nfZTM)Zy;0&NsCh~y&3P%!d5J|jFiIL2%^!PWKOSoS z>T4mc`{J_PwE3?s;kwQLuF@j4`S(Y~m!e{XEa5Xjr^&xAEX?|K3ApTC&3qv=!Vc!G z`IcUrd{pYVtzyveD`MQBgOjxallyGKh*ohiYz~Y z1P@9Y4l+yooky6`HF+)VCr0zfe%*D&{I0vYkvHpJR{6DUJ+YYo9Mx9e-Jh%d5b$ph z@aIK9(U_el;BghALz-1IMp2mZpBa6M&L){CKIf&9?j^>S{}062O?;xIu{M2M!5F%Lz}6(DBI06ZWWr(}>bb5I)DMvsyfQZF*?o+9elnD@(^YLNfJL51{62 z`mDeBc^aYSWpqN_tCjrwuU*`R$hIy1(1x7Wc8N2`n0q||k2Gxosgh@fv1CK{)izFThA5uK}zmEyB} z%iqA_V7V~S_#E34zC7GCey>FIe_HYdEq(QX!|6D3I@+rU(`W-s%Kk>F<>SiC(MpYV zuQs%f6j_v}9S4HjREa0vP*HwukI%cT?Vok`5&XS<R(K93Z)Xz$PhDtG19ax6l z)N<+h|7O@d5LvziPrXvou$HCgtCpo$zeazKbYPK2jQu&rk{eX_7+|iu`pB5;{#FSm z9DUtUI`1~JZ+)x?Npkha&0$YWB|RG80eZm5$!a^I`Xq#(P#t2y83*;D%j14m*wae{td}%e8l#}^Evd229hBD?N2SgKgsH9Z z2<%fSInQ7HF?>@X4qmHANmhwg|m0dg{D?PDKh%d&fZ$Uncpw?G@rw(QR zBgQo*ha3AJv5vXI?0@nnmRI~re!@Om{3WoP6H9-QPq4h=8){d`Jgc-XvCbWYxqa2H zEU&b$+7M+S+Oux4{>JmDcy5{PrnZp{+(v2})`9%lMrsGu;r5^nx=}vu;`WHy3uA#s zAK5vuPb3z$@0k$+`Ok;WO4WBgJu}qb^&FuRV*NDKmS^$Mz}AVv;X%+q1kH9j_P!zW8{T}3s$DT z)Ssd83|CEhp5eYy9;1T7#7so59k{zdo*Lg(QAj5 z$BgVM#a^B*tD8Mfl-lE~<~UU~)mhcC$L{3uw=ypf)MoKH5C2eBz?T-+``(YUn+!I5V%(cnQ?<)^_lrq38V z4}Y;<_>0OD&AeqcHueH~y+>Tpln*1{tIe`J@L9|-9}y-RR~erN-i)(FHyVGw4)OSn z;u8%UaF$IQnD5rt%i2IRMj+VHyPLHvUA@hEFwL{4XuRTZ1v<|n@rjlmow;VJvG%{Z zJla<_?f<2 z_KmuZ!6+*1mbRKz%4@qTv8=-~)7KpJ*e7GAIazt@Iy|%4F0ZbEw%bE|qNVTBuMEY! z_8YVx*NVA|5$nc(rA;}NAVRF`)hE4`zVtKrWu%e)SN#!kU|4p)B*yzFV+TJYXZ1Z| zr4Oo~vAojX)CV$x$en)jb@htReSz)TwG$$&%3OS0eyqIq=itNkWbkFXQ8(VsP2KF{@@?iVz7~;Ck7Txw6C3}kF#9;`?Y&0z z+Q$P!1NN zYd!Dzcm+X(D=Rbsk|#2gb;%l^7$dNhEG8k(KLyqC8}9-5Zrj z+L2YYPqw-2(KWv;X(weDc(KGej;yMWAWUOMi2u_PJQ%8uhHo!3c2#kXBdcvnMnl#1 zpd+gX3YR0R>U_E*tGkNyyQD%(OU7NLP{&C{A-D|Ppbp#|e^vX6=)B>i)!xAY%2;1K z>yYdVMTqbycwcp-#=%x~1qghTK33cQ2sM6Rb^QHUan%=EVgRA^_0cE?Th%q{aIA3u z8%uC%s5+^Cy2|RPc6SU__Buy$)rZ86qzk6YKY`y*7C#n^EcDrpk}uM+Q#le*O1Ci4 z`0ufJvGHnQq7fao8y$SR{5V}F~CUV51Fif%OSM^rT`cLPO3Nsg5BoB95B z0glDz2q_x%VnlC86h_@dvtD2?3bV}5J_PgbCrmW$S&#cK!QKb*vtH4ZnH_8x>>go*^}d99zbR~a(6alx()MhFcWtD4JxjRW=f8$} z|Dn91p%-VaZJM$E&0zbCzy|C6Kj`tLtT$-Y(GIU}wjXA*2Zgyk?z^?vS+v@yBO^NV zJ&bnqo%5ozEtfXe@el0t=#9BXL$unh<0SFbb$FJo?d+Xh+l9aVM`RsA%l`)VVbY%? zs(}wuU(vGPQb*IFr`pnIH*CK*PC|tJgz}13UR}!LHq3ULFFv=?%U6{45KTU`+f?z@ zw(|L;8XNzt_|&edm#@`Qk0$JXVT1L;PwfZ%*4&EUt6rTeQ@`y$f-cpnNv6SBbGOSc!_p# zKO*12t>SZ^30uuiTg_J;>KDXH{~#Z4My6fd=G22{f;71n`Nlsh%zcLS_TH#^-Dd*B zlg5~Gv(JQ0=PIxFE(3%8ScmOL`FIA3@~I#7%$UyVe>F6^td8ujt{lT1*^fZI+;|L| zmhurnr9&4um0zha78_@yRGw%|8GV>44iqN3(fB+3f6E;HM1$`Tv_=m1qG1V!W-w#O z7jiWk&s<#|l8Xk0O@ZfsAU@Fu*DwV3j}RtWGJ7a-9nb#;(*quRuny7Kd^iL3X80!> zHkgCspd-a68d%t8XdOOk>pJeg9yZ7+Sv020)60gLXgDc`sE)^)%Ug62!iYZ!6V1Hv z?+iOdGcWYb5YzR>aK5YZ)_jfag$>Gbb|p*Dl4UR~4jV~d50jQLwZFFWyXWd2FN3`O4c=UWOY@ zSo)v+h59^qgYt@o?N7iko}sd6+1{Ih){V9uod+mHtId5ZnQhKv$!v3PD%E;)wW(hF zWK4aZ8j3mmv%dX@7W;`-yLCLIyiHiz)O9e;;kan!_2Cfpd5&YH@2ih$)N)@XAE$2e zxxW$Paam&SAN1M2AHxPQ9Y{X)0b->u$VS3!EBU+f+VAD>lEuD3z6N$GG$)ig+Fw+8 z_Hp_NLjlbS;v?4eyfE8zC~INvPV4b1YFs9F8TbV>R$8`bzgfC0;UAJ@0dP zQ9b4~R0VCHNPA5z;h3Qh6cg^qc1<^$hhH?}l8qA!8lx^29DX@dG&Yjv1Iv+DG-~4r zGEsTWxBNalhC$T9Iz-d2Fr&XX6!YUBm$wj2(mzIgq7j*FSY99!jb6y!c(nK=fBJ52 zbh+L)zJwVrXLQjh|A1A+Xs$)>M#mJwFzvV%z-hLc>p}y85?2@*! z9^7Zwv>!%^Rj~Uoa_cr>JYo!5cJ`?4@?x~zOXV56|1XGEGBOdZynZ}d*W3P- z^44{%*iM*rdjm7PBLvZi&ak0A{=?!EEn9IlLmM<<3x(A-_2Hcw^COogEZeQgrXNsw zmTx(tgK_sJ7Bmk4VS_U36)pKZYBymADQ}E>h-_m_Odpa@ATN5SeIu~HCzifJ-{#{V zj@tI;m~GJyVzy1+kWZjJevUqqzM+1hyzU?J3Gx-+VBUVr-pp%1Q2T2}>PSBzA0NHN zYot$HdWutnK1hx`8p2}pWByM_d&wC z)6Rhn4sVi=SjR2mvmXTZSYqi1VZVuz*<(&%A4n{Gqi4np7JJjs8MAsp!qe6;FG$3U zi3ez}yp2(DiX;s0I8Uv)qs&AEf#;l%b8LDCj&zRL? zB16@o*7K!MSM~j_S@I0EIGP4s(QmMb#{7w~V{l##8goW3uuGL!G>nY?>CI;4!Cd-3 zm{*SypJ;kti82TIZUEo4$rrTveCW@zgy&w|%*U~@?G|C8G2WnmdSh8Mngp0v8%@~q z=JH}3%(0FKDc`=9vY^adDO=x%q3?@-R$kF*gF9C(uZ+dcd*8(FWN@sc~jbU;kSr9_lTBV{OG){!(*cJ#`u=?8tdPu zvUAWX&+XChUvK1{p$3son+0sL0i_aU-O<2YtwrTj^o@s-y8{)7{i@u^|KY!TG z@=>?0+|ZVfq0JvaUr1k*??4vLtC%y{Mu9C)EPW{E)t#9abIZ=+lRv3EA6b$=C6;~_ z^52Pe<6N755OeJvD$nyd`TCR3{vw}H_qK14uYsq`8vG;+u@yNW+Bc{Nk5EuI`vJ@2 z+_-oaf0b7@5?`?u`?BO~S~+3>XL*)|d3lhRnC(K0t5{<8gTT&CEd793$6Z<8T}76T zMTspcy7l2;Lf9`KdWB8*Oe}qay72>l)J=ZSqtC|uuFSt}NWKso7S$VagrL=MZ^(&N z_X_%@BCh56hMe+9Lf#>`&RaY;_W!3cya-M9f3rG!B%RtY+ zyO;Ijj_GvOoaxE-zOrNO$+jxXE7oeW*z<370Ap8bjP|Pbk3IiZw}N>7-Kk9PUY=Il z=AM76^R+qu)~|nkL^ak|lbpx~N8?sydH$^|;mNkT)5K79pNyx`>L^OCH=@=5hF0H< zt;$w3okv4w3yWQCSa13FVET^=6OI4oymDtVw)}h89lgXDY4R~19*T9MZ^V2Nd9aRn z6YGdS3zJ;(HouCfbgXx<9`q#(qS2R7mM#f!dSXwx4$7~&e`W5RbL?ID1FZSJjI@k0 zMWYEY*7W5%VvrBxsdeMU8q_V;4$rC%^D(a1LY?bHZr!}8n{i z!BK>-nsS73`>G8!F1jrPdn&P~R9?(e*f)xK3U;6m$rp(gpHv&>_?c}fUsPWEq}ov3 z&bx(bL*;c_s=X+4X*ac(_}p&9_OM2L*ERV-0S)SXCe0*gu=9Sf?8~M6V z5TDyfZ6ldo9`km>E-_D~U7|eXjq*-Vd4VlRY=N+_6$as$e`3GoOy6*e`wu4RQ?a*{ zIc8Iw7(E_lsII{#v>Biw*I=6!zgW@gl4Q{9lGk}8h0>iytY_vV{@hw>=6u50t$MMT z5d3KAFfSI16=U1_Wt@u{>|f5q;++nRv)jLjVRnnL^0uL~TlHRXR$afY;Hj*7jlxj1 zhw9mFpYlZ0P_XX~y&nzAM+#-SMtl@I>(%nltIKLr*t1*pUWli%>eWO;)eDSgW%1S1 zWIUT=YeuWzk5|}eKHhw!$p=5q z$j;?Gb3R7+1H~u0(Rda-eg-TW^*#fGos{JTt@1jt8i|=P>ch+ko>6ZL6OG=>kpj=u z!h2J<7*$6!^SyQ=DN9DswgGnsz2hlbyQaE@(=;3lqhKFq zcGhb?V!r;R#(b?%t(#x5tg)_@DPP)Z(GKOAqiFVEI-&S#-MnL0W8OWi>+maSYMX4| zU9;Y7k45v*z8SfT#@@;vu@`?uE zdRP%&E=;ua?SwqEv)UiOv*73H2lNvjXW|UtHV^E@#Ins}Rz<&#SvCDHFs!5kOFvM* zAXfT^+S&t?WRdO~U~B`mzxeD2#CQ!52Q~Wv^R_QnUfYT?kAG7#+c#ncg+Im&nl>O` z7oIayU;B%ELVWfO^;_xY{>!`_819(Y{z8nq&BW|4#P|v$G5bqkrze*EGV0hh>j)p} znK_x}xxLJ1Ye6i|XVr`KgwTS+y;v_+jBIFazgQo0*7?pO>nj}?=QE5(F`rH2?;Wao zb&#&QdavG9SMQu}NuBVoddnS)QVLifg=%NnfT;@B?N4K3w0xpdmT!sA*g`}Ne(_f{ zE*QkLr0@QjMpcY!a&&jzf1HbvrCa5R#`mi*7d~{2u!Zl#e_t~^#tTyj@2I-!>K)ZlD!H%PuwFAOiOfhw$8&Rv&Wdvz(AYwl z!Z&(|#-N2J@L?sNlVI?i41zo|&QV-Nn@Df%RJ}gy5@7 zhk0v-rr}#Fi=W0X9ilY-GH4{ zS$`F(t(Emsz9*3UY$adNDa%I5m)Jk{Dx2M+#iz^bagsdJVg0pX{oL3VEj|y)q31@x zXgAjZ-TQtm%;k}fx4Mx}d3*G++k1w#NOjUP%`)6c&uEc9p+r22s#}C7Jz0xSdMX_l zBoKxciIMf*;{2?K7OYNsX4Umc&qFvoQ@3*3pmKg*#JqS>B}~w&*rx%N4znV{b`&WZ zjt_o)Mb`BxZQ|D*P z)ntTb0UG8`IpP}M;C}zr zq1uZTSumjY{+4yo)X4r-{LbQUVv+7NVyk?CC#LrYBnAh61XX-AR)2xVVw_m6Xda)n zJX4_be`Q7?v?dF*U?*l4tSknxXIzXh<kG)-d zqEk^s`mCb~i+aQSOnk6tY{Q>eE*I~RT=h%(qt%UitC#e%mqk-hyEm(*2wGR!y4Uh5 zTfQp7X7Wp#%7cgnPVQ@8M5}lE#ZBe0RML*UyZ2^`MXZM(Y><0RjqR9+ihHre=w8)V zHwnR2hWqNKShd69uWnKXesxoMBm;LydY=OpX_X8lA1*d_?bQYDaI$dJ>H+Q;xXj^@ zvAWZ}wlTbgYah!a8aCdsJe3qJdHiJ7d@q~~8)vr=jp`5~`nqcBz^5saO8P0?ViDVK z_dTY}JBHL>q+Tb;Z!{X0U{7}BXZck>EX(va60!fz7#Rm{TdW;~+8V53-jeXm?GwYQ4vt*h9Fs$vOrMU}NjSoi1( zJ5?rW%KOPIuNGy2u)bQ9c>L4Sve~SbmNjod`hUgADkRCSS+7 ztMIYB1;V0i&@wME9E1NEy4#g{vln-6Pb2xMdzP{9V zG~(tr(PnOE>hbu;iMg#PGq!97`P43}Vw9;lFYQAN+hDKbe#ci!8G)@3<}_k+wg&cn z@Ml_-cLMn=6S+Viomiv^d+>|Q>oj8c1~KYwy|k2DFud&>{K3}J`f>bzqVNYFgDYa0 z78q?tEYpa!^x?lhjenUI*t5c%Mw$Qn82Il0A=PP#y@j}bFb&w35kd50txoJM3|GpF zK82W9X~Hgn4Mu*Qd`wGhE(bvjhLI*Lwtn~^@Bx&YTYK(r|JW6a_Wi^s8UgRufW0P6 zw5GzyyIRXTkkYx|PIKqY-fQ34d*X}E`|Pw=E3nqdad+_=ryg_iN9Ub*Y(PDer|2cJ zN6I|7vAzLX2YP_s`4F6ZNRVk({9Xj%BgoWM-wgDW2UX+G>F@j<%OTSI9lI%n{wgWj zKyI8xSKqM%6ea$)oG^YDA&hX&M51yld;8C?NLl(HpC36vO9M=>Opf|;g^~dtG1I@zXmvs(>s_*{b zsQ`z}f$G-Tqf}OX6&E@pi?5FgjH85>h5ZsTot{|G>gT<=A;v!6+udkfjy~*uDH>l3 z*bn1w&MBfXKEE4d>WeB*G%#3C9|Sb5hxGpX1t08^ZpYX-_)XKK<2$B*RC%tC4>n&v znHW_QJ^E^R(ILW2v$d8n7{lKn_FII9>6QG#HtFEohFnFiHtbux{a?f&Thg3!eZQ?` zrhI6|K03H0GJD`(VUVN5SO7~S%zy_?)JeQ@dRReFvTy(~QCzjenhr zkP1^!gfkJIn6~`PTlivSm3*SDQ>pib@1fq`l+eaB>s4rj3rN?2yWW~x4>_g9HpFYz z-Q^)vd6IficVVVwkHWVmo(M9n1Ko&!rpae)6KsLJIjyC|_MvXe-$~3mDsRn4eaQFY zW#W_UtMW-sq9rfFc*qnOx&gy1rv>)y#4?T8ob7@A1bmqm@JK_E-n1Qhk3Sz*vIu7 z7{f4PnMN$au#16ZT3`&rfH{r&Mi_PuFsA|g@);PK`l>WwmoLCDKHX_7P3cQEcA78_ z_fH+}w8WzSYbto^aAEEryu9P(7wbBqipPbdRIcU>oXtZ{v*d6)-jZqmYW#++z*|W z82cQtOd}e7j##DzwmJU$hLSdjL6_D{i#D2rOND-!7}AKnb}*hOuZ#A{G-3}ege~uO zn)tr?3k0SYI!#zCm9UqoG+|sSt@$VTv#?=h#Z1 z3^Wh32CTb71~~C4SQ*X~CK`hRoZdG}iH7~cj^r!lwQY@oFYE$`O`0Ea)g6|QHEG+M z^~xT05tV1TV$ciuZ|8^~EM1l4cv}TtUJ!I*R+pLCPIO=a@mN(_Qckws{(f8%e*&YrB|T3Ys{ z58!dh`^x*=cERdYrd_c5a)MoOmomQD1>aquv8>0k;1}~-lMC*WF1U;23DdnB=AaAW zH}XcF9NrjnvMX*07XaOULQBggZxAbV+ic8xn+P)UEkZpIiGcn7IpdXtSe$iDl`B3JzH6NWF_!45ygT>M#5q%^7Xw-e72SC!A@hlNG{}K=Sul37x^TgI?{F&;UA?VeG%^SjUgS$vBQyN z;BIFZ!9ZA@cXvmsuIq3|s=f~Hj`W8zaJwT_x0HBZsJ@nPNcMm#$ekbqN@7U*MLMv=ub#O(8X zmZuI9i^@gnAm|1ya35~CYfrAqhWxb zYIQ#TG+|t+kB0+ZyY&OWneGsg2qix8}{Jkgj`&$XKbD6{3^t0snzoAZVoUMKF5=@&GSOEZ@yj{F3_W zxT~A`s(ay_vM_O%t8PShmwRXFg$Js}`to*nxoR?Vm+LI^TNmZBEb4OOVlA6y$27Hg zRvA9S#GR_F55JKYU2fdZvdf(>EV|tJjmBkXqs!edOmw61iyxIPCmK`E!D!CS#U~n5 z39yIygo#EML45f1Vqu~wCz@$a&BrMbuj)kXS?IPsoEKd)>1fs)nYS5}ZUrO1F3=K2 znt6Szul0Bo`fKPw3>!3LKEXqWX?ArF9j0yXB1=C8;5W|20w%hWX>^|x;fgUlna-~H zPUf{e*lxCqWaX{D;l81-^Q8Mm-l7xvq}%Md`yT9#!zbmxtD&09=rgeAK2836rXG_u zs+BLDJsgb8bTIrLA&gJf8t-C1daU7UqGqZ!bCS3-})!Uy^jhL z-Duo^r)FC9nbs3Mt)%zfsqBRQvqYDdOQBazXi{ixnhc{@^=_k~>VCNF5Chdsx!x)G z&k~j%qq6F^DbYLPabdN2@F_v{$1E`&#lyntGMx$1m`(7?39{-dXA%SJ@357HwXq5& z;&fTEcUNI?bO7t?J7!?i%`Pe$&*~nvyPJmlVH9n9T6s;!D0^2k*0DPD#M+*Jri1S> zBBh)*3}d>F4do^sU1~S-6>NP)ly&n<6 z%fd_t-%4UdzHvQ};pgHr&8;#Y9WZTs*LlZc29vF@7m_sj=u7;oG2K&#G|0*~)Z}mC zv)uv<`;iY_6E=!2nzpi@okDX`E@zQO;dZ}69Yl#4RR#fW4NnL_$0^$@ga%U9Jy zUiwH=4}n)ul6vFp3>Jp5r`lFnAg;dZb>2b?c=gV-&0Q@%Jz`YXTCUIH zU8KzU$e;&6luOgx__etofrvEmV;LWd#-#o&Os>(ZOg9=|!9>+JU3o=wWGNH9X!Nd4 z5D<(OpJ_f})&0SYe2zpT8X5pTd9 z9Dj*M9SoPV4r8xP#j^f0$}5_Au?!27m_}a2ZDS{hPc+M;=EGnYB^K$_t>PngQDVWD zO|TvFEGbQZW@fKiwCAu*PzA>4s-umsJ|C4(eP>VA1dFhW;2^f>P>Tt(bW$Y#3RAk8 zV99F2*aS;f(-A)3VaFqUJTJl{buXY=HlJuT%bQ61sxZ-*N5^_KWSV9y^*Rr?FV!sQ z1xdH<;bsArG`(CO`)S5>2MGr;rLz~9j~LU5i7%TVMunxN3I0n>@bHwTCh)*kT{ZYA z4oN6*v$8{Qp+>3tD+ue!6l~Rpr>F@Y-ia7kf5+S|g^1JD1dqNdj7{+9s~F4>l5yfGITBVAPci6J^Bd@Vi7!}yrek*>VkYVAFnfuK`i8>t(4B0TYTAk zv2tCe=6eU^!KMLi{p4Ov&FA2@`mTk8+p0wkRhLJ)k+&*q(rT)Daxb;mlZT}x*rHoy zi{jTU`XrtqL`TXFDH^S~H5~Xr@rgz&;`xNH=n$#Y8dgLdBiN=CtF$PR}BI*#00r5UWk2ebwjXL-o zBj+Xay@3Uf&uy4)I}n5BOy;Gph#rf)E1wf)I(o>UA5vwjPQJ8bl=DpKE&q#`8nMLv z`+N+$Q%)o5JR@$$8fBvROmB4=ruVtR zOz-nI*f{ku?Q-!hF!b47`aDb-oB#Gw^~}h4qt!ptR{WdR9#|5rlrVqv9RFo zaV}w((?{M&3~Bsxo>AvOpCg2s-eP0;z~_XSKK9|BXUC?nS>^DtfDbE)b zF-=UsywJH$QSllIhA#W(CKVBZ@K4P6y&f)=Y6ti2&LzIfw?z70i6M=D&a>N3aGLMq z!c3o!X;nA*wzQab87X@B)C)!Q3nWd)mx}1$RfTKb-z0i^8%6K<5;9$eS$v_Yr|4_m z;atL^TEx>%BkDYxtcAmj=ZeqtLGLZ)hpB5|h=oV~TVdQRkV7_*QXnON;5VFUC{HZsj$7@wSL>?-yp; z<)%6@^t@EmaqCo9+9iOWNh8Ij$VAR#teM$g|S*j`cC8Y;yhcy6bgr1T1+3cpaejs*slL!Hs&YH2Zj z=@q4QOh@aW+*D^esotUv{bqd_?yYiV#I+J)2x4j#V#}G+#AoeR-rZ3(Uee!yhXWHeaIi>0Cvf@sE|XP$%}BIaFD z)XCOqtWnhYF@-?D^Rp&(&=vW|)@fkSo^XBLI^d4+kh#RisjW9BWTx@Yd3O6rX$jLO zBM4pACO*?A&ulcF$f?!z`P{<1QhcUeSE@+=ZTY{V;wRZfAkDJkfSBZ{;&azEshD$- zn2`y)sdc3A+;^Ny)w5cD(KAXy8vmSUCd{OrSoEi)PwhaB4~oz9rHHw$j%k-Gb;$o} zQDzLx{%zD--2(zAp$JcXy-As9FEgXf5F$H_3+_vtO9HXdB^aKa#<9+Mwz{OuM5a%} z0pjO3R$kL*{{SHlyoZ0LFTKLg?QvEkY1ah>cV^rZ!NY4sM?O(I9@V#(kEFw^DB06j z45y=*8;!fyZ1%~g(LIz)GDW?gP7G<(QF##7f!63y=-s{#EW4fQlgGiw?^b!H&quvF zo4_ecwCjq?bmr|dZ}QZbwN@4_N25X3#lO=^&$|Ks{?XwBax>$X0r@OR|HOQ9JD0Fn z*kHk;G-1kvh0QZ)D1@qZO{F!YT1 zHKb`?^!*&$4u8Wbux~WA8-_Ffi66f|M7~(QfqOZ*olAW0*$wBk7L>Hen|b)c>|+>@ z`Dc2QwXmea?7%Z?Se-m``)J44i{dvU zaJX@Z;=jOz1vOStJO@$nBOe^hijTw`PsNo>0`MsD7AFA9G@{NE%I1J+dV{~91MH`~ zrbix)U7U4=neM>cvDrq#OuH^A84=?fMakbGPAbU9S?K={7{wn`4IKpJ~@G#bdyIt0;a8-okxkh~mG3v%vvZQGBEd7C-Xc zRTSS4!3A4axx~k9+%3?$P9y3(@5R=N`>yE^VB^Sr*L2r9=)39arZ+gC7{l~vbh^#c zkfztW7B6^wRI-@1OftqKCca&aacfJA{QG=_4C zj}=es1(s=%H}ix6Qc2TOUoQRG^u$k<{%pGY-qN2Wm&!#8g*@#`;G)H5*y6H`KDy?gJ zV?^g}UDK{#ibuRVP!zu%@fc#LRi-Jl%Qy`DtGIf$uK1C!ttdWjx)fI~DIRWh0!qp> zqRtb_J}W-cQ_*!ikeQx{IMM@|>F&$RKxW!?Ny%72Hl!q5Ydyv3^=DE%J@3wm_y7sg z(sDC8Cg-kZ)PsF9>d~Zp~y~1K5O1>`iDCUiEJFzME3X35vv1u4z$rn94vF$Mo5sQwH*i7u) z5(^(mjP3jQJAh>xr6Km%OURpL3}Tm}j;w1CI|D;x%0!HF0?V`P##o+p9K=wbZ83n0nhQj#oICRi& zV`FlIvJi2PY2GtmleL=}D;IwgI|&4a=Zo=FTbW74jxrG*+Yd}wVg8h%`B<3?+_R?@wH7!A#g z9scpqc0^PpAB1Mn&uR@9r++5qJd9`FN-0f`X)Vit)1#M_<-cj(nd2t~rb9#2B@L%i z!_|grSS=9<+#2qt@_^?3LCq^R%5-v@t#IgJ_!!L`;X| zC_|ESVbMCGNPdXceDzY_qiwU~>3v*H_MM1KpT|GUX#9(D1k%OWmQqHaJh7zF)078y zA>mM>4j!7u?{+?TSm-YF|A%*19j2!vBzq7J#Xr-oOB!y6l-AXUYIsKJU!FPsy@qE% z+OHK2WAub(F;dqWqJKFpG>klW{`Q!6Tnf#no!*%-J^gs|L7Su}V-$b-bD?01>Cg~$ zNkdHiEv@e;8p08H7jf5jO8>eoXOLzMBgir{e&57i?h2Nga|Sevd8gJ8J|FW*V9tYc zdrVeMc;$qIS@FBn>Vw7_t_urWFUiaq`;F}nVoVnn*c5VndvVr*Hfh=DtEsA9*PwLJ*J9-Whh7o_7&q$9A`qeWHL zImvlakVtphF!qQEXk-y&Yw)6X^^vz?Vv!Ck z(uNU(j@P>OP%$nj&0;Zb7|t4~@&Xh;7r!uLxGt;0240A9Lt>GhVg%MRZBktJ*t$-s z8|$gXvk{X0YS-C=58=@l0=r|^*?X`o{&{ zLYKm~m99c(NYZsxC<8!D`f=y-OQo?!0h)z*r#j?JEBPH7bqIr+Hf?z%4HgL zL|w{jKGxw6&8j|$?|O-?z!tg`S6<~2ro25r3M1zC!ZI_$^^5<(7JN?8-zXi55R@9&c4&tZE7DdV%QdCS0SwW~ zlUSq!gE#}*rWi*Z`-yqmLkN7!EuFsaG#-ah#BcGqT@$M02-^Iu6>+Gawy$tL#+{0&jv*f zZ17RV`tdd)w8{9#hHsPcKS4S+PW5nG8jnX9r#zBE=}sFeMJx+894f_CrA;iwLqly5 z0$+vF?}8Mc$r{o6;~z>X9!f0IQ;NWv+azYcu|s%p|%h!(w8DA zfeAJ3kk@$F!eK2x+fepmocGq;;O?>I>l&NQJ&a+HeiY$+Yfl61iwo| zdTUHVJ~3NRq5 z0jfWVw1=SjWxjP-iOa*&iQ^?GG0|8!pKYN{gC7A8UpQ{!JH6ryT73Q@lFR!eh9z&j zh{ne9xU%sg8sDJ&0S1M;RflMmr&sqZ1Aaqs@x?a`u|ksO1zYhxVanSxb!L2Ty>((~ zBEL^71RuWx6*JV9vcfx1F{Zj+ zRM>ubS%>Waw|D@9+M(s$>m3O}wZ-LP1!N>wd-ZwxJvnE?qSX~y7%%C zo5mP|R`XqpqERxQF?HsmTzPchq<>G9#{(z)W&_t({fo1XC|h~`VQlm9Fv`j$;uDP- zeNC)G9v3E>;RVGPCK;rqWl?>^O1@1bj zF{|87ksTsT_*o>sjq1{kM5hU#lGtIwr@#lXI%WwI?V^d%6=K-ix^#%WVe^a7E*~kw zp69me>kH(p;^^dpcJ)>QdkOxO8NZN98#RRvsSZiL zOedzLI`}9x(nD(VWxA?k-uTi|Q$Q4<**3*tC@^#wSi5xA1uC_#`c~N*DM)Z4c_{?B zCNWgnccQZ-mg({Q*G(8dn1Ralmrl~aRYH8W|sGg6;8wstG ziZ1rHkCjO3Bnh^nx^*v{A24+3{TO~v!|$#vv(RzH6`rN>&ot|QWoVApTET`J%PbQgZhR}#ENc`Ro1o4#2VWRv&?}Vn3!dTE&K0O9hQ%L z16cmWzL(|W6o+U{%|+dMCPz>(OY65dSrrKG!iW+X-!3&$E@Z4f9&-q1HI#K_nK131)rW=r;^oA$ zMmtqy^;Va38>;RG#dyO8>RMQeH&nfNK38g;@$efgARl^zb| zUGM#uAfWD0UeWLl?jRx>qMc=5m8Xy5U?hn8aJ&J{qu9f=`1qM5=Cyob=ZV6cm-6}Y z@+Rya@mW6FrEB3ZSg)|U4vqS5FZ^OuaJ#7;+_98bHYZ=Pz3l6*B|g~_x<%h|Ug&aa~^H}|fRcUh&j zDGzzwjm)S0P08 zIKbXgeT~g6yjO9J>%^hYot=w(dHC}UU?Z`Kgf!-Lp7tIb&b*Nrv`>1<`&(KzSznmx zDOlun?wMH9lQBm;eT*>EaWDsUX}2IYFWy@;9H%|o`fWc#aLmT+;!PZt@y9(|zUsFP z4Ck|crnx8En4Nq{s~BQ@s=~pf^?jx4)iDq7p+X%d*{RVoEg8DBY75UDfH%uQeXP1Fw?OW%>_RGoQIgN33qyI zRhuu|@u=FJ4r`u<`cM7w+x(EG3Ae4j*yAU^exYm$ zpby3MDEsadGYYfD8a(BG0lz0zawpxj66?C}lNntw>Cu>$-tH4-n(OYyzQRnaI$}Lj zrcDX2|3DFuixLd0^%*p;Q=TuAaBkU&Q)8q$wP|kjV>fsut1+$dvg>(a92@yoPb`4~ z>zOurdV~qHiZ~}=96BBaRmTA=&XZ-Slj4XIIZ&-n1Blj_ru15{=@jS5N}MNCoWOdv zn>=j_5?gmF;@CRFoJd<)b`)ysd{cw&Xke2KGdZ0L$y%de`X0cCpIB}xGtX?{tXe=k!hK#w9V|pa)F)G4$>6K%1Gg)SVnTX!wGoWWMb zP*@#OM!|HNjw&b{Y=3eh#}&}!w=A>Jqkn<+zgC!O`kyP`s;2(<*D8P zUy!48wVzVUb0TE8q>?A;kw1cMzbAQ2$DkkE7Hn(i0y^fPz~&xz)UjtFL+kDmhx;I7 zX&xB*`=xt~p-qwZn7#I&tK#w2CiTL)quMJnR6U<^FtUA#5vCdJk{If_g?TM25f0vz(XtXlIvlopyyW7qu}-FitimkU26*VFOU~f~Nsl}lj`w@< ziROaTSIwHXKF#Hgehucuz{Ee(95}U4vI@4inQtO&?z>M-Z@n=F$BcqZM{vt&2{w>i zkR|M>@@hWq7UK{@mczW-1upusF72V=&B&x9YW%6nvt7o(KDzuJYl5Ulqdk{wDL&KG zS09(bARV?uGZC@fP-5|${kn1-@OydFh*09wWVWQz{?S8&!+I~pB;_n;&36uJ>!c5yYiP|@q zHuCRq}iO;^M!LGvKi-Dz2+Q&GM z=?MXbE0oO-3B~Fm%Oge~(}1V)h|$N0+1H41&yJY(?x?5yg!S5A+}6b0=ET_6#N6hz z5!+hB8SBLZI2}aTqs&G7!;lwYMx9WeKDTqiK;9S2j#th_;zaU73|V(b71M3|A`A9? z_-C52MmrYv{4=ehiS$g{ZrTj|wti6Ju#y8Q4)i*&h%-{+)X(NE;T>g?G4xakpw?m~ zE)Ty5T>aG0bQ_j8`j!WTPMR;;uZ@{4@+M8;J7x(R>^HsBGPh!^@RQRE%rZ5rPW>9^}fF>mdS-O2A(L79mAKM8O0-( z@qsVVNTVq4mnbzh@I)mZc1A+Hoy{(q&q(=IXp@^Sv8G9X%i{E@u ze4@pt9iLU|IJc_fT=G#=VJe&AmpX_w_7&#xl!ut}(tt61&MocfXY2QriN)5c?f|aZ zbLl;5&%wvXXwN0sn0sw1I`T);3_(+D@E%sgZlpI0<6i0{B)0Bf#CZn_c^C!OC)8I@ zY?u@3;&nPJ;z_b7^Z*r#9g7yMdSU~zx=?jIA9gekzweXz`l{s^_DSPues$_MS5WoN z<*CZpTYY-4gQB=r{DNh0Gc#zM8aNAK>^9;P4UzCLPD8o#=&`)NhQY`3FJr)@UG-hY z!WWM{@5_3#j=-`G$)F9>=YB0dZkRszYn9h~yD-UM^9WOYwvRB?X9HCjo`;0dvR>xx zz1=1vADU=V3@Zn!i8zeH(s5k8I^*p2sJ6S^9(R_(knNk6?t^7|FT_7g+JOZPX>NkG z?rg@wl1B&=omP#lZPTf7Vk#%Ki}Ki^xN2=ZsAxj5G3?d1_ga%`IenOluFs~fDQT1$ z$pa`Prhn7;or5XAFZqH7MqikiSmZ%pM6cH7vT5uwari;#Ps@l_UY{>jIlwTi43>wo z2bKsEA2gm36WPI~&syW^7D7Ze@tC^`BdS>|R_)kU9aJx9h;;(y`~6bHpta&#`2%5G z39kHsx{2rbc&7b~t0NyrfS4veED9WnF=Zke;N>_(edh zdqGU&cS{G)rtyoFP{)y(H}c>p!(QIaHZ8vKi;^$$0Gn0i1vY+>F!A+lH#LqZMt?lC zH2n_nvvpyD>a86>aiV;F8AcFC5bAikKp39`s_c+Tt2~r@6>?;^6^$%66(MV^bH0?R zv5u#OF;C}w3sta=r!7^59ci(M(bprMJ*;%c-O%PZ4^c;Ggy1fXD*nkCU7UF8!NuhW zRU;bDfqqmXG|?yq-sb>Aw2PKFGxWOb;YA#nF(RtU!?xwd8_YEHF~2yGoz`QS>`S2D0vd9d|mUVNIyDUb7T1=#;eK+(WH3k;>RpNYorFW~o^ zBo;IzKu_oG$H8ap8`!^|AnY$ei_afnsxkL7m189{FUs!5j36xw#=b`^``+X!(f7g? zkCYMVOg?r{U6ElUR^LLh5l<)!%r?~&#}IyqhrakvKa&%Ug(Q}~W9N%cbZU6QP|V*S z3Jp(A4Nn#yv2IK@p<(33{tJ>?=M_CT{#^(Ws#j{Q$Dw6Nv>pc)sM2HnRVbtfg?vW! zicUSU^+FF8!xlZX(j&3%gNn>uho&CM7kV6&dIVo=MNFqEN0sjQNpueM_14&&PieIql>jU4WsMT z?*Msbt~R!^pLMmA5j%%xWkw;(Q3xJouwRJg%#2OboLxmD4c69%RpGnuZnPA%i9Qvy z___$OyvT!J^nt;=Y&E6<6JO8tX<`3kigGzR*Sif?u6lLJ2ceD~MmY??YjEJG2JE0U zh^&*Y#6e`8bQ`}0WGoc_s}vC}g*q_m4k~wVDtB+eI*t`4z8;O4#}?mm!&NU3P3JfZ zNvpR}vrj}TeHH~i1qa0|Cz?Y&s}m;L zA`pu{5e|1;k$WHHVB1xvqs{|FC#|}7&Y0pvBWzN}B+-b+CSWXCsk%j@82W$qQPD1X zP@V{=j~^;eb+wY^nO}B>YI(i`c{Zrz2^#W@t>g(h<(V%TL!S95PhdR?q9%viex!)B z2O0`NMPKzgFChdKD>fY>k~rm~ew~;8+WQ=Witm;uH=?O)k-D zCkz#7aS2_q^kiVsxzCW9Bt%*akuIVbs!B9ZcVJaLQJ82vz!`-V)cwLlqv-Y$&x)qE zu{!aIwg|+qTGDpoiA8KY&7cd_mqZYPvyFecSTaK~aUvNZgYSqC4GfMop0go_9MLYu zRYxd%($K1_X^a~+7!JojTVk5ZuQ+E7T!Q4Bvqa;1^zUPw?@-mEQOr#&Mwn<9O)UCd z#8@9K^7No|n4;dgKNsfQnR*pdRPuCVf21)B{Rw@KYMUN`y$gRuz%(Bz$UG=AX%)@9 zAY&~75W4@etLYa*r7CQ9*tLs|8^en3L{vmF| zB^zvl#N7ocQn^*#+(^8y1OhY!H36$>Bq0QZN`4{)3K$_`z)Gv4RPcftO+hHJSgchH z1cYb-73ES;2}nrf;i|hS4=N=4_kGVh?>}d=ai0hNH)qbwoH;Xd=FIhLi&$`ob+r;T zrdU1OhSOd>svc7@j@>^r5dJw1i{iKr=PraCyrxcYFRi*BLl*`MYwxcY%1G)5>Ek2c z;}9#tF%WHj9rFc-@;86naSAQM9Y)%$dAeHHtZH@E3+oPzv;KNLM~4naY4Q#GGE#&v z3laQ}5MaNOap00MHf}<|LVIKuR<&rrAhfXfbnD)6@XNwGE($kzw`k(Sl{ci&IJ2s7 z5rUz@{P53g#TfcYH8`c`iW9*PA;uaBBMcfc8~RD11$Hu|;r>%N)4B$=tmeWTJ`j+OC^EQsKlctE#Qzrp5&Z_dvK}Vn^Kfg99Z>a54z3-66 zocQHb^vz7O;<%ytUD1qV6Kx;SjAKJBXz*CoGS05zoTbq*ulY^Y5SCBo7WHr_8W_Y- zbUc2;sWwKGFJpo456s#azZe~L3fCCF78l(E*TD3QM0wepQ zDT4TfEf#55#=-v^=hlKOfk=D8u%(%J8MU(SUz96O#8_KRurS7Wj8J>OLKzFQ?SYRZ z2rbOEXIgXa%y-Vi=IJD@@}5_PLL43<&oxfciLfH+Omp-_bKJLRK1Y-?8A9 zCFFXd&_b5xVY+^IQMJ0(Uow4gXkx(rGb=SPF)dV`O^mP(a!fo`((oY1L@brX_&;AX zVJA%*4hc?)#`>`;&P8bRw8ZIU*%osM&OHP&VBgL{m5>*%-XW zZxc-zF3jcCdI(Ew#r4b~JgAGSn2?EnQTLbfNiU8f{g6GqXTTN;6DeLK{3pcuXVHYU z31hu+6NY+Y_t#X^*hw=kcZ@@CAFl$v3)Kv}HJFxeNJ3TS2OY}bDNa-)#wst}2qOxt znD43!I~isDCb=uClrV5p z=d02v6`0lzqv#`&MwnL2G*%ybeB;up!_#G>^LB{ENxSK&E)FpHtqOWCXkfI_Tw1#S zar|CVXo1UBtI{#=`hXhPy1P3%_8%uc;a2OGU17IpizbZmembP4?vRIYDcz>wv~}>P zytpq+K8h;7&;k|p7SYppj?e;C#Cwa3Yf19Dmz zDh5QHG-pu5{>oaoj7p0{i&1G2F1_A>G5l)Lgpo8_hZm59ogMk;ctn@IOd`?-ya&By z)o>9>Ivk{Q_3&hovM<7>g+E1x`J7;>EAE==c{N7!g1Jn|8;JbNG`vH_z_ble_syaSJ3G=~uS3R9RTJo(7ZMv%$+s3%5Xp^pfCmx z2%{%zjU}K@D^b9)X!OdCakV%#@3hlTWm9N;MuNT)cqN+@2H14<5JL{_P}jyfqP-4v zbrVXPZdM*dF7%#skIt2!-g$JP)5A}m{H8-cZ#ocpD~Rw;l@O6Y`18_GPPZ$1t?Cdwe~BOPZ+HO&Yj&4)n@b*1 z&Q|MNpT}O+bD{}@_P?-BA1buKt=9Ty(BfYbO_=GSyibm#jawvIl?8Fvigxc}V!B$X zw**#tKK*8yfABKw`(=tN3_dJaOhgL^^AK2ZdoC2s^>G`9nHL`wO_+Sp!TUwCEO&nf zdcI0DVb&2Fu;a20m4~gi+f(OXBkuL&L%9m(O1aLGqKpM|rQ9A%mc`c)OyfJue&zuSMv@OzHm!$PvD4Od?^*~y!59yrpRoydgFYGqcv+k`+nGcnX>9wG1*^flSQR1Ne zy=k-@O0TUy%GW8H^asn_oH6IfqmDd|FJK?mhq#T)>o|hEVwRMS^pXS>4(>Jb8v!gq`kAXpvr3 zIXD`h8>#GcB&%D3Y~67)3*?JY4$8SP={hiIFr9wZN*FZgdh0%tNf84g^f3lQO8 z&KD&PZOJ_92bS=Vx^spV2~IciQDky)29l0L#*pL4_}zkfx`WbG+&L3M;JumpBs$HrQ+Rfhuuy(H)*+0b!LkL^x8#1A` zD~j5#U}g?4>J3_R(hNPH|K*zZLFg{%a`})sN&Sd_=*Yaq%Ka}yVND<(_Wu@^jTA>T zg*%M29lkOVHF|XwgD&~oLEJmYt$M7c236FHY9i(U!DH_bNhVx?l%WTs46UL$} zR;~SVyKs><;x?yDpA=T~D^E+rqGaZM7A3$$yXB=1ygu9pVg$sncAInKQI^+ro zBaEc!^9+b4?CeNmn-1Nqt|GpM78{)-jdvi$69Xg0JF_Bu2vI^F8h2ofbBe=!YPiFY zH}0{|JoAisr<{S{#@8hPy!;Lekj&+|v;^qm=~ALev+Gpw0%i&KF)hwP8(~7y@#v4D z*)M=n(%csF2}aD<~x^@R|DDJ$cr#?-3s>+3SPgUgUu1V=h@4rIP@fW&Z zieGd`KdNrL*8^w>;o#yBaKXD_!m2}vIMN*$ZMni+(UzS-H$V%CfpW3AOtdF1-y||oe zmF7d;0IFG%=K6BG6d&Ug|#+GKR}hh=D%IKjX1~gf1Tz&6xG23y_tMG`;gpsTI!b%;#{4!1Q<^ChFwhR1&JD zcmdfNd993negXt*7vH@S<3u{@e4t2q(T;W)f1#O|A?O4M$a=@0FjlWtzzIE`6l1K6 zeX*`G34`{ly2>Ps)iSJ%b*@)jVU1LJJj8MEdp^D}ewX-!Tdf;E3uA!6oB0Zt&+$d0 zb{x^PlHjzh48`Tg9lM{hHY$y)5Y&+3dNVl&@@s0dIaMA+-s~uv&5M)P?8rM^bSio= zzvbBO{SRSi=Rug`A4?+PQkv67(!37D(r|5ql4lz4bVX?*ZgaYB;#{kOj6!-`QiYkx zyO@@GK|Q4wUC*5OMHlgwrEsftGahxAc%38^E>dH#WnIO`G#3&ZZS{HJi2(I)E)zT*w8Nr`J@O)-pF<*LpDJF2ZR#{+jgb}B&wjf`G+`tIXLAeg2$4_NNs~qs5#n55#lhs2J3-mlk#rMCBhOBY6HW9i zWFMBz7*S9tf0;no$tdd?Go}DE{=H`H5hLx%c*Gd-d2SjW*m0>tk3dR&bS9b7Qu#zSp9wpIa_ghsQSQmahdf0b7Ux6Lh`_Ni<@*Pj{A`WJ_ z{*EViY55&b#bHJWg9YMuJQXII;y8>nw&pkCxA7kmXf$vi5{KE#t564l)Q?`1KB7#n zesHCGg^R?MPk@g>dJsnG;deP=EG)FZ*rtKC@Tbm%!N=)4Dkb6;KJKdfOl9WtX>Y}m z2<^BLhqM}(d_zzc3#7PEg3empSZie&0?`@sbZrtyurS+yUTr1Sh2n`5zn6KoW{k0X zu^nb(gu%jWhh2}jfkBI;NuxN?xxOuN*uv%)3zI&E1#NlK@I1Kh|MELU6NdB{hK3#%&FRq{@fM#j`Os#c6JMrj zwj_6kTY=>B>rIyBwr|7Lxxd5>EWVzzb|T9uqDe>40mh{l?-Na!mmBKIE@2rKZ>u?O zj9Ia$1^H6Gz0HyN`ch8Ti~DVk+r3e7htXaTO?BgrAe!pIJwY_-96DfIExMO}NvnD$ zjnA5pW<5*4qFK+R^*~SLvrZ$AQ%GZfP`)(2e3nWb!t${vxJOt%xUEg4XI;gX+%Zbe zx(eD!g;wk%W$E6ixYkwB;E_a630=WOjpfb%tR}A5lzijNvzU<&V$*Bcj>0@ZbLE~C z7$?YYh6b@l!rmo}+CKs<5Q8^q!g5vhAhxmGR?%=AM_*~Ysfq}j$n&E42<&uf6QuOU z`Yy^woQSam3b~`u0<*C)ifF=4hBQ25c=GutFFbqsVl0JEnbrqV>UQ9_G8NW;LAC?QK9%1Y!Jy=Ix;i9_D;>$FD3@=o> zxu~}AMJGN%H1RbLLkf-WRlPz#Twu&y2_&xd45foTWf~<;#DJkuSE_igk9ek!rO1N! zTIZQQXa!Gr8gXZ{9N;gQ%T79*fvgLb2;^!`?wi_&-^TYP_Gn;uVzDlop02|xG2`Up z%J0x@Z1C3VLLef!@(Jc>!%h#su#UcsW=x@Ug1Lt8zbi(U4^Y644&%7BcB^PPu1-3u za7;&niqCQ4c3X+d{1``~l8^p(n8BNC-9Z5Q)Wg0oUwrbNePLd_CC>Ut)bs6dq<^54 zX*bT1ItI_IJA&3_TI@bU>dkMpriLTDO_SMj6D$c%&IIyN3Rb#utpEz+Vao;MtG2i@df4ID0$}zB} z#4VUIiPj8%_?9Zm?iim}!PulPK6wt8zLd0!(i11w1!1x<^W~p$%+cN|nsLn6g2sK` zsMT9*tuh~Eoj1A0;h$W_Oegj%P1^S=wW`RFU_Z!TdW~@>&LC_%ThbWED&<>5Ghax0 zw54XwmcTUp8{tus;KOL3&%my)O6-?|oGWyy{F40IWK^g*wN&99UM^t_;L6o~a9w;{ zMHu{?J^hg47&~dwnuqBYdbF|Isz`Go^D3COPt`8Ns>a;BEA9N0HYQF4pNkk}J<51j zlv>_B4<`y!Yu=MER?;C7rou5i-Co6cje2lC^8ixVo%B(p(#*n?qVo0~jgt2>$%@1D zT`!ukk|7N~LO2I2L=#5Rm^1Z|g!I~cb5KiVUiQnt z5kg6PG&<#;_2}+lF-oeZP{Iz zjX7nsyjn&BM$Cq+E0q;18OG$}^E2?v8tE(CrHD99y>sjVX2S1&W(#`XZD{!f;6?z9{K4qKOY1Pg3lL zS~|2uDmE{?yL4#-+oemNx=rS}do>bShD0tYITy@TM(vUCWU6qKWaV(ako1>@#*!jD zN@70N8N#EUf{zwp1|l?mq>|9W!MMi#g5(>!Z>I$wIKFI=y=gDReLLN~fDsKtj9DDt zN98Qe?y@kRz`~H_&l(A1Edc#y!WhLBM*sXN3SN#<2$%i@tuqhvJwCbe3A3+3Qmp5Z zFURdYO|DIL(FkcE#5#P&!i@V%AB2iHg|53ao_+TZ_3eK0N^Z>9Rs zNYiN^>uTf{mN*e(EfOp(Mi_;B5NiSOV_ECMMd$@p7(N3T0tT%)xjc$-SMAuyo0;UT zL9YcQ8)XSo+mDXz$oO52&bPmE7M3*r7@cXqyS=tw;UaZuztL8ok<^YGZFPAmiQDCr z>By{ck4i#e*gr2GUXn77cc&%HqL94W>Tx`MdIAehJa*HSjt98=Cu0u1QTfVMFGW}d zd^MtHf9w^Y`rWOC)m^(^P+arH1-h$66E5mIYdG!6|3m97suC8Tmr_mp6RN^DBZSct z(8BEk2&0rovy{VS2{UkkXvEzxZ=3r0D_T?$%qSa{m+7KMJ|voDQQFpIO|SO?rKgdK z>le{Sep34g)&ErpmbTwqBk5(|(MnRBh_Mx_xvtOxgLWi5t5QwEps~nUM_|1ZjwX(p_eZaeL`q4Fh)%hpAE$<}2)g_kM`KKLWL8+mVSA*XW`N0VGzXEY?; z1X8*ayNj|BCxV|uFnnz)TNuC4k#DC8I~nqE{KGW9qw({q*rs%oo5K-`lbeH0Pg?UG z!xSAEihXl!4#K>(0Gp11g?z$zMdi{;6PDC&Hs<@yHZ-Ld6<1gl;I(0vu6L9r6<>7k zms9~|y;$Y_MO8H{0gun;5yGxt+GZNf1kH(G6hyYL;_)BBD2tB7KjZN8nxYwpPZu=2 zT{I)w^?eeD9g2Ccf;j1EDbHoo!Nz&T4NT`Iy>^f;6JE$!CpgoiM|%`mA`2}ixEy=# zr!sYphv$8s_>3vLUjM0axoC-m?@`2b9M~U!$WoqQcEcILy?ilvTBxv zu{@6>E#0syZ05om#k@&l8t*RXvugC|h{dOwnXyKlOyj0cxIIngF?=6F8#WKr_HBPQ zEsjiPboA8w>egp5CE=;^vI9Dj7DtRJ4Wp=3!cK-X&KW1;xA9=j8Yf$sV4gD`P^@A4 zm}gs|e6wC#@t*<2?vME^Ek%BQ}dRUHMRS`WyPuJ2c;=y&$j*3Q- ztDtR66?pNWJsmGNvOAdBl&pax>!9(`naFx$%^I7PJk5}gGWp!YHTBV%u%YI}0MUy! z_5d>h?P%a@@C*NS`!%8|9RG$UeLGXw{N&??)g=5j9;@P@BeQ$Oz%KAYsf);c<$&M=ARK;1UO!7A= zEKb_uOAVZtA^1py=WW6e=R%0HwuloL&J!#{+j$CHMBI=>+)zXe8XmbrP-Aly5OdKu zlXpUOiC|x7$MPmfTR`m1VE2t}sC{}bFkXy$2cmsO84FW~=z(7>LI;+;^Ouik@3x`^ zDLe9UObOR%OBE5Nr7Mwjh*d0(P5JvR(GAQg_rWXrbSsGIK4`_XVh(kB)_}cISV>Eq zY5Kyu;sA{FL0ZM}Fgc;u(s#EBSaWnQTl=3*=sUJjIYgkef?)W@$;3$sd5M~{k6 zm{n?;SMQ@@pR8hH_kx$P@`eH=r>67fVKE-!#AK9x^k!uxT*Ul95)=Exthm8jMH6Q!bUr4J#fv=O&d8oEslH0qyT$W`hsb7R(JRe>~Ti z8&;xmo)g-6TH?@FFH^J6n+YDiQzd$@I~{pyyW>#Q*+}Ga{Pt58N|BUGjj{S9^6|7`PYsSd&Y|R(rIa^rXNjgYvI6s+@+TwON zOMH>q&XTY$_4?CRyzKkZBj7{#@N)#hPC8^MPb^QtKN`?+;J?4hz-5C=GRn1aUk7&}bQS8==ymF6c?Sfdy^ z)8V?dhB%W!Z{1f@3pPGs_Et=n?q|lvY(Pv#G+`%A8hY#GXehsvILySuR$6X^gSDw2 zHgbMFd2qa@h2zrPh!GcnE6t6%mCkplHpj zj8UGWS;J2eT>RzjXQ*wG+n^ z(zAZaVHw&T+(WP>YnWukhoCe6@pjTWI*ebt5Iv)aZA`IzQpQ->G3MNvvyY5d6(;F9 z>ldn|7y`q@Jd*w8SIH9OgUcbSdGUL7Rh{vKZ({hkg!#coE|^~`*Yi0@vS1n;9cGr{ zA*SIGtvPMd)Ts;l`ug5@INd$;#a5MeA|*f@N}r4XiAKHq7dzhbHY>u15MBi1pwJC| o#gVWMcUVWGV-!Nh9shR=kD0e{=DUuYcl^S6#~jsY+;h+W10KoCd;kCd literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.ngd new file mode 100644 index 0000000000000000000000000000000000000000..d58e4703b389eb2a630aa578929cc3347ac7f6ac GIT binary patch literal 234541 zcmafc37i~dnSRey=OA3oDQ75-=}yAcbI)*DlbHz_x|3;Ul5jJX69OdZ4fmB4iVLet zw%t|NU9VbqT~|fzMbs8iOHo$=p^-yCf#N=d&?uK6@PD57`>MLCYw|b0UuWuh-uHXI z_kF*kj{fRvukJUxQk}gi`M0}KTu{eRTXAwUmA>hIAII%iZ0cH_&!>#SUrQ8Sam5u= z7hSw|>f-h1ZJb)S{-U)h6v|L><9TaVUAq3FHOHCeWotKIynfS0b7cL>4VyNuG3WL5 z9KCA&CG*YhV>-Kz$sg0zgAWSME7Rn}(2}l`Cjq-Egp+41UAB01a%^&H#pu$J@d*g# z=P7_!Q^TdnsU<_DsYPQ&xVY_bO9W#JCx#}@06qi|io;7zAMZN4uP48F7>@s?PI7o+ zbZFV=)UvT9qm#>qmXxS%QZO>QVr-bk4t5C_E*~q7Of4IlIC&KR4KIdZt`iZ2<&9!n z34FUMuwry#a%_Alw7+Ucw8hNuk`Wc`oHly1^1z!G8Z6Ds;h?L+yyIYgo>?{+7PF6o zLrlihMi=6x=&iI6>svAR^s7>-S+5wmol$kFZGGod*VILqUNW_LZF#D@cdA=JPxF5y z<^C!)H+FUo^aA-AK|O=r-9T?PfZ8`soHm*=vNu^2UA}5+&B{yGPpwB!D5mS0+I;aP zsrK#bfJEc+wNt&l-MZ(r{XFP7xl3IZG&E^zO8M6Z|6d8pfZ8<0zWc|(V_{hAoWPPQ)(>jA6SIW8{d4^=!JK= zF*7O1qd|&BIWC|s80nFL_1<3Q;$AnPFS-yNl~zYQO|kvgLn&tmO2V*V*Toq2fs>tR zDYo&b7iHK~qjr?(Msr_gMwtF7ggnR!N5G1+Qeh_)Z9mH7!ECT1jI?f^LnyZhbXZpN ztbCD|Ov*YgPCG|PX+JZJ>jO<4rL(d62T*oVkJB#M`=+pu=sDwfMc${}gRHYtb6lg_}q zTh8&Qv1xPfc{r!GZ@vp3l-Gy-5h{SQ;|uux`I*}E-81d$@sJ$9(WT6*F4dN-t2qtIqEgw#Nw z9oxY$G8i74%1`wU_D#tNC}niLZ$(7C-BX=BF~O)Bk5U9y@;oD4=!B}I20@+Cqb&>z0g42KWf(9i_V6YG6QwVOZ)bfmL??s4Ee)OlNp=p z2|7*t_APExfcy-ycU%)umd3K0Ix5e$MgW|C*zCDWNVb=1R_xjO zm?yTPuXvujE}@`p<3Jyd*Xm<7=I8bClF^e#mvS(5ll^x`X)Ct37iWU(*P|S)toN19 z0FF1A>X=tgr@C_7PyS*@@r?9RPaU%}u5;Bfy+2#?^!OA@@tp1pwmPQwmw9sBPv4%x zp(Gg~cf6%QA1_|!IT|qK>LLzpL7$fTO47^J{vO9-fM?!zOF&;|v}p!AIriVTm8b`L z*)on<2#2>?>QJtW_B9p2g-m+>Idu)#nkV`i(6u`}1!$||6-xtJn}=fGuXq7o(=#{dz7a*qvsBGg+ax<_*m#G`Ctz{yvWn2n^w=W0>l=0!3_{= z|Ck*h{b^^ruJ}?8smOMX{ zvFFT!NPNMSoss>89U!*RE{;M2gG7TNVg`4&0~vhDe#{CGy|&!|G4`v?0Aa3Pu$S&t z12`8N+2fr+Mz1#oh?ghXCm~Yt(X+a#(Ew{W$PN%|ulfr0cd09jV3zJ@7dgh$!hWj0 zV<|Ks6VTIIHZZ_pvlB#8;Q(Db)C~}m_7gKe>>^Ki8sQqq$UY6A%F)rce2se9ENy{| zcVfAe6Ud;M>-RL0;c=0c_0yN^san?00g-*wSDO8Df%RG->!)YGcLN!dz17i(*M(Wf z4pH;;1%3r+dfYEp)8F(0ME^Hv)GImZbd{{HlWBj>)=0{2(6WA!RRhEUogIN9Yv}7n zE^~X@8g((V7kB}p&E60(?g2~5dij7S8%zs*yh+II>1!G1`>uD~KrtRj* z;3#2Vzl;@AA^JYw*2f&Dxno>~*z11dtK)v&_T~CC>f zGu1uO#o3eu@TPN+$;gdaf^d_~f%lH55WC}CE$KnWZQp*8M!oDtxdXHwWU-<33W~#a zSGSy-_H{Ip>2lapsEe0Axm^RqX*Rc8fcn^hhwYSD>Ea`&j_r;{&>IURa`S(_uOyvv zew$PXaWRsYO_6oV2;s;cR)n0Eukb{OdvRX9ZdMW$#CXXq_eBQ8wq0fi2q`Jtp%U5I zIY^7gn@Yy&eq*U)F>$u5z+fL^v+V#ebE`a!`Z-nH>nJoJ)6E(HX&D*hcYA@1!}wW^ z)FmCxlkwE3Yx0g@l)Rq;*^`GObfp`yaiLK*xlc;!Ho>HJ}!DGwWN4`t|=g-0q{lT$_E zkxYQ#T;Z-z#>waold|<){R91xuLpQ`$nB#<-Ti|DTBM%`6rRjWp`JX?tc72R`3>rU zO?um~Md5}^ubArT8kkz&9l!t2?h+Rdw1}5^EWMF~YoV2pWp-{UfHwP zzvF9Wus=Fa40bWydd9(7F1@WPilKXbvHH{7zGTx*D2`7b>22SHG$M{a4@htOyLjo? zmn`sOce7c#e`(A#N$1z15G2TRqVxk3+iX(qkR(oAotv#%vO+LjtY98}pPFVoR} zw&*tvyb>cqyOu9{eW8;bFDRx*sYp$736e8KQ}gIEn>|J3F)^pNea8(@KhVue)~#-C zu5(1z9Wg1ly2op+2;YTiXx|g+34OVZ>`dC>*)n^+o6wgByg#yHyflLZ#P0u7KPklc z(v6{gh2CMN(i`aPQUe}JU9RT%;GydSU!y_Vebm;7V=_C((nv0;5B36txhnfTQzJas zQD<=7Q99oDwWKp2w$u-tK)WZdojt)*lK#L$`7)C??cMExXkaipFtkyOTwfO*>7gSPEYtOtK&~rz=ic? z)tMC*aDfwyo_?rdICbOdDdhSBEh9ccQC)%TuCo1Y~yw z!HRf7?l;wOC$4~0{|VaY4XxGHrBi}xHfyfwbk5V|(XsJZ&(tU%Ohh`3Iq*_vXIC%> zMZSqf$+VK|DI$nJH_Mh{I&sqOy{*&GbH3J57UhfXX7|3!O-TEKiOA@9+e=D$0h09- zJnnSU+m4I#Dq}nw@wsAmYKKx@a2#O8Y&Of{e#ppP1|d($(QXX-N2s$*beoiSRq?i}u?O-}Fow)E6J0@&KAa|f=nlWjlsLV-nFL#+2)6sn` z`@FAcCgPVkWDI&hgIVk|cU>*-4tifCB9ve5YdMBt5QnF^{=wjWf=z(Od6A+@Ifx~<&=E7SPCd1j%WAaI z!b=TR5$Zh0REPcHj4Jkn!jW2_e7U2QQGejzC2qhqN622L=6dxsjeg!?X%06l(wAWG z=dR|u!>J^1)wIFh!@geb@=O=G-%3 zDGs-Z(R9KwTWbf`Ws;L{{>?5&Id-UCOiDo>R#}Sc?hMWwQb=F9z~=8Ar4Xc63hJig zc;^klv*r$UFohf$ScuNZatHGlU&_TM+`zMrSFLG;GQ;3hoIM*t#1a&h>tLRg?>1w+ z@|IFw?eFI7K*+~P*+;A$NI7TuJ1h#}i#rnXF7QYt@g6H>H9Umn1dzi6l%0@9DziBf&>JE8Qp+svenZfRIp;3TDdc6XSU;Cq`> z%+Y32%HHt(X?WZf=8p*W4A@HrZFle8XoS0ozCJ_F58X-&_tm(di zV095LF@0sHZ=gr5I8v98Omh(urme5Pwd;>sTGH3jrMGnlW%^n=OGm>E$^_Stda&ho z*JZi~njQNBtsPvNrRSemSLHRMPDQxV?bvQ>!Jxi@4*E}S4=a!~XW112*WY|zG8}Mn z<-F9f^#Ss^#0SgywkrYt-hQCCt(gf?L_Eigv; zt1uSSN|)yiGi{J;m5DOltUZ)$eyfBsTEa5o*NsbQNg^LQ5^U(gjURI%XsRopS;fWk z7+|DG=+=!#vW!kf=(e=yjf2A)e}E)nPhw#znwhxxBaI!X zNRFj~Z#YnCS1FmN;?Nar`jj1t7O?Sd{09?+ieLfak`}_>XqB7(-EZok7SWMpZv7`4 z5>=D-F=%AT5$!Y^`Np)_v?CpXH4ZO`_XZk>4G-09t{W{IbO0(3Ba9oKaN^=&>1}9o zG~YSw2RcOE5DY#LDUn;n+rlg+4OXSu~J7xWG~%lLv)O>d-uFaA+EQi)b(|4}VDuURcq_%Sz7 zR_zF*tnfFCSjE6(urAOyUSx)JICUt|)}kGXnl)&ddRWC_#3)05RYU3;FAP(oGa&)e zm;EzM%Wy`fdhO7rmmpHD$l)0kZQbBNgvnu^>Y`y~dgfG3yEUf`;8cQo*;gY|#MZqm zi1(q5BRR&mevEjAU?c^^ZY~JS^-P{5?&nM#hKM>fHGAR>uX+$5qf*h4YABu$O1a1h zR86ZFFK4*ox1Lqmf0+EsEC@miDvdG>u5}=w6Y+WYhG)V8VbWDUi?o0qC>LKC`W>IV zIO%8yHa}5rIuK@?S=azx2aP1^(Ib`6zfBK1O|dekr-V&k4<)KrsZC~!t=IWMAsQ6F z#c(*bFP>9@hU6pevgCHtSKY8YJkjGTdYy2iL5(>~o0`DCYlS6(8iOd+nfS)z9BAw= zCBo6d?j$vC<^{XTf*PP@(oS@|hqxW+$JJBZ6*rqn-@e9WeKZIOva;OtR+}Gv??GWN_JlAD~kk6D4X-e@(rSN`P zqzgxemW@%o+7Gn(z-4Ku%zDm(NO?ggj&TCSN0;Y@GP-c89mwdx3NJvYq40P$KzO`Y zG#Y{yPE0Nv15D=?JZ)4^NukMQ3jqr+Y6xG)Y3aqX5)-4I!t<3ThKGgc^GysN3oq82 z81WR;~`#8KVyqgK-V=VtlBgEreiL|{bA7}2~ZOX@J|GiSEKSqE4T4`d|_g+LF z^%dK-d}w5Xxi8MlDE;ALfzhW*&El;7-Fg$F`eM4O)z27acAlwdxO~x)v58Y@prIJr zNBzaNOcOJ{53~Ww;eRnzZ_43+u|0z6uMxr0cA2I=^YMH``^AID9D{ zUZrSaCO8Ton4rveAhp zV@ronq&Tag&5RFEEg2u73wM?@H5pni&Z=roS!T(Z<)GvW#3*$I1t&&PIkHfk(Fk=$ zCl(dQPov{?%>~tkc7`Xk)3Y-{F;LoeRa0Xjm2QO8P-)rn3HtuFHl})Sp;}ie zrA=UzvxUByQ8KY#c%z{aG;>RRfc+3s_7e{2$*Dz?OQzQMpwu1Z2!Z}scv8%04Gf@c z#gAyPN4`}TqBOQ-d~%F~L~iifCQD;e#mR-(^#BgxEz(sE)b_zf3H0?YWfdx?4HZV0 zmsm>G463wv2)iZ>jhsTZqK!{Y7Kc`hPAwi3b5r;o3ok>6AUn#RYLt^jv;Dd4nWrTX=TrYF@P zeXEIO@O-`Al#jBl-YGW;X7F1jjhRvo=LaevHcc{5HwT563{Ng)3%!#Gl*cB=S^8^@ z2*J|d%m)O_Nrm4k2MmmG+WdAULU5k_Ml~Q{Rmyxj6EG;lsqNcQBkVjkHzFFGO}~+k z5bP`8tV9U(roy-Cnt)BI<$p7p!1PMr@2(1FO#Pmn*{FQqwuD&Lc;;ii;JJhB#3)~Pg;Qjp&()InCQbx9q6-rTY zZXYj%^lMKbofdvee1i*U$Xo{DUbYC=u3U2^2KfU`#J98unALl$p@wkqJ$t{?Jo)lGGN*#MowMAv)h8amgl9sA0pK%*)O_^fwq3h{!1WudM3m7 zflu^9tjl~UWERWIyI-;cjm7$v<2M+U_<${H$|t&^Tv;d{Rs}PAVq_jV&wO0G%gt)r zgrsE?PT}x5-e$F^ z183Rx?Hh3%I@XJ?MDf0e)1>Hy8$jhn?i@wv=s0CsAtSqp6dtKd5ooMN^vH+R#5_;@ zUbzi9R&%4feki~kU3eEgFb5b!?udmTp+@#AR)j!Q*{}K$g6;WrGeV%{i~Fg#7Y@zC zDCb1br;1?M=YxT_e_09zGXd0$;{GhxHX&-XYY9}Yuv7kz8zI>DzT!s+&ZA#7BLrvi zq7xxHk)rHZK%f$3m9zU=KSJh3r55 zuq57wDEDjCvj&6o9}$FrnG`3R0QD1@8CCeK0rru8Z3;-bNV+^=YI%QP?eL%kZaE;g zwOmj8U|h}g1|@PqjhL4)%LnB#%R}4O+IkjGmj_MFg!A?xCC#V?3ChbXuAV7O44u^# zcs%Ha+B$}QGhl+AUwFT&sL9P!y?pt&ct9i6k&~62`3|ecNI7R7qD!KCP;r2Ld2TtT zH%RW#QjEh%9p~G)JvreX5!aJbbuP}y8U5f&%$}Tv56%P}&PYb_kPwC^+~PsiKt*vf z_RMmOlwsR%2V6L8&7iED?*@IX5Xd|J;wWZvbC>Kb()=c#uZk7^m=DC=NHWGp;pI}u z0KFEa>MG)e^0jibc%xyb8WA z1Gx57jF7sk>c!goUcO47ty>|%eQY>(mpCCcHid;;YUN4$_P+~Mv9}Eu)fW$JKyjA| z%y%;38MkcoL0o>S+&=~`;P!1CS8=yF5Ve<F)nl zHRMwtp0&Oo$Vo6gw*}ToF#hj0B1L!-`d%hN@ML;xIYJ=v!Yxq^w$gX=5e>|lg;(n! zw#W`Ug*wKxJY9OVp_xuH^!z&|&ENsfIBkA66CqgZtx>f&==m*`2tm(ZEonlkVfeR%44%U=g^i$$s4!)J3RUPEYyMLvYKAraxf*5Y%%93p zh5`IJR*LR4LY<*uJ{2o`C$9_Tl{dxC3W%=K*wU$m%O}T1PKVCxK_N*V&AbtoqJwnj z^-N%g41@SH4Y6ZODJJ-hm=0ZjJ!Xf2{yAi{gKw0gW)M}ei%j65de~H)sfw}5Ic(uk z_8YboBn1YWg>1V~GiOYW4a*~=R5?_EH@R*%fV>97OC9<;6cJ~?0TH5sHMs?t)hr2* zW>y2?X2g*FVpCTx&9VyU7Da`(42j$R>a)aLV<+!QKgacWov}pMf zHs7lipmw?r(d90HibGsMT8^*-O3pm(+t0H=%r5aU;hhbU4Hw6UaTU7lD>mTV@#V{~ zps1f_UmnLcLdE6FknrLR5^dx1v@C-|V|>vfX2Zep3FDaSvfFGN{s?_O`vo4gEg4$B zQ7(&dp^-b?1-185j);4u)}`Z1vG3(4CZwLFqvNOsF7!|>vt&6UD|VJ}Jcm~14e~M$ zkE|RpO}pqW+0TH9Q0yB2>cuFg`g3NC;@tZ0evHDkQTAW07{&Jclo_Mg{-3sE6uMUS zlW__?s^cv))Eb;pyO3dxZ}2WA%FKjZJ04XC*CJ;>-L$Y;-aY;ID8Z;c?}sK<%PPh% zcoBk^cb|)DFzU}l2`1~)Zlnk!{)`nNIEtTQGpMA?HGcL}z#+lWGusV`Xej!fTPU1u zO{>sc2fG^bX_65xCoa5N4iwHpUpQwTFK4oA{D7Q_*4tcYz1EyoL9fxpUZKxh(<(F< zTJH?`G>$dbEY9$zRlsY8dYNcpdze35{o?9UeHFxR-MkvkR0Au#<~54>N{A&~UV#-} zXetRQmv5b!xF)at3NMx7q+BGRuR^hX}l5bFB%C4ah{w?a-|*u(Fp9l4>+JE2Z+U0>X( z5^{3Un)f1Dd^B#Zl6zUrftNct{%~={FdiCnWp&}#RS=(3^KjwUtdW{ii70mm#TxDJpaRKWjU?TO zf+#$jZ($kPXTin1mF-YIXScF);z+Q}X~1k2of(rne`#QMpEE_3rwUaKzK z@@sYrEBh^e9AqpCZl;v|L_(KQY_nQ*nTLS7HA zo&uUJx_D%X?G~+8mOIQWcUoC)OIQRMYc;&7-@bh+!Sb2onNF)NH#wU0TUkCGR_E`? z4K_CT#=fjptFAnEO|ZyLv8}Z`(Cms<)gH3vcr9kJD-rgEby`^le5KjSGC3u$m1W$DQuwEd-| zb`R*!I|*&Rw)f$rHtryAdOoSmmy5m+8?mP9#)gdPZIf0j%^iWao$e=SHo-4jJ0f0% zO>diFCzKh@cak(yvNx3Dj_~16cgjl8bo+n31dUmw>%An6fztJUl1A6-PT2_~oRELf zXr(#n?2%BG%|v!i(s29snTd?>)zsT(BuyiKx6jHXYUB*OJJ@(iWM_cgk~C-AjF-^H z-eje&}Qf#SP7a9JTpnNs~LVmo2SV3B+Yi8;U=`{_fA%VX6Un=1daR^ev#;bR9@3+ zql^1%WzY3nY;}V@Nv)zyM5;RkCIM}$`vbUaTCTPT7_(|&kmYvHEN-8sd`zL7zxwq45ntSMF_puW+ zd+}*jf@U;5euAd$rAckJ%~CI+%}gyc6EsKPh?}6k~C+vA2m{~4nq0c31!((`&y?}Szb23%1+RX{aaw; zvCB4W-!T(3-M%HM&Dd*JLYwo{4-;;)ZGPgnD$C3Iw7IOy6y8 zD=m8lf6qzKY{(yjjfXD#GyT#^&~*D}Nt&VGVW(P+(82$65;O<)U0#BQ--RF4TWKoa z2Quy-zk|=-<0NQCbFZJE*}lKA6Evs5`>X`b0rFr{o6$VrB(xd(LtcWW-w#*`nhAW^ zPta_$=e$;0wv>I!P0)1k_jZD2ln;9eno&M!C1|GVX+J?Tv42d`^!p_@q0OAU3O3$4 zd4}^xD?xJve=pI(P|lrZw@}NC(DGpMZNJrsTn0AG1kJo| z2OEn<{W!>LNt${6lbg_HUf*&OG`qn7GdGl%1v1P>$Cqo8Infx6-`c%k6Bo(!Acw&2kbng3QfGY9mv* zo$Q1*dSKy^MuLX&t{_|dV=vj=YNdI-m)j?yEqe}U{Dd}IGPj4DpwUZm`#1@jZtra; zXa;$h-%4{U&D`E@f<~2v$67}S*PG-H@>-Q;`|17d1kKnFOlZqi$_M+c+Olo;AxUlY z%bW@2cwTv(pF1?E%^(vOwVl`^9UFgJ2_tC9+&r_D=JxT0$11HfYdpeBunaQmw$ic} z_J@-++kGCCGpAcLYpa>pQIVhf+Wq9EHD#BI3}McwbHEd#Dp>)eHyt= zJE4qd3XkPmX)1RmZLsfjd#%dc-#>SfpP-rTktEGvM$CjZ-98&^Jgxjml-y`in~7Rv zCA8U+XWFeafBqo18f@IQY*Tu&m!KKW$!3CPyDv^^Gbc-&gf_#ONNO{&OZn3O#Io(UpY~ge51kE;^02@y? z_i@dgVJ2v1>I^4AqsmbNkc6Q;9^;y(u@L%#56tq?wTwc0!w> zPx%R&J#D3xpc&&iUV>&u&T#PKg zOl807C1~U%`?VyE;Im(~6WWL}`xP@mBb@A4yade#elC-sq5NM~f<^2FztKwLJCmK+ zMP`p+?U1~JfEO0U#x}0MWP&fz zsI@x-eUUw#`jOh=zO1#q$k#1%XGswpbCH)k*gxEYuv*l=4U8_~K)a0^YR%ZEeT~&> z{Z6*wzXm$swCZM;Q-z*jU7>l}Ys|pj;j~-O`VcaE-vpXs=Epf6OqtI&# zKW)S)^smA%@-d3t;*M&JLNgS8SBX))XDd8Xj&m&fa6Qhk>}{Di$IkSFQk+94E&MVc z=g?MlJ4T^oaVM61nQJbP^nY~$K0G!)B^&jnw|&Y4-ZD16a5)yu75pmDOnLP%cdCWs zIb%yt8695M$#wO)6^>%YmJuy6qjG#LGo&1ohvm0_qy>ijReR8A2PzNSA&~lOqNCziIN}Yo7OJLS4S3(|k$u z?s_QTPvBtzAr_z%?kR^XR-R6k@R}{ycNzhUcX-D@+*yqf>UG6CGa)rH#Px$;D@6%; z+3=lugrc4M8XKVqVXZ*H{};a1A8YqFz0UJ?4VQLJ^96+!hp ziN&L*L--dFa$=ht8Di`YMG40KplE9imDESeG$549&+ki7LX!HmYC!2Uyc^X)P1$We zG=9W7AEfB2leqvXx4VbqHXH|w%KswePF^}9@35!0ecXkDd9w7~><@iv>olm4`8{u* zI&-+hY3j7Gk!6cf$Kx5%L>3_5)d8rBT!t8aos>-65YKgnm7sY>Kf+GX{2DKNtefO{ zg?G|4`n&|_okpKujGqrPp*QLs*IlMj#DGSaM8d@GZi(;ui9f#Pp#+T zgjG>{SaJ&LGAmY)4o<|ixcXqij%neRuzmXyE5>nU$TBZR;VlU`>%=+MFlK6wR|{|9 zIFb*ZQPc%_7$Dw!!UASHriLcLY62(D(T9t?I7fS@v~Zx-xLS>&44WD-NtXtIS2!EJ zKr$u2>LRDdV1vu-bB>ncx2(foyv6?exWB=I3rh=m?enA^fVigoVA30bus1H0H8|H; zx}-dGJT_46M3wTBu>FHL!RPhuQvpW-8}w9DtI69W1uH~>)vl&h z*^v~t`|aCpJJ4gxo^1vQ;0!+iR9x!@h&7+>1c+6iVF!pVZU&InmkXV@ERA@={dESw z!L&HZX%W}<#0Lo;I~@c4RvY+otTo44921ALMRW>h&{cq`nKGk76+c{**K*9TXYdlO z4%{}f>C#JND~jB>6<}GG&g+f`8=$TpT{^T-uHWfJd*uA1OKCw*iSa4scc-lf-NEN0QO^luj{rfY40c_a;d|x%d*j9l!Vh`}4P>lNc zQo~0)=s!FVpNalBHOuBqZRd2y9gMJ%*p9qO{!ntK;pd{~3 zcRK+LmMI&>y~<6Fc4V3MZq+y`tEUf$7^kMZd$(UDt|>3y9oRz3+jo1m*yJk`#Y{O~ z9IL$3mG=83iXSY z>2i-1a>45B;;z+@gygSg7qry9xvp=)z^D8>zCEny-?Bh>($rzRcR~a zf|mrdA9sY)v2*7Bgc)VfC5!ve_H0fb`VKOCqaW4bN$nd}ltHh}-t0vgUQm3^j53%* zio2CHqqbIHt7mTn1<&D;dWrYrW)rifk9$o_fB(^MVtAXq(QRVhVm(o5Vz%wqoF?Wq z$Jgy9hTp|qG8&^FL;SKAi1i5wzq!@i`hEa+8Rbr=Swh{A7I&_OR5*a<#5oxZyVPTP z(!+PD1f0ANkcq3vaM?Z1$r#wH6cm#&D??z9OpKEe(CGzSFaU~~MnFj)+`Sw?>Ds%7 zMxY;opH4_jFyLu8NpwX+3E_HgJw zWJXIOv&RI4R_v9>*eBRpO|fW}yUW5X8^$F=_MdQ!`X|OulaoALDm+>VDfLR$O-@Lt zeJJp*oE=i~x_)tvG73A*y-$jBGJ%%bkf9S$RTl2ZP~52za&i{fIZ}q>TweO=v?5A+ zKA2Mi*G|vXJ`DJc78A_u{1pTFJi1Hqs5<1I<33V9C`<80C-eCNu6x!4ruH7j-D|Gk z;&9F|UrWTjr4Mi&F0d$YM8F3fh2O$b+gf>xQBFd8%Pwy(SE121jCZ&3jwpIb;mHz+ z!-hvt!x{&Nmk*E1(}`Lhplx{3$&<@Q7GkX2{2LR5F+4gxfvu-d@Uey{ES$g%IF{;c z%TqpQcz8K4RaO(sCE!bykClPih8IrY_}Ppc!+|b%fKFC;N=d<>hZLU92XegE+9eCP zU12)I9*fS2IttH*S8g)28+IK|QZp4bq`J;rhwHx%P86W{no@M$B$#)$$wzRZ-*>TZ< zb-D$~+#ya5uT`itpp}|Wz;kksK6i-C{X_;oT(@!+tUOW$+@FEHQ=y-wSG@vU$GYd z0B3kgx-P$#Uft>d?uv@~dBL|}UP(*)VG3U>SQ=t3hw^s=*uRdW?|Mf|d)85U7?Aj! zm;G%v+X1AL*>5_42p^eaCYP8>x^^8ccl-&6Wmm6Tjb4@OBSe#}!9OS;s-h=q(R$M*fOb_y_6JE+Fbfn=t(IOh794u4aJaj)r}!IZbY|HOw=> z?18?9y^`2pnt=2wFUzI3YU#j$B(`6}l_KqUkIJZMrMS@{9f@$QtnxZGQ0`jEY|Mr( zl^4m$IGfRMVBG+neBDvffljBRv?uhsN2;GU=Njl;s#I#(E*f7 zMVyWIJ-8Z58Q>4hK$_oBYs)=AqaY`%oDXM;_WbKym|GA3yTI zQA2&~u#Lai%$!dRo^@caJ@`onHK^{(gWHPQ3isA=6bvuAlbcEXS%LnM!9dI&N8Si| z}29h&#FY4g~v%8M>F7VF63H*nmEWPDRn21+wp$(3*i#htA_~ z92i;C1tIp48~TX2#oo>q1h6ORph>1L;y}lHo>qV<>}DR$G+po6LCGN9+Ge)sD2d9i zYJt|4p;Mz!qf<#eotBQ5S}ege@sX}~Jny5pq=LqELkGbrKT*l`p;jbeO)Z`OJ6zb> zU3w96#(nJrgVH{^JsildNa4G z2se&z_L=2oQ*WBy9fs4C!TPr2QAjx>PDg^8wt$gK+0g<)FHjCiH#*FTD(KD-#+d9q z*-X5EazPS|2K=KRT2oy>vcuk~(vJhA^l=Ybb0qb^>20@I)09%pEuLtvP}29IDgBFv z|7Q5vvKz6i(=YQwG|-?~;QycF`g&L;rXSUz7|Lz1A379{h)A^ft#cbH(t*Nchzs$? zU@r=)i;T(ab*vy+x;dhmp(cV$zpzlkknA?QX4s-Ct#ti7J7!tBrkX5bgP|E~KMgls z2@6EsT}7i|1F8O=Fd7awcyX(T0=ov@N`F&nkU4~YE^(m|R;znt*!JvDxg0c_wW|5| z@BCOX)&H73B6REPxlwR2yN`3KwwmLL(GJ#jjn`w*q6+z8CN@cY-p!>cF3Ampe@slR{gH6 zSgj~*dj2Aw-(k6#>M#jI4n*mBXbAo)l$eram;ERo6jY9W--XNw%IKl0L%o)Emt+a6On~& zDy5;Ou8io!I#>uD44Pilf=Q7R^!x`)P}AWzyACclXyXPG!gdv8kTsZ4!e84Estm}5 z3ku|pcfhFzP@zS#@<)htO-dFId4UCSXpUJ^)m-caJZ-67rj8JNEaJPMh%tfI7w3me zKrz@%F^5E?U+iX0t0Goal)1fps4xYpC#zOuPh@^xfGnsYY{<~ZpxyNtk`fI&QbKvc zkW^Pi0#A&|gPe=WXwo7ka|_L=N%*cEXkP!p)sF3MQ!8?9rIWlOB9#Q`*-fQ8lCeEn z6(bFNq1UWJj3}AdP_shEs}5A8L!lv5`yS;+sGy&QIn)ize%l<*kC`Zi#`^sBS1W|^oHsyTF$ViAWug>~loeO;cRM`{clgB|hh+fWNScQ}PuDDPP8s^_!;!Gq3YDX6Nd1n#P+x{nWFlvwz3rwzbvR~P)t}z?_zI+K<>(9;IJd7A z7H!6-iyB+*&p?WQnS0=psqegUYPNyjw8`@j2k+(icvT;~SJelvX$B98 z113CZ1Wfs|XLdV;bu{a3dqZtYY1Ce-7`0bmdpo9CAk(P5>|u>UmFUGPP)Tk524oqv zKl*B8IHUGL9jJ^iIvUh`!3M$&?Xz{FCn0;jp|)%Tg6w$*3vEQxZvbV~wrobtcZ}L| zE>`ANSkBJ?Az*&xWz?QS}zXmsIk9$V#86QZ>JzfGT8%2I2Y}6j} zfl@}1Ul#+FjoQ-{e5Ick1mZ`-b!5PZ#wvF1oJ~pAQ5Z#6P?k%f*y@4E{l-l##sQm)v z?m~TsOSxa5+?}ZJ2r2h-l)Dr4@zJDF`#H+}8ujIrECYo4=8EhN*Qosp^&L{8<{fz; z)>kF^nFoaW4$BKF8MXhbpgxiP)CV%v?>ZQ@pO%fqxOB+d?h0YwSONrpO~YdI(yNRCk@d;=dt+)$e66imJ4D3;g;nYI{Ix zyTt^8nfs#_uT7nJk5RiB7XP=3Crwi9W)%Hj3%}A+p^1*DHCV|7ifhua`yk zKaNrRrl-Cqg)9S9QeTuBwXeEx)mPuW8nrLO=2ubfDJl15*!*vld$LZO{{fr-jdD+x z1;OT5Q10=DAlUp$8H@KbM6L^zLY_tD=8f8aRn)Q@qxK~a$W%Yx22?U?|5-&-R9Nnd zK9HprGaI!}TVP=Gu`*?!ssq91qoVmK+o*j3HXo@|_Ad<}*nC7}|Kb?6&%@>;8Ifgx z&{JpBBW-@{*@NFUYX9sSwa=jy(qiPF^FU|?qs(HT^o-iSqZQH$m4FJhg33ni6E09*5agf8 z8-;g8^SX*r`?v>LhO+BSpc0nLmx!FIQTqoUU8yX{0xDy7_&U+nI#3EPvxw$a+o;`G z!OJ3f%CBW) zL;b7>PzlJvyE`Jg+6T&DF>9HcSC@_2^)66J5SZ)p*mpR;mqK%$WyS!l(ekGb4OF#}# zm9h;!5cE&2*DST$;w z_}Gh3WEWaMWh@Z3Snh&45WWVwP^?^F8?}ookeQUNZvdI<_f>!#qqe!Ke!&DN17rb- zmGfPr_IGvJ44YG+n&)OOJo!PRc77frTP>L|YUg=KR70(eFlwt{^1Kq(jC-tZ6-=)4 z)i2i=wUscru8ei`;>t=`S(j?xew9>b!^+wUtoSTvmw-&HGD;Cm`9^I`RsDD+P#MTl z%aVW~UtPx{ZI_zoR)B1^WE;qY2Mw&g7n^5gjM_Q0BIryP2v!OT<&E0euu`&V5CFwr}5HQ8o-Ki!E$_DVoDzPOht08I0P(3XqLAccg}e zrcqneP%G|@+E5k9!3LQrS{br{GHPkQQM2ksZPZ0Oh|IEq@@fsgQ9H3@)IJO=yyr1$ zC-^|Ha<(a`43xoUo{k{MPjc0-7a6tVD?oW{5$jQQya`JlHUqVZ@~%-ku8OTpeL;C3 zOZ~W!Q9IT%YJ+v`44$IwSkyjfW5ZD?cZ_e;1{zo#>QHtJY9DZ9Z~W$!#Dy1ZI0hn! z2QpZdDis_JSNdJNY$isIHi7b3f9BE1QB|YX=b>^da%!5_zHVQLEQm^KX{u2>vToFR zeYG$Z$ObB_WwAzWK?5kI7P$gBMy;oU%1u_VAOmEo1+qqMzH8LFt7>^HP#(xqOW};# zJkO|g)v-Nmisj~&fNbPQtmJ&7*4a>-pBuGY8OQ9g!S?Y|&IFT1 z?Vl6PLn=nCqpJ30H)@BNK&br-9?Q+G8nq+p*w{EF$O1y`Uy^bM*NxiY4fUfrM(to5 z2({nfQuCk&P)7aO4v=Hi4s+E{{s3iw^6F=LjM{;&Q9IOAKj{OM2P&yw^f77&c<|gu zuEpm5J{ZVuwpqje{FK!%0~xjbOnfTp*L>3Lub0y8e};^`6Gwi!{ZEjcGlU~ELQX>dl%?;sDbk=d@SPwbV`couP#1$ zBR6Idz2~IcAGCm6qW5husC|s(j8p|o9mu5YP7gNA*n>bc?}hA92b&;xl>G+f?tzs> zBKv(EzM$Nq6lM22>GsDe*mFT-kK|DM_WYCz{T_~{|nCN-aem8Wdv z#UXkcnoq;#@gjQ`%rhoDvnYEJn$MO|J2j1V4yi6Orr@&OyFKGh# z_>|SpU8aqUhffJe>f6Ij8++u{&s(OAJso^9_$5rKeJ>lR0p!!Oy{)vdS6%%|X4=@t z1XES3L(|5-kndB$QZMmyUmr{v$YZ$=dH9r!+-?rhel9+FAd&6wq>cSESbl6%c7P4k zFmk(y=7AQNx{;eBvV+XDabOh-(OhaCjL$(8AWx7FrVPy#(OeIo5@do7anr^jc_TO5 zr_6Nl$zVexksWFSHL#w-rR*>(Z5&$1`XA9e+yqm_vLn$v0`kKvkeSpx!pEl!nN8H; z;Zp*#h_Ws|d3=rT5ak?vaF+Q%&^#Nc0c27(-%1R8=t6D=^oRI%*GBRY~yMJ=`k z^6@FFb-HQeC=Z{Kk$u;q=Fu)bd7u>0F%CW%SP|K=Hc$iai29V}t+a7$T`kc~8=WSY zs#?FBHt*JlGY)T-aK z(Ql=VzB-U-4wzu7M)oz2N6lEvcKn;9JDd;2%OdT?xvJabSZY@(tCrfy^(^@*04V5-pe)7)cwGR@#`T ztJgQu#xfI3RlR$WHkPNrRIo{&SXu6aDXSmFO&cpbd`jvkn$pH;E{Ib!-9X5S?X$sj6QgO&e#EsbC*Kv2wN#rVJ$I&hgU5IVEVC zEO)MpPu|Gh?Ga5m_+)@Y)3$*cM)pq8Txq2ZyKZEEoucL{Gi|J_Vl9MCw3sct%_`u1*VQwz#e5~Gi_|D8riKP`#UlfAcwNQ^YJNT<+Vw)*~6z~WUrBO7rSZW z;ym{B6m*G`HZI8+*-J(9QX8lN~a%K)yS4plwE<(;D?5ql8 zoN$z9oWPm(V-^wS;}ec5rRSeh7evNSFW5^E=J692RMPVwEm1b#0y5L{3l$>F|0m3= zr|18wEXV<}jqJV-5zZSY@N99A)YsvG!97Wbpd(OUN6EX0hvbjM4!ma;Nt+fL~}iSVC6&yU!6Vigo9553*kK?zux}DlNOLIvP}CEPnWSO zHAVEa54mk*OCo#GY=7cK)OV^!*-K@7Qbu-#Xg*VFf8rSrU&6VRJ)6hJ1u}`AgU#nq z-@byLw}BiZ`yn5)*QYA5;v3mRS?+baj8DqQW^JOCB_PP=rHEGL!FWIp(drBs*T^32 z32K0Gu<&1GYwGQ4pJ zu`@G`=Ijrja{8yK!7l{0X5oeEG@7&a+zkK9FRzEIbi#e8KN z%^Bst-*36rw6$j8x%@Pm>BFA8Hm5Y73~UyDU!O+Pux{TXw)o{_VDsV3G@9)j-Za0c zZ2AqYS)5;*Mzd}5-;X*;YdWE3Wg5-YzCEj4t(gfl=afUuIbj>MUF7b2ywZF^O0bP~ zZu;5OOf5VmeTCM{hc>IB&7I3ZyU#j*=B(?L=Hp(Vx$|_IGdoYpU8prnf#xptX>87z zHG9`CrTLg2Xzo^?Ml-$B*~dPiHOqnK?$g;cR(xbn?dM}ul>V8SPP2Wl^6ERN!7p?J z%{?;H)ZVuGwmmP>nr5K6S7{o}RNuhj1xoWFKh!LTn)`%pG^_ji_N7`=B5Fq)?c1!q zsX24ee(i%=lYdgw+^;f?%^AmDe`Z=~J}41U^ML7WrmxL>ctmTO{7cOPr?Y8XTR*2m zX+BU5G{f>w)H;)7ebzdfO34X-zxO+*|x$ zyZyF7j=g)IGLM9F_?H~N_U1o+_07M+NeSUha*X>!&6@YC2K~M5sAtC8se!M3N#OBgmE9(2yE_r{C{6^IW_P#dOgrQs9AecbI!T>;Y+oq9ca#N z*51_IY5e0$_fVR5No1-Oi9#+s1{X7$B9$cBm z&-Nqsn{%eJd50Tl9+H_xvu*VCQ?AmQ`9RYwPotR{|Lj~|51=)F<^`IEHbTwA!oD&kvbB@xy&F5d(l%*D$lPJ-_L>~Mn8Nf z-AHdc+)Ceczkk9m>-VJ*Nsh zEgO9vHUCM_ch*y}pzo^xt)LgZzu!N?2=0j<(7(>$y7?$Rx;n2)==QID z-7?0m$&lMoNQL*Sv5*RHqjCQKtyFyGd|oNeGPXQiZ4K$lvRb92M&LD0G^A^7u34fp zk&wPtM?WenG7{1jb7!>a&1Jan1VYtHU$c#|Yi)8n4C%FaD;2iq|5iwcJap}cU~x7= zGFwBss-%`$sgSO%M?>0D<-#jE6AcL-UR6?LB&74Mxm2wa8@sk12vtb`(=f)iRLSiy zq(8QV^m6%cg_LzJc@7qLGPeA#(i+m$u39gqLfUFZLvm7FJw|6DA<@IDT}4JhTJrLY z!&NJ7H3Ojz$uY(pQacXm<(7{3?B8sqBkN}rU~vvY3YOT0)5A3lwQNs?1g&NJVq%Gb*I(yg;Zz`l@S;eZ(cV z!;qeC>3C1o|5iv-cOLl^Ebd}#dC+eSX={aUi*HE(M>EloKHA`7L^=~`C3?8EqR2={ zM|GWZfePuPnLwyQ`f?q18{~EzQYCDqr+GTUGqZvm?C`uac}e%TVR2W4RMH^@Ngs23 zwQ5qe()G5Q|DG)Iob@qFt)Udx#{S;q8cMnrIT?T1#z4_zB)|=ypYx`y`5e36Rwb|! zlQy|76Ltem1^pk60qf*;81$3Pt|_tlXvhD5(5YL_ufXDN#+C=X)}XJis|BDc=#M(8 z9aYdbz{#)RLQe&KgU{ulbS)C}f1smYUsq%#=q=>~CUvViflvkQq8r>m3RZ%1o0|vc zliOj?PgKK}mQ&gjrT@p-cYsMzq+yR+Ehr)kK}2GUxGQ-S1O&vEAj|+dVnR%<7%>g% zj*+#`yYq}V=B#bbI(o9VdWKd{PtP=>V%9ci92Ilmf4};wdTM%@-T(jRd0=PW>Z?pjPTqdbi@dlF~b=jwmgh((*7`OoEOdr*vA1Z^30do{3-g zB(>$vO41FvB#c4nnh+Ty#h^hcV-0(h-Sy0)Z7yJV)AbBbn)Ik!N!Mw4hp zv|Z%FtFjE51h1Gmf(f2o(uI{2b52oXY{v`BB7JUwu)3sQFGY5Ijn3@eD0g&{GwwgL z=p?6?Xt}E#Cw-2?_jU70&RJ#iBxhO995~L)(&-S38=cu*=!x_>4uj@N&RJ!RL7VD~ zVEFvKIPEOU=|U!bM?s{|%Mw zCh0=TBz=C8)WhOdNGC;l8DYeX8ljw#{G3rT!=F{Qq|K*y8_$pWE+U(DfO0bgE-z7*k@MJh_F zFDuGQp65#XOG7wVdx+tk@ zm1wg=bn!nF{jZEK&!<#hJTC$AYz~<*OUK~Lb4i?2cP`^uhZMf7i&~_pxt;NNz`6x| z>6F74I%d73`m!z+5wdPs9cb`AImLPK=#D1(}HO6W%E-;Uj|p$NVMGODsLs% zfP)>0E|MyQrhjr!S9-!i7RgdESCmm`E&GQ*^NItIcQ24`MhCz*=UQ-GdhCLJ(???2 zwP2`)<50pMI!=@bD}+^8Qert1YbZ!eL$Z$sS@%4Y@Q2z#9RZL^Ba!9!y081`!gpo&&2+~5%ge36_%A4j^+d5~GT!h{Mf6GzH|@BQljDUytfjRdlO*Px)!FSQ zj($)pf&LQuL$p_PEt+tGkxtzF$jw-{orC`+hC$eV1L! zam8BfyS3E)rd)emxloIJldZ+dxmxUNZqL#B$DlK3Rg#W7wPa$SXO*$WpLC?F3+OLZ z_<~GNmfZi)CFjNqKhLScO?q3G*A$ji;lMABe>z_HX+afsU3|nNHH9fvIAq4~>uUjAu5PaudFAjU;?-|2sp=aH$-}8C9}@YtvMSu< z=|MF4jy_a)hn8yOw5`)Kr`n)eh`;r_`XHPYT)QiU7$Z8)wp-6phsSFcv#QSxM;^8`UWf%iP7w`1cm8L*@SiDFm?~!b#S8D#HHUn* z`^zy@!R`!pNAFu$P4YdHraD|S=HRzI(W1$ zpubeus%uVOnRMfY_ZC&nDF>fI_tQons`;;yDm<*^;KQO4e4)Yzv#Kz0&e&D)!UuAy zaKeC5HBQ}@QiTn7E|J%cb?pX>I`q~vebq{y#kQ6@I-%R;p=0TSZ6DF{NGX0ocV57c z%)U}U+v#PYc|v!7**u}UphTyBB#LqBekxAg&kGndPw19~8e1WCgt=L#BV3P9=*}qtOOD|YBN*OfNh0JYf3f#(s)#sLsxCJT2O|DQp0+JG`%dPuIyZ1!X z{YA@dHST<-&(GzvWiNO6xqN1Ng<~?k(x#{MS?rq7^u=TovGeYvZ#$g*P| zpKubhzrxXl%>Lw1q_4CItIPh;Shjwo(2+aucC*t9Ee;SZ52WJme0hnt7+}(ud8RvG zl`u(P6_VqVXhya^IR}F9g}6Ik=4mVr`fgWA$`3(XZpjYXu# zpMK0ZCVh3F3zemzxodpF>XLqQy1)d-=^GLz=^H{)4~b@yhNb-ygC^-~%NmQ^d+@?BcQWZ4s0^)Olu6PIj5K#c zNLYQ+#cI<3Ep$Y>=YbiYDnB1C#VkKBAjaddw(l_KZ7Lo3L;3DPTH+#B}Nt5PoaS5wS`l&eS zTt}pHZ*DjnEp8@SupwCN2FRO}yh{y}zA0srzSS{F-)56~NHl}=QGrO`oMg}>eN#$f z5$SbHL$_l~-|FZ>MH-sB%_giq>0+H(%2V+3_Gc*r&e{42G(A+bEHA~`-%{dTd6@mp zWpkEtd%|RYdq_L>kc=k#EUZ;xP_w65%FShsMeIkeTC{}O^XYgcxQ`4)`u312)MfuP zE~?1+r*-y(0=_&0Kc_hwUX+a=rRZWy%ULI;(az?g;yt$5DsH}?lZ^#|zOG4iAkg)_G}p=zCv3PPdn9hoCxs0`=JcoDC&R?9Z5Ix6hQvKx%wX>T;sC|YhW#4USUfp$?+MoHh1H7$E* z%CziVN!nqFdsG1oXviHS6NqJ_J=znja58+>at(rsQgVYq!rlK%9USmO%bxc zT9#K5@!x@!d%0)!J$@s!xP@qW*p8FF%cCu?G|fBV@AnoPRvqGy_uo^b4Y1UBnl2V- z11z*-ntX8yy73q^2rfNs(P}1mr_Y6|&9=oZwW}*I>BGtbtrJ$4^igy-Z*&7Y{2Z2U zf7zhV^}V{H#bKi5jvCVUIJA40w)EYu>F4+6OiSOFrJcP$)459j0=G&YXH7EQ2yJ0^E0woE|N!!dd# z<0ij1LHmXV~m4eSea$y6kb`flW>?T85t=2-5h{4(S8CZTJjY93fhs$STrW z>hyg9?Wd+qes4(osj2gX^!;VpPfaTX1D`!V5 zHOBY!?4JV5vc@7+b#Z4+V5eAK(uM34=fjIyi-grx%L(*+0!2bJtkDkma#*AH7e&L` zo;?qH7VV4_EzfurWnctLz#6{X4UmQ`{JIqs?@q}v3cv36XgfK@G`-xV?d0@u_^$$O zC&wZ~v$8STS`ZB~C`ZAB+#3_kY7B!PFgKva6{ZyFg70!yV?5OD7mD=13WUj_E?Y_V z<}wm?oC`WyM%rQV;6Z3+lxTT2rBndTVYCI!f7!Gbp0;^gj)FkD{R3Ir8IPsXx17@E zd8ovo;r0_-jyr(ezAdK<*_QjE7p!aJQ`eUB-6*Sp8@6kMrX|T&vvpE=k9~J^(c);) zQq<#DM5zr*UIY^GGwM1p-S|PDHuNK5jFqu{3nJY{7(j#4`nQhXncee&Ko>HleQl9` z&?l@erF4K0CHHJtHQ$qCQRI>1TDqN#s$)dUV^L1xcOAm%9o}Ma4;&!U|4ync27URf zOj`_UAAG1pTMXhbVxp?I?Uke^807aFinfJ5HKegQKALJCpD!~ zj;wT`f81iUI2Nm_HOb&%hxWXiztyB{EHh(!Pfh9Zq)F-VGHv!r!kCm2BK=5# zL4(q`#*@|R$>T{~$X3c0MEdbEVRb1jj9KYauOmuJ`V1v!-deQWn~lfPqap1|swq9; znw0+I&>p2Ej6o@DBQ!z=4N8p{Eme;UKH=&@rnFO0r2pd(R+rKdL3Mh-^tOZa&?R%? z-_hcD(Q;i)EIpQ@%~Um|{}fG1PZnrvRT9Raw37orN-=0qntMQULw3CX6m=m}nwt{o zCkuqtrF3Ybni5W{I-rz4W(rZ707`|pl^*wK4_HmNCDYU4M9r%Af=tOLMX!{ZvR;T}sErV(HipDNSCsh_p0Ov|Jm;DLql5t!p)<|7J}} zPp4=jTN1{wQYIAXCrS(&l;(`y2GgHAb0YoUtS)3LWq>`MBCIZ@1N>?$9btE5rDLD& z`zKo5MzmnDoEPPy>Cclk?YOHcJ?)#6p7Ch^T^2C1QYHaEvKcfeZNK7_RZQt=Ul%f^ z88GWJ9$|GU?Qd68qPcVXL+w!w!@ft0lR&8$r}R{gHVW31o++D@{#T-HgGm^}N;Alh zats=jHs4{V*O<~XWnIXWro*iND-l+gk{ec2TIh8|Y07=W=(du{qU8oBPU*h^?Qg6p zJ?og1p0jDwcNQ?R(sc5zfI)*&zyB4^2uh1hmsM31d*2X2XwM1`SFZ zE!#{v-t(a@WGii76zLZN!s=355L8=fzeGornm*Ywj~1tjmTQ8zm7Xoqme`uoiz$;* zDM=e-qozq|dqp zv(nrSt+eg_X#;Xi>7|lMX+@E?BPU@DN>f7kQI8B=?k*AB6QcapK zmF2GXiqguIN$IsDEt-)q2Bnmbd{Ac4ptSyd^Y>v&D^t3Vt>gwG{aTW+x|A-htcJd?$NJ&^fgcJ(XW`k?wU;BfGM|eQP=d* z6uDQ9LG7bsh>WMP$VaD-KIRN&|GKLSnf;ioNWb9_R+s%1_1Ir!cf@{dIBXD_o+(;h zEXLWt2Fp&wXvYz{(l^<^X`AfdO3*tANJb+gX$U=qyaPzv@d$mRDBq!=ja;gt8Pc{dB#hzCqZ6=C zkwL?qx1Hbr7-i6sE@Vn~*q{^=R@b0sdl97%DMHV2JG#GU-i_U8S$mdfd7%)u*pcYnYH=d#N_e%7(5AHeA&I%8KSYR*`#}VoGN`y&qE{lnCIW$(+SSP1u zwV~o1JWsBZjP5J_t|wo5r^E@1cwpY6UJUK5C_@tp)Z+XT#tMscpcLnFr`lMTx2v6> z#|}lsxuWHtI#wca-gD&}0F^lJLsvK2)Qcg`$`G1x8Pwv??h5ZqjY)BCv#Z6q&22|J zd!4h_@n~%x?kUj&P$W+1$k!7paX!e=%UHN)eMsBeHZ1{CFb48p2G+{FsiQ|g&hgrg;IClos+QB9) z^?m1{Pi7u~iaUvx=fb!+A0*_99hEpArRa4u^f8R}P6C=xpcZF1{OO|(BM#2NhK zQS?ybd^~+prY$;wK%k$#%J?TFKV-`v-z zxVvcimlqf3Gh4nRR*CaPmUcP9v8J&?xb0^)gIYV+Lpxt&36tWS?^bK)e6JmG2A;BW zS5(|Xv`(uTvp!GA7uYIszD&_8tEd;lcR~-EAPk@uXA8LZmnp)eI2YN~;#}08IGtaa za5^e3fH-zsJD-R0?Yc^wuafl6Eb7G+rwnmI2DLa%p-6v~But8PaZEcGw?XBJ2GU4CBYdNr?2`Gfo@16ocWpK2B6}8 z5GNHEr<{;4>Q>@>m!enKanF%uY8gWAT7I7uc_enZ&rd|x~d_jf@8Pwv8hVOhY4`gwCC5~vk#=~jfHG%xH_S3%I zKiJztYx_f-WL%tY1AciQ2m1GAdi^r@9BF3|eCOMMK`qYxS%@Q5CdIi{8%v3Et<#P; z{n|3~(b@r`b&3}k=R2R@9?0UXF44Q8W8w@f!7zSIoIs>k%LAo2zEiCo-)={o@kjM0 zaTbZz$>q2>-+OdtvLc=Sp-3;T=AI+%&@IN_dkkvrv_WG(6bWN-ylQc-OSB_S|Is@g zjfw|C95*h`YFECrR{7441$wJC_Z*3HWC%^T3`XJ-oD#gY5!J#{swRV2W(JQ}ktm(>!lO`MnwKxyhBK=d2Fe%RUiE44KciItWP}f06 zq2j?1$BB#cqb+YlRNDDD3vtTabEKW6DQLoGFcJp_{5eY)ixXChbA#QEI6Ka@u13W} zMC&%ixHvy0=&4GronKP)>U8co66a(X>nFmDyz^jAq<={f#^S_$=LWYOac1^A@flP+ z6yn%5;)MJrPL8Z!lk^^W`WSgHrd6Nw{4>-aD( z&TlTijg`guqX2ON>c!Abf6|1@U?dJS_D6v*Db6i1-?^ndagLq1*%zpIB*e+a#rfUg z*TJ$lf96En|5Ds@q@DioliwW%BXPfgaZ+({{!H)- zaiut!L`t+ho8+D&?Q9Z46NEv=NSuo~tg zoKU`zm$Pzaoup`ckvcK8vq=KtgbZqNp7jI{CXB^#sF`{*>7ZWFw z@aZ`i)k~(K1aU&@#qgcO&~?IRP>b`ND{wGjQk;Xrm^f}b;&gB8inN(HRuErMNi4k*|za z+F3Uz+FnX=&&qe`t^y11htSvw5XY_&CzNmP zR@zxF32}VxIWpEaNr)3N7>NT{UN1=)Ysan@XLq+9agOXecOfdCC|bu9;^K4)K);DnFP5~M)wDXDsams{AarTL6XOH%@GwnZ97op-w5GN5Ar?W5LTdu@e zzXWkS>ctSJOos6p)Y^FkuDpJUFe%QSLA7?WK|9(RaM`;5LdBCsD=tr0uexW}^W=-u zl{j6B5J!?W#Q7lwO?cFG#dlt{MW#!UFe%PXeziC|wP&n;yY@$=#3`b6R2Ua$eOJD@ zU5T?nLA1T;QZJ@BJ~W{~t({j3BC|n(4rFm+#&W%Ow9~WiqC-$|Da6Uf#p&Y6SH~-H zy5=B`w1FYcj~+DPP}ddhtW1bZ*BoIiPNG^n`?jZ@&3`^(3M#gU){#M6oDFRG?s_H8 zhFM(ubEp?XoS$51!e&rwXJt-gHp~(x#n~;=PSboxh^9R~L0{HB!RSA6=F6yfD#S@C zaq!0HWg*7mOxFZ$+%B4Sl)t*AtcIUT>d~=G_oQfh)KL;-x|b)bj4NXeP5-1flr5>LwNUaK`GRf`gkU#O%O}}jbf=EV(DjxB&bkoc)1KwT?S1n z_3;#@DTN}lNrA8mB^qb?O(=ng(!H{MXn?d6yt6f)bdAPhY32o@Ymy&3+ z?Ju@KON2Gl*+{Wzm+BkinhtV0qLkgY@iVme57F9Gj8obW{`Of?Q|cd>lm_^q6p%0m zrB8i~k_t2^?Xluyz8g2wKPps|3L-PWMOt! z5>~?}SxspmvckQ%F-{7T8CVu=XQxOQlTr#kLl{7VQkOxKA7CpDgl)KxDV+xE4=fW_ z*Gl`wC@t*J@eaOZ)c$DkOwrm{sG$^sQlKdfa!pEu9Z>Q~7=zLZq7*V{P#SZ_emSNz z$km04Qch$BJA~Dxw9u)JrFmgTR+{?U-ru0bvp^{kr!*i%Pc~~xgNr65yMT@CmCp5D zyKQ?0@FQh9gVK^qCPN)}lK4dupG>GIg(71Y2&+qJo*$#+bwsK2kM@&j@odq$c`i}~ZJzDY#*{XtGK11Np2%z(5>}Ve9zivw z`9VjNHofQ&no6G|T8D;lN`p#P!z-?)G$dTcLC zpR6<_s|(pm=afZevlL-W%Q^V9Cy|qhbiyRy$r|!JZj3^shyJUtYjjdfW zLjp5eM>%-huuMWlTf0~d{|jKw9E191{{4x$I#BN|xqk{#kkrRv`h$Fh4WVaW(PJAG z)qyVLs6HPJk8%jB8`THLa__7T6V>oLN4|^}3!-(1A5T=9LM?B4I#CTrYN`zSql%*K zQj&!Xtfyu#!bqD&)FVpkoU_j>Olf$EYv|$R+!81i39CzKmRFs7XW1R)-mPEX>K3$k zJ}4#Ql!lhAh8G-7X|!!p8iQE8R7oFEdLF(ww5+9$C~dI&r1zN8Xj>Pum6kaoGlro0 zl-!t=c7Q}DkULaaG_2QI9BGg_fX-Z>qCZ$vsltL25u+m#l`p6Q4#&ELj zckYW!X^c{Ww$ieq$fUAVp{|u?2Gv&DJJAuPso_=w(c*=o)h@>=H5RRgcM6(Psw(ET z^hMicQW%5M%N|l~v4+x|pvU7(DHZ5Kw$gdF$ZY8oR-ckvO=)I_j+fYCHr*|M5h%HF zN}~&iC8S4qP(oz3N}5)}C7HI%0usic^hQc#Mi&?~taM^_8b2E$5Q7pX!rH=_2G$<{d zo#A~NGGkp`$dn3D)i{T+`jisYR+`bFmBb)xDq6e*l$)Jh~%UQyQNz-a?qcMgO*&vr4qggn+JevAB9@Y}+D$S9uH?1oyD^ zSx3HwFf*RYpb|Z-T~`)pS7T&HzCbo1AgnIwsc!XrbSh?Ui)do{|2QA*y5r=|=;t!1 z!d9x#6THm$9PKTn=c8NOG0_1&9&qt?1y>DZgjpw7=`Em~=H6L|6+T@88WXwl*K?WF~W6+$BZf!GW z&PONu`Z!5I&L6k&G{*DM1@P5LuEr|!(aBDP;S0}4r`Q^+%tyB+h%DVS$0Mu0<%-O< zVTAdFy_^)8sR3cIw3?6Z;)~37C}oW2qkCpW#_<@#fm{34*5Ath|FiytJ4cX|my6bc zg}C*%OVOT1+WOnV7b@1DTx2UM>zDZ#TYn&U($=4D zTMf^Zwe@E}Iq!Sg`bfOAx015{%z!qJB4rrX-#G`Zr5H4=zpe5J)B2N(`Z&Y-WsR}* z=R@NYqxLG+pODqZNlMalws$pFvHmnC!aTC{`(=@tZbz7}Jz@qyhV^%b*Ubnu#@5IA za|Sa8d>Y_zO_)OI_dL9oMV@;&hm@2;?2ipPdMJ1Hlu?(UnJZxML_G7&$XN}cuV?ks zFsFDHzj(BAVB#gN&V1V>t%hfEBv14(C#t3(xB|Hm*fS|I(~5kckqrlp9F~(?_+^~3 zF67MjZcb#jNfK5!^G&StNOIGbkWcCZPo=JXTh?QPPG6(xD@E&oT%7&(CE9pcv!9s- z`;=xsrC<(hPLg)VW4WWee%Z^-JT5vJSSz64$RwXP6NqVWfBxQTP^Qra>&nFjnP?vXq%1*onBCwTx1t*GoXwe zQW}$|;0>RD-wt#t4a9!~J( z!{!wSALc2)o@Ds-yYn7HJHBY$#E-L_8^EAt?bmZcX>7%Eo^Lh0XtM}95ZdNsAw$5R zW=Z}$C)8NPa?3~hKSQAYRIxnqmDKgRfc{okeuUQM`GiT96Yc*ymWTB@X#>=`4lI*# zmOCafEFxazy#twfDI_I$1lw}QGA;U2cabfzunouBG07lTLxxI0u9U_imIp1~v@3!7 zQ^j(`RY#ww3+Qi!B`qEADD5m+j>0G%x8;OT*>ac@dF(-lPC6RxTrXPtdht-rA{=*& zZnoH|M0*VC9JP}QPIwvocSoe&uLG@too%b(tB}Qu>^v82vkrsW&N~%FW+yZtdC45xeAk^il&L1r!n^3uW}-@vrU-foAPVf9h&H!jEd&d)(^ zoj~yri`?~>#melAcpZl<%zU#&tKmyq^W7zDHGJWaD2BzqEQrkf9D|xKE+5HfV@$ro zJ@6=~;}qX7iqKV2W6byYlF00mB~0?&%1_i=*PZ|C*bzAFMo@GW#b{l3eu(u?jGuep z0Fl`>Wi`Bnu~iO%ncb4+Xu4aOcIClgAQg?KD~VLdpdL-%@TnnHKg6Q2R?`hi50idOpu=el$eZKI)^S&{fWzk2~T*}X&+>dx;*tYx^E>R`B-;v2)o z*h=tiU?0$g25$x`U6h+%Lku&b$;8#uu-);!E*8}a0y9D4KO%N6otcDLXOAL-+8Hl)fH@kY;6s|q!A73K*w@?q6dW(m7(3$!Xm3G*FlozaZf)>Q^i{GM zG-KZGnyC*#JLq`dh*)zwfN9Kggo(M&x9u<5GY^&iTTL<65z-st7 z$Kt7EgNBMCvuDVl=1U1^Pj#SDWE&-*J?Sv%1>{O-48~tzYmE7R4!#Qm!X)1bcI~`i zTNj3&6dHz}6hy=aopsW4sC+Ak+i~K1CoR#C)x`Jmk+xIX(0iq%8Wiz`SyltdBr{L@%1S+hPCe5gyEv8(Kp<)u`(!* z=$fOYv^zv=ubg6prCgr2x`@QP;gCGl+1HU{gc=layR_W@pB-}ltR>b}28f3JTS?rU zqQH&FbFFxb)1;!)h~P)vW{1 zT)f2rhobhKqP1rjH=`TU#vs~^2OtV7p1BC|x{j2`FyrtfXoiGVdFC;XcR|dOXC9E! zh3uK@G(HdLCDNXZm00i=ASxe6c z4uo~j4E2TkgB7{Dmx^>WpiaKhlKn~t|(;=4d|C%Dmx76ty57il`ZyY z;Y=@;9qvLF{Gt;ukvYPl9lY@s8r9;;e7%3bpt)4G$Yac0Dm&2C$5ocf4stZcOJ&)D z$Q*2IY;K5-<+9iz1d%D5BqhdB%9tc3u|tCh^DuUZ&bG)L=0_O5@$%VXluAphh0-jJ zJKWWnB;2;MB+VE)-o~wV=pkW84n1`4&Of30-J-Qe5O?UsWuCtBn%H4g4t+?D?h2)j zO^5bGW^tK8BQFhX+3X2+=)+RFkR3V!N{8eKtLxB1g4(<^gyu)%9V0Idv7=#gQSaF_ zS-%I2Qi_pIESZCfW?nkDVCJR6OU8RiGK-V^1~$%8i!f|3hwzU$zrBy|NzTC5iWD-%|i^u`rC%B!lq{f@4xc3N?gg%}|Kr27RJeqw8P{q!l=fXY;_XL0;ZXlJ=--LRyn>MWQ!&bCCC zXsWlwv&05TeI{}gM#jo1*fB1jmvBmLM%NpZS!C518uA4iWKf@pY~aCop2m3MM`s;$ zhQMCIN}HR4Ze5LWO6}^3Ofx~y0fxtZc9eglk^3W9=}Y}f=bg?Nb0%uHg1Hkn@^J;) zR7V@R8NpgHay~GPe7tXouF525 z`-Igs@&Kor^g!g7_DRn=c*-eg@n52~YcWnb4_|JyHR)p=lk^FmN%}puUVTJSwp2nDTH(O**bP20VdZ0epmXA}2rcE&IobOn$J}npHi4QyLmh-GAB8NS<#bZKcVi)Heu3v`)lV_X?Z}U;JxA_(=J|tSZ}HO@ee;lWs|wr2m4jt=!gh znr(?5DH6>j4I`bJWY8eJHOv*7bro-@hSZ#}@S>)8De_xU6R$e+_vpMotYfe?@1#N}BKA zeR>5ci>H;>HVnr4TcBi?d{M;(mem;Zr8S$sh6+=Bdpp1gB3-dk+YSjt=I=gXl5dZo z+PmQ!9e8*D;p=Bm`%y4Y#l8FQDcUGgd-v&{>D~WuP47O#u|)48iD7v67Fo!aV$ksJ z8M_{g_YzS^pYG|x${_p?S7Yqm8`&arhC^6g@9wTo-Q@(!T6Tsj?%M}b&Sf5~zwES% zSN9z`<#99=h}O=&;z|ue<_4;Yzt*yU__ya z+_66YX`|)m)5{DR%3eHRCSH3tIw3NDQRY$S(V`EqGi|C+SFt^u+BK0L9=L4?r-*JU z*)WX4XrluT+5incE?PTz@i00I!O6q&OK1E?qP8wVg%&=`dgUu*dXzRBZM81?m`DYU{EPvAH4&|XNG3?tki zqIR~g+-x9;cZW&VMts)O<6lGNCq(PI_s*#zEAl7^gPzo)BCPOoBx46T%`}aPMSFfd2t@5y7O=VI)|fImUWkvczIT? zie}D(+n6vMb7n$=3Vz*!4t|dN<65aY{qBHI?+ILyMHdKBJ#2rN(sasBIG6h@S z8m8j?{E%-O<2leW*AjM;`i;o(T_pvZL8UKwH{AK5#z2mS{MFf+w;uW@f%-!z1`ICT zVB&61=mPpnDATn)ky+*vCZoKwTT2%STQqg{3_EwK44n4OGe1P-|B6;ojNAFrD!OMN zgk~4DozL~;9ds(MpXbQHSJT1EU}w8bGR0JJsm-9NiZhBDBRh+>Ob(gDQ<$>)+!*RU!Hc!kfPCP&t~JkVI=;71m^ z(pp0FsdDh{on}g!y0qfpo7p0BrAN4=EBsozf*jmJNJQgzgCEiDHGab-sQf?BO(?q2 zMdqtqlkSyeNmpHDzA{O7lj*6-)s7`LQ-+9U{6zjLmqdfO>I{d-Us=`|Sr*OYfF%`H z3F_pb_Rj?BPlfZ)gvea&5H2}4I0&fNB5T4`r#fJzZv)-^A-Jt^DsJ2OXC*zY|6rchW1a`2Y}}UDCuykBw!F@_1a@;# zZhHwFby!i`^4id}<@IGt3@?ymQ3RtQ=>Ga7gWMz1_ap~&1=B&?n-8~=#8=O6Hr_T95bVagD+_#!B! z;*@Sc4jNa`r-L`yCZ(GZ_an0`V1<$=GB>yk8k7d~KK?PLbd#+MnbOEWWNs#?KBZs6 zTKD`FgGl4AWUeBon#Nx%spf#UH|mWBOJez-e%w88W~WoB=H{FwMy0fS-sqUubNoQw zgQlhpx8T?4B*_`MCtjC~ba8XYpmxtuKCqm|$UTL;MdL+EYvZrB;`>u29Q$?J=v6fI68I)77UWssEm_E2R>C#El&lPzR`W}qB`D<@ zoB+6xA? zItYIbJM!43>v!4%wO5Gce|QzXoKSB~Rc-{Znpe0LkF}a#b}W%9Qpd(6=HX?Lxi!V0 z;jxp;EjjX7YC{*Y$8Kp;ON7-8LX4c|ErE?c_#IK2{?LG9(BjKt`S0awO3+ogawCA% z{EBT-dKDhBWr2h-D2;Hb5`zY%!;2H~q!Lkj#ny#PX)9plLG4ibDMslhuOmvyRGL=! zUI8UHPU&T&!>w|hSI{*yeJ_RuDNOT9cOcMD%Y>;7UC5Ni z1{PW(tge-QbZYWS(_{~MonnO)P7AY|FszR-8V{=n^>&ox2nSNZ76oUQhqch zj)3LfP@v(vr~Eu6!QuFpO`=kQWZ#|OSj}$}RNr^M^=f^$R|wzz&NqCwcV$c*-+NnH zdwE?f|0NN(<=depCKRh!$eZ5@EHSY_azLVw5-(}x|Y~Rae)JqUg*gQYJ!9$ zE6PS1o`>1r^)-f&L=hJ8H?g+gh@O_Un&0zuA)9@hkXk3KZp!-Bt}%OK*@fA^MYlR- zHGUIDDQnyXb5BKsZ^G<_3g`Ed#PY3zG^U&iLDZC-{K%O)WJ6k-l+{Vh3gKCeVm(y-z}6URpr;2yMTJR^LDRrObi`UA;-c+H)cr6= z7!!AEi1YaLrBgAsIX<1ddhA!I{I*#BQy3@yQD7STqbxsPA?0iSFoZm1JwAPyu*9|{ zZTgRWOH5U=N5skW9|a_6g?K{%EUPglzO4&Fp~4jLsSYrLq#v-wx3jJ0kA1=<@vnkf z;g#IuSx5&?VpC&|iXRP+Kb9}D%#ew#lj)5C4_G5mU% zZ#93CWKjF{Fj)HIl*T}gefW^^yRRfre+Z2nd}5a&HP4^QZX3RY`aUUBB}w;luZC{p zmkH4Q!mrS6{KAds4s7T_cZa~&YyU+ zYNS>1xlNChlT48+a4?$q%wbTgf^7dO8fV+Hot+Svt1xBfp{VgN;1bPohV22~@1QtVeLjm`1Vu$bXebBw75#Y_iSnJ_k3 zSnH{u+VIp*0>e{3Nko=w%IuOy<@Z4=8MoXj*9^?}$}%vO<-SC=qICyO#b5dIsZ$lS z-#C_-S!6k*j6^}Z%4JYnj)L|*DSX9p!#!9eP{+yUMcaO`=2yPP*m67AR`WLwVUqYq zYYEYhJrMseG>Cr`M#MLtdLW(sejt`(J{|2z#2E?G&*;Vu^inj5uPX5xl9ZzPTbN~s z0!d*wDN!xk44PEGQ9991Iy?!Ll+Y29O_r8Ta>UEgH)9I8@h3QW=t=qGlDoojOIPJ!VLQ;-VVp9h zC>akH@fD`Rlui;?>*JKmHbOx^*>s#F{=S>28BpG_)qwJ@6Y8QVDU}f|17&}{uTC}Q(_S!PEC;Ymw-W?f9wP}g&G4V&NU|&ces#1{UJ0; zM0>32@tiK8zf{O9=Q|kzpNr+?f+D6z_vYU`ItSNT=l7B&<`(tj;14+HuAUCaKap7H6{tUBazJO- zzj+MmtTWHHntv~8jI$1%*!@vtOq*#()csR7C<(l^maHSki?@&yqH|MYyy%?kLs{nw z&`QLka1Bge$vQu{me?^GFR+{_lPQlEe>moNu_mOQ+F8!3th0tN(!R<%JBCP^uEsbD zX}tJdW5#&#hog>T|7`da$E^tolf>U}Y6nU=e}6qNh#T|wZVfG4qVktw`8T;3@%%a| zgLpoH;M`58pL_x-rZRtDle5H5dZ5fVz`^%X1~Q4GK@wCGm#6J$R%1jQjfNH)SC}Hc zQvi}VjZt7?lfI;djtFDob@uLRd_C-FEvEnYLq0+?Uy0?bLhaIO9LcZa^8(u|=o9@R zI-|9iyeJuKF?p+KiEN5x1Zi>z(Ke!Fp$P_!wHP#{v1l!($Ncfv$h8=>s|$H8ChJ>h zov^xVF|WCGR-#tI?Cq~aZPhsUUugPku+PTX3;6oZ7*i$t=09!xDyx;K{JI5`eJ41; zd?mMHkApocFI+S(GmUIS%y$u}w#Fj%O_LVkQJh0l7TVQ?%zhWg%6G~UR-b*nb+}iY zj@UQ-+TBOftHkoJgE;$j!FQLUVxPAhlYQr`$$q_*C3aPEN9=dCLB>Yo)$Gxb#v=B8 z{?>x4A;i9ORu?k+-4a%Qy%b?}*{@903HevuwTJxCD?0T>gWrI2DjxFd`DVy>f(utd zzQLo*jyf_rg|xke%D?&bQMQ}3QZ#PK8CHHhpMPj?CV3L63!KrYzQ+>&MN$KC)Nd*JkhHT4MLCcKQt*5AwG*;cmJ10$|?fR_z297Rdv-br1t~OzH&HjpC zC*)sBbi}^vkG&s3)8C3UyOrbYyCA9EXlwSJ1550Y((E^cJ1lY4m4W<*p(Pf?*<Jcg54Kcj69)$B76mc_upk~+k^~)&BXm@(Biu)mM z@M(O>>FA+`0e&Z1)BawpK@N+~`pHMFd^ebN&yqH4GRaqQ=>Y^Qp9J%pv)af#O4u_v z$D&1buF8%8N+(WKtLEhZ?9|jY%WpMW$B1M~SeyMlQJ#X&S>CU+_Be z?Bhp^9nj)xv1X5&xK4VAU8qeuWq!jPJ&B`L(6ea9b*}<#NWkJM(g;)1jmIE2BJiXQ z95tu0$g_{?xz9ly*FB56kVuQRqXH}6t3X&?(l1slElIx^c0_vEF_Ujbi$91p$7SP| z?&(-!VNsLr;aXy^f+pP?D!3PA(jNJZvZC$E5{nyIdM^;{=`g6nd2cA9hpVxObnjcI zJ;|hd=X4>HzQDK8I$?E5KWEpDVK2Ba`SY$ZhP_ZZYu;$9F+I`Xk7CUk3B@^z>y6;u z(R@AM%Qmhh<-7Zq*gL1=x_817`($-o_d(gdDVAL~CLFNcC}2>>HBLa#tj2f@+dD+O z`U+EVy-#4}dlMvc8^`s&zLoFe6DH&Oe_pkVKO1yp?c?vB+6T3N5^Mfaid(x6GShxZ zZS9TW@W;V>U?Py{>zdZy#KDne5+fSA0CU)Wr`Zy|i_pT)Go_B894ek6a)|^(8yf;C_R+4w`P@Y8d z(nx;eq?x=oF3TlOK3~fBLn?QnkecMZNs&QqWSn5>%Gi zhnH?T)PXSsjfAQC*$he+Gz72CE*?F3Zvyp)=3=13h4b!fK2aCYUqV;v!t8NV&W;yS z|Jdp-v>*eONrKOKwFI9*_)3CL`w_u|cAqdAb$%6Vc1kINdhI9QA0`ArJ|)igM}zw# zH!^Zx!>x_6I+tc?+1}c+G|Kry(eG&oM_>^NXeaemu+voOU zN1*cWV$GShVyZJ}eh}jCQdmQ-u;vGOm1kwG{GcSg=tZaFfksA)F1MB=KQ>Cp+~|c=f`T@x@ia*`*FkA zO+zZi-fZ{uYpDFESaXbaYb|shyI|3dbBgm2q^Y}8n)8r^u~wGf)UNFMWaT$Qgde1A zqZ$)!6aYg)bS0yi)JP9Y1IuZQjg7UkJXt{=CymWBtxau>aXcU7Q%8hJ;!oH}GS$OS zdtWBxsY|JBB~vj<`bJ` z`9LFjL^)VcFlY@{KNGlI6orZN_?p0{79-2;4{gi0*+;_5T{}ts~aVFT^ue69Ts~ zfoyVM#GN{A&5ZB5#IU1`taih|sN{HAk z6lyH1<5cvnf&*<)AWRZ}#8%4y>aA-JBb&aI0`W(%mDB+V`1h+>{42{#{P^!cFH*rC z2PdwFs0|p6gNh0;`omCL*p@h?q-T=Da+Wx>$Qv+N`Qh;LTv^X6M<4SV7@sB{0=mPq8jH>>#^1Q)7+xqCk7&&MLPyAd*_D{Br$djT0LC;#ND_!|i$j@Q#8jxFT z8St+K4*lB7mltj2^V?Q_tjA?@A-a_x=kl*PvfkDX{o?1ht^9cCadD2f<+Sn>;CTJj z^V|3p;^7Z)oFiMA7@!9aU*SReadWzt2qH6Bd48J&XRPx4Hn311M+E2@Y$BmCqCtt~<`v7!hM^YbU}yP#23sq8MR5VFPR;N*~0wdVV_tHBAULMuZ^? z>P`$8!y|DIc(rc-FB%9QKr}v6ROciQ*ijZd;E{DNg|a${HHBO}-p3){clC6d~Deq@KZT@jGbkPVw%Wpl~Mw7ev||LSad;JFs3#8y}joc0`-T+bSRPj ztj-P_lv+<0&|gA1$_K*EDcP;0+geMvQQEo9snBgS?0o!+^-5@OJ**hj4EWnr(H-HN zb{!dHY0JC7+SOrOKaMuu=yNET}Fdoa>+LkynsbhHxVmX`Au{_10*FNf4p6t?lEp;qUg}RSaWR(U0 zcvgN}n*@dM?Cv59;inWe#zWY71z?WCl#S1`LDJP2JNHqpm7huw6Ti=`B`#MQ?n5|4 zNz7Pjn0vy&m5^lvv1X1QtD=>oZ3}!Wx$G%FHKgTz?mePImz%aNFevG8Fz%K=3a12> z4{-%AF5Kg)eU?^=q#`ON9(~$jzs3vMo3AT_X-H+##Ko}2o0}}8Yg##KTBnk%4!v^` z27`6FiZ$malx3r2wO!T{N0qc?r{<(Lt4!>qEOE3lN<{Z)$I5S~bimcvf2Zb1t}2#W zzI))Q1nLiQkCN5UzpaC4tbC}D?U{p(ofMTwmUla~_Pi$nN5025?0JtHIr5@qgSLhw z8;Ui1X5)^$J;L?oK-1kmDKAZ_NvPw~>ZW$&?Sjf)TvmRXOH+E1$w*doiE4X~LDi(7 z%Te1WHAaq%wK%BE@f9W=8Jk@J+b+-;6Q`;EG?y?Yz7|Km#|H7cp{mG{@2W)b7CUaR z0s?juYnJIjDau;Y5v7&Ucv?vgN{aaQoY9okXV|oR5=$0kEgGVxI}9qSGDA&MI^kqM z57L5uSshm~cGoVG=#H-FQ!#d5-^$OhsX%h}0_ZE2p#K76%f--B!^p&_{xcg3}Iuwv1H|7*j zGt{&4X_s*3yq2_eQh?|^$u&5ioQPa{(Q_w#1W9{}HK*zsFn{mwOO_z)Z z`|`8Pa@Qmk%X8rF$7D&SNCTX$Geriq`9Drr`HZ76)&RwF8oHp$Dq_Sy95=hHF?Q)= z0xSQ2IC~Q~DT?!be0ICQBd7!70U|Wla)>&j?xG+z;(;t+qnrxZ47VJPpwn_KEuQhf z(Rhp4QKQDFnW))p)M!T&qsExg81HC%A5k+gM2*+}-?!d+t7~W0@9yv4pN}r{bocwd zPrXM~S66p877&xf*H@RVA@xXneV7n8C(rsF(7ik8*xhP9H>iy5Nst~r(j423cJeVG zk)IR`YfXl1;g*7TI>HXb&}cQh3V}$Y&6tkuZZG$dAnw3TvBW>y-Em=;AE;PsLR5_> zNV?FESH5#YE~cnkYtro+X+KY$bG!q}dRndLr7KI<6o}u%&yyq5&y!u#&y#YdpHE1` zoAJ|VQ-zzRH&0fP8U@H)mJ=nSlu23@nKKt)?r;QBVz!P~TC zXPX#sJ!QNaV4fL(pfY0=;QQMsTw$ta>Y=Rp9C&QrU#~n_zXVTsfpw81ZP|l z;vfz@In8BEhLW5fI4M?`@;FWpoP>}p0Ft!=AW46~Fw8_YE^yG{(fu_f21dJ(< z=is=s!VHg3&oD+F|A#H|GfRjO@u2N=pl|>GoDS^S?;Wta7qkc0JUtya)00nTsOi92 z5cA1&;OqiT>!EK&{^T6}qUpd>T={&5nhunaFzuu%*P)f%9`PQE6ji7}J4A6`+MY0?p~bSw+Ik>A=|qJ`M@c^xerh z#%MZld@S;(xQtDT`M5b5jlnUNY+`N$j>WjEJW-y&~a)Jv1B@M zJT$0RV5|}T0gp{WD$LIj2GfCgJhx&no{QCB{7hS?`H@f0+X33Bx7E6iJ8&KCa|7eC z&ip(Wk^R-?!hWhFCv+(s^PWvFn~;H+KPAE!`k*OBwBuCl+<-vt(cT4r%<~wdXvZnm zQyqn=G{<)KY{n?f_Ya}%Bg81$+v6K5zNBvrovi7pe**zyTJF-zw0Q1sCnlAv3$j`RXKCb5=E`UTpNMcmho{IpB6{h%NM>Z#9 zjQILCO!vBoNxrMw;d=)A_wufkI8xg2PT6mi(D{aO$AphdMuKBS#PF1tBV^qPI zVLM?#>Myh%*49s|5n5GCOz6&xG z-4xG@pz5quG<3Ix@w~9CY$lPl7|#m>#)vP*?!vUflz%bC7i1VC|E>jji%N(|zNdxN ze3wIiEia~*hdQ3Syu9Q4z_%~(bt?HTfvmh;k53jCt(GM&`**QJulFXti#%bigV74{ zT?(rG0-2}z;)KZ(n?Pzpt0fzV{Nf^G#CNC*n4>V|-*q8y^B5z(7%xi^BEBnARoygQ zd8{@Gujma)B4|WpW^`K#yi~FQ|mwMIieS_WmW}w}> zZr+~#K#UD6?Mjx*A~T$qLc$*Bu?tTNfn^!h>5?R$tj{lt2xOMnB`%E_0~ZpJvpyOB zCj@zG!}7?!vu5%R{F|`c;E6m$E^LzJlDLYc{4l+oA=%H?EH~Q6z6N6YTdny*We_hf z3u{A(lXiIka|!SZV@>3jr-ik#$VK_IjIf#t+yW~~cInoujk&bZGO<%61*#n_`h)Wh>*UT3JCP z@+*ssQTF11dZnW~gyv}Of5Gmrie}ZIB9&gW| zWt)SIe2hLOTQoTwMC1{}TC2Q@gNUpcn%XSC5h5}!&k}u2>`lj+P#S}`QCwt0pDR(>()|bIIl071#Df(4Cf7ytD97lYff0C)+?gS z3B!3^N!f~xMd54@=+lBAZ*9W)_>n`KcnALFa0ZpJ$ZsehCRsj|s}AQODN78SS2V)8 zE-71w@4fG1Aa6L(brfBF6JsL;=^S0QHm1z}X@dyU5y<4R zN7{%GQlJZ^G1&WTuoaf1@R^q$KN&&Z63#ygM83&I961l)59XIDyQZ!IT|o@#8tF)0 zIIGuDpm#s3^~PdlByWQFOs<$VWz5WNir`n7Gk0Tbyx1up1lE}0viXh7MXzrP(LN+e ztD4d4n=*`1B;y#QDN-0EiZu?--54`QC2LqwfFi`Ck3Y>-@s%&omRC&QCwxb4>GvI& zFar46m3+@BCGS8N`Exwu1#S7D44iD{8>G$KkDH75{sS^kIU3)A4kAhf5?_4bFp6bN z@*OkG0Ul+Asm#UREJ!m(nL7c_-CRUW@_ngP9n-MxNeu4>BO>mR%ym!4EnbCVuKlgn z8w!;ve6CHS4qE68MIQSnRff-lb{*%EEjo(vy5U@#Kz1gU=FJXcI)(S%wfpM`@`e$s zd!!x8Yxd_I_%{h>boqI5m!vzg%EQXCO8FY=f?tl2E5g_jXpqr}n^ZBkx7X~o0 zJ4SbaPDpR)pD6J1=N8N!>4JtgK2Xc>uv3_1oVam^#6XzBf zqqrVY7WoS@h)Lo{lq%y|3_BJUI%AJCe5kAQ_}B->;xg6)t=8*vm3%J@}Ys`=|nWy6#FN7`(2;{iN3#JRzfn30b1i&Ly$5C_2 zi>>n`#)xkn#QH@ZV!+p`+cT=<3*X^}k(Rt@tWRmaQ!|Gw2fi7r^}4t+oG&WL&!#fp zGQOXg!}-TW&x zp*4P7L`<^m85}$csL?|31VnGwV=FIytu%aEL(DE=Eb(cR5;_+ z){h;=$i0IjkQXB+iQ7RnaXSR!{bGZ7e_Iou-LmIMuw^9p*{vjgSw=ofqx^g+#BLX8 z2Fl}0U0VJ{Bg;!5tIvj}m8CSlB@MS8(WHbpJvnn(hCn9X6&mBxh%qTx-lo3PRhS}v zI>hiLImRUMmeWcC6d@*w4}#)S(I3|h@+hwB1|)I4e*4BlW6Y%ROZ?HDT?iF4$aVjQHXRc}t)$#TWbN z%R0X&iKC>zESJ-qDo#xCoMh$LNVD8)!lKA564}d>`LfbEk8|z zh8Xurx(BxXSX|vfPCIuO>qbIF8!{PgJkEla7%~-(nH9pbIGZD#-NQ?xp8+-XR_oP) zY6X3F@k+?qY?*G)lQNO@+ zk-yHSUybs->r?oP?=D^s_jq1cABz+D8zT4*7GqA7Qf>^bmIWT)UECUo{MIxGgm};o z8_ctzg_L8=yNf>oRd}Fzck!x_Flz`BpgV+D2aMsp5W=qU7(+6IT?>1qXNF9Izl2?9 zGls+nyB;A7*ddJpP_O+Pc<}mIW1)=Y?e5$SJIhjtjB#fzoPeCImU#t{zcFO2QObe< zntSIW89>kk0quldyCJH|_PX~12>(OkB>d~%jkV+F?m6vykl0|gURhL**H>8Aw#oME z3NqVOS%<-m{$Lz99tP!uVzeUZ!DQ}VTO^Q^0dxPl0%KU%XpP_X?64#URvx}XPdtz} zOb}J!Ab_qeBQA5G9$K}sqT`&9yy7sXf`)Hd0H@T9vRZRqWu{-9#?N7>?k0Z?6t+4& zQuzt=U`@(qE^O985M@`V(FU+fWx5UIt|>953)_M9yJZpN4TJ720D)+zZi+ED+SpoVj5;u!te%gMB#Gkz^8HmA#>l+` ziXy+wK}-@qBuM?xn}R3x%=`wV9d5O*(!r}AqMO9(DKHNHKwo24%=3&ee=ySUn$*OfK^TKi+ZVBGI))F zrX-1JH2}Atx&*4&9n&|0B9mbZ9R@-JaD+NpiLZSYoO5$Q9Y;#!ZJJx;xsvbLpgK}v z(YRH2lx;++6X+V$__Vb<7&yjiU0JBCLAR#O5yvf|S%ZEW;VYh*>aAs*swT@g&}9v} zHO;he*h1rvTSCStHjlw3i4>M(=kcdG4uZUCAH!GFME=$?;*#@tyK_G7@v@H*djwET zRr>g6j{Ic38b#k$!mnhpk8ktv15@ndTLb(W8WX=AV!Ll!;#SL$l*s?gLHjr`hL}pFya; z<#1Bo0WtPYj+63^xc1E$Y8eIYoe@0R6r(2QR5C~F8$~zE(14$GJ1)_Jv zgpoiP!N75MMuG`drLnBCkYwIAby=dBApyNoO~{=y?rb-;3+>|N?W#kVDJfJdN?Q;~`zFP$ZCjI0WqaMTs%UJ}f5N-P1Euc1DmlMD^%k!N>c5!8`CT?nIVUo9}^tDV7u3 z86C))JH;`=*{HeJ_x+v+c}H2T%Rho?xI9w*k2#Cl7Yic)OBZ43*(vSxGmnSR z&rFF7&W|S}B5%hv-+`s$fpfZ&b1{>A8XdGmR?7`#4(WSibGY!UG*&INBH;`lLl^mC zhCt?gMTCMF!*WZ(es8R>gmc57*_R>68*`@e_Nz4FlCuj!koxTZdI@)So z8ZbMqyG2OD=}>8Bf*g_mRk`-fkKjGYjUoQJ55hSa4BcOZmj*|07_j3PD_i*D%VJ0% z*WHh76vP;cB6jxyPdr(LNbU0(k-xu)7!inmU~m9WOePapl$jO> z>YWgN@7kP~zY5Giz0a$C^JBIm{{Up^yAfKEI86rXozSAvTs4NrK>by~7&;Ba0Cu0J zu*7L6UVKaeLEe}%XmSN;L|k&7;Z$)R(mjAco#`Z;hwPFBYL~(?ti8tqXHRkF?8^T- zPCjKX^1p^u{l3J3`fG66J0MebVP&1j_xD3&p7 z0T5aQ3?b=Q8YSSvvJcpdQB}eYx;a8j5}(~phW7M;c+VgqUe_}re#}=*zXKh|S*?pp zmBd>h@7{x}6dc5f{BIzl#}=9RZ(u+?C}!f#Zta`z>jK?U#tQ+oNfQS_8If;E6UfAm zgoyr4$e7I6F@sQ5Mq#pDL3A2Ox{S$AXUqTxSFDI2#D|D8N?q5^yuQu z&n7+x22KFtP9^aN9rMZI-@>@i%`oxbdREKDE)#Eo47ef99)HLNTLZF_!$&?j{GdZ1 z6L&+A|80peNxbD8XpG-_3X{aGmO>091IEbXA9y1Fkd2rmzNeF_ycTcwTBrNxjW+<_ zNtML;#`e97KnE5_bkaJNg!XGSJEi-NA`#Y!xe^$Oyd}*-%a8B7QW2bie zsRfaL7$J;iIZT5|7U26(L1BvTiLS^$SY!Q60rUM`WVOsnv40!#KS0IAA1%{&Zm5(!nl=|`{2qp5GZi0Ae0W;qqmnY7iUfXK zDj#`_5%E)VBL8SvVan0Nf%v0o#-yXInW4!4zKED4zE5>2lefd_`WVE`g|RcwTk$Cn zp9I8RMO=?3AG77EUscL}50ffGv{xU8fSRT3)8vM_BLA4J43wwAj7H59NM{R&_a0z?UFkaR~<0zBv^8sZ0stlWnBSA zR1WTuO#6k^eU0rvO4_!;w12|1_U%OjK-mda>pYJg$RnyJ0y8q7NXy7nSF(@i%q)x%W^A zjlZN3lYEERQ2jgcc+H|+cWMB;P6EDmCEsT~tL4Zvd-X36vis(k?_UCXiK>}pg7HHJ*B`^iZ5rME#VS#?q*6h=nA=;c@Ba)>1LjbMeSR%eT~c6bHNeM zmdG&G&1_$g7WtCRSkleFs2nyOptU6+|6GiiBmm{A%3;Hy_{snsp41=vkPUcYGacBZ zm<`w5KW6YF-|Voz7QuaGc37!kwHy<&i=L0rVW?b(B%B&S$Cn|Hgjg*{K=}O?nonA; zMz~H17#0+k<1ylHP>87aMYx6_k#g5tX6pH zl%C+#BcQ*vNy_KxI$j0h3y^rrUDT^t(v70OwP6Wg6;Fx$^XfpOTU}qjXf2-28#2PkBzgi5==lhCajk<_5!UHjY(@@87Crv{Zd`F9Ur zFUu}|pnf# zFa8eylE)=JcD(*;5accK@rjMMf`;opr^>U6tW{J~JFBKRAmT3EScf{ribmtWJz=o&}>6+dX z786YW*L3By%_}aVlI}s(o^AJ|CB2_H^B17wWUF-oCoa1t|0={MT#%~X^wo^K99NP2 zN+d5`RV2S0n-}M=1vpiQrWh@N7oo3)1ab@Dh4`x(#>mfj0sBg%FcryoasG15nC!x2 zL3k}dO!6HSSGl+Dmk`Nyhj~T{-xKIz#Eh;@O<>?D@ctOx=WvyN-7|*~ua#-$QD$2H zwY0gM?;nn^s_w_(z~OZdO#}B(>yf!-#)v8ov0h6nEb;N~BX)!7`ef5Sw(!Q>KOEd6 zIUimf(shRi$T>7Pk4}1~bzcv-6O5Vz-*a#(%hnqZ#8XP_>^E$a^FNB_P~!EH*#d9o zYPT=+&?dv#*aB}92sB&Z4Vy99m~trbkD|hqv$0iPFEK_#iG?MRe=~=eBtAN-EL-hA zF|zZkgEoU*bFJ3EuH+j-0FU&TZ|u@@yX50HVI=WXj?46$pzLh;CF3stR)~`oWS$;6 z4Ru96jtC@?RtsMD#4ck}aP1p8;1Mf~a>qIw0{%^eWTvAM`DtEIgqY+zriyR-PmH+V zPq_ia%o7b`JjGX+thXU<>m%m-7EGch^AK;Bks+!xd^iI9@OGL&#YtY@y_I1MIALsV zcgG%WKZGD}i0YEHaOgf&lN7QkCG)q-c#>p!WKf;LvKMwh91l9oUUi{tMRMBu; zSn1w(9q3zaruz^8_na_>7H^}Eyyza7Yhf6Be@+3-zx#ag@91NXk`prOD_^-))q zME;!;VMsc^<+f^P?m5%DpB$iHVJCW$-k)UI(@yT+Nsk50PdHLK?MV2dXj zhE~P(`#Cd@-^WCO!3Yt5uRxhg#NUOiKBB~N{eg?0RU|t#am?fQa|Eikw5$*?gk)n7 zam?BG3JOzkJ=TFnD>6pJPl!bR1F1w3pAc4i{EY~RPlyeVznK*6f%o|zgWma~A@5cO z_y>`^7*M^{`!RiiN$Smi7}joIF%LIin3 zR0nv!XNJNBTC(9zR0}GhSw0MLkEA=%t1MgaR>qXNfcRxnrpJ%UKm*rgMg665Wn6y* zozXvaTz>?ydoYZ>$h{vyiXW=W)`uDMvnC&x@O1-dicWF(z9iW9QJFxF>tW#Dj{?TX zz5989r4^>!o3(+P5~yOxS_kKTT*Bid-$tj(y+fb`S#^ze;$Cweb#~LZ$H2e^qW%e| z(!Kw5%pupuo;l?D1d_H-f$Y=n#mS0)Is`H~9CCf^F{a(S@!MIqAjn%A_wKgv>~DAn z{$=;lTfaWB5tDQ$rK;UKDNyd6Z0nK7h$jvj0b&-4`Y)==)~ArYNtOOIWzNZc0@({a z7PWu=pGEm@301Z}bK%YSvgNgVtro1{pXSg$u$cl}R`5>|k{G!cd#_Ja^O1NM=>^bw zMRlB103b{Ng;6hbS;|Jx)GVN@P+J9w~xl{tISwP{lh%usi00h9NvBHuR zKBDI_&mzcM;^UJYkuRqamz+;@s(t*aqkR0CqkVj;sM!ywE*1?Vs(k!!h~48nRZjC| zNa#-^rdkfn3jME8K4hYN{JDeg96(bHA0Jf^`G0!^Dv@$Rs$6D_h+~B=2MSXuJOH@; zD`bo+^f}OwpF4;V-*&pD3Bb4Rq|os3w3H^k_Po*OgMmv#!#Yl3=cG%5d&rCD%FEX{;mnfS={wwIMPEDgthR3gVME=V%f$Z`1 zKzK`_fsh?{d>XQ%dol3#P0 zsNY_$?5+OiSS@!za8sy%<;mfv^6J+xhq(mRn&fUNiTwW@0_ilX1&5eld5i%$blP@z z#=_mVA;=q|dN497y=f}%z`yKgYJ#tA#H623wxOxoPCpMB9Z)43l1bt^_tgxXeqJsb zb}d!9_nREP4ue^K1HIMo0=xI?f?T_z68e8d^P2Hn7Y5m7G$nDbeC@?IIRaG+jKSxP zzd;Cj&?rZ)WBIzEFcsHW-Tqf(j4Ig?p2&aeA}0BsQq8yS6sTl!_VyG{hjZ7$vU*Ur zLe&287soc=#EcQ&$+pOUA0Q_A&S|HBElxomFAkG%u3MZCKkejxy@B{j z(Xcm%GuxVPEtwY;t)8%k6`A<=W%@1=gkTCRNE?p!Q$=`aD@S(f0)~WI;b3yAY)fl6 z7~kqKMtNKdjq!b1VTPl>PcufuomhY(#3b=Wc2yqNJ&pxz5#)(3V2>vStVxWDz?M~_ zVR1ouoQEZTO+i@QGb+OU8e6Ugr9kvk4u02C)oQ;sXSECn(Fz^YaB3((1c98@L%`e` zn=zv5c|gHY7*eH7pFZVOn!&_9uyHb5Eqww38WEG67q(NhCFg}s`<%N?>2n>(J6$w1 zxRsnOS6FF?Yv~!k79#neKsnp5O_`iU1~_NPGL9819SPrZ3Dlf(U~bGu&N;G7n|klG~Y1?>d55tE#= zPIYW&J&5i3f#K)*No?0XyxVG!cZO&fSy{dP9bhfW{yMh1mgEgl7291s;}K@ROCaBi zMg5n*Blcf0n3S}De320dBv3V@wZRj9*Aipc0&>;5-_=u?OltY&5KzGwCUx!hrLF)) zh)LpJ@B_p>yM5v#R_%8_7`R$A>{eOL{GFiNN{H_SAq{te74aPlCh;AL#sJ*k84{zH zbcS|45#K4Nj8|nGiSL9EdQu7|;yVI0i7Da(9O3U!WQ?jAEYk!Zo00$ zmx}K4%!1=U^cv9sW2&~V(Di>53d>G&aQ_HKT1zA5yK`i8TmDY5(QWzN!0mITDVi?` z3IqsLHcP&>+m~ek%P>ZchAQvx94Solm3Kiv1!Kf_ZX`evVv_H?b{sv=h6tY*8;+hE z>i&9ek2Pz6_?e>qkt#>;QZgOAi)T8zTbW{7c3Xb8wDGKlzbm*sS0FpN+rn3M`MZ<| zG$VW$k1-@p(cG=9Fh#r(qPbg|F(Q6ON`NB7B=Na+b%f8w{(7!s5T6rh;=4RJ;szjo zmZ<;At@L;rhGI#C?^ZC0?`9hhsrb7(Ch>HPi(bgiHpC?+qnY|^jPTtGiV#IOKBVIB zW-H7fzN^C+5uX?cKOG|`iO*@LrePf){8h>zZq)HZckcfk5YLN-W7y-mzwRChYu5sY zdUsbo+o@7Joiporj}Yp(OP1-rYd7#o_lQ6eVzt;gz|?^%Yb@-q(>Zk)`hFjv`>x#sVeL|6&bvb- z*V&xjJu)U|SdZOe$7qF-(7W2g-#s8ubB1=I{_wj-8zL zoi;7i@28-5t*C#j(rNkUAQ-!q*l9gW^zszh(*7Pr(`kFfR!eV}EYpFyivvqjas;x| z`T>QWCB_n`?NL-%;xu>UfC7TNB~I%V3xBT|ap|-jD&9G;Ly)|4pl(NS=#UwKRkuUj z(K`nge7@sEXo_{B!Q-6ecMkY_<>>tYFpH29{@xM(Vh{HUY2UO6_w^3w*DMEr?c>R{ zH1tMuzmG%v;F28n?Q7GoMtNS}6#i209PsGFL5ey@-)5R~-@mLdYyk*nc)Akb%wNM%s*ihc)!auTD=b+%xKPKD%$4gdL#5=tOb~lOo%Q^0K!5iqv zg?{u22Y(RwIVpI9b5yvf;MKYGiwfS5jMZ{1XvZ2M{Gn;uM+I+anSN2h8&<+!T=0g& z-1^t>YZ;If{(c3RB86AHK=Q+{5jl{V=7P7s3%^vO>4FD~3H^Z%oQp9hji$mgs6d!m z@CN7jI3z&F)wzrz4Xb4w#Osg@V@bgqn${TngU%gVW-KXq!%7+pfI{upIpGg4YAgf{ zKkEQQ5mR*z^*TtGF)VNJ%2HeS`@4ied8=tB>{Hlc&M-JMg!ycZW0ACIB2vo&x z%pfH0Fh+?FUzhUtvlS-89z=r!Wq*JUq>K34?*ec_h{wsWhrgAVnR4PCF(cq40=a<0T?>C`z!-2s zlefG1>|wO#0QbO#s2+B&d3a+Ng6aRvQZAJQ)wm(c!7#&E^{5_r>D9esNIF^s`sp*pgLi|h(+GI4v zso>uIBLcZ8?sA~(O*4k>wOZ~f3V)ETFjdvykv>qt7+qs60C@+5h)Lq#$5q4!e-{Dq z@1unH;O}fr{ID4t?gaxki~1{rO5z8WjfEEefq{GuRmJszX9duZVW|yZy0BD0dg9m> zAm%(#|0ugxr}4;wanH^_sA$}}@(+ePv8M&7&T!$?z;a}PKxPTIuHaP0G|PG24tWYe z-rBI-`LxFGc?bSwmY}jMKs92L<^O`JXqL}=4gQ~JIQ8k2j^^~PXXApJ^F>2nu3G%O zmwzy1)xA1K4{@!Q!zRSki{$0XocZsEK!GM2xK>7i8>@=3^SEV)WNaBk|KTL(jn6jL!%Ap}++Qp;3IO&HMg>|`aFnz26PlHX3)`~ zqC5CM=;Du^gzn(alVCpZ^V1Flc^8TLyUUfOc~r4>`*Pg{k8Qd7V;YM^;WIgFvhL1+Mk;9o?NM%p{3pc%`IQEab( za}O&ZCW(LSRTKYL0L1@o693TFu{~^7?oQD0W6_XFRr>jG2)xNF&LG#t~rM#iIU}s<0jnWoo+i^Wiz;g~|RHnBQL(qF(Jwn%)}i z63AhFbOZ+G7}Ip~tEZ$9D1$&v$@OsE(5(4p?vpO*T4WOe(33Gr}M1Ats4`;6X~X zyGstHgNe})gN#miz2SZm_bE#I%*2llYPYYn z$xb~jv@#I>_>e#)(!$Gv@fpUjMd6F5&=^NW3R5w?1)MrAW( zAN&C(Gb~Wmqv*k(#JYAJwQUj%m})ME88Ek!_%S6Jz$%%K@o;4}d;I9K>G7k})MKGd z!ao-LKf^_v43A?79|P3LyErhHtFHZHJjRIl{y_ZbvciIFc%3oQT+uxK?_f(oG@QysTaPr4b&RJ~{o^3G$7V>o?v?Or=vaq9=|{}H z?XFd~ydQ$RsYs@sgKryo81KNpi0Vt@xh;FHsN9K6t(MW?;^W|7is=_#HB-E~G5EhG z)5jARul!`Ey+PR(qW;4|W&N6vGaiBTCxEtvMRxIVw&~R4F^$V;g?6fZ=+U2$BaqYh z)L4KZ#;8K01CO&6mT>OpY-+cDSuGpDyT`kDg7ofZ)tm=^902DJpb4KUs+#?oqr-a0 zyBnahuelOtdzdq)pg%D*uZkx^KKFv`rb2dnBq#1D?)(X{unx;{J~sw%|3Q&$69*GR z#Y~M(dI8&s8OA8AaVqWjNMS0h55ty<8IxgM`!o=53=l)&b-UZug?ktfubk~U<*R)* z0N``5{>bgTn*LC+2_ttf{wR`9H?h1q~v za&nO|axY$9O?DI}#lxdvDdA7@7?bX`<^XmALPR`W9oKbfaBp2YGKi;Bnt1;E4Xt3{ z)uLfqsxp^PfM`wnsS_Zx^&6@DDFw5lxe(=xb7UE}8@_|eKOrVi1&i#NPEZGOmn@q^ zwUbf5M(w(~51z~$JKJiR9}3@%5SPy0&91Ir*f-bhX5@0+1A*o|@$>F;fb%t?VPm?o zi#joFa-JHRoTp}}H>RmQ*M(m?mrpDUYoUua>A0uM+Y{3Snk_IjWQ?4R{qWR`!j!YO zI6zVfR0YFY7zzKxG9D+1?;2DS-_-%)yPCvzi8S%q7aR@ap_*$&{h!K}#HT?d&nYP@ z{gWV#7ek7peZoJeu;tC)L#9<35!7?09 z@)V|s&xZ^-F<^|M{1jV&BE%%|U7(W5fp+z4iw@t_#~X0hiTZMmZWLDZftwhs870fqXLrhoUoLI+^R! zs+<6!h)Dw7+Nn=6WPjutX=;S*seRHoj=o;h|EwxxXF-RPr0EDq+9XY$g?5mdcx>}CS_+sSdR2KWM@I(=q6sp5RHXRd;o1F1MD zhk7+jx*2+kLm-_-QvxS@i~&nxG~hmeID)((s_8C!`QUSS2mWQc^2=NP92+r7w@abQ z#qxgA!(GY=UGv7$Dc4ND669?a^)D4G>CS=pO(yY9DH<>6^5^F8DH7Cc(8X)MIRyfl zZkQ4NDMiLK-AP-IIRio7+R#0DLXXkB1OFy;&kO{pMhxkWY>j`g7A?DTV-uWtlcC(Wx1;Z}rlm_JtDJz^7Y7&51vPf+%#lc)91Vc|7thY zeg)FJ05M`&JxGw#=?ABbifc}%H@+?>rEV7W&xhuF1=M8l!eRdW z5`mHzTDINoQt!d~2s>&>qYW?v}lvjCOFf&Fh?N!dVCBRLf8g!h(+tD7pMlM?pl6S6;!C>WW&q1()x>B z#H5SAjjEDYz6V4;+B7=hyYNM8F{rym)Zfd|tog!ILB<67;tc%Km#>Q=xqe*nT^O5u zmjw9q51FSEyU`W?;*db*J23}XhB28YaxM9yNMTYu@m&}*CL0wWmGYMah)GA+R`WgB zvgz`5p&RyQuZz>n``2ir15bF9_cPC z!n^X)WF9x3H8BwW(h`Bh7rrp#0Om1DY3?byk$i^PWt!j zFjY~U+kf3jU16XLd~XvCa|@MxmxKEHNEzp!RxpQ2r`dA#sq*hK$M|%gzdXio!IR0_ zzgVP~BPL@PM_x2&I<3GM@$FX-{%N+tNW3*N1>79Q$iEw*t(M1#Nxt7y@wM8G=YwYT zgAA(qnP`|0Rq|bl5nN`zD;;sx)FUo>OsE;i|Jk%Q`sa7$v%Y64>*0oOU1(zg;w(5L6OB z-7^cyu|t4|?Z&M4qMU@7(-Q>tVs_8eW1 z0&5~z z3#W)_uig;~e|3!ZVb??Hi}#FH=NO~(#d}7p5t7_cJiOHiurqAN$g6occTI#C^4;=V zyOOU}w-1asWOvj%(tIb3=`|8W|6J7HT2-FUN}FCiGc?op%nW=nv&fgTYh3f@=UHWZ zG?mQLe9_})r3qxd=LM&3J$6Cg6FOsDGNH7te)8tr2(zwCepa0=U6Y2SA%8UeW-8()d&v-USq>7IXsL zg7st9p8}`+LexK7R1u@^A?6_yCKQRT@bjM8f@cM0oB7bCH55sCn>Ndr2sGO)?=eO( zg6(rwps*x4jvP9&YD7nkHwqfhm)d0G72BzOFbN{I{30 z43TuUtuPfen^Qp2VT_1RiG{y5Mobbv7#v-3#Z`9s=xEyYP;J(IYN3RdzemE2hBHrW(>$n`*$*m}-s5g29D&o@78_J9k@k`4< zC{UPk^rZnbTF4kV`nXv5O%7rd;bE1d+r6~jqiOgw;QLEa|6)q<)ty{Z5z<$WW;T}0 zPHvOD8X*&O`r?SCsYsxiz8g!70VnLSw!8g{qd!KFw>F*Ju}za;$}!ovlcO$wQvvr# zmZ_?eCAQq>P$#$LZbz4_v(h_m1(rpa=dmlj8pLu@wCd!7oLm&G#^eD+6wFLhy!o4K z>3`+bb0T3KpFvY}ID?=__(4n{wE!)I4swihYoQ&xsJD z^sV9x@A9%$iM}UE-vdXD*$YJfO4Ps3>8nfhW{AzitD7@si9W|Q2WRI7_*?`T)W*k~ zLjp}7Z&ppgqf&T9kbjP=j>85dzc1c(>>>ntW7pDS66XepORD!qmBUl9WpoNW+7seO z%^--&VnhZy;hI@niIdGguOzG==}11$!`Wxf+;hvOkIzjDYo0FA z=fkx9hh?x5w)qWTV|NJ6ilK4HT zO5#?XELmMVO&pNK*<&W2@k=o9et3?tTp8RKrp%IcLBT9p7uZy!Wc2yxJ66l3X)ak8 z##YN*hwS85lmU7nV(3fC7g@3{C@`i<77)6?R+uVTn`0p9FowYmGlb9>7siN5;x|`& z{Ib{q|K6Vv7h8T4YmZMHmH9W=@&HT=7AlGVIDnBxi3`R$t`edScG%3v+Uw zS7r6ZX?%kt+GKbfhnhdm5Xdo)GrJc>j3IGaF?^A$Fhv|^g)hu8M#Md+lNYBElf+@h zy=sLI+?fztX2pibjXM)_)-A;``>#d)HtwW!dS6W8tjgKNaP74UoQ3uQhtOOFaY+f@ z2vsD@bb4c9y11;&l|n#34zPeRDM~KC{BfwTB)uo!)o&bvym5M4Ez1JoUjkMr&V!vQ z&e%VREwk-}vpH3tex}Dkz`0q}U*T1DRF^{RCR6p7c*a+>{mX3l6{244Q24+FIOS4@ zKz8W4V=cIe$F96~VtKcTySL4ayRDXC*f#I`ftga4(* z)`LH^bx0quIQ1#$_zk?zi$hv>$Cnl8u|2$W0`rUJU8XHL*yo`-gJs_$*iayl^VRe4 zRK_&RzW4T=jv#MsSk8XF&qm&Xe-oB{9pP`uAtqTmVWm^WmU}!P_Zw(5U9*k3(Bu99 zH;hv?Eu#J*rpwjFzdWKBI}zQ>U3wP~1@e}dZaz`z+5&BBm$`HmAjs!Po06u$S2}?} zG!%@NVNLU5m%EIiV7fiEC03ZsV0aY=G}#t}G;vT63%?K|CW#*zR1&vv^|;t_WT=T- zb#N<&JFAUi#GWAUx1#=G9*}51Uy(NbT!2EB+)ccq40jXDs5c?kf_}atO`z%LLWVKz z=epvVSp<1&FosItQLZ#9LQE1rygH5R)V^2 zlkwNp5OkB%T%fNi&?Pd(^-mzh$GIHW*T5YAdm)-)xE@E`SLX=ixSp5;3?X!&to&9B zUNBz;j3lPwdK_RsDKaMc;#ceZYh1)6-f| zHIc%Uqj3Sj)iGmIN$s1^7}o`eN#bYx5b>=)5aGvN*)SXo{GDhJoX{MU{`C;k3GwSa zllXOz&^YWO;@72Vx`2q^P{gljlASC}62HDgph^6Ck1<6&20~?pDdIS3a9x@)^7u!# z@NXz0CW(jk4|x34A0WQtxBf4H_#>jBOR3W1TT>?S8w)1!8*TcMAQ8X8v0AQ&m?Gj^ zWBisn+1Z9TT*Se~B^<_qWD~A@R#TXs4#h zLD)f#QPYe;*vdI$;3Bo=QBnUNu4#G@b`ym2&teYsn_S~H@BY@DS0H$?=J5cy(v|0b6)q$=d{kgYj|Ayur{+ugqPBYuk@Z$=&Wgug9BTsr%<)DJlO zR&a&tuiIQ|j2}DyUtnn@>fehhoqcoJboR}G>Fk>!u5So9%C}{xs*|(BlBj)75o_b@ zo6BfuTW8-KFhIMPcB{oS|1k; zOSM~h6<6qq+UG-FIq_4tID97Hl@qrVWLZ}cd@DG4&m7snD<^8-i@^wwKqaL1BQW-- zMaCLK9E4y|zokH!N(ub@uYao)Set?y;~$q50oY$|JMp`r@21<~+#0wamr_1LQK_1p zQZ*#aocXPV1_pU=Wgwhzd}=kuumrg=F5^Gi3Kk!)I1A*|T20RfZ3H9M_+}bSzh9at z)xrO?It1hf>R?&h7U*UL{0Ty-6MsY3xl16nJm6X4vVYoE<8ztb-;P}hR{v3C2gg>^ zt7R5}fj?wg1k#T`D_{^q{DlHydC$b2FCpeTCiYw!v9oQ)WJGkvqUF!7x&SPCLS*Z5 zV3EfH42$xhkG8}jx(R?NVq{TrNr4zyMANi*T&FGC?dk3ZfY?8Y>=5wvD_S`afe*|Fm|xVS!k}ou+#kBkeS0i&&?% z@40!&yCC){ksWGVO)nSmWZJa$wK;|o?KGE)c+&D4JwC3}+7H^+<4F+lG-xk^cAEtl zEl<#Qs@ihdEZ$O9eccHqCu~$WWqvlWk(8Jz%Kw z+U+sp;jEfxKs$8#FKGb=_YGx66YW78Z;*DHA;e=l&Hca^R(}NA|01%(J*(+OE!5E7 zq^+hGB9>xQ$MZ@ICT5)*Bp91@Awx!vUKlgTW_8$u^uKQ$Os3X6 z2Ns23QHBK=zPg~mU}6!?#UhRv`RbyGkL$Gd-6r-gf{5oucK-}?mmv!l2U?kK+ud8;z^@iR^a2AZP8d_ z5zQ>(j>Muuh7UxHEV?4iSf?$Tuwg7*Y}dRbvYC|C^hk*X7#3X~F_>7iB{ne{K7A@`bqcLF7t6)*lYKj6D zU|4ju#*#L=%4QHTvWR9-5KkZBegTdwl~UUK81oj@8r>;>on>A<8uoLy7jQ zV-uq&zOKwzC$+y)9QpFle}MMaL3_z+`nhgoqdn;E1xilZgC;M+M z0Tz|5rh5YxV6@SV1qKs~ZYY`{LaKzcH4!ez=>W{(eoLFQJOXWalDAm>$F8_rdwkM zJ8jXxt=*mgRd0)IeFlnjkp&nQ(Ub=6NGzhM55}mCZj10YZg?EE(a%Esja#QJI^xRt zkAaAHz@o@%x-*t%%m3+wyUk@Vv4|#F5Kr3Z_P{)jEV{#EtkV|t>+3im_Fa)}aIL1N zLl$7P(d}sl6N`S9F)?bRI~@}vi+*l1)=7(ADRx^ifdtgy)HT}B80t}1p&|qTG z?PWeNX`{OsGQ4(I%ph1~-Cc}ulIiXz9C68sF3tR0a$a+cMi$*$;^Ww&&J^=kif1f6;~g;TBauDawwiutvlPRudjbX%6YdTZ zjEng%iwVYN6$^~9SsfPhhOMLC2a7%ii;7m$FKiZISoBMW!K9(?^-PSC@KG)c^e|PB6CZfpUWJfHNsONxU@| z`8cq*P32fp`hm(Z?!KLRgO#6(>=@5#dMaQ6x^h6vgbacvS_N?YomvxOSUIfYAJ8YG zMOZnk-gUk7~@v$F#J*(2geehft6*e>CYJ!04lgGe`7O<+9}jpawbNh z_FI>+PHP`<)ow?D_J4`&5rNfIj97r79WJ%hmT3QNWMZWK!H_Yj{o*iXnGtnysAlvJ z3FmAbI0=-NMHV0J`+b_vgNRkP4UTVi5|AD9kZ~Y8=Ap82oN~g$CB{143=mdOc|0F0zgb zS3waAFtq>9VKC7i=>riX?Y|HCxK3+dP&)>%c>W98V+j8Y3ox`lQeZIA{#e??Nc&@D z#yYLN-^=45)M~yES$O?e)300>U}%3dW-!tIxMyOd{SOXfoz}ki@bTd6nlDB6sFc<8 zQ$8R5>X`q1hS5a(ABrYM+W%N!tkc@39J>w&nqPtT0%+F)4DF8vEH%;o1VhH4@`;$i zPHP{z_sAcE_Wz0Oc-v~aSqm_ow{&b14PHUg@ z_PF;z`?sLIWHsIDumD5*pCSem?SIw>B1YPuvH7@8YoESt;a1T8oyZ>Jfp%@aq5Y{e zOHH&tQ!p{oo=oy}TKoJLyI%m>zX$DQh&q>r8rq-s7)-SPB{nhA{%pipr?sDa>7+YB zd#lJE8(2--v;af~U$UDa^3|L;GJemS`^p z3?fF_pYs^&wDvI<&HMeDRNjFlW{lyF)h!|;qDa}}?wOhOP zZUpUJKzj_@9Ts4C)wuzBNuQ3Ga2P5(;f{vHktiEnxD_FFH$Tp_HA}!SL&@0-^#6vHa zSXJVo*J8$4duOhHpUdRF%`F8Jb_5d&R@1WumSQx@-(3b16J9qkzQ+7V#ypN1<&89B z(k!^|LF>Q77Wk)Jh(zm;x;gXf@+W~IJBjQh+iJQgbAttB*(uVF$jj#ZSfF$ zEyq|#3I-m105|_TRXy%53R|_mG zQSfGov5pi>un+GK!n%Qi65QA=@_B}WHv$F|1+hM`BL%~H`hNsryNK)*&uY3~8*3?V-qT@OhJsjw34^zDd|*ckj>AF9_RBWKRg75AgUr zL%~}%gNcH7T*f+5aBwsZOL|&l-L%#8Ow8vQ3f|UOqTt;OgB>ZD{-U!t2D%PQ=0t1!cxMQZV7}gLemEyMuxV z?qoZBo}u8~kikU3`z6LYQqX_a$}S+Rr^v!|b`wOb-gFba7ciD6_@KyGM+$~D567N! z4^R-p%t^@S84TX{7)%s=SYWIp1sh*(%z&^xMfRke)%0M@=NSq9#0(}1K1nmyk%Dz&yTXiMZEsLew3^2i_&h_w#}R{u zf-++rDQLJd4Y66fkI2q&Kmnfye|4DtGh{ST@M(#$jug~)>w6gp>jMf(RQ|(AW;~xf`0>b)zipnvAiGu&68T-E#{LCA+cM}%7 z0U~>H1{CP?3SeT(e)9Oe;*RS@!1cVI)1(DS}CSX~Hg3m(+69wB#jCG`7zZbg0 z&92%(B72H!HILSY84CUru&_kIe~XNDq+r&7oo@tTgF!(I3Oqi~P_SKtiGnW*d|-zP zjvBBF2&)s>IXSEOaLwFM@Lz|8B?`XGG1ifSW3M|J84M9wcsQZ?a4pMF@P*C75(Qtm zjCG`7jk~N2!iIu^g4KLjkS` zPBYe#f>U2Tcp3;B4ho7^^QZ!!XDIk8V$e`fW~?Ixi+c3H{$M|mJ=FmPd>Z`K{lWi2 zMiT|!lo;zs!SDinI51T^0u+?2=7t=fXDIkOU@%eeZIQ8#6r50R4FzHQi>&8a&Gj*# zXDIl_V=z(hU4gNV6!fS+5q)p~C@6yhm(Md4eCsfnDEMAe?MQ($Z9)qOJ5Xfj2Uhc; z5z8_Zd}lM5C}`D|bfm!RHuXFZmJ!)(8Wdz$mZ1Pf9ct4GCZ_a(9Vr<7p<4oB2Z4gn zYCa@nS*C)R!9+n#nz4=)4BFD=eGoQMWEW&Wfj-Yr&>FEYLqVCbjug1lX72&Q4h990 z)qHTk=Oqdzra}f21+_q%wvH5xm^11P5O#>jE_AKtk=igrK~2EI5(QR~v5pj+v|*=a z5Oydih(UqJ=NSrWHJB(61wODN1>-h|-+-`skzJItnh(;<4F#6N!V(2ta*TDPVDhEn za}d@bvWruoK+7@|2%Ci^3c9+Cb)=wMlsX24jRFM)t2tBT^9%)DG?pmXA;Vxt3cB{* z?KKc~n8+@%L4nQZnF?YC69qe_8S6;Few!!bb@Jh$plCH8Sm5&v1zjTs4FzS!I#RGC zKk8%;{lUZ?LPiq>JCzvgNWtW5y7vTOV?aU4YCa&x=NSri3>Zul z>|A85BLyQ4>4Sap5hAqx=rLHb`HY%C}!g94Y&GZgIX zFqkOlrm1$M;72{`{|v&86xq`Pt9eAkvJ3@3vKdSi?4m9CKNVc|qAlLv;UN&_i0tw- zD9ErZoL{AB&57MK1`38uiD6oE;;#BYz-YzH#NE<-py27xiMy2<>&TF4-%eW!hKvJ4 zLaTYdkk2y=*;RvyA>Cp=Ffk-uVk|MFdy%n@3>k9NlIdW`Q6jq{1BPf)h9PN>g(ZgU z78sanksbxc5<_;+G1ieGhb`R;i|lwXB(j=^Yx#yDJ!}@17}DJ_Fl9(jm$Af>e|i7_vJW+V^x=rVfg#6$ zAu$-@u`I)oJwgT(LwZIArVQDu#8_g;-bKbbGNiG5$`@eBu_C)FXEhJivJ6A^@>p16 z$ew|LDMNY{7)uQ4onuTIaz)Vbt(sM--33^5oXDP@0*f3L0LiM>k4@}lGYA&Z`mu?7 z>jM#!_e3V{Lu% z@GooPz>pAFg8`q(XoO$Z#6dxVakdWjOl+2e$JN3AX+wFFV#J2n3c|J=G6{ZI6X7CQ zZHP5S5{nZ|Y&iseSQCfA9@=n~FwArUHat`i+$Oe$OpFoRFEFu2_+d>P;UyTHd~x`L z>pXYAeflx^Lp^XFQ z|6vL9|JT`>2S`y|kAImeQ4zIOL`20F5if+6W0%`T0g-c1sDdW6Y>{8)?rN6LnOgCNa+MRaL*PN5|eQzy5=H^VzCbpLx~y z^e&U1UU)LN<$3*b1Kcc3r5|A)d4h`&Jk&E8BTueq7Jt1wvWuY^?p`~Gl&Mwi6dM`( z{?CVlPri51Ca@e2mH}((9AE+{39c^3>}L65SybyJ3mXdac=RH&7LVR(+v)>g^s{;W z3JXRzFaa68O9i1ANsZp!q)d(815wMLL74j1X{%xMb71t4H67z88T4lt>xNqN=sjG@ z)aX_oS&KjW5k2P(htVhS`i4AfGLw%G3T^^GIh+cea%`}vEkQE?hX~33Kc7|rlXQIfmG}?3$yBq4I)*f{J?!l6uAiq&5&Y0CqG49l4t_J*}R=kWTq23RH^ zomh@?F{`#5S)fdxizo{1q$RcyuYxJd(Rt))%R}r8%Y5tAKLyJR!7^Y?hX62({(7GG%JL9On=gua{$e%G8XHH<6_+56`l^XzZb|yU)$! z_3QGi>9FKu63eq)%&INVMkLm^cpD#IKnN_h%oizBmgnS=fn~E7ccSkUMps9MiAm@5WE~r2Y@S`)b`YoRb9ntFH88r31Chy^R75ByrU#6{Movl^ z3KrMHC+*_NIwzz|P1fWBvb5##@R8wfH^Q&gr+%A$-`v0t!E!EG7Fg4vi4d`z5@A+t zImM++Sx!MDcWbZ6Pczv>2z}$3oEjoaTb^uYSoY5id=@M(;`QrIuuPH?%V`E?)t2W4 zlqt*eEW+YiPR&!MYB{Zfti|hF-)E106fEa~Wsxo1%7F^oQ+*I#PG=-3}#lePVP2dN(Y0+TW|deTf>oYT{fb?^uEzJEPh*|cyB zjJ^OyFSDjYTue%4$Vs4{q3Jd@6im&~#AJA3Db^#p2&QJ}!aVX8*J$7~LyiQ?g}nYU zhcz7>VFFSkCxK!lHAD3lHWW+XSO8g3&85dZJIRKrRe1D~uFh zv(4}|!z+-Pj%K(on`Kj`u0Up+l#Px+b>tjGVmpoR6V8F3s6{Y!1u{3(46i^g3Mf-o zAoF}=`kHONLzy@QV#Q1doyP;x>dHv-+YdR>z1Z2MERS<%CJYN@m&wR~s zv0Zwd9%N^%)8}?t^g4|0^7;)1jGiPV>-4N5W`)t?J^1VbWon(CUnVTx>&!DKQ|t7M z3bGcj)9pw0To0B@z%pP>Mt})Ojb`pPdc0024MpA5I=#@u&Mh9j-A4n(=u3J1Mhiwy zJ`x%If&ymMGqi9wrbb`nV!IZPK4|@HaXWMwj2^P41AR|#(@&g(bjSDDsI?a7HAAhXWzA4)SrHj%?RJD1lXZj`{+KC#tEqw4U*WQ*{gb3* zYg%q%RxpaUrX@aQYHM1Ah@5FGbr6bAqqe3Mh?1MkEt%67tRr`w`WdJ;^7_p+plT;+ z^o@_@1%$eIDv>}hFwbn9QGt^q? zYld2@9Ar}K1`|#kwn*IS;gotZBajW+C-iRYs`mv$CQY)@KbOxrV7d#F_}< zo@l*bozc2S7tmVG>#wpw3zNWWavN=R;;Oa0BDNH_NAm$}XCv2!n&Grv3_nrJo@w=d z)($~y4QQ2F)4qAkLbTQ?e{Yueku1h9(W+4Y7BLTz+OkutTHxWYsRqwltYEzFv_`UeL+ z2cxg&^#zMHwe~RqnL0R@$BUl2D+-jUsoPj4ES|az24!mMHb=->Y&n#l3!QS8f@R2> z_Nrh4VtJK?P+MLZQl>1g%o7${ZgweCmRB3dgk_7av8<(a1Hke!UVm*KEFDZhuCcBP z5Q1fVjdgWJGrY#yQq~ODf@_MDscWo4fiiWCb*;M_gEwsCb$QB0g@RpgX@=KWHyD(u zYpfe8$n-VVjb+NzHP%f<%~ES0tjgbe2WaF~9a=%fE{BRmtcfQ{$-G_{Vpct`*9VlT zdA-3WES}dJ9m>?aUW@3z?k{BC?4I6n>4jjqf!AN>f~B2gz`y#)Mixwj+Va|nGGR#< zXeTYxOj&Mmkf*niwOL#8f|~Qi@7QhR_1D+1CYDSFspZWkX4RIPl7@n*T3+pwc5yAQ zu_;rQTM_+NEiZ{OX8feL=ZS|WH-TjVEX^c?uH`LxgxYdzM47VO>Jk=P-dvzeS>9?P zOV{!eC&TirhlZ^K%PV;O4JK>KB}qvwZw)Z3w!9^zY|m!=HXr+@EiVr;Ea%@lv=3Nr z2FoH?Vt;sz{~9S9xy?bUEpMw(rfPYcL0B{E}7YY=F4$btSLA(PmAp zTueYL???))E$=X~p0#1Cx^V z=z%;!wB##9Rn#Jwu%wHqS$;7~M%S;C==^QxTya{biRjHTo-gWa;%I^Gn>LpB&d2M!yk8 zkJ$EqmoY)Ie()8qS_oluc{#~fyb@9-)(^g7M}aW8e!vXDPt+pJ)%x+8fh=XY@s%iJ zEgt)fWrO8Sy#6*9EFs1P{|CMmuRDme!HEjF8ikN_`N3ZWz549G*X<|cBBQ=w6A^NZV(M&(1Mg#lj#3lDu7`?!@|1(KI zX7a5FsjksmE@i4lFVl8$jowH;j-JUshuF8pH9E81#Vf(`7G8h52{ke?0jxmksLEIT zIge0`B-Sdv;_ZMkRkxi!WvXtGgDkyn?aZp%@$KDb!RS^nDni|0I9!r->&*n}y1i{- zGr?5db{d4qy5W2As_XWSjXZ7nzMV0^58`!_BoAhY}K_gKDbVnc2D zPLZ&9cB3+7Y72NT86;)7E^}{E|5g1=u-pcgWw!n62$K@a_d|rbmha~&Q?-0AA}qFq z^*dg4Ek6j5r7bhB-qw9J@lde5o!8&#fMqf`vHZ}$tlIJei!xzJ7h#lrO#HBdZBv#T z{}yD-__~h{ful+84zR4S?O%nMfLMNHBh;3ENxTG8wfu`i+Qqf}(5Fnz_+L$AY0FAY zhGpm07r;H_+?~8W@WIl;1jO=V7ooQN7?Id2V#~i45CV%WKPpnDEdQ2A2A0h}1mwJX z3|x@r0$zWY!M6V+z<$X?Kzzl=k%oA1`@2P%D&Z#vVex_NlM1qQxjxG(*Q#$055edz z?zMNpC`n4n^{Indb-8vXBk6Me4HBtEm+S8VWvX1C*~tFOGR&CN_OnO8jh@`y+&ip> zZQtc%0%BP)5o*iNij=9keOe~0nGNJ~Y?eOYZTz>HVLAMflcs^?Jz!a2+rLaoL@d9^ zV_I$bc|@7A{M;ohUiB&k%2X}Cw2=L;Rd4);Y4sp?FZVi_Am?B|vg&;iYKW`eE`u^9 zx2r-}yz2d?K5F9X8ja_yq+ zV#}{hY?rd!1pn#y_g_`9_5;KbU~#0mMT~F{^ZDci@yS+hq!ly4@TG@UXv5SY67**rZ)-S&fjTEi+FGPUya923Y=(dq)~<`)4jD(3TCYEQE<=LrqATv}~x!6Bb)m zlZ4P$SvKShY$qr6T7UOnLcP}i@Ba*Ydmy;z3k4GjKOi)bfL^BZ^(S&NM(Or5z4jDEzuqbxAWV*+Bt9E6F{itkLyl+j)V z%9K%S7g>vqE~=d?YV-&gg>3sLAtoS3dzlCmqXuqMri}JZK8~)@J{GoX@w#W8j(JkYsmQsg^@Th<_)bQ%H(dXVc(=(i|e+cJZ3al z7Qr%N)vE(cO6s<+fl$}2jYFBL+kQ4>Y9`y7$XaZ4;l!@}!RW`_JKAN{b1RsD7`1^4 zs6`v?9a5%@_D3Wy7nRZe5yI3=u3PJ897d1rc@%sFmwSwRW(})eNsMq`)^I=`v+9}L zuSl8NOYEC;)-&0*K-$H7i32TUShtov-ejIo2ibBz0o4Mlo>Rmuq<9B}2!(j zvKAX1JfiMWFxn1AMOIy&#{|UaU>l(}I>@0+8MSjMQ$~j-2~#WBrjxVIfX?zp+yF*R z-0N(!>L$zyugMB_XowVy;$6idCS_^`J1`(D-c__ir0<$Ev`^Z#xNd`2w!IrHp8(4; zt6ox0vg*T7dkdki+hIOss%{+|%2eGBw~?jlwl4GWo!SZGZv&$e_l|W~b$taBkh&dS zM5yc5E}~4;?J$F|xNe6f3B{*TyV#BeY?rd!)Yi_}eKfvt_&BiqDOgrm^{gZTvFvDK zRR{iL<)#r?>*apV+<$=Ouef)D%c^G=FafbVzKBp;9&b~oX1r^euz2e^u0olb z@e`6kz*0WZum^u$=HQF_!wt9G)7(3;2KJmGrWd~QFG%o)6HJ6+E!zR3@rDy!&G4M- zq`YQ$Zg#RonL1W>GmxdLIyTJM+Q)9~D}M3$*I-#-)rmePAXV*9MyRWLBBEr^3FZ+( z@oCg{bW%u}s%p0ivKCv;f37RseabzDGq5DTXyp) zQoB@;6{vgst7i1j&<#yrFv$Ay~>M5qX1!2x_UGL*UFLUL6Swa5C|LduEX%CAEW`xFvZsYmTlT6@ zCM@Y9jKnrV7j0>|$WxZtwRGOi?EuT)bFZ5NR|^G9KrH)N2({(O0cEO|J(A9732R@T zw2N!m-$0hO9AIbcZ&q&_34a+T_kUnnVbv8bCLosmD+sk^f1fgC*)JfhnOY7gW4pBF ztgO9a!}Mc%f#tK@JH=3Xra&UzGQ?*=|`Bdk=&rkXSET03*fK{)> z{_vVy#h#W&ik7@#uuIvVS=tu%O<8VQU}mhBNAGi56IlL{dp#^xostL<%OO5y)s{mH z%G8Y80b%ispB7T4X8d#qS=w@L*8cLy&Bxb+dYLk1Iix~Z zGh5G46WgUNmjxNMJnMjYZ-eEZxM$_z#+ZW%h~+RBp|%``C|NIg!_WdkXcyP=j3Q;K zmc#SNQkL0!^%3`Xx&|!EU>ULMH4!Etmcv7Y#4@66&(_N`1MHi!+?07WHnabrm0Pan5X%uhLTx$1piIs9nMr4?CAJZ-f~i`ba}vOKFySUlq+DwHY9Q3Yfv%k1l%HV<}g3zjc|Wr0;M^)Ugl z9Gx(2Im$#R*q+V!=m`6!Ei-Q`FTA&%50*Q)*UMzp%M46FEXP_1wdI(QGF8hldBWma zj&>i-p`ov)+hA-1T0?$%OY3?n3P!N9faC)T!k`aInF06wj3K!rYy(X z$kLXXcU<}(>PX4M;#!HK25kkpoEn>Z5AIBytVBrLYfmnl=0=eWqymRFb= zwH(*^uwR1Zt6*7%V^4$$h^4p!P>Z&lkf%)5@|=jU*zz1iWE(#>K$y17tmQ%XpYlVn ze2sg399CVOBp{X(E0|SV>Pt#p%ZUbQ7h9fdQKo7+sfQbhz!OqK5HaZg5Les3>7`)*P=Npu%Yq0Yx zn&CCr^fG1Y8tj51GJOr^6et@7Z+OFnu4cPmgI%6=v|jPt>EgQK4er(Ytm^rgfXwUp z3DomC-NA->UN5i-i|5rbDO2-$UWhEc_HA`Cwh?!0-_Bt9Cim(LR$W!W1jKTRiBMZk zMMTairbY;%U2HimpiEiz%_B=&-j=l`*E~GoO0awjECaA~Fafcw4-sn1`eY0?7}Iny94wwz&6 zrYvVAgQP8kto8Dc>7&G11(j; zbBd7w1jO>95TUl58&S4rmh%Gao3gwz^AB1cd2lb-i{;+oUVoQW8Gg8c53?)}s<~h$SiT3A1y)@fB^k7(n@6ZE7bgt`Q^*F0qiMYMDLb)7P&W1eWh}Z=lJl7bgReS}yf5tF~NfP^K)G1cb$wZb+H3T;?E4 zS#H{HXUzB!-(EBoEI$CtBGfXE35ezLB0_Ds+@?%fE-MojTQ03orYu(!kfkj%xA7Gn zSDpcuA94@AG^?%&F#)mEE339#VPZp^aeOacfl13WQ?aOQ%mH}9rn1ER72XM6IB@Q+eOj-IB!eUF`piEh=PfC%t%>2IOf^Uq+ z!15FB4Y64D)&eFamY13cwdH!7GGR#ArY$pPyxz*+4VIsRWeAo@0%Cc2 z9;vpxETT-+@-mmOxR#eDA6uBKT5hngUCMIl>&1+%XXztDUIoj~xOaMet|%5sx~EM=K}7QCj*V6j)PfMvw0TO&+BEH{-A63Yr@dp6@& z6tQp0a%tpd)bjW?`+p6VpL6dFmsM{HFafcItG9U3mYWNdsakF}35#oag-w~N<&_b# zwB^n$%M%xMJRK~*;NH+0sAV1#5X-AAgxd0|kTPX?Rni&S#g-l+u(f~< z1ykFI-thyI+X%K1uY##<9y*jBKFh2h}dvD45Jn;cc)32k_!-#Nev=|sd8ms zW(~}rUJFM5=H6Kb7@3%WlVDY3r7$QrREBiU531OEnz^KZ-QF$=RV**mHA6N)=xdN9m zRj&IBgvI50fVPV*A2P6A%5qtoto@1cVc$t`n1ERR&_SpzAF5EMEFba- zi!FZ;P^KpJVH;V>GJD@VXzVZsmaVuq+67As6A;UXiwL#l56hGZOS*{J&AyWQQ32bg zEVDObpMA*FLqj_wXvdn&xf_1gwJ}P5i zSpZ7|6A;Uyg-}~Q8d9ca{E>*TW@`E4WDu}y_6RcK!xc+Fj&pCU$*OaGogaTwx1ktl zh@0_`E0ig@$I67o8^}+J$WrCXp479fnvr0%7Z?>;bxtx6Dc6H}%&N=vW1q6v$RVtm zay?$ab}7qs3;m2E$cVcq-wBqjxi`)R%VZ#8xjn+H+H$)~nX=rDh@2KYZXy(`quPv{ zLS!k+WrrIXmWMq%7QTdMdxK?}RcDtm0kJF@2({%C0cFba35&3JXVa9YOj(vH$WoS@ zG9P*zIeh42V7U+X@(x(qn1EP5nLus%(=s*`Oj-WaAuP5m`IITkpP9%~mf1(+8RY}v zVF|V`SXNkdCiaKdr5V8D4fLXQWFD%MbEq@*o7F#|QQKl?^=_5;7E;}LXAh_SZj_L=N`*H7VgH`L3 zq{K2TV^(b$I+Q8PUsecV+0|B3W7{lv!pDa&P6C1aPk&xW;d z|A-yPy$N|%o$g=)V)j9B&jGA1CFzb_)xmcO?tQfZEdS^e7F#|SP^K*ZWFrI1W_LFI?OCfpt{wL#nXGzV0sE1i>gqac5IDDN}MU zAR-&c3lYLpxt8_II)b!+cir1ybSM}V!3g`qYjQOHjfGT~>rX|>lu?;{oaQc2UJS8s z$};;l@L9XMUIv!!xi{Hn)u}EfAeJwEkL8PbY`Ete$PNShrYx6@C}ymBr*B^RE3iBa zEX%BVfsYA@<;xC2UCSL6%2X|P_=Lr)-b(>xYSnwiMwYgOyKLY83*jTaXefha2kuRA zSaozVII(=y#H`x#l_F)z@?}V*7HB6e@x6EzOj*8`;FM+dj4wRkumNCsI9OKTm+O-R z#PT&4skVHzK$)cCTfSjYrfT_m zNLW1MuSJxpTE6KcOIdEp{H2pAO^ywgM{w^v10L=#U;<+KmV;1RzFDD6S-xoy*369m z*~WG$%VpcMXKv@*RsZVM!Ng*UXH+Tfw#|%k2H-i4Pri4Okw{z4P@PcfGjkSLnqV36x)YKF#PUNI zvueu^5y=xaW%)q?A=Z*NykDeDS^g!DEM=K}qtTuq{>~#ihI`XpUe}N$AeMg#F)Oi* zDBH6c|0uw|Y0J!C(%ZMexC$&gbMJy0UVBwCII;X|1+!|)zxtG^TK?4_ES~X?EXq_Z zKQ1FnS!Q3aP8~VG2g_r@vcPM9Yhwap`L_gW%a0vwDA=CW^6w`0O<69RpLHB>GjQz= zu*e1I2%%kE%fA&VQ?>jwk1TCD%gWe#I=xc+K3EgKW*7i&agaw&EfOF@dKyn^T?Wf;U>We*M?*|NYWbf4p|<>wMVXrMZ$rZ38UJ@gnX>%ON0zo+ zU&&Z6PYh;H0LxRjH_PI69V(cBSXSX zPF>T#8(4M+OE|xrUBCp|vawYH6U)X{CN>mIS~k|$gvnasd+{pFWi1fr5JYCCLfd3a$gs-YRi2Q={-^7 zJ_Ur(F1FmeNSU&1lSh`a+;n-?Ey{&&ce?;Adx2$v*DW_N0kPaKK&UPEvnW%wY!ea| zTkacCrYzg~$WoTeZnH9GeC%(Jd=4yobMGRP*Z!h{35ezXWrW&te}^(<**58{YuVNy z?c#0xfFiQAo7$B^&5Kq{frHy-{3P|0i%9k zRN=KxM@a^KqWgl2P#d)?Q>Kg#EfUsDxekl4otV@XJ@tF$v$pr+yocgrBHIj4>KQ-guN5 zBeB}5r+yndAp#aZ_1oAfLMYfp@P;=Y9U@Df`fW4=%H&hOjmP+!?e^4f_Qu-1ZT&i^ z*Z}S=G3}WZQ0SFOj#aLCaf9D_62O0vdn%!;n2Aac_~uYxQ?D#IiFTT|FS$*xA96 zbS;mm5GE~oV~0FtYR7kM5m}43k--xewFAq+U>Wk-Ymx+H8#&g-tY8#xBV7ub;Wl!d zs~K)1UGtQwZRB{1GPRAIVC=@=4R1W5LfNQLuoKIg;WlzokutT7oLoSrw~=lxWojEa zC9m0T+sLw?XPpk#KHTPGsMu-TTMVbx4Ew`tayodTgH*45C)t##weMt;uy|g(AtDE~ z;{$}LwJ-bf`Pdz+I)bGQmT+o)b5ags*)_zh+VTXSGGR#_N?A%kCEG8y}OcGP0Cq_LCI*J?y>;mS=!vf!AK< zVgh1$s*O-vS`KAi zucQ z@4#{t_Zr|_x4(&l6U#w9LTx$7piIs9z<{uL#s`FysbkMz2U*$@{&U^$e`IC!9r?q- zax_@NxvsvpB$lVym{nU2E>or~2UQ4bW*fInY?rdk{_kXlzSe6sSdQUdBb@7&lYxlk z5Erv*OE{{=i(tyqE)W*i^0Xpls+Onck)SXXf4*uv0xeU+IPyBfLNXpAk>y; zSd=Nt(?h~y%OMeE%5tcWEM>X)fgoeX7rr*+3$Pr=y_Il&*(&*%#Bx}ot}TZ-IFhdA z&LEV>*aXvt%CE*kCFt$a)gUn(ULcgK$INEdE=QTLTJ~_)^k=K+odcQKjdf3_~fhh z`v@%0=AKsrR}|l8IWoYk+H#~tnOZN;3JHs6d_+WbvRwSQol(mnM~->~EGKYpwaM$2*m|(!2sze7 zs4d47DO0r^V-ptFaHBAkpUAR2;@$CIg5|kjS>$!_tyi)nmg6F1+H#yr znX(*PAgr17G9O~QR4udjmmOyI5!a9txwppVb!!bwKxX{x1ZvCi0X7s&S&p{|i))$B zQ>JQpb_H3=a&c3XG2`Rgnk&I_5?I3d-I>~ItSz?b8ju2R#sf>M{eCtC~6o#_1riS5!nGvj1aT5NgXQK4r>sazI!!8_3i$wo6-P{@JqWTc-2^%k#Lm&fvAbF);zL zJkLg`EvGt^2}`<&+0Fizb()E7QWe*SBsc{SWYV-)RyNZ4F%gX z%ky2#2bRrlAgi}p(3G9eJ>TNBUx(O_Y#`?&ORltevW8aiz_O<_sxkhnM>H_XvlIOKA z2AF_YUg#jymQIB-wSi2cwQOe9tGBUl$};;baYU2#1Xwy?8S%Qo$z)K=GG;|fd@o)F z+p}8EFtLBia`DSq=U;B|tGG%#D5n0MI`%(6> zPp&x;EN61>GB`^-zkmsd<@|(c%XvvdZ8^`xhQMOWi)_l2<@^X)$})S#=X&i92FqDs z31^8TLQFs`7g`9l<$|Q4wp@@W?PAOME@jGck%25_xis@{ezlu0dJb65=HBISmN?GG z1jKT&gHT&8s!*o(M2mdFV#|dAWy;dEk)Oh7Cf$_TY(gF~6JT;UTYYl-j0tFC2ZGDylY`*eKl zhMMQWaz6Jq8NBvSi4d_|l?zE~ zz%qdEvO`QjELU3ywWXId)RtbJw2LiQxs)l(H3qVjWp*uB=l6aSEEjU`3X9k7h%f=M zTg!us^&e=a&~3k!s7e zWy*vlU7%ev>*cxvwoO?su4e6t4tsGx1eS}rw>b|N4JIZamOeC4i?;M#%2X|VL}X92 z&O|88RV^m{nV@wA|@b~mz5D}%gY?fl;x!r!s7MvQiC#8%gd8NQkL0U z&t6Yj+re@v_pYjer_7Rph~-8cNX%sXyvl|GLcx^f29vPZ@^YIpWw|jzma=r)I2pC< zR+-QhESG_00j|!H!HMM+7G~9!n?lNz<)%DgvE@dWGG)2hK$f!1evZmmzuW=K<=ng4 zzh%#ll)koH1OYgyM@X^>Bunc$|H!%URyrqm#Ti)VOrYyHs z2#YPZ8k8x^TZ_nAY&qbh6)<~jE%&aoc->x!5V72bNy!oNwgN(7t}Jge35zXnwJB4U z+ahFX%gkHnQ`=7(43-yzWyov4Op+4I+e6H%Yk7N~GG)2VC9Iik{Eh(Ir7bhp%Y~J8 zaO%s}aqs#(ul*pz1jO>r3PNppr%#!(ywe~ouH_vTWvZ4z8Ck9-$G0pE;qiI#&;=V6 z6&c_1cpw6}TfIJncgNcN>X)MF@9wo44r#vv%ZOe45*er_ryjj$rS6y&rW`(zJeg&s zxrsoord2B#?kB7i|216A{cRqB;hyHTe{r*h>t^G4bhsao&(;d=op0G3h(8dz z#gC6y@E$(Y{ysc28#oUgARcvsoz~xdUN@KIOMaaoaP%N}qIXx3GFAG!3xvg|@%OmM zWSQ--hN)xbmM%~NTHS+B8U^hK!Pu=v z`-o1TmEmWlkBQda(8=xY%TDXLcOz^WWFBcJQ?wCFs~XiQ6mQt2(5aBs>92`i+^L7? zQ^PLf-c4p^CtEgxf3TAT>~iQ-%?;S@rf`8(XEL#9}1$3%pb&4vYjaaagFW#`t+`Glk>?GTW zcGxKtZ`hUGyVc0-WQaCmi94Mt->|EoQ;^kZXCNDqPPPE-YVN_Wj%5^Lr>Yj|FrRo5ew>t;tjiod)xAvo${iMSmI7S0}GQuI1kCZe}M} zv=IxA)#;T;v=Iw-^2Hl=6ZZl;vr|%toZ3h93T3C8p;I}tlV~EBxKnTWhHd5E zT~1b~my<$ZCtLJlw?L;#W+zj$5es(m#T#}j_wM$yI_)TmHe$g}p?Je?d}(|ypXnAu6T5$$lK zK)hl1bMFUsR;NEC^Msuu+35l3RL<<=i#B43JM}fi8}=ag9&)le{U-gH`Z}`H51>;e zvy*Hi+Tlonc*7pz9{lHp83%x8(x0hsBs=|(dk-6#oqW+oEb&PFO!0<244s0^PKIbB z7VPARH|$5;`;nE^>DPg1BNprwh&SvJ=oDslvP2uPV5dmDVUKd}k$hICr$f<3EODp) zrg+1O&?(C7loxHp!qpzOza!qTA9L?fH>=aHBGE=H*eMWi*kjx))?{{aMH{hTr%1eE zKY>n#%ub?-T;fgxO!1CA&b=R-S)HCrs)wB%*=aj;DrR;1St#0w1v>@e4Qt}wV|Hez zboIndeCYH9bSh_cdQ!F#?c$LJn&J&BaqlNiW~Zcj@#GG4WT&4(r%Glg(L^rs&T?QN z-mxdS_qd8AzR1OCC0A_3UXxVPQN>|}~IVu?EqGQ}JA6m$x*I+co|jaaagBi^u| zbFazD>|~2JV!=*b7r(|+PC%CFqmpe7B@=n98 zvU}+hi{P=}%s2sXD^~h@Nbn(xx*r5M2RKjgux~~T6bh{Lw}{}Qtpi#E=73#-*WEhn z5Wsr@rY3VAner6i)~xiG3TeGxSNkErdjs|fUbA8NgMjw|Y!KWqdd_yh`?Au90m0{V z8TS(4Hh?XHXYkc01Ky97;3k5uNuS!uKH#>1^91)O?85=?&r0t{1P`sRKM?Q%fL(%T ze%SVOzy|`ZA$U#SDexptjloLq6$rkd`xtRA;2^*z!Kcj~^dGLd2KabZ`UCY|v8KZ!z$dWMv()?OHiwDAo(PzF58#t$13rnB z{*QVe`A`S3rJW3zdiVaYe=Xo{tn_>8J+RaGQGibYOuY~MefM5~y8|ZPd|&U(^?-Y@ z((elT<8bD$ITf%4*d+MqvF%<5d@3vbwn*^M!KcAKpr$8ao8Td9=89Fb7b}G{ce{P~ zeSmudrnx%~KYA(PKCJXhntPwQLk|R83z+22jd^&gI4IPy(l5$-$X1ihlM{{aESe6@rI9IQ}1i`vdj~p1FlT0eAplgWxqrkClK2veHul!Cj5MqTYj8 z=?P+zn?1baFu;QWJMdala~dl(Rb*sC}-fsyK`9SzDTkO5Va+>U%y!ri9a3X`AYM0YtWB4lCVOmeGX}IWnqerCTd9ngNk7 zqnQvHGMdFow*)ep4Ur|IIjpobl+j#>@-n)Jm2Qq?G!G(IM)M((LCpeIx~U+;g%FuC zTEt2>7G<=Um98>m4Y#D7}rIlqFZG^~?(I!@EtjOpJhnjmr{dxDk5s2(MVe93+ak?QdzD~%2$`xz^pRglqB5ScRi zIV+7Q%IFsm*)sYiE1g-EQ3#PEqhGPo@QRF{hRB!EuOTvI^b9Kv3uN>gh%6cXmX%IZ zJ$?s~FWK)QQa%2Ul?DfrJqwZQ@ds8K6iW6SEA=nR=#LQDGJ2ks`jut$Cx{#wm0788 zMMf_`?QPstslI3PgDsy~;{`A{o5~kt?IuA(BDO8?4m3 zAj3ByGG+7@EA=YM=+6+@GJ2bpdX{Ch6Cy`O5i6ZqkLb0!S?(?Gj>DTa6 z8Pt5kbCt3T{|P^}W%Mte`!tZzzjkIcxwlli!+Gv)fofWHI^>>K~1Y;A&W1gEQ zAfw}W?lsk}E6@GOlh~K5%7J%UMok zse`YEEy?@RL+hm%LxAapUy=Y zP2=p?f{f1Rtcxq7>6{&xm(c~Bb+u&VaCW>QqYELb$f%yP6Us80!P$vL8O`MEq=JlQ zadxsRquHEw%gbmEXQx;)n#);tLq-=tRFTm<&U%z(G@mo8D5C|Oom!C5Le6@+GFrr0 zue^*FbJp9Ek;_>hLqo<5XSHP+E#s`ND5K?^^)1L~1!w(S88vX$KQE(3&IVXA zTFKc!Lq@A0s>sOWY*1N7t2rB7l+hZ_PAkZ0EoZhXql-Bkl9$ms&Q7;vofKHo7RIt2i4|kkQqgjdf+Tg|l&a8C}C!-jY#)v+;(Eu7#)~qw6?3yDX#YIXkB) zqZ>GzP>|7$oSo~+=qAo4=4EstY8&Zd-QbQ@<=i!$2A*?9#S z-OkxGS4MYmc79$)cXBq}l2O3f1%{07f~X>+yE${pGP;Me3yU(km$UkUjPB!XhAX4{ zIh&c6(F2^#vSjoiXR{3%{Q#nhj2_}_PFY4jlF3RX}&fJ2GwsW?`l~EICOY<^%g0p3oj7pp> zH)Qlvh$=FAlCu?M8U2j2hN6s~;;gYCqn~rO(v{IKI9rvM(JwjkEE$EItu|!zD~KvG zdYZE}Wf}dNv$aJTJ;T|>1sVN@vvsbFeharI@-q4zXO~zq`aNgs4H^9(L=_o5%Lc|< z_aE56c#D6I4TKwAu(bLk8yN2yo`=Yk>`!dq31u0TA#!B&0vj0bXLc^SQ)+qqNuy^-7bjxE`nxt;I1GI}ew zGje3~=iJV}s(f$fc77~SO{<-`o$rS-igG(Y2xRn5Zs&(8-@6d`lD!8#A{o7(+vSL$ zrqu@!MKby@x9h@CMt{lest;uJQEt}^Uq*k;?V9Px=;Pe3S+: 1.167ns/0.000ns; real time: 8 secs +Level 2, iteration 1 +1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 9 secs +Level 3, iteration 1 +1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 1 +26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Info: Initial congestion level at 75% usage is 0 +Info: Initial congestion area at 75% usage is 0 (0.00%) + +Start NBR section for normal routing at 06:21:00 08/17/21 +Level 1, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 2, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 3, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 1 +12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 2 +5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 3 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21 + +Start NBR section for re-routing at 06:21:00 08/17/21 +Level 4, iteration 1 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Start NBR section for post-routing at 06:21:00 08/17/21 + +End NBR router with 0 unrouted connection + +NBR Summary +----------- + Number of unrouted connections : 0 (0.00%) + Number of connections with timing violations : 0 (0.00%) + Estimated worst slack : 1.135ns + Timing score : 0 +----------- +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. + + + +WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew. + Signal=wb_clk loads=1 clock_loads=1 + +Total CPU time 9 secs +Total REAL time: 10 secs +Completely routed. +End of route. 1131 routed (100.00%); 0 unrouted. + +Hold time timing score: 0, hold timing errors: 0 + +Timing score: 0 + +Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd. + + +All signals are completely routed. + + +PAR_SUMMARY::Run status = Completed +PAR_SUMMARY::Number of unrouted conns = 0 +PAR_SUMMARY::Worst slack> = 1.135 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Worst slack> = 0.304 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Number of errors = 0 + +Total CPU time to completion: 9 secs +Total REAL time to completion: 10 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf new file mode 100644 index 0000000..dbb9d92 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.prf @@ -0,0 +1,158 @@ +SCHEMATIC START ; +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Tue Aug 17 06:20:50 2021 + +SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; +LOCATE COMP "RCLK" SITE "63" ; +LOCATE COMP "nFWE" SITE "15" ; +LOCATE COMP "nCRAS" SITE "17" ; +LOCATE COMP "nCCAS" SITE "9" ; +LOCATE COMP "Din[0]" SITE "3" ; +LOCATE COMP "Din[1]" SITE "96" ; +LOCATE COMP "Din[2]" SITE "88" ; +LOCATE COMP "Din[3]" SITE "97" ; +LOCATE COMP "Din[4]" SITE "99" ; +LOCATE COMP "Din[5]" SITE "98" ; +LOCATE COMP "Din[6]" SITE "2" ; +LOCATE COMP "Din[7]" SITE "1" ; +LOCATE COMP "CROW[0]" SITE "10" ; +LOCATE COMP "CROW[1]" SITE "16" ; +LOCATE COMP "MAin[0]" SITE "14" ; +LOCATE COMP "MAin[1]" SITE "12" ; +LOCATE COMP "MAin[2]" SITE "13" ; +LOCATE COMP "MAin[3]" SITE "21" ; +LOCATE COMP "MAin[4]" SITE "20" ; +LOCATE COMP "MAin[5]" SITE "19" ; +LOCATE COMP "MAin[6]" SITE "24" ; +LOCATE COMP "MAin[7]" SITE "18" ; +LOCATE COMP "MAin[8]" SITE "25" ; +LOCATE COMP "MAin[9]" SITE "32" ; +LOCATE COMP "PHI2" SITE "8" ; +LOCATE COMP "RDQML" SITE "48" ; +LOCATE COMP "RDQMH" SITE "51" ; +LOCATE COMP "nRCAS" SITE "52" ; +LOCATE COMP "nRRAS" SITE "54" ; +LOCATE COMP "nRWE" SITE "49" ; +LOCATE COMP "RCKE" SITE "53" ; +LOCATE COMP "nRCS" SITE "57" ; +LOCATE COMP "RA[0]" SITE "66" ; +LOCATE COMP "RA[1]" SITE "67" ; +LOCATE COMP "RA[2]" SITE "69" ; +LOCATE COMP "RA[3]" SITE "71" ; +LOCATE COMP "RA[4]" SITE "74" ; +LOCATE COMP "RA[5]" SITE "70" ; +LOCATE COMP "RA[6]" SITE "68" ; +LOCATE COMP "RA[7]" SITE "75" ; +LOCATE COMP "RA[8]" SITE "65" ; +LOCATE COMP "RA[9]" SITE "62" ; +LOCATE COMP "RA[10]" SITE "64" ; +LOCATE COMP "RA[11]" SITE "59" ; +LOCATE COMP "RBA[0]" SITE "58" ; +LOCATE COMP "RBA[1]" SITE "60" ; +LOCATE COMP "LED" SITE "34" ; +LOCATE COMP "Dout[0]" SITE "76" ; +LOCATE COMP "Dout[1]" SITE "86" ; +LOCATE COMP "Dout[2]" SITE "87" ; +LOCATE COMP "Dout[3]" SITE "85" ; +LOCATE COMP "Dout[4]" SITE "83" ; +LOCATE COMP "Dout[5]" SITE "84" ; +LOCATE COMP "Dout[6]" SITE "78" ; +LOCATE COMP "Dout[7]" SITE "82" ; +LOCATE COMP "RD[0]" SITE "36" ; +LOCATE COMP "RD[1]" SITE "37" ; +LOCATE COMP "RD[2]" SITE "38" ; +LOCATE COMP "RD[3]" SITE "39" ; +LOCATE COMP "RD[4]" SITE "40" ; +LOCATE COMP "RD[5]" SITE "41" ; +LOCATE COMP "RD[6]" SITE "42" ; +LOCATE COMP "RD[7]" SITE "43" ; +PERIOD NET "PHI2_c" 350.000000 ns ; +USE PRIMARY NET "RCLK_c" ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +USE PRIMARY NET "PHI2_c" ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +USE PRIMARY NET "nCRAS_c" ; +PERIOD NET "RCLK_c" 16.000000 ns ; +USE PRIMARY NET "nCCAS_c" ; +SCHEMATIC END ; +BLOCK RESETPATHS ; +BLOCK ASYNCPATHS ; +OUTPUT PORT "RD[7]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[0]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[1]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[2]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[3]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[4]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[5]" LOAD 20.000000 pF ; +OUTPUT PORT "RD[6]" LOAD 20.000000 pF ; +OUTPUT PORT "nRWE" LOAD 10.000000 pF ; +OUTPUT PORT "nRCAS" LOAD 10.000000 pF ; +OUTPUT PORT "nRCS" LOAD 10.000000 pF ; +OUTPUT PORT "nRRAS" LOAD 10.000000 pF ; +OUTPUT PORT "RDQML" LOAD 10.000000 pF ; +OUTPUT PORT "RDQMH" LOAD 10.000000 pF ; +OUTPUT PORT "RCKE" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RBA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[11]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[10]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[9]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[8]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[7]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[6]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[5]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[4]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[3]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[2]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[1]" LOAD 10.000000 pF ; +OUTPUT PORT "RA[0]" LOAD 10.000000 pF ; +OUTPUT PORT "LED" LOAD 25.000000 pF ; +OUTPUT PORT "Dout[0]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[1]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[2]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[4]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[3]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[5]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[6]" LOAD 20.000000 pF ; +OUTPUT PORT "Dout[7]" LOAD 20.000000 pF ; +VOLTAGE 3.300 V; +VCCIO_DERATE BANK 0 PERCENT -5; +VCCIO_DERATE PERCENT -5; +VCCIO_DERATE BANK 1 PERCENT -5; +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; +COMMERCIAL ; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pt b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pt new file mode 100644 index 0000000..e5e32de --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.pt @@ -0,0 +1,10 @@ +-v +10 + + + + +-gt +-sethld +-sp 4 +-sphld m diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b new file mode 100644 index 0000000..2579ff8 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.t2b @@ -0,0 +1,5 @@ + + +-g RamCfg:Reset + +-path "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC" diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 new file mode 100644 index 0000000..0cce058 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.tw1 @@ -0,0 +1,2547 @@ + +Loading design for application trce from file ram2gs_lcmxo2_640hc_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:20:51 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,4 +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.658ns (weighted slack = 323.316ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 13.035ns (30.0% logic, 70.0% route), 8 logic levels. + + Constraint Details: + + 13.035ns physical path delay SLICE_111 to SLICE_19 meets + 175.000ns delay constraint less + 0.307ns CE_SET requirement (totaling 174.693ns) by 161.658ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_111.CLK to SLICE_111.Q1 SLICE_111 (from PHI2_c) +ROUTE 1 e 1.234 SLICE_111.Q1 to SLICE_158.B0 Bank_5 +CTOF_DEL --- 0.495 SLICE_158.B0 to SLICE_158.F0 SLICE_158 +ROUTE 1 e 1.234 SLICE_158.F0 to SLICE_139.B0 n4610 +CTOF_DEL --- 0.495 SLICE_139.B0 to SLICE_139.F0 SLICE_139 +ROUTE 2 e 1.234 SLICE_139.F0 to SLICE_114.B1 n4628 +CTOF_DEL --- 0.495 SLICE_114.B1 to SLICE_114.F1 SLICE_114 +ROUTE 4 e 1.234 SLICE_114.F1 to SLICE_116.B1 n2384 +CTOF_DEL --- 0.495 SLICE_116.B1 to SLICE_116.F1 SLICE_116 +ROUTE 2 e 0.480 SLICE_116.F1 to SLICE_116.D0 n4888 +CTOF_DEL --- 0.495 SLICE_116.D0 to SLICE_116.F0 SLICE_116 +ROUTE 1 e 1.234 SLICE_116.F0 to SLICE_19.B1 n4624 +CTOF_DEL --- 0.495 SLICE_19.B1 to SLICE_19.F1 SLICE_19 +ROUTE 4 e 1.234 SLICE_19.F1 to SLICE_130.C0 C1Submitted_N_232 +CTOF_DEL --- 0.495 SLICE_130.C0 to SLICE_130.F0 SLICE_130 +ROUTE 1 e 1.234 SLICE_130.F0 to SLICE_19.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 13.035 (30.0% logic, 70.0% route), 8 logic levels. + +Report: 26.684ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_122 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_25 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.077ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i8 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 13.757ns (33.7% logic, 66.3% route), 9 logic levels. + + Constraint Details: + + 13.757ns physical path delay SLICE_5 to SLICE_70 meets + 16.000ns delay constraint less + 0.166ns DIN_SET requirement (totaling 15.834ns) by 2.077ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_5.CLK to SLICE_5.Q1 SLICE_5 (from RCLK_c) +ROUTE 23 e 1.234 SLICE_5.Q1 to SLICE_98.B1 FS_8 +CTOF_DEL --- 0.495 SLICE_98.B1 to SLICE_98.F1 SLICE_98 +ROUTE 4 e 1.234 SLICE_98.F1 to SLICE_93.B1 n4924 +CTOF_DEL --- 0.495 SLICE_93.B1 to SLICE_93.F1 SLICE_93 +ROUTE 1 e 1.234 SLICE_93.F1 to SLICE_133.D0 n98 +CTOF_DEL --- 0.495 SLICE_133.D0 to SLICE_133.F0 SLICE_133 +ROUTE 2 e 0.480 SLICE_133.F0 to SLICE_133.B1 n2199 +CTOF_DEL --- 0.495 SLICE_133.B1 to SLICE_133.F1 SLICE_133 +ROUTE 1 e 1.234 SLICE_133.F1 to *9/SLICE_84.C1 n53 +CTOOFX_DEL --- 0.721 *9/SLICE_84.C1 to *SLICE_84.OFX0 i29/SLICE_84 +ROUTE 1 e 1.234 *SLICE_84.OFX0 to SLICE_148.C1 n14_adj_3 +CTOF_DEL --- 0.495 SLICE_148.C1 to SLICE_148.F1 SLICE_148 +ROUTE 2 e 1.234 SLICE_148.F1 to SLICE_135.C0 n12_adj_8 +CTOF_DEL --- 0.495 SLICE_135.C0 to SLICE_135.F0 SLICE_135 +ROUTE 2 e 1.234 SLICE_135.F0 to SLICE_70.A1 n14_adj_7 +CTOF_DEL --- 0.495 SLICE_70.A1 to SLICE_70.F1 SLICE_70 +ROUTE 1 e 0.001 SLICE_70.F1 to SLICE_70.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 13.757 (33.7% logic, 66.3% route), 9 logic levels. + +Report: 13.923ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_54 and + 5.791ns delay SLICE_54 to RA[10] (totaling 8.157ns) meets + 12.500ns offset RCLK to RA[10] by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_54.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_54.CLK to SLICE_54.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_54.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 4.105 64.PADDO to 64.PAD RA[10] + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[9] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[9] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_151.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_151.C0 to SLICE_151.F0 SLICE_151 +ROUTE 1 e 1.234 SLICE_151.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 4.105 62.PADDO to 62.PAD RA[9] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[8] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[8] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_163.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_163.C1 to SLICE_163.F1 SLICE_163 +ROUTE 1 e 1.234 SLICE_163.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 4.105 65.PADDO to 65.PAD RA[8] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[7] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[7] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_155.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_155.C1 to SLICE_155.F1 SLICE_155 +ROUTE 1 e 1.234 SLICE_155.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 4.105 75.PADDO to 75.PAD RA[7] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[6] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[6] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_163.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_163.C0 to SLICE_163.F0 SLICE_163 +ROUTE 1 e 1.234 SLICE_163.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 4.105 68.PADDO to 68.PAD RA[6] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[5] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[5] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_157.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_157.C1 to SLICE_157.F1 SLICE_157 +ROUTE 1 e 1.234 SLICE_157.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 4.105 70.PADDO to 70.PAD RA[5] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[4] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[4] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_158.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_158.C1 to SLICE_158.F1 SLICE_158 +ROUTE 1 e 1.234 SLICE_158.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 4.105 74.PADDO to 74.PAD RA[4] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[3] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[3] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_162.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_162.C0 to SLICE_162.F0 SLICE_162 +ROUTE 1 e 1.234 SLICE_162.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 4.105 71.PADDO to 71.PAD RA[3] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[2] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[2] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_161.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_161.C0 to SLICE_161.F0 SLICE_161 +ROUTE 1 e 1.234 SLICE_161.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 4.105 69.PADDO to 69.PAD RA[2] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[1] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[1] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_162.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_162.C1 to SLICE_162.F1 SLICE_162 +ROUTE 1 e 1.234 SLICE_162.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 4.105 67.PADDO to 67.PAD RA[1] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[0] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[0] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_159.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_159.C1 to SLICE_159.F1 SLICE_159 +ROUTE 1 e 1.234 SLICE_159.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 4.105 66.PADDO to 66.PAD RA[0] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_59 and + 5.791ns delay SLICE_59 to nRCS (totaling 8.157ns) meets + 12.500ns offset RCLK to nRCS by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_59.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_59.CLK to SLICE_59.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_59.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 4.105 57.PADDO to 57.PAD nRCS + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_35 and + 5.791ns delay SLICE_35 to RCKE (totaling 8.157ns) meets + 12.500ns offset RCLK to RCKE by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_35.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_35.CLK to SLICE_35.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 e 1.234 SLICE_35.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 4.105 53.PADDO to 53.PAD RCKE + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_62 and + 5.791ns delay SLICE_62 to nRWE (totaling 8.157ns) meets + 12.500ns offset RCLK to nRWE by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_62.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_62.CLK to SLICE_62.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_62.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 4.105 49.PADDO to 49.PAD nRWE + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_60 and + 5.791ns delay SLICE_60 to nRRAS (totaling 8.157ns) meets + 12.500ns offset RCLK to nRRAS by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_60.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_60.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 4.105 54.PADDO to 54.PAD nRRAS + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_57 and + 5.791ns delay SLICE_57 to nRCAS (totaling 8.157ns) meets + 12.500ns offset RCLK to nRCAS by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_57.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_57.CLK to SLICE_57.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_57.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 4.105 52.PADDO to 52.PAD nRCAS + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RDQMH (totaling 9.886ns) meets + 12.500ns offset RCLK to RDQMH by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_151.B1 nRowColSel +CTOF_DEL --- 0.495 SLICE_151.B1 to SLICE_151.F1 SLICE_151 +ROUTE 1 e 1.234 SLICE_151.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 4.105 51.PADDO to 51.PAD RDQMH + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RDQML (totaling 9.886ns) meets + 12.500ns offset RCLK to RDQML by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_161.B1 nRowColSel +CTOF_DEL --- 0.495 SLICE_161.B1 to SLICE_161.F1 SLICE_161 +ROUTE 1 e 1.234 SLICE_161.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 4.105 48.PADDO to 48.PAD RDQML + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.684 ns| 8 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 13.923 ns| 9 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:20:51 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,M +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.447ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_542 (from PHI2_c -) + Destination: FF Data in C1Submitted_542 (to PHI2_c -) + + Delay: 0.434ns (53.9% logic, 46.1% route), 2 logic levels. + + Constraint Details: + + 0.434ns physical path delay SLICE_15 to SLICE_15 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint requirement (totaling -0.013ns) by 0.447ns + + Physical Path Details: + + Data path SLICE_15 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_15.CLK to SLICE_15.Q0 SLICE_15 (from PHI2_c) +ROUTE 2 e 0.199 SLICE_15.Q0 to SLICE_15.D0 C1Submitted +CTOF_DEL --- 0.101 SLICE_15.D0 to SLICE_15.F0 SLICE_15 +ROUTE 1 e 0.001 SLICE_15.F0 to SLICE_15.DI0 n2549 (to PHI2_c) + -------- + 0.434 (53.9% logic, 46.1% route), 2 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.351ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.332ns (40.1% logic, 59.9% route), 1 logic levels. + + Constraint Details: + + 0.332ns physical path delay SLICE_118 to SLICE_118 meets + -0.019ns M_HLD and + 0.000ns delay constraint requirement (totaling -0.019ns) by 0.351ns + + Physical Path Details: + + Data path SLICE_118 to SLICE_118: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_118.CLK to SLICE_118.Q0 SLICE_118 (from RCLK_c) +ROUTE 1 e 0.199 SLICE_118.Q0 to SLICE_118.M1 n1197 (to RCLK_c) + -------- + 0.332 (40.1% logic, 59.9% route), 1 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_54 and + 2.321ns delay SLICE_54 to RA[10] (totaling 3.284ns) meets + 0.000ns hold offset RCLK to RA[10] by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_54.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_54.CLK to SLICE_54.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_54.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 1.673 64.PADDO to 64.PAD RA[10] + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[9] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_151.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_151.C0 to SLICE_151.F0 SLICE_151 +ROUTE 1 e 0.515 SLICE_151.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 1.673 62.PADDO to 62.PAD RA[9] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[8] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_163.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_163.C1 to SLICE_163.F1 SLICE_163 +ROUTE 1 e 0.515 SLICE_163.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 1.673 65.PADDO to 65.PAD RA[8] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[7] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_155.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_155.C1 to SLICE_155.F1 SLICE_155 +ROUTE 1 e 0.515 SLICE_155.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 1.673 75.PADDO to 75.PAD RA[7] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[6] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_163.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_163.C0 to SLICE_163.F0 SLICE_163 +ROUTE 1 e 0.515 SLICE_163.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 1.673 68.PADDO to 68.PAD RA[6] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[5] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_157.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_157.C1 to SLICE_157.F1 SLICE_157 +ROUTE 1 e 0.515 SLICE_157.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 1.673 70.PADDO to 70.PAD RA[5] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[4] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[4] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_158.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_158.C1 to SLICE_158.F1 SLICE_158 +ROUTE 1 e 0.515 SLICE_158.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 1.673 74.PADDO to 74.PAD RA[4] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[3] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_162.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_162.C0 to SLICE_162.F0 SLICE_162 +ROUTE 1 e 0.515 SLICE_162.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 1.673 71.PADDO to 71.PAD RA[3] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[2] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_161.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_161.C0 to SLICE_161.F0 SLICE_161 +ROUTE 1 e 0.515 SLICE_161.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 1.673 69.PADDO to 69.PAD RA[2] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[1] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_162.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_162.C1 to SLICE_162.F1 SLICE_162 +ROUTE 1 e 0.515 SLICE_162.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 1.673 67.PADDO to 67.PAD RA[1] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[0] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_159.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_159.C1 to SLICE_159.F1 SLICE_159 +ROUTE 1 e 0.515 SLICE_159.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 1.673 66.PADDO to 66.PAD RA[0] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_59 and + 2.321ns delay SLICE_59 to nRCS (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRCS by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_59.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_59.CLK to SLICE_59.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_59.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 1.673 57.PADDO to 57.PAD nRCS + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_35 and + 2.321ns delay SLICE_35 to RCKE (totaling 3.284ns) meets + 0.000ns hold offset RCLK to RCKE by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_35.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_35.CLK to SLICE_35.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 e 0.515 SLICE_35.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 1.673 53.PADDO to 53.PAD RCKE + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_62 and + 2.321ns delay SLICE_62 to nRWE (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRWE by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_62.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_62.CLK to SLICE_62.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_62.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 1.673 49.PADDO to 49.PAD nRWE + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_60 and + 2.321ns delay SLICE_60 to nRRAS (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRRAS by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_60.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_60.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 1.673 54.PADDO to 54.PAD nRRAS + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_57 and + 2.321ns delay SLICE_57 to nRCAS (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRCAS by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_57.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_57.CLK to SLICE_57.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_57.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 1.673 52.PADDO to 52.PAD nRCAS + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RDQMH (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_151.B1 nRowColSel +CTOF_DEL --- 0.101 SLICE_151.B1 to SLICE_151.F1 SLICE_151 +ROUTE 1 e 0.515 SLICE_151.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 1.673 51.PADDO to 51.PAD RDQMH + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RDQML (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RDQML by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_161.B1 nRowColSel +CTOF_DEL --- 0.101 SLICE_161.B1 to SLICE_161.F1 SLICE_161 +ROUTE 1 e 0.515 SLICE_161.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 1.673 48.PADDO to 48.PAD RDQML + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr new file mode 100644 index 0000000..56e0a5c --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.twr @@ -0,0 +1,4466 @@ + +Loading design for application trce from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:21:01 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,4 +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 162.100ns (weighted slack = 324.200ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.593ns (31.1% logic, 68.9% route), 8 logic levels. + + Constraint Details: + + 12.593ns physical path delay SLICE_151 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.100ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q0 SLICE_151 (from PHI2_c) +ROUTE 1 1.278 R5C9B.Q0 to R2C8B.C0 Bank_6 +CTOF_DEL --- 0.495 R2C8B.C0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.593 (31.1% logic, 68.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.100ns (weighted slack = 324.200ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 12.593ns (31.1% logic, 68.9% route), 8 logic levels. + + Constraint Details: + + 12.593ns physical path delay SLICE_151 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.100ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q0 SLICE_151 (from PHI2_c) +ROUTE 1 1.278 R5C9B.Q0 to R2C8B.C0 Bank_6 +CTOF_DEL --- 0.495 R2C8B.C0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.593 (31.1% logic, 68.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.374ns (weighted slack = 324.748ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i4 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.319ns (31.8% logic, 68.2% route), 8 logic levels. + + Constraint Details: + + 12.319ns physical path delay SLICE_111 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.374ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_111 (from PHI2_c) +ROUTE 1 1.004 R2C8C.Q0 to R2C8B.B0 Bank_4 +CTOF_DEL --- 0.495 R2C8B.B0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.319 (31.8% logic, 68.2% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.374ns (weighted slack = 324.748ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i4 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 12.319ns (31.8% logic, 68.2% route), 8 logic levels. + + Constraint Details: + + 12.319ns physical path delay SLICE_111 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.374ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_111 (from PHI2_c) +ROUTE 1 1.004 R2C8C.Q0 to R2C8B.B0 Bank_4 +CTOF_DEL --- 0.495 R2C8B.B0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.319 (31.8% logic, 68.2% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.411ns (weighted slack = 324.822ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.282ns (31.9% logic, 68.1% route), 8 logic levels. + + Constraint Details: + + 12.282ns physical path delay SLICE_111 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.411ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q1 SLICE_111 (from PHI2_c) +ROUTE 1 0.967 R2C8C.Q1 to R2C8B.A0 Bank_5 +CTOF_DEL --- 0.495 R2C8B.A0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.282 (31.9% logic, 68.1% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.411ns (weighted slack = 324.822ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 12.282ns (31.9% logic, 68.1% route), 8 logic levels. + + Constraint Details: + + 12.282ns physical path delay SLICE_111 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.411ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q1 SLICE_111 (from PHI2_c) +ROUTE 1 0.967 R2C8C.Q1 to R2C8B.A0 Bank_5 +CTOF_DEL --- 0.495 R2C8B.A0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.282 (31.9% logic, 68.1% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.336ns (weighted slack = 326.672ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 11.357ns (34.5% logic, 65.5% route), 8 logic levels. + + Constraint Details: + + 11.357ns physical path delay SLICE_151 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.336ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q0 SLICE_151 (from PHI2_c) +ROUTE 1 1.278 R5C9B.Q0 to R2C8B.C0 Bank_6 +CTOF_DEL --- 0.495 R2C8B.C0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.756 R2C9D.F1 to R2C9B.C1 n2384 +CTOF_DEL --- 0.495 R2C9B.C1 to R2C9B.F1 SLICE_116 +ROUTE 2 0.445 R2C9B.F1 to R2C9B.C0 n4888 +CTOF_DEL --- 0.495 R2C9B.C0 to R2C9B.F0 SLICE_116 +ROUTE 1 0.645 R2C9B.F0 to R3C9A.D1 n4624 +CTOF_DEL --- 0.495 R3C9A.D1 to R3C9A.F1 SLICE_19 +ROUTE 4 1.042 R3C9A.F1 to R3C9D.B0 C1Submitted_N_232 +CTOF_DEL --- 0.495 R3C9D.B0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.653 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 11.357 (34.5% logic, 65.5% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R3C9A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.510ns (weighted slack = 327.020ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 11.183ns (35.0% logic, 65.0% route), 8 logic levels. + + Constraint Details: + + 11.183ns physical path delay SLICE_151 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.510ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q1 SLICE_151 (from PHI2_c) +ROUTE 1 0.967 R5C9B.Q1 to R5C9D.A1 Bank_7 +CTOF_DEL --- 0.495 R5C9D.A1 to R5C9D.F1 SLICE_139 +ROUTE 1 0.436 R5C9D.F1 to R5C9D.C0 n4574 +CTOF_DEL --- 0.495 R5C9D.C0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 11.183 (35.0% logic, 65.0% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.510ns (weighted slack = 327.020ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 11.183ns (35.0% logic, 65.0% route), 8 logic levels. + + Constraint Details: + + 11.183ns physical path delay SLICE_151 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.510ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q1 SLICE_151 (from PHI2_c) +ROUTE 1 0.967 R5C9B.Q1 to R5C9D.A1 Bank_7 +CTOF_DEL --- 0.495 R5C9D.A1 to R5C9D.F1 SLICE_139 +ROUTE 1 0.436 R5C9D.F1 to R5C9D.C0 n4574 +CTOF_DEL --- 0.495 R5C9D.C0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 11.183 (35.0% logic, 65.0% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.610ns (weighted slack = 327.220ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i4 (from PHI2_c +) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 11.083ns (35.3% logic, 64.7% route), 8 logic levels. + + Constraint Details: + + 11.083ns physical path delay SLICE_111 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.610ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_111 (from PHI2_c) +ROUTE 1 1.004 R2C8C.Q0 to R2C8B.B0 Bank_4 +CTOF_DEL --- 0.495 R2C8B.B0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.756 R2C9D.F1 to R2C9B.C1 n2384 +CTOF_DEL --- 0.495 R2C9B.C1 to R2C9B.F1 SLICE_116 +ROUTE 2 0.445 R2C9B.F1 to R2C9B.C0 n4888 +CTOF_DEL --- 0.495 R2C9B.C0 to R2C9B.F0 SLICE_116 +ROUTE 1 0.645 R2C9B.F0 to R3C9A.D1 n4624 +CTOF_DEL --- 0.495 R3C9A.D1 to R3C9A.F1 SLICE_19 +ROUTE 4 1.042 R3C9A.F1 to R3C9D.B0 C1Submitted_N_232 +CTOF_DEL --- 0.495 R3C9D.B0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.653 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 11.083 (35.3% logic, 64.7% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R3C9A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 25.800ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_122 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_25 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 12.261ns (37.8% logic, 62.2% route), 9 logic levels. + + Constraint Details: + + 12.261ns physical path delay SLICE_6 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 3.573ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.808 R6C6D.Q1 to R3C7A.B1 FS_6 +CTOF_DEL --- 0.495 R3C7A.B1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 12.261 (37.8% logic, 62.2% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 3.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 12.261ns (37.8% logic, 62.2% route), 9 logic levels. + + Constraint Details: + + 12.261ns physical path delay SLICE_6 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 3.573ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.808 R6C6D.Q1 to R3C7A.B1 FS_6 +CTOF_DEL --- 0.495 R3C7A.B1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 12.261 (37.8% logic, 62.2% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.370ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i7 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.464ns (36.1% logic, 63.9% route), 8 logic levels. + + Constraint Details: + + 11.464ns physical path delay SLICE_5 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.370ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q0 SLICE_5 (from RCLK_c) +ROUTE 25 2.442 R6C7A.Q0 to R2C8D.C1 FS_7 +CTOF_DEL --- 0.495 R2C8D.C1 to R2C8D.F1 SLICE_143 +ROUTE 2 1.427 R2C8D.F1 to R2C6A.B1 n4915 +CTOF_DEL --- 0.495 R2C6A.B1 to R2C6A.F1 SLICE_113 +ROUTE 5 0.672 R2C6A.F1 to R2C7D.D1 n4890 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.464 (36.1% logic, 63.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.370ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i7 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.464ns (36.1% logic, 63.9% route), 8 logic levels. + + Constraint Details: + + 11.464ns physical path delay SLICE_5 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.370ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q0 SLICE_5 (from RCLK_c) +ROUTE 25 2.442 R6C7A.Q0 to R2C8D.C1 FS_7 +CTOF_DEL --- 0.495 R2C8D.C1 to R2C8D.F1 SLICE_143 +ROUTE 2 1.427 R2C8D.F1 to R2C6A.B1 n4915 +CTOF_DEL --- 0.495 R2C6A.B1 to R2C6A.F1 SLICE_113 +ROUTE 5 0.672 R2C6A.F1 to R2C7D.D1 n4890 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.464 (36.1% logic, 63.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.376ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i8 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.458ns (40.5% logic, 59.5% route), 9 logic levels. + + Constraint Details: + + 11.458ns physical path delay SLICE_5 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.376ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q1 SLICE_5 (from RCLK_c) +ROUTE 23 1.005 R6C7A.Q1 to R3C7A.D1 FS_8 +CTOF_DEL --- 0.495 R3C7A.D1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.458 (40.5% logic, 59.5% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.376ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i8 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.458ns (40.5% logic, 59.5% route), 9 logic levels. + + Constraint Details: + + 11.458ns physical path delay SLICE_5 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.376ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q1 SLICE_5 (from RCLK_c) +ROUTE 23 1.005 R6C7A.Q1 to R3C7A.D1 FS_8 +CTOF_DEL --- 0.495 R3C7A.D1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.458 (40.5% logic, 59.5% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.398ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.436ns (40.6% logic, 59.4% route), 9 logic levels. + + Constraint Details: + + 11.436ns physical path delay SLICE_6 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.398ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.551 R6C6D.Q1 to R3C7D.C0 FS_6 +CTOF_DEL --- 0.495 R3C7D.C0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.436 (40.6% logic, 59.4% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.398ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.436ns (40.6% logic, 59.4% route), 9 logic levels. + + Constraint Details: + + 11.436ns physical path delay SLICE_6 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.398ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.551 R6C6D.Q1 to R3C7D.C0 FS_6 +CTOF_DEL --- 0.495 R3C7D.C0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.436 (40.6% logic, 59.4% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.449ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i5 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.385ns (40.7% logic, 59.3% route), 9 logic levels. + + Constraint Details: + + 11.385ns physical path delay SLICE_6 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.449ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q0 SLICE_6 (from RCLK_c) +ROUTE 21 1.500 R6C6D.Q0 to R3C7D.D0 FS_5 +CTOF_DEL --- 0.495 R3C7D.D0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.385 (40.7% logic, 59.3% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.449ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i5 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.385ns (40.7% logic, 59.3% route), 9 logic levels. + + Constraint Details: + + 11.385ns physical path delay SLICE_6 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.449ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q0 SLICE_6 (from RCLK_c) +ROUTE 21 1.500 R6C6D.Q0 to R3C7D.D0 FS_5 +CTOF_DEL --- 0.495 R3C7D.D0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.385 (40.7% logic, 59.3% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 12.427ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.015ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 6.334ns (71.9% logic, 28.1% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_54 and + 6.334ns delay SLICE_54 to RA[10] (totaling 9.485ns) meets + 12.500ns offset RCLK to RA[10] by 3.015ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C11D.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C11D.CLK to R4C11D.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 1.777 R4C11D.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 4.105 64.PADDO to 64.PAD RA[10] + -------- + 6.334 (71.9% logic, 28.1% route), 2 logic levels. + +Report: 9.485ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.495ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.854ns (64.3% logic, 35.7% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.854ns delay SLICE_63 to RA[9] (totaling 11.005ns) meets + 12.500ns offset RCLK to RA[9] by 1.495ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.016 R5C10A.Q0 to R5C9B.A0 nRowColSel +CTOF_DEL --- 0.495 R5C9B.A0 to R5C9B.F0 SLICE_151 +ROUTE 1 1.786 R5C9B.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 4.105 62.PADDO to 62.PAD RA[9] + -------- + 7.854 (64.3% logic, 35.7% route), 3 logic levels. + +Report: 11.005ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.386ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.963ns (63.4% logic, 36.6% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.963ns delay SLICE_63 to RA[8] (totaling 11.114ns) meets + 12.500ns offset RCLK to RA[8] by 1.386ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.053 R5C10A.Q0 to R4C10D.B1 nRowColSel +CTOF_DEL --- 0.495 R4C10D.B1 to R4C10D.F1 SLICE_163 +ROUTE 1 1.858 R4C10D.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 4.105 65.PADDO to 65.PAD RA[8] + -------- + 7.963 (63.4% logic, 36.6% route), 3 logic levels. + +Report: 11.114ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.552ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.797ns (64.8% logic, 35.2% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.797ns delay SLICE_63 to RA[7] (totaling 10.948ns) meets + 12.500ns offset RCLK to RA[7] by 1.552ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.017 R5C10A.Q0 to R2C10D.D1 nRowColSel +CTOF_DEL --- 0.495 R2C10D.D1 to R2C10D.F1 SLICE_155 +ROUTE 1 1.728 R2C10D.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 4.105 75.PADDO to 75.PAD RA[7] + -------- + 7.797 (64.8% logic, 35.2% route), 3 logic levels. + +Report: 10.948ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.401ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.948ns (63.6% logic, 36.4% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.948ns delay SLICE_63 to RA[6] (totaling 11.099ns) meets + 12.500ns offset RCLK to RA[6] by 1.401ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.053 R5C10A.Q0 to R4C10D.B0 nRowColSel +CTOF_DEL --- 0.495 R4C10D.B0 to R4C10D.F0 SLICE_163 +ROUTE 1 1.843 R4C10D.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 4.105 68.PADDO to 68.PAD RA[6] + -------- + 7.948 (63.6% logic, 36.4% route), 3 logic levels. + +Report: 11.099ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.135ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 8.214ns (61.5% logic, 38.5% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 8.214ns delay SLICE_63 to RA[5] (totaling 11.365ns) meets + 12.500ns offset RCLK to RA[5] by 1.135ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.310 R5C10A.Q0 to R2C9A.C1 nRowColSel +CTOF_DEL --- 0.495 R2C9A.C1 to R2C9A.F1 SLICE_157 +ROUTE 1 1.852 R2C9A.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 4.105 70.PADDO to 70.PAD RA[5] + -------- + 8.214 (61.5% logic, 38.5% route), 3 logic levels. + +Report: 11.365ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.135ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 8.214ns (61.5% logic, 38.5% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 8.214ns delay SLICE_63 to RA[4] (totaling 11.365ns) meets + 12.500ns offset RCLK to RA[4] by 1.135ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.310 R5C10A.Q0 to R2C8B.C1 nRowColSel +CTOF_DEL --- 0.495 R2C8B.C1 to R2C8B.F1 SLICE_158 +ROUTE 1 1.852 R2C8B.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 4.105 74.PADDO to 74.PAD RA[4] + -------- + 8.214 (61.5% logic, 38.5% route), 3 logic levels. + +Report: 11.365ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.322ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 8.027ns (62.9% logic, 37.1% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 8.027ns delay SLICE_63 to RA[3] (totaling 11.178ns) meets + 12.500ns offset RCLK to RA[3] by 1.322ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.990 R5C10A.Q0 to R3C10C.D0 nRowColSel +CTOF_DEL --- 0.495 R3C10C.D0 to R3C10C.F0 SLICE_162 +ROUTE 1 1.985 R3C10C.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 4.105 71.PADDO to 71.PAD RA[3] + -------- + 8.027 (62.9% logic, 37.1% route), 3 logic levels. + +Report: 11.178ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.577ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.772ns (65.0% logic, 35.0% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.772ns delay SLICE_63 to RA[2] (totaling 10.923ns) meets + 12.500ns offset RCLK to RA[2] by 1.577ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.796 R5C10A.Q0 to R5C9C.C0 nRowColSel +CTOF_DEL --- 0.495 R5C9C.C0 to R5C9C.F0 SLICE_161 +ROUTE 1 1.924 R5C9C.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 4.105 69.PADDO to 69.PAD RA[2] + -------- + 7.772 (65.0% logic, 35.0% route), 3 logic levels. + +Report: 10.923ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.579ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.770ns (65.0% logic, 35.0% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.770ns delay SLICE_63 to RA[1] (totaling 10.921ns) meets + 12.500ns offset RCLK to RA[1] by 1.579ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.990 R5C10A.Q0 to R3C10C.D1 nRowColSel +CTOF_DEL --- 0.495 R3C10C.D1 to R3C10C.F1 SLICE_162 +ROUTE 1 1.728 R3C10C.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 4.105 67.PADDO to 67.PAD RA[1] + -------- + 7.770 (65.0% logic, 35.0% route), 3 logic levels. + +Report: 10.921ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.855ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.494ns (67.4% logic, 32.6% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.494ns delay SLICE_63 to RA[0] (totaling 10.645ns) meets + 12.500ns offset RCLK to RA[0] by 1.855ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.017 R5C10A.Q0 to R3C10D.D1 nRowColSel +CTOF_DEL --- 0.495 R3C10D.D1 to R3C10D.F1 SLICE_159 +ROUTE 1 1.425 R3C10D.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 4.105 66.PADDO to 66.PAD RA[0] + -------- + 7.494 (67.4% logic, 32.6% route), 3 logic levels. + +Report: 10.645ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.826ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 6.523ns (69.9% logic, 30.1% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_59 and + 6.523ns delay SLICE_59 to nRCS (totaling 9.674ns) meets + 12.500ns offset RCLK to nRCS by 2.826ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C11B.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C11B.CLK to R4C11B.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 1.966 R4C11B.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 4.105 57.PADDO to 57.PAD nRCS + -------- + 6.523 (69.9% logic, 30.1% route), 2 logic levels. + +Report: 9.674ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 6.733ns (67.7% logic, 32.3% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_35 and + 6.733ns delay SLICE_35 to RCKE (totaling 9.884ns) meets + 12.500ns offset RCLK to RCKE by 2.616ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C7B.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C7B.CLK to R4C7B.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 2.176 R4C7B.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 4.105 53.PADDO to 53.PAD RCKE + -------- + 6.733 (67.7% logic, 32.3% route), 2 logic levels. + +Report: 9.884ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.225ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 6.124ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_62 and + 6.124ns delay SLICE_62 to nRWE (totaling 9.275ns) meets + 12.500ns offset RCLK to nRWE by 3.225ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C11A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C11A.CLK to R5C11A.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 1.567 R5C11A.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 4.105 49.PADDO to 49.PAD nRWE + -------- + 6.124 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 9.275ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.703ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 6.646ns (68.6% logic, 31.4% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_60 and + 6.646ns delay SLICE_60 to nRRAS (totaling 9.797ns) meets + 12.500ns offset RCLK to nRRAS by 2.703ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C11A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C11A.CLK to R4C11A.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 2.089 R4C11A.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 4.105 54.PADDO to 54.PAD nRRAS + -------- + 6.646 (68.6% logic, 31.4% route), 2 logic levels. + +Report: 9.797ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.826ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 6.523ns (69.9% logic, 30.1% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_57 and + 6.523ns delay SLICE_57 to nRCAS (totaling 9.674ns) meets + 12.500ns offset RCLK to nRCAS by 2.826ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C11B.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C11B.CLK to R5C11B.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 1.966 R5C11B.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 4.105 52.PADDO to 52.PAD nRCAS + -------- + 6.523 (69.9% logic, 30.1% route), 2 logic levels. + +Report: 9.674ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.790ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.790ns delay SLICE_63 to RDQMH (totaling 10.941ns) meets + 12.500ns offset RCLK to RDQMH by 1.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.648 R5C10A.Q0 to R5C9B.D1 nRowColSel +CTOF_DEL --- 0.495 R5C9B.D1 to R5C9B.F1 SLICE_151 +ROUTE 1 2.090 R5C9B.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 4.105 51.PADDO to 51.PAD RDQMH + -------- + 7.790 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 10.941ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.859ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.490ns (67.4% logic, 32.6% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.490ns delay SLICE_63 to RDQML (totaling 10.641ns) meets + 12.500ns offset RCLK to RDQML by 1.859ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.796 R5C10A.Q0 to R5C9C.C1 nRowColSel +CTOF_DEL --- 0.495 R5C9C.C1 to R5C9C.F1 SLICE_161 +ROUTE 1 1.642 R5C9C.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 4.105 48.PADDO to 48.PAD RDQML + -------- + 7.490 (67.4% logic, 32.6% route), 3 logic levels. + +Report: 10.641ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 25.800 ns| 8 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 12.427 ns| 9 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.485 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.005 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.114 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.948 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.099 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.365 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.365 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.178 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.923 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.921 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.645 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.884 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.275 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.797 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.941 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.641 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:21:01 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,m +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_542 (from PHI2_c -) + Destination: FF Data in C1Submitted_542 (to PHI2_c -) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_15 to SLICE_15 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_15 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9C.CLK to R3C9C.Q0 SLICE_15 (from PHI2_c) +ROUTE 2 0.132 R3C9C.Q0 to R3C9C.A0 C1Submitted +CTOF_DEL --- 0.101 R3C9C.A0 to R3C9C.F0 SLICE_15 +ROUTE 1 0.000 R3C9C.F0 to R3C9C.DI0 n2549 (to PHI2_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.474ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in CmdSubmitted_549 (to PHI2_c -) + + Delay: 0.461ns (50.8% logic, 49.2% route), 2 logic levels. + + Constraint Details: + + 0.461ns physical path delay SLICE_19 to SLICE_21 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.474ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.003 R4C9B.F0 to R4C9B.DI0 XOR8MEG_N_149 (to PHI2_c) + -------- + 0.461 (50.8% logic, 49.2% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R4C9B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.538ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_543 (from PHI2_c -) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 0.510ns (45.9% logic, 54.1% route), 2 logic levels. + + Constraint Details: + + 0.510ns physical path delay SLICE_10 to SLICE_19 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.538ns + + Physical Path Details: + + Data path SLICE_10 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9B.CLK to R3C9B.Q0 SLICE_10 (from PHI2_c) +ROUTE 1 0.133 R3C9B.Q0 to R3C9D.D0 ADSubmitted +CTOF_DEL --- 0.101 R3C9D.D0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.143 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 0.510 (45.9% logic, 54.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.860ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_542 (from PHI2_c -) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 0.832ns (40.3% logic, 59.7% route), 3 logic levels. + + Constraint Details: + + 0.832ns physical path delay SLICE_15 to SLICE_19 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.860ns + + Physical Path Details: + + Data path SLICE_15 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9C.CLK to R3C9C.Q0 SLICE_15 (from PHI2_c) +ROUTE 2 0.224 R3C9C.Q0 to R3C9C.B1 C1Submitted +CTOF_DEL --- 0.101 R3C9C.B1 to R3C9C.F1 SLICE_15 +ROUTE 1 0.130 R3C9C.F1 to R3C9D.A0 n7 +CTOF_DEL --- 0.101 R3C9D.A0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.143 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 0.832 (40.3% logic, 59.7% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.873ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in XOR8MEG_544 (to PHI2_c -) + + Delay: 0.845ns (39.6% logic, 60.4% route), 3 logic levels. + + Constraint Details: + + 0.845ns physical path delay SLICE_19 to SLICE_145 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.873ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_145: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.141 R4C9B.F0 to R5C9A.D1 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R5C9A.D1 to R5C9A.F1 SLICE_110 +ROUTE 1 0.145 R5C9A.F1 to R5C8A.CE PHI2_N_151_enable_6 (to PHI2_c) + -------- + 0.845 (39.6% logic, 60.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_145: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C8A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.009ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in CmdUFMShift_547 (to PHI2_c -) + FF CmdUFMData_548 + + Delay: 0.981ns (34.1% logic, 65.9% route), 3 logic levels. + + Constraint Details: + + 0.981ns physical path delay SLICE_19 to SLICE_161 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 1.009ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_161: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.059 R4C9B.F0 to R4C9B.C1 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R4C9B.C1 to R4C9B.F1 SLICE_21 +ROUTE 1 0.363 R4C9B.F1 to R5C9C.CE PHI2_N_151_enable_3 (to PHI2_c) + -------- + 0.981 (34.1% logic, 65.9% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_161: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.341ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 1.313ns (33.2% logic, 66.8% route), 4 logic levels. + + Constraint Details: + + 1.313ns physical path delay SLICE_19 to SLICE_20 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 1.341ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.335 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.056 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.101 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 0.262 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 1.313 (33.2% logic, 66.8% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C6A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.341ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 1.313ns (33.2% logic, 66.8% route), 4 logic levels. + + Constraint Details: + + 1.313ns physical path delay SLICE_19 to SLICE_24 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 1.341ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.335 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.056 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.101 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 0.262 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 1.313 (33.2% logic, 66.8% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C6B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.457ns (weighted slack = 350.914ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG_544 (from PHI2_c -) + Destination: FF Data in RA11_521 (to PHI2_c +) + + Delay: 0.444ns (52.7% logic, 47.3% route), 2 logic levels. + + Constraint Details: + + 0.444ns physical path delay SLICE_145 to SLICE_32 meets + -0.013ns DIN_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.013ns) by 175.457ns + + Physical Path Details: + + Data path SLICE_145 to SLICE_32: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C8A.CLK to R5C8A.Q0 SLICE_145 (from PHI2_c) +ROUTE 1 0.210 R5C8A.Q0 to R5C8C.A0 XOR8MEG +CTOF_DEL --- 0.101 R5C8C.A0 to R5C8C.F0 SLICE_32 +ROUTE 1 0.000 R5C8C.F0 to R5C8C.DI0 RA11_N_217 (to PHI2_c) + -------- + 0.444 (52.7% logic, 47.3% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_145: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C8A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_32: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C8C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.815ns (weighted slack = 351.630ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i2 (from PHI2_c +) + Destination: FF Data in ADSubmitted_543 (to PHI2_c -) + + Delay: 0.787ns (42.6% logic, 57.4% route), 3 logic levels. + + Constraint Details: + + 0.787ns physical path delay SLICE_143 to SLICE_10 meets + -0.028ns CE_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.028ns) by 175.815ns + + Physical Path Details: + + Data path SLICE_143 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C8D.CLK to R2C8D.Q0 SLICE_143 (from PHI2_c) +ROUTE 2 0.139 R2C8D.Q0 to R2C9C.C1 Bank_2 +CTOF_DEL --- 0.101 R2C9C.C1 to R2C9C.F1 SLICE_132 +ROUTE 1 0.056 R2C9C.F1 to R2C9C.C0 n4782 +CTOF_DEL --- 0.101 R2C9C.C0 to R2C9C.F0 SLICE_132 +ROUTE 1 0.257 R2C9C.F0 to R3C9B.CE PHI2_N_151_enable_7 (to PHI2_c) + -------- + 0.787 (42.6% logic, 57.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_143: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R2C8D.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_118 to SLICE_118 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_118 to SLICE_118: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C9C.CLK to R4C9C.Q0 SLICE_118 (from RCLK_c) +ROUTE 1 0.152 R4C9C.Q0 to R4C9C.M1 n1197 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_118: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C9C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_118: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C9C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i4 (from RCLK_c +) + Destination: FF Data in IS_FSM__i5 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_127 to SLICE_127 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_127 to SLICE_127: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C10B.CLK to R4C10B.Q0 SLICE_127 (from RCLK_c) +ROUTE 1 0.152 R4C10B.Q0 to R4C10B.M1 n1195 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_127: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_127: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CASr_518 (from RCLK_c +) + Destination: FF Data in CASr2_519 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_16 to SLICE_16 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_16 to SLICE_16: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R6C10C.CLK to R6C10C.Q0 SLICE_16 (from RCLK_c) +ROUTE 1 0.152 R6C10C.Q0 to R6C10C.M1 CASr (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_16: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_16: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i10 (from RCLK_c +) + Destination: FF Data in IS_FSM__i11 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_163 to SLICE_163 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_163 to SLICE_163: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C10D.CLK to R4C10D.Q0 SLICE_163 (from RCLK_c) +ROUTE 1 0.152 R4C10D.Q0 to R4C10D.M1 n1189 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_163: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_163: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i14 (from RCLK_c +) + Destination: FF Data in IS_FSM__i15 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_91 to SLICE_91 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_91 to SLICE_91: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C8D.CLK to R4C8D.Q0 SLICE_91 (from RCLK_c) +ROUTE 1 0.152 R4C8D.Q0 to R4C8D.M1 n1185 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_91: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C8D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_91: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C8D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i12 (from RCLK_c +) + Destination: FF Data in IS_FSM__i13 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_94 to SLICE_94 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C7D.CLK to R4C7D.Q0 SLICE_94 (from RCLK_c) +ROUTE 1 0.152 R4C7D.Q0 to R4C7D.M1 n1187 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q PHI2r_512 (from RCLK_c +) + Destination: FF Data in PHI2r2_513 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_95 to SLICE_35 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_35: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C7A.CLK to R4C7A.Q0 SLICE_95 (from RCLK_c) +ROUTE 1 0.152 R4C7A.Q0 to R4C7B.M1 PHI2r (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7A.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.306ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RASr_515 (from RCLK_c +) + Destination: FF Data in RASr2_516 (to RCLK_c +) + + Delay: 0.287ns (46.3% logic, 53.7% route), 1 logic levels. + + Constraint Details: + + 0.287ns physical path delay SLICE_30 to SLICE_30 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.306ns + + Physical Path Details: + + Data path SLICE_30 to SLICE_30: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C7A.CLK to R5C7A.Q0 SLICE_30 (from RCLK_c) +ROUTE 2 0.154 R5C7A.Q0 to R5C7A.M1 RASr (to RCLK_c) + -------- + 0.287 (46.3% logic, 53.7% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_30: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R5C7A.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_30: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R5C7A.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.307ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i0 (from RCLK_c +) + Destination: FF Data in IS_FSM__i1 (to RCLK_c +) + + Delay: 0.288ns (46.2% logic, 53.8% route), 1 logic levels. + + Constraint Details: + + 0.288ns physical path delay SLICE_162 to SLICE_162 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.307ns + + Physical Path Details: + + Data path SLICE_162 to SLICE_162: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C10C.CLK to R3C10C.Q0 SLICE_162 (from RCLK_c) +ROUTE 4 0.155 R3C10C.Q0 to R3C10C.M1 nRCS_N_172 (to RCLK_c) + -------- + 0.288 (46.2% logic, 53.8% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_162: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R3C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_162: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R3C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i2 (from RCLK_c +) + Destination: FF Data in FS_972__i2 (to RCLK_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_0 to SLICE_0 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_0 to SLICE_0: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R6C6B.CLK to R6C6B.Q1 SLICE_0 (from RCLK_c) +ROUTE 3 0.132 R6C6B.Q1 to R6C6B.A1 FS_2 +CTOF_DEL --- 0.101 R6C6B.A1 to R6C6B.F1 SLICE_0 +ROUTE 1 0.000 R6C6B.F1 to R6C6B.DI1 n93 (to RCLK_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_0: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C6B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_0: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C6B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.236ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 2.217ns (81.5% logic, 18.5% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_54 and + 2.217ns delay SLICE_54 to RA[10] (totaling 3.236ns) meets + 0.000ns hold offset RCLK to RA[10] by 3.236ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C11D.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C11D.CLK to R4C11D.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 0.411 R4C11D.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 1.673 64.PADDO to 64.PAD RA[10] + -------- + 2.217 (81.5% logic, 18.5% route), 2 logic levels. + +Report: 3.236ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.561ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.542ns (75.0% logic, 25.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.542ns delay SLICE_63 to RA[9] (totaling 3.561ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.561ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.219 R5C10A.Q0 to R5C9B.A0 nRowColSel +CTOF_DEL --- 0.101 R5C9B.A0 to R5C9B.F0 SLICE_151 +ROUTE 1 0.416 R5C9B.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 1.673 62.PADDO to 62.PAD RA[9] + -------- + 2.542 (75.0% logic, 25.0% route), 3 logic levels. + +Report: 3.561ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.608ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.589ns (73.7% logic, 26.3% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.589ns delay SLICE_63 to RA[8] (totaling 3.608ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.608ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.231 R5C10A.Q0 to R4C10D.B1 nRowColSel +CTOF_DEL --- 0.101 R4C10D.B1 to R4C10D.F1 SLICE_163 +ROUTE 1 0.451 R4C10D.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 1.673 65.PADDO to 65.PAD RA[8] + -------- + 2.589 (73.7% logic, 26.3% route), 3 logic levels. + +Report: 3.608ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.552ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.533ns (75.3% logic, 24.7% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.533ns delay SLICE_63 to RA[7] (totaling 3.552ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.552ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.229 R5C10A.Q0 to R2C10D.D1 nRowColSel +CTOF_DEL --- 0.101 R2C10D.D1 to R2C10D.F1 SLICE_155 +ROUTE 1 0.397 R2C10D.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 1.673 75.PADDO to 75.PAD RA[7] + -------- + 2.533 (75.3% logic, 24.7% route), 3 logic levels. + +Report: 3.552ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.554ns (74.7% logic, 25.3% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.554ns delay SLICE_63 to RA[6] (totaling 3.573ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.573ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.231 R5C10A.Q0 to R4C10D.B0 nRowColSel +CTOF_DEL --- 0.101 R4C10D.B0 to R4C10D.F0 SLICE_163 +ROUTE 1 0.416 R4C10D.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 1.673 68.PADDO to 68.PAD RA[6] + -------- + 2.554 (74.7% logic, 25.3% route), 3 logic levels. + +Report: 3.573ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.630ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.611ns (73.0% logic, 27.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.611ns delay SLICE_63 to RA[5] (totaling 3.630ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.630ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.283 R5C10A.Q0 to R2C9A.C1 nRowColSel +CTOF_DEL --- 0.101 R2C9A.C1 to R2C9A.F1 SLICE_157 +ROUTE 1 0.421 R2C9A.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 1.673 70.PADDO to 70.PAD RA[5] + -------- + 2.611 (73.0% logic, 27.0% route), 3 logic levels. + +Report: 3.630ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.630ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.611ns (73.0% logic, 27.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.611ns delay SLICE_63 to RA[4] (totaling 3.630ns) meets + 0.000ns hold offset RCLK to RA[4] by 3.630ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.283 R5C10A.Q0 to R2C8B.C1 nRowColSel +CTOF_DEL --- 0.101 R2C8B.C1 to R2C8B.F1 SLICE_158 +ROUTE 1 0.421 R2C8B.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 1.673 74.PADDO to 74.PAD RA[4] + -------- + 2.611 (73.0% logic, 27.0% route), 3 logic levels. + +Report: 3.630ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.615ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.596ns (73.5% logic, 26.5% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.596ns delay SLICE_63 to RA[3] (totaling 3.615ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.615ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.226 R5C10A.Q0 to R3C10C.D0 nRowColSel +CTOF_DEL --- 0.101 R3C10C.D0 to R3C10C.F0 SLICE_162 +ROUTE 1 0.463 R3C10C.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 1.673 71.PADDO to 71.PAD RA[3] + -------- + 2.596 (73.5% logic, 26.5% route), 3 logic levels. + +Report: 3.615ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.527ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.508ns (76.0% logic, 24.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.508ns delay SLICE_63 to RA[2] (totaling 3.527ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.527ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.145 R5C10A.Q0 to R5C9C.C0 nRowColSel +CTOF_DEL --- 0.101 R5C9C.C0 to R5C9C.F0 SLICE_161 +ROUTE 1 0.456 R5C9C.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 1.673 69.PADDO to 69.PAD RA[2] + -------- + 2.508 (76.0% logic, 24.0% route), 3 logic levels. + +Report: 3.527ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.549ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.530ns (75.4% logic, 24.6% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.530ns delay SLICE_63 to RA[1] (totaling 3.549ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.549ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.226 R5C10A.Q0 to R3C10C.D1 nRowColSel +CTOF_DEL --- 0.101 R3C10C.D1 to R3C10C.F1 SLICE_162 +ROUTE 1 0.397 R3C10C.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 1.673 67.PADDO to 67.PAD RA[1] + -------- + 2.530 (75.4% logic, 24.6% route), 3 logic levels. + +Report: 3.549ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.471ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.452ns (77.8% logic, 22.2% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.452ns delay SLICE_63 to RA[0] (totaling 3.471ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.471ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.229 R5C10A.Q0 to R3C10D.D1 nRowColSel +CTOF_DEL --- 0.101 R3C10D.D1 to R3C10D.F1 SLICE_159 +ROUTE 1 0.316 R3C10D.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 1.673 66.PADDO to 66.PAD RA[0] + -------- + 2.452 (77.8% logic, 22.2% route), 3 logic levels. + +Report: 3.471ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.300ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 2.281ns (79.2% logic, 20.8% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_59 and + 2.281ns delay SLICE_59 to nRCS (totaling 3.300ns) meets + 0.000ns hold offset RCLK to nRCS by 3.300ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C11B.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C11B.CLK to R4C11B.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 0.475 R4C11B.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 1.673 57.PADDO to 57.PAD nRCS + -------- + 2.281 (79.2% logic, 20.8% route), 2 logic levels. + +Report: 3.300ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.362ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 2.343ns (77.1% logic, 22.9% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_35 and + 2.343ns delay SLICE_35 to RCKE (totaling 3.362ns) meets + 0.000ns hold offset RCLK to RCKE by 3.362ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C7B.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C7B.CLK to R4C7B.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 0.537 R4C7B.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 1.673 53.PADDO to 53.PAD RCKE + -------- + 2.343 (77.1% logic, 22.9% route), 2 logic levels. + +Report: 3.362ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.194ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 2.175ns (83.0% logic, 17.0% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_62 and + 2.175ns delay SLICE_62 to nRWE (totaling 3.194ns) meets + 0.000ns hold offset RCLK to nRWE by 3.194ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C11A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11A.CLK to R5C11A.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 0.369 R5C11A.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 1.673 49.PADDO to 49.PAD nRWE + -------- + 2.175 (83.0% logic, 17.0% route), 2 logic levels. + +Report: 3.194ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.322ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 2.303ns (78.4% logic, 21.6% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_60 and + 2.303ns delay SLICE_60 to nRRAS (totaling 3.322ns) meets + 0.000ns hold offset RCLK to nRRAS by 3.322ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C11A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C11A.CLK to R4C11A.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.497 R4C11A.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 1.673 54.PADDO to 54.PAD nRRAS + -------- + 2.303 (78.4% logic, 21.6% route), 2 logic levels. + +Report: 3.322ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.300ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 2.281ns (79.2% logic, 20.8% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_57 and + 2.281ns delay SLICE_57 to nRCAS (totaling 3.300ns) meets + 0.000ns hold offset RCLK to nRCAS by 3.300ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C11B.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11B.CLK to R5C11B.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 0.475 R5C11B.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 1.673 52.PADDO to 52.PAD nRCAS + -------- + 2.281 (79.2% logic, 20.8% route), 2 logic levels. + +Report: 3.300ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.540ns (75.1% logic, 24.9% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.540ns delay SLICE_63 to RDQMH (totaling 3.559ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.139 R5C10A.Q0 to R5C9B.D1 nRowColSel +CTOF_DEL --- 0.101 R5C9B.D1 to R5C9B.F1 SLICE_151 +ROUTE 1 0.494 R5C9B.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 1.673 51.PADDO to 51.PAD RDQMH + -------- + 2.540 (75.1% logic, 24.9% route), 3 logic levels. + +Report: 3.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.470ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.451ns (77.8% logic, 22.2% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.451ns delay SLICE_63 to RDQML (totaling 3.470ns) meets + 0.000ns hold offset RCLK to RDQML by 3.470ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.145 R5C10A.Q0 to R5C9C.C1 nRowColSel +CTOF_DEL --- 0.101 R5C9C.C1 to R5C9C.F1 SLICE_161 +ROUTE 1 0.399 R5C9C.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 1.673 48.PADDO to 48.PAD RDQML + -------- + 2.451 (77.8% logic, 22.2% route), 3 logic levels. + +Report: 3.470ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.236 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.561 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.608 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.552 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.573 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.630 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.630 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.615 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.527 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.549 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.471 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.300 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.362 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.194 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.322 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.300 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.559 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.470 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html new file mode 100644 index 0000000..7a7fffc --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_bgn.html @@ -0,0 +1,152 @@ + +Bitgen Report + + + + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html new file mode 100644 index 0000000..eeaaea8 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_iotiming.html @@ -0,0 +1,198 @@ + +I/O Timing Report + + +
    I/O Timing Report
    +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO2-640HC
    +Package:     TQFP100
    +Performance: 5
    +Package Status:                     Final          Version 1.39.
    +Performance Hardware Data Status:   Final          Version 34.4.
    +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO2-640HC
    +Package:     TQFP100
    +Performance: 6
    +Package Status:                     Final          Version 1.39.
    +Performance Hardware Data Status:   Final          Version 34.4.
    +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO2-640HC
    +Package:     TQFP100
    +Performance: M
    +Package Status:                     Final          Version 1.39.
    +Performance Hardware Data Status:   Final          Version 34.4.
    +// Design: RAM2GS
    +// Package: TQFP100
    +// ncd File: ram2gs_lcmxo2_640hc_impl1.ncd
    +// Version: Diamond (64-bit) 3.12.0.240.2
    +// Written on Tue Aug 17 06:21:03 2021
    +// M: Minimum Performance Grade
    +// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml
    +
    +I/O Timing Report (All units are in ns)
    +
    +Worst Case Results across Performance Grades (M, 6, 5, 4):
    +
    +// Input Setup and Hold Times
    +
    +Port    Clock Edge  Setup Performance_Grade  Hold Performance_Grade
    +----------------------------------------------------------------------
    +CROW[0] nCRAS F    -0.195      M       1.729     4
    +CROW[1] nCRAS F    -0.218      M       1.801     4
    +Din[0]  PHI2  F     6.339      4       1.186     4
    +Din[0]  nCCAS F     1.641      4       0.107     M
    +Din[1]  PHI2  F     6.084      4       1.570     4
    +Din[1]  nCCAS F     0.198      4       1.314     4
    +Din[2]  PHI2  F     3.778      4       1.771     4
    +Din[2]  nCCAS F     0.075      4       1.431     4
    +Din[3]  PHI2  F     4.331      4       1.705     4
    +Din[3]  nCCAS F    -0.116      M       1.722     4
    +Din[4]  PHI2  F     6.176      4       1.711     4
    +Din[4]  nCCAS F     1.065      4       0.575     4
    +Din[5]  PHI2  F     4.684      4       1.261     4
    +Din[5]  nCCAS F    -0.081      M       1.625     4
    +Din[6]  PHI2  F     5.243      4       0.356     4
    +Din[6]  nCCAS F     1.414      4       0.309     4
    +Din[7]  PHI2  F     6.602      4       1.175     4
    +Din[7]  nCCAS F    -0.286      M       2.137     4
    +MAin[0] PHI2  F     5.034      4       0.629     4
    +MAin[0] nCRAS F     1.094      4       0.380     4
    +MAin[1] PHI2  F     6.081      4       1.157     4
    +MAin[1] nCRAS F     0.544      4       0.877     4
    +MAin[2] PHI2  F     9.979      4      -0.319     M
    +MAin[2] nCRAS F    -0.050      M       1.401     4
    +MAin[3] PHI2  F     9.162      4      -0.219     M
    +MAin[3] nCRAS F     1.032      4       0.440     4
    +MAin[4] PHI2  F    11.678      4      -0.770     M
    +MAin[4] nCRAS F    -0.150      M       1.620     4
    +MAin[5] PHI2  F     8.668      4      -0.081     M
    +MAin[5] nCRAS F    -0.050      M       1.401     4
    +MAin[6] PHI2  F     8.516      4      -0.025     M
    +MAin[6] nCRAS F     1.003      4       0.478     4
    +MAin[7] PHI2  F     9.320      4      -0.061     M
    +MAin[7] nCRAS F     1.001      4       0.478     4
    +MAin[8] nCRAS F    -0.146      M       1.657     4
    +MAin[9] nCRAS F    -0.360      M       2.140     4
    +PHI2    RCLK  R     3.079      4      -0.602     M
    +nCCAS   RCLK  R     3.574      4      -0.705     M
    +nCCAS   nCRAS F     3.232      4      -0.351     M
    +nCRAS   RCLK  R     2.757      4      -0.470     M
    +nFWE    PHI2  F     5.913      4       0.723     4
    +nFWE    nCRAS F     0.547      4       0.890     4
    +
    +
    +// Clock to Output Delay
    +
    +Port   Clock Edge  Max_Delay Performance_Grade  Min_Delay Performance_Grade
    +------------------------------------------------------------------------
    +LED    RCLK  R    10.062         4        3.164          M
    +RA[0]  RCLK  R    10.645         4        3.471          M
    +RA[0]  nCRAS F    11.744         4        3.770          M
    +RA[10] RCLK  R     9.485         4        3.236          M
    +RA[11] PHI2  R    11.513         4        3.824          M
    +RA[1]  RCLK  R    10.921         4        3.549          M
    +RA[1]  nCRAS F    12.664         4        4.036          M
    +RA[2]  RCLK  R    10.923         4        3.527          M
    +RA[2]  nCRAS F    12.463         4        3.984          M
    +RA[3]  RCLK  R    11.178         4        3.615          M
    +RA[3]  nCRAS F    12.304         4        3.917          M
    +RA[4]  RCLK  R    11.365         4        3.630          M
    +RA[4]  nCRAS F    13.243         4        4.179          M
    +RA[5]  RCLK  R    11.365         4        3.630          M
    +RA[5]  nCRAS F    12.940         4        4.098          M
    +RA[6]  RCLK  R    11.099         4        3.573          M
    +RA[6]  nCRAS F    12.162         4        3.870          M
    +RA[7]  RCLK  R    10.948         4        3.552          M
    +RA[7]  nCRAS F    12.282         4        3.936          M
    +RA[8]  RCLK  R    11.114         4        3.608          M
    +RA[8]  nCRAS F    12.909         4        4.116          M
    +RA[9]  RCLK  R    11.005         4        3.561          M
    +RA[9]  nCRAS F    12.959         4        4.081          M
    +RBA[0] nCRAS F    11.842         4        3.911          M
    +RBA[1] nCRAS F    11.343         4        3.771          M
    +RCKE   RCLK  R     9.884         4        3.362          M
    +RDQMH  RCLK  R    10.941         4        3.559          M
    +RDQML  RCLK  R    10.641         4        3.470          M
    +RD[0]  nCCAS F    12.628         4        4.413          M
    +RD[1]  nCCAS F    12.231         4        4.302          M
    +RD[2]  nCCAS F    12.231         4        4.302          M
    +RD[3]  nCCAS F    11.928         4        4.221          M
    +RD[4]  nCCAS F    12.427         4        4.361          M
    +RD[5]  nCCAS F    12.697         4        4.400          M
    +RD[6]  nCCAS F    12.427         4        4.361          M
    +RD[7]  nCCAS F    12.427         4        4.361          M
    +nRCAS  RCLK  R     9.674         4        3.300          M
    +nRCS   RCLK  R     9.674         4        3.300          M
    +nRRAS  RCLK  R     9.797         4        3.322          M
    +nRWE   RCLK  R     9.275         4        3.194          M
    +WARNING: you must also run trce with hold speed: 4
    +WARNING: you must also run trce with setup speed: M
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj new file mode 100644 index 0000000..547499e --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_lattice.synproj @@ -0,0 +1,41 @@ +-a "MachXO2" +-d LCMXO2-640HC +-t TQFP100 +-s 4 +-frequency 200 +-optimization_goal Balanced +-bram_utilization 100 +-ramstyle Auto +-romstyle auto +-dsp_utilization 100 +-use_dsp 1 +-use_carry_chain 1 +-carry_chain_length 0 +-force_gsr Auto +-resource_sharing 1 +-propagate_constants 1 +-remove_duplicate_regs 1 +-mux_style Auto +-max_fanout 1000 +-fsm_encoding_style Auto +-twr_paths 3 +-fix_gated_clocks 1 +-loop_limit 1950 + + + +-use_io_insertion 1 +-resolve_mixed_drivers 0 +-use_io_reg auto + + +-lpf 1 +-p "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC" +-ver "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v" +-top RAM2GS + + +-p "C:/lscc/diamond/3.12/ispfpga/xo2c00/data" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1" "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC" + +-ngd "RAM2GS_LCMXO2_640HC_impl1.ngd" + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd new file mode 100644 index 0000000..a89e71e --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.asd @@ -0,0 +1,24 @@ +[ActiveSupport MAP] +Device = LCMXO2-640HC; +Package = TQFP100; +Performance = 4; +LUTS_avail = 640; +LUTS_used = 255; +FF_avail = 719; +FF_used = 119; +INPUT_LVTTL33 = 25; +OUTPUT_LVTTL33 = 30; +BIDI_LVTTL33 = 8; +IO_avail = 79; +IO_used = 63; +EBR_avail = 2; +EBR_used = 0; +; +; start of EFB statistics +I2C = 0; +SPI = 0; +TimerCounter = 0; +UFM = 0; +PLL = 0; +; end of EFB statistics +; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam new file mode 100644 index 0000000..7137797 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.cam @@ -0,0 +1,94 @@ +[ START MERGED ] +n4935 Ready +n4933 nRowColSel_N_35 +n2557 nRowColSel_N_34 +nRWE_N_209 nRWE_N_210 +PHI2_N_151 PHI2_c +RCLK_c_enable_22 InitReady +[ END MERGED ] +[ START CLIPPED ] +GND_net +VCC_net +FS_972_add_4_1/S0 +FS_972_add_4_1/CI +FS_972_add_4_19/S1 +FS_972_add_4_19/CO +[ END CLIPPED ] +[ START DESIGN PREFS ] +SCHEMATIC START ; +# map: version Diamond (64-bit) 3.12.0.240.2 -- WARNING: Map write only section -- Tue Aug 17 06:20:50 2021 + +SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ; +LOCATE COMP "RCLK" SITE "63" ; +LOCATE COMP "nFWE" SITE "15" ; +LOCATE COMP "nCRAS" SITE "17" ; +LOCATE COMP "nCCAS" SITE "9" ; +LOCATE COMP "Din[0]" SITE "3" ; +LOCATE COMP "Din[1]" SITE "96" ; +LOCATE COMP "Din[2]" SITE "88" ; +LOCATE COMP "Din[3]" SITE "97" ; +LOCATE COMP "Din[4]" SITE "99" ; +LOCATE COMP "Din[5]" SITE "98" ; +LOCATE COMP "Din[6]" SITE "2" ; +LOCATE COMP "Din[7]" SITE "1" ; +LOCATE COMP "CROW[0]" SITE "10" ; +LOCATE COMP "CROW[1]" SITE "16" ; +LOCATE COMP "MAin[0]" SITE "14" ; +LOCATE COMP "MAin[1]" SITE "12" ; +LOCATE COMP "MAin[2]" SITE "13" ; +LOCATE COMP "MAin[3]" SITE "21" ; +LOCATE COMP "MAin[4]" SITE "20" ; +LOCATE COMP "MAin[5]" SITE "19" ; +LOCATE COMP "MAin[6]" SITE "24" ; +LOCATE COMP "MAin[7]" SITE "18" ; +LOCATE COMP "MAin[8]" SITE "25" ; +LOCATE COMP "MAin[9]" SITE "32" ; +LOCATE COMP "PHI2" SITE "8" ; +LOCATE COMP "RDQML" SITE "48" ; +LOCATE COMP "RDQMH" SITE "51" ; +LOCATE COMP "nRCAS" SITE "52" ; +LOCATE COMP "nRRAS" SITE "54" ; +LOCATE COMP "nRWE" SITE "49" ; +LOCATE COMP "RCKE" SITE "53" ; +LOCATE COMP "nRCS" SITE "57" ; +LOCATE COMP "RA[0]" SITE "66" ; +LOCATE COMP "RA[1]" SITE "67" ; +LOCATE COMP "RA[2]" SITE "69" ; +LOCATE COMP "RA[3]" SITE "71" ; +LOCATE COMP "RA[4]" SITE "74" ; +LOCATE COMP "RA[5]" SITE "70" ; +LOCATE COMP "RA[6]" SITE "68" ; +LOCATE COMP "RA[7]" SITE "75" ; +LOCATE COMP "RA[8]" SITE "65" ; +LOCATE COMP "RA[9]" SITE "62" ; +LOCATE COMP "RA[10]" SITE "64" ; +LOCATE COMP "RA[11]" SITE "59" ; +LOCATE COMP "RBA[0]" SITE "58" ; +LOCATE COMP "RBA[1]" SITE "60" ; +LOCATE COMP "LED" SITE "34" ; +LOCATE COMP "Dout[0]" SITE "76" ; +LOCATE COMP "Dout[1]" SITE "86" ; +LOCATE COMP "Dout[2]" SITE "87" ; +LOCATE COMP "Dout[3]" SITE "85" ; +LOCATE COMP "Dout[4]" SITE "83" ; +LOCATE COMP "Dout[5]" SITE "84" ; +LOCATE COMP "Dout[6]" SITE "78" ; +LOCATE COMP "Dout[7]" SITE "82" ; +LOCATE COMP "RD[0]" SITE "36" ; +LOCATE COMP "RD[1]" SITE "37" ; +LOCATE COMP "RD[2]" SITE "38" ; +LOCATE COMP "RD[3]" SITE "39" ; +LOCATE COMP "RD[4]" SITE "40" ; +LOCATE COMP "RD[5]" SITE "41" ; +LOCATE COMP "RD[6]" SITE "42" ; +LOCATE COMP "RD[7]" SITE "43" ; +PERIOD NET "PHI2_c" 350.000000 ns ; +USE PRIMARY NET "RCLK_c" ; +PERIOD NET "nCCAS_c" 350.000000 ns ; +USE PRIMARY NET "PHI2_c" ; +PERIOD NET "nCRAS_c" 350.000000 ns ; +USE PRIMARY NET "nCRAS_c" ; +PERIOD NET "RCLK_c" 16.000000 ns ; +USE PRIMARY NET "nCCAS_c" ; +SCHEMATIC END ; +[ END DESIGN PREFS ] diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr new file mode 100644 index 0000000..1264102 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.hrr @@ -0,0 +1,10 @@ +--------------------------------------------------- +Report for cell RAM2GS + Instance path: RAM2GS + Cell usage: + cell count Res Usage(%) + SLIC 131.00 100.0 + LUT4 235.00 100.0 + IOBUF 63 100.0 + PFUREG 119 100.0 + RIPPLE 10 100.0 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_map.ncd new file mode 100644 index 0000000000000000000000000000000000000000..953ea66735f31dda20b743abfbe899d231d85ee0 GIT binary patch literal 212106 zcmeEP2YepIvHw2z0+?PCZ~_E_f#|C$V2G+wELpZ>V-o_3!3IQ;DJHbU2-176r1#!? z@4fe4UwZPA*I!=qo1M9x|LxwKWQg>|!0G3eb#uG3yEF5j*>-nF9PyXGl&e4bNNIj) z{%H4bb?sPb+c8hMy<95axU+h3TU%+UcX;ba^|WTa?VR4yri}v|DnzW8=;v_vYp;KP zMKzR4%LYe!HjfSVZSAU*>2fI(mxI{msHK?7dCM`iYUZsu>f$(W-BB0C)P|!jjHyjW zT@X{-9hHPczfB{O-O+X#1y7LOhF371W^kWee1i2`x75B z+&wY5s!VYxy?OXntz}By0mw6WXr0GRi1l2&3*3wl0K@w-73z4$iK~6 z$-m7K%fHRC%fBsf$ZZ5A^Gi=JRB_AsrCF$p zNBc}`7eAeQmqi-fO-WP?Iai|M!Gpr7K8q*Mz02~fNZlBmSv2)U8U)DP^JlsFpJJ>zM`Ic@NT+gmhg5-vC2CE^R zL&tuuo##{NxnNbNM4x58T!jm!G9=*%8H9riKIapXFIZKHIk1NILxv0oR?>3v@#jgt3ZT?VyUqlyU zrKo3HyM(>vdOz-j%nP z!ixjrQ4K zlQ^iiGreac)!RwuRk-Y`Qo@aeBcV`>$Fh-FsJCO$$n-{U$C8nGj^2(1BNHCI9m}P` zZC@}s+AHW&s5IEWE*R|{S{Gua!Inxl)rm!HQ62)j`nRFJ|}EnlQGVBqG-ttPt}$PT3f^IPu))>t@pVbsr!kj-Th7HZRqdf z#?BjD&+SnvH`G&I`#G;f;4C>OH}@%VRKSyU1T4LbMUpmX;PI``k8vj7Y_OTeJB2n@O{16xNDyfQM7 z$dx5a+{%bXW^37|NW3!WIU503~3PrrVLC-M(+slZ9&vFs>ZqP#$Q0WHFN~wXbQfdIxQd&l7Ii>w5?N8|dN-HQGNa-L-2U9wP(xH?NqvSR`j-YfTrK2bvP3ag)_n~xO zO2<+_oH-wO2<<=fzpYT9zf|NN~2GQ*r`nqg0_(rBtKj zc3T>hnv~ipbx`W0)J5qGN@r49L+LC^XH$9*rEW?+lzJ)kQR=5OKxr+d2UA){X^7G= zrS+6XC~cs$k)Lnxh3>7kS^pmZUnaY_%Pw2jh5lrE;U zozf0Umr%Nt(q)t;C|yqJ3QAW}x{A_HN>@|5hSIf^uA{Vz(!(j;KV zC_R_b^C&%^(hDiwLFvVmUP|fZlwL{c)s$XK>GhP}Na;4TI$MCrqnK0@iEls-o364T`Md{O&K11oVls-r4 z^OU|o>5G)UMCr?vzC!7%l)gsk>y*Ah>6?_kMd{m=zC-D|l)gvl`;>k_>4%hlMCr$r zenRP|lzv9(=ahay>6euLfzq!i{hHEmDE*ew?~f1>oy zl>UX%zf$@)O8-vjKPdetrN2`8FG~MS>3=BwFQxyZv_~LYF4O<>D9xv|fYL%rizqFo zw1m=9O3NrMr?elX{V5$lX$7SNDIG-VU`mHjI+W63ln$qK1f?S>9YyJAO2<&T52gE3 zI+oILlvYx@AEoZR02sh`pSrL~mKp)^S8!Iaif z8lp5zX+5P8N*gF`q%=xtjM64bn<<@3X$z&Tl+L5{5K8A$dMKp}C|yWtoYKQ6ZKHG% zrHd(Tr?i98C6q3ubQz@yN|#f*g3^_guA($aX(y$tDP2S9T1wYZ+C}MlN)M-W1Em`& z-9+hTO1DsY1f@q(+D++JN>h|>qjWo^M^Sn-rN>ZuETzX$dOW2kPq_DSd*{CnFuMxYj5fD(Pt9r`)az80H1kiwx9yTCPFnQt+ zO(5hI3gEDb%6M)3%IkNHU%TV#@mie>m@b?+r`#|1bJ#>Rm8DrVvaIOt8@v9Zt0s2s z+Od7SQ4gDS&_t!#-b`3z*)N@_)GU_0l{CxH3Kve)+uA~nEphT4Z&X5?V5wJ$94f;# zi8@l{j%S;+WWs9E{=HXi@1NXu(Um)>i=jr2qFb~?+`oQ&aJ<=>+tvLvTcaMTVTG@8 zeB1WR$E%?L4_0d;v1@e4w(U2KH)Jt-Uib zA6Ih9{c=CFr^-ec_Gqc2IwK4juNdvFRLEc&NkbijDfi3$5GT6XsD^fS2)aBt-X{HX zxu@JO_j8bISx2t5EOTqHWDAX2+@Wftt!bcei7P1@c z&i|C@k8>z3=-E8rn5^X+jyjm4o-@T{`n5DsXgyTup=4lUpLrJSOc0K&J?x*8W*c=Q zQ~+B^K3b0nFj31lr{x}EjYe4QQM5?jJw_^~x;trPkmGI+^x5i`3YN;I8usq4op?QP6H>nsjXXO+E2ttD$GQHPd6t=-a}wASim zNQ)Cv6T8J%jE*)(ZBfE=QDUVh+{LcZCqdRZt-FfBMjw`9bVc;OX?bW7H$YH;3 zVt;IWU~G7NB2?1~H6rKOD`Df8Bt=459WL&|Sq*$@d#I#?soRxw2b-be_na-<(jcS~ zHFC1vUaO5?MB`T$nFHgL`{jO|B6m=4siT#x_JD$T^AA0P~A?JO;#Rfb+V*su*&kb zDJIjeWyEAVonVR0$i?WaF%qi%cr_&p$7{;{az9`g+79SpOXrheN=f?d+W7=ZUgwXW zq6_{(@z9oF*P-P+nM!#sPnr@Q>BfbocZ}ID#!HxS>4a%YXkBQMpohjpgYE>4zGd9? zXQdkbOrz1Ep|ZIpj@9tMaD8q}*$i#YHSF5!c9GqLT3Sf9DC0+NnA67#QjL1PHp-#o z1{#SsLUp+E;tRDrEsLlo?$Gk{39OvO{c_dNs)=TM@f2O~kF(=OnDJ$F!)x}XQ=E%_ zxr`F@nBq9nx{GFf`4p4s7iFx-0G}x?PBdo_w(?U zd1oU%NHHpi#s}7bXE6oy?l}A=#ISBRpUN8(9W+s`b>t8A$XSFEd{0V(?^X%A=B8?3 z9LEC;h%EF+DUpSy9gatvfyk&8@PLPE?Wr~dIhOJOp2h)T)jUyg!-LRSPylF}(;W;I zJ9+Ss9S)K>EA8UPS<&sG7dVX8x;n6m=B7i4- za(AZOFZbijIu-Pd_8y>fz^q;mC2QzxXPqPy$)l2X-zd;O=3AtI?-;+2jAf$WfVJ>X*>(N3wjvMXa?I(fi`8! zp;avLka0qta=+ZqDO9R6y;Mn|A*I7aB{Ma>8aDCJa6N-z6S{`TJ0+plHE7EHazCMJ zqEYFR32R|}(U#G^c)%jGP!xw>b^VRw^=kV#i6qroSL>Af<$l8DuV$W7xwy+e<$k#z zvb7G1lUaue#f3%$dMKkqCu!$3ipCeR zutb$lP)}e{?IC89v0x~ggc#QEkR)v6w}W_CY=u`SH$Pf^hJ->`gC6SFEpDbIlGOzb zyesAsx{G+y#%!S@1L1T+I8N_dY!QXEmwBz zB-QJTMVe2m1^J_HHwNrTjpbasr`#|1Nw+P8!`slG)ilE| z>_6G80OhXKl>6m=oHa^N%UYw0X*2@0Z_o-Zn}K68<$k%JCEm8(#gzNyew^%5bqM}K z*->a|(sH{PmXkm;G*6z-SPOE%eM-@;(kb`L{e+Tl&-09-Mu7X!F5L*L8IG`;VPpyi z@Xh>@eloBMODDuI?nYLhA5kzY6LIsfD(rw?We%$igJm=E1WtNW?w9+C8c|CF*xjFU zzueFAts|pu|B`lGLkNVGG^WRQ#$Lh(3q&niD7sMj9ic431`$Nqgj^q{+%NYNmT!bc z0^3U*VrCy+ni-m$&_eTGIzfh##hI%envCW?Xqr=Vv?Cji`=U*>B!Gs}ONgQPj&hH_ zjdv!|n$|B|w9ZP&p|iu-Irj@fj>#=z6%8{cAjdMk4XC?SUZ#Rx4w zh@r-O7#|r2#rA}sGoLB<%l)8x&bigB=o;!XT9i97qX=v7B++3Q%@ShhZ{4OdtwQA4 zZ8$TI4rsWr(AH^q|7;_zH33)L9KU3XTZ8sUl2#2_Mi#UaxkR=pE0UpY@_0KLPdH^x zxnJ&wZd7U5l#PSg79=L?N=><6?#D@j7ICu0-MNj;1H*mWc5Nds8aka7a&PRiiA!iH zJ{qoP>$O~YHdiv3b&Z;GzuXT(U}#UEhbEQfY5^ge%iMp{nQ0}gJhjpq)=sA$hSrT= zy=}W|`jq?Se&oqE`eVvnxt}HOdC_b>eu|WCPq{qqXDL5%n#q_vh)NlzTrT%RIo*kY zxa#C#SIRKua=D+SZcT{tjfZ_&krSEOOTNFzYh+fVv^r#r)uUBw1t*MJt&j*)C(Z zn^oCjek45RteGRuoH>_ss5apo=?%>Y6(^Y^%^`EBI>{XA4w*ycN#;m<$Q-IqGDrGD z=1_r>Io6dt?kmg3~k}_RL&xoHPX&fH;~RMe35%QLWXOSHRkGGv=E|kF`d_RTm#eMH#MYfa+!NyhEe%q zevd{}J2HpWOXjG4WDc!PGDmiY%%SB;=E(MtIkY~>9N8Z-hZZQABO65K&em&Bbbtc1%uNMC>v07lJ-7#L zo*^>C?=O5|Y-q5zpByefRLU3hj2ivSr%dk}9_j1v>h0ZJT{+snw$gR{$a?oj8~?Gf z(zS8r#y0vjQ0W?2InYMG){c#K^$m`7_YeYC(olJL^VTlV2YY?L{6kDa%^ zcjeI7=*W6UZ*xrf#sKl@9qFR9($(74HP*JK?Z!*CZQFM0SS9(ZtE-2Ir}nyEeePEe z-8r?_{pzD%E8SzH3miA;(!6yQ|3}iv1+Fhn1oLR*PQ7t|mP_+C`g|LceDmmBGD~IN zKth>M{S(sSb#3b^)ONXABwdw@h1}WX2K7;MEf^Wtns8h=uvN*6L?}|gD-?5ADCg>;)8o<{ zS6BL?n!c#%1=kf^p%m8@+(WsAeH-YlvA(KaXW9N@)ET#2xJR!Sl8g`VZ|WNCqb*>5 zUVQ<}-`(6lbu)R<30q|59zR_!ChE|*aQ)iBK7KLCgGhO}>p1K`d%sO1G8HmKz#A`)g|P|J%%EKpYfVg_{^t{K$N7f>fI_ud8S zB>?r}dk?6W7NBl1sQKM5IiTiu-Q<8;B0LW!H8OLgt^vdh>ME`o)GrWFCxfSZ7pRv5 z)XVNYpx&H`4k{qH@X zUQvL$wV>|c=$Zp+oi|r_G9JvgrJNC|+W}$*braVN>Q4!%8}~*~9|TYzc<%xA!3C&W z3hHWAfNL+5F(~cfx4hNZiqxF|F_-VawF7l|p@6!1Zv^$B0QDjF9#9`vfV#Dymbb0j zky_q1n*(Z{Z>JW*IC`H65HqN|aLu4TN3Rkud4FGeH*^X*Wrx8^yz@4gJ`)aknAua z`SAdfsa@tS+W+mN9o=ZB`L1p<^QLLn@JzeS1B6xCZEkBc8eLu8yvICCTh2!z=pK3R zLG956YUdEOvgMqf~tFE<4NgjfSE7|*nkfwJo>wS*8Hw(l& zO^Dya50cyms6OW2gX;ShsGf6Fhv1YUu{}T5EhuBrOINw$q4Ze*F#~@Mt{K$31=RF- z1dllRDn1@IG_rQEcjdZDSJyd}HLG^_owBO;lvO>abf0|k$t%~YmOgWe{OP+the7C9-~t?UG$$nR7QV}kDS{-IxctRXqjY7pD#0x zhtUxFxYE3Jd=TtdhH`RpMn~8y?K=!0Msimd{WsRXX$_^lJP?|NbY->?eSeSW`;SUNX{N4)3ZwXlCd?bydZ+zD{;*zJ}rTveIEJs>2R0~S$TP9 zbuZR$?^Jx8>1p6u43ad-Z1aeGpoz#zfye@pvw_I$gT2)@-j8t)n8!oc>U2A>)vA0< zIy0_a0N`@``~|KV$bU+JY%l7*(g3m>!1_&?y;&=|^U%$YrF*${L=did2v-vb6Rp92 z@|H}!fN%lf*@JL8s8&*k3^U6|sU1~a6XFAie+4cbPU*9{dY^H6Ag*g}S@)m>xAwB` z6=~ece3aK)(_5s|OgXV7de_!}((}xw8A96&{1y0{4gAf|#K}rMcOmvffQWk|~xOT4V5)fX5j+}*RfTM;-LW6&92Cbjni&oey&&%nU* zEDSu?6bLL3I2#CDk_mjZHV%B&+_Bc+L8jl)Oz&Fih*UN^)3@}9U+Oh7eCFU2Krjzh zABAg1^kQ7IS6GrD+X!^> z@A|R6^vL#XGsHfq0C)lL*#Nk;gA#!?eUi7@k*pj=rw__I07f44{!0Qzd+GLRX)q>( zUfnARcTjpy={Dgx(}K?|40C&YknJ`x*jr$*z~F3PFuOooY0q7tt;r!hA7s;OLN#8U zwFbxUj?npGTyrQrIYFzvKKrsXT7BqD&K3|4u$GEP!j}QA99)maHN!V~83cXC$eP>kL(8;-W*~iV zfy4rdvw_5Unb~T!qM(XKtfjw5%xl;q)c2-iUV2$Jy(+tkj@{835uG>CldyN;krG`N zl1IMWJaSfNleAzv@vZR}R zHoKV@Sz6(80}9mYHx_X(}=tdj8@r=-Ju3e# zPp6D}*lsjoJ66E9fbDF+HXC)TZNW}VxPr@Z(+A}Av{fG2Hr9_yKLmnZ>GC+Pc>?-? z1kv`hW!6F6Y=GtGRx$_Z!{y(<4~{o`9B(pld~Si`0>`s~V+p@$L{_6yerX`rs)}(v z#K-%l&j2_X>ZjtG!Fr5{MX{c7)gEikY!fUys=q-RP!}$Mj%`iS8fw6tu!HT)l!Pvhxr6(C< z`;8ph?z!_XNtuPc*YiD&A7bM8p#_c$9M27oD@}%%h2vU<4;uNsn*hhDLla>*emro? zIDRXxxuTO29NS;gxx)y@{B(C_?#r)akcqLI0Vgx$=ulG&PEB0sk$ZuO-0=dr1#;&G zxm6hv%LF#_V4HmR&VqHdBj>TU2|$&ny~p92q5B^RbnPvqS6I+ZpDE_$s`%L9ULthP z&K}Zk(Ke6ZhnWbzs6cRm;JHC?P2S#MfwbmVqO-3{YUak?_W-aA;K$*b0lYQ=u)SOK z^fZ9|xhHqvDaPzRa#Z+Y6Rz6}xE64o4Y(Rdh5hDk7L)R%xUrU8j=w>7Y=S|1Hz+u^ zpX}Jq_Os!703+`?)V+T$=Mo<}cO+P(aC#a4$q$5IT41rj;%s1Xapt5`Ou;R@2+L3~-vcroO1b zFEPWbLHBx;oCj&*&e45fV5R-Oq{}^$CxGNDf#fR+Bo|1Y8zfiaudoM8$?_R?KSE9~ zK{axhl2fmBU@RXA>@t?mz%^s}ISH2S?Of|sq4WTqdk}zLmrr{{{2whO(-q#tM0Yq- zG9q``ZA7z=kx=0lL?-gCZ3Oi^v(j$1)gUE&)JQkia<7J zS*mHTJI*}N2oJ+E z#_h9k&A5G9f?NAYhxO@>nb)q}SxSnz5oTMzbbY%C(MJ^!Eg(7@5H+H%Jml+8=m47D zZE7+;jh*9p(W~IfJt0ENAHy||lzS4y+HbG17PI8xLI0=%A(P)gg#q)vuz&m*A6Flp zfR^O zJ{i{x=_e(Sw$F6E$Z=@e>jl+sC=yWkh2)z^3&o8CR#??3z9(LgCoIk4FdKf9K;OPxE8$ zr((?g42-#-UI4iO@@xRv*o&&_=YXs+cWSPj9dqjeu=rN3mNOjxJpk`Gm*0VF_9v-F zj@bb`RvbWweKzc#7ssDv#_?wt@Gsy$8}PS=K2sy3~qn$sgv%p^VavK&t(&9Zcgsw70>md`Z*?6pI2bD z!0Oy!wOZ5nX2k)w+O7fD-3pewQveS}dPE`^D#_%g9ko= z>-Lk~fSewx;BhxST9Nr;(e(RKbnIjLo#Xi73dwy}p0Id<3F#LWkS-uSN04sn3C$R{ z^40)rn=17_EynFYh}&}ffaB_kO0C)Xzy!_qv97a?7|h42-P2HvU;o-3nlkV1S(#u? zpJJNf(WMtK$6A7Ylp) z_3uUE03_D}YH7l8;lNhpk;f~MC#h@+mE}jYeh*>Ld8Bowb6hIZ|1lNM=y8w zKyk2f=t=ImxR*RwcqhU0k^(#hcs$}5r~zDnId^Y1z*Ff=zLXhl!_0dbz{22pC9ZkI z_=AaBM?>LbA7mOZ;Ny_u_eJ?_a2`WEc65|G8*pA$V5Y!KYcZoExnPzx@Abfl!%XRW zxaNR!C1Ivk^P@BSDABNi83&o^A6$3Qh){KBq4SCYIt6rE37x9E1}!sIo4-Gx!r`VL z*9@I=Cg`VqmJp7=j<&$;7I2m#`@N@$x{dZV&k2DIps7D;_C}% zb!TYC0~K%b2P)o}fR%j1Tli0Y-ssK6?rX98Ivblr#@WYqojb(`Dk|;C61W_SOuv-Y z1-QggU8(dfKI~*{*_J*5v@`lYiECkpYvwUO@dn9OU*rw`YI%d+)$$Qh1W$4T(KpT{ z2S373Z`VqF9_ep$3+`Ce&^kF+#rv>bf5S%}?t%w-oA)4Zg$H>DJjmM%4^nuLx$+rGwurof(anJ_n>Qk}gS*VxRbUkAW0IfxH90H~lql|KyPyVY>+Xx5d?&zeac$ zg5`TX8sCFp`F;e;_Z7jiK;vwnQJytOpG3xsuq0Ln_w*^G_{_P}XJB?|r9}$}Z5k4Gwwh)vN)!;@^LjdHUck;Q50d&mRDuKMXv7 zsK9f9=h?t>_H`ZAMlgg;!?~$nZjq61dXlWK!;9Y4Q9cRC=Ac}|H6uNB&Y6+EG(oz3 zW~M6->HIRv0O;~r(wT;_AN4@{NCLEC80*HaZhbj@XgOKzXV9hT#bM^XJj`qiV;gM$ z*( zj>2zBaWC%XNseFRbC0eNaW%t%e)Y|~$oFXvhEEk>D8MjxV91V+svY|5bGTgo+6X6? z3Qj6*_IVWRkp1qy)vI_he08wQ$14NwjeatGBBby`UNfWQvmPa%DNs_Nq?IVCG6lXT9d^SSS`#g&5^9N^`VTE@m zGea&$)r?1SzUtxfl>#mWT;>ig+1YxfBWE7+`3MuQ_n5FZ@{LCmY1PU&1KZ1!bl%>d zd4B$NkBhGrxF~SZT3qN^^l%FD

    vV_qedPy|)^%B5#wA55Dkzhg-{%_Y@_01uruL z=9?Zc-zWf60H&pY>2x!4Hop)sUyA^9vY-uppm!S)VwK+>=gslEhK%ofWPGncMuCjELq>MZs@kSwr}*Ks zntY!tyJq#H2sE!rKvS`I)2;7JyZHtmEOGBZi4Pmj<`XlsdcgjN9;QD?U`nITAM>C5 zeEN@y1NOxM``I{PZ#{5Uw-oDr`|FA1die7a11{y97Ew4 zX2UULpS-Db=;Lz6W9OZzFMeduFD@k;-hT>o^GS!(aLwrdDy|v*U-9U-PeSw>=$AvK z?xnT-vcPcAtQ%P~%_o29QTK}kb;V;i#bY=nhqKxJra*Q+S#8UCn*8U$24iQd$A*0< zVzY$}dLT_Us$A$xeM3M(q6>%qFSio8*J$~bN6SAHXes8Ht({})3(+${s57}04?%ww z0qJ@VB>P;1^*|e+*!Ba+6p-8#4l}M*{>G!_*9BS%w9Fk^;?r=8pwp3dFP&F%|oR zWTk(P5ObYJjD5(#{AR6;ocw_|A4~XpAAXJ7pFJ4=F##j(O8pc6$-7d2DHflL#pl^r ze9pect;W#{`%dZiKGk@wST?M}Q+fXtA$1k5xy=1>&Fjne^GLSOWVG9{kIyCD`5Dl^ zcrg8Q5!s5!Hg}ONp7-y8(euLbgA=2#+Gi@P8Bj3i@xg2FUTF4j-s=Cgu=>L4TW0li zYFk4CTm3`4)!PRN>?eJa7jp)0yLTImejGQ`HsXJHO0 ztJ!CB%H;?$FZGbIci%r^kB*WJ__;cKXMF}@Ox`j-8!x*3t4HjACWxgO&wuluJmdMV zV#ZUOn2NyzA0!Q(yPj3Df8By^5O{4W4Pw&&|7fL)1fzcz}rU())|18 zXc!+R@G~TLoWPx7=Yw{%PdZb){9lj0|0&{S5ieUBFa3#h;{^*9dnLWR4DjJ0=3_m4 z?1KcKvEbvD()ksLx~A^mhnPB^qfeaA=JEB}{l3~BkKF&$xJly)@mKT_8G3|rV>0lb zw=tP_&KvNQfrK)D?bs-Pxv{i(UE8|K@aC;@wTM3aq!$bM<4?(rAqxFI*8=)%W5RLa zz*Z$MlF@+_aCwr-mQdM|^_p!VzZG9{E#&XY$={1f=1y^PwX}O^b9H=p^Ux-_oSU&5VNF^g>}ZI=mj-o=P-V213nUSpcQi+y%D zU0&+(zXbSS4*V}G@L%A6Ht?TahN{-|6pTZ0Fl3GvsM7ajP1)F!FCPL3bKrjpt{LJh zam^4v&O_WjU1M!ehU0=&yfI1dQs0YLdY1S1K-(_?TJfM)@u1fnJm_WY(D?Uu8Y@oK z1cPz7JPcT2EWOBM#Xi3>BUapjO?-J^rdj0*kCg)otQ51#xtmp5SmDs%b~rLa%l4eL znj<2toR?swW*>X8)?$LVk{oWP;L>}FyhXtMKjWT8`5=#)0}Ip?sF^#|M903$_lfNP zLT~@}0hoSc>Lez=TE0%}_VWC`9`+{o=GiYA0y^Z8X4`c%~uMVICQW7RV@&F?Yy_gTwuz;P5JM{`Sd_ zoR>+^)WOZNX$za)wZg+91coGb==+wEansD>r6W8f4lf{4Kw|D7Va+n~UnbF>yp<)6 zBlnMhw7~<(J_GV8W0d6sMtEY#4@9ss(VF?3IepnCh)M=S;)H`+7{>r-+3`ENpEo47P#No4~bd&WhWK01(fq?(l%Ik9f@G ziob;53q_YmBAp&YVHy10vvD>7y#*6W(dmn)O>fKDo z@XPn}=vi5yr$A3@(ZfS8Yon(or+Kp{3r~sA^8$|^`v5^+*dcW23okul{E#iVF(Yt} z_rSS-0h|Ija|fL4LU+x-Ha4E+oEo9yksclPkpb(K7r`thSeKY-{&J$n!wCf*3Ovjm z9^&QgGa{q!PK>^8@BLfnWU#g4j*8%CQkN%Syce00LZczJ~3x8jZ$=PDR5Ner3L=v#_S@0HorhuexL{b=>UEOz;7#nUjTphfS=w_s&q!k z4{uHb{c6KrQ7`=((B`eE({aspeG}Kr{u>_p_OXqRTF|G*^cmj#oRJ^z1`dHXo!jWt zg+%#{ZCx8v3yAcpi>|)GvF@Is{=Sv+ev2;pFNx_D_Yg5XJA7B3Hg0p}hTGgD(cJ8T zft96Fy|lnx$h#`s8Y+eLnn!#!L44}jC%RoP5MLmEHV`lK)O4t?q%f_oX>|K$H2lgt1Jyo+@j3&j{IMR1!>Ld8;I&i^D0dd7*|SvBjl%aDkxh@thKb1b0+9tG zX9JN7vyV|#^qiEjaov&g#>k~WC!_VDxMsBelSiw40^}PeTIr(_$(dq4Gn@c51zlQX z($~(-(ttXP=UE+FcY0iRU~GK`#@1a0t_xhx2Cl8wd1$CLKH}P(=Hz6pE%!8ZO{VJE zt@zu3fA$B@#x?tcx8s_d^)~Mx?1L^1!#`k~UN>d-YK?h~hv1pn(8}Z9;stf|b<>e# zgN)(mU#92i$+9QYljRY&Qk{C^T|!;7rOyp_WTHVAskiuT>8qyi)bzrcJ_@-jlww!} zIZ@8l)#I0oU0vymYWkw47hG3xg;HEsa1Z4c_H7vL9`@bp#rp^sZ5i#?_R)I|Vd6ZX zJsH;wi8p$aw@;_M|4x{^JJYPw%Wx1|p}FSXO*rQ`@}2ErcNQXFHzMDIipW<)zS)4C z5&0U^y~wID-HWW`rgaFd+T=KvjePY8=4axXOS}Qs9Qj`F-GF@z=K{kGxLsEM@PLkv zQ)6P@f|aN6{4q9{4bgJu2k&}4RC|gDS?t34uFmgGag&%meAmBf!57*X%t$gln$*gWe(7=a}ANIRy76 zcAZx0L+$?Mva@npV^-Id20g&f0l?P*;14bUUI2VH0B($~+jRhKtSfcs&1^)kwJ|)c z(RHn!GrE2Ru+72#{kY~5U&1vr|G3A#eOl`;7WQSd><;+mK0xJmd^yAHcm!+e-OAy? zu=gHA@E#-Z9_tJ5QFxCz@*Z{FZ4&PhYz|N7JgWK5qn7ikr{};qu=7ZL^@yEE>K)X~ z{CVDa*vG)~rsg!&p5A#(<6vewAidEebwh&G)JctE@tP!1Dv&xGNX<^oYt`h0l@Z8m z_Tj7Yb0fg{&x$qd!&PS)(U`D8!*6|Ol%JZ*au!AC)IloyY}1%W%xHm_Vlk_gi&>S9 z;Cn>rvy9b-9imEKh!9ipA;>=E^aBGin5}xS5PEv%@OVTkN2;4Wnm1vjx&D*yLb_ zhr83uB9*3oST4KDSe26m_I4rf6l4$MjYQ7iqqycN)_ZZy?&Lj*vuN69huREh!GlL# zY2kANGeymdJ^C&xqGk~_=Pqhy7xAm@$+}|}UNw2{D~q9xzz9#dp6D@RAE)`Cfsx%k z?wtp7b)F`>dp_MGn0Nm0+zt=d?Fg2aB3NEh1j`~=&PK2_4$sNV&t7z_R(OrXj-=I2 zhgCM*@bKJZgyvKPXv71zw;c#nVk z49qtz+XIlo>6SI|r>qqP&>vJ07I^7n|VMCo|w*Hs^0X}%Ik$w^@O@h4JcCvF&AKiKDn zTOIs1jkNxn?4pzA1)jKc&g8%rc@2|@cBs+Et=MNpd##H0y4H*KxU6V-A;}c&;AHP; z_ZUkvk!`bQ-IqeRI_;gPKu?!j5%@_5S>?s_NOS|Hr!KJFHFt8i~^y>Ji8 z3YQP4o5CG&!mWqG-N!9=N`-q{>xFw@R=B*UUOG{Mp&46K;Db+w||i573t z%Qo_wQ`75i9_}X35Khwe^+mBKt8|~zdg(69N;iL!b}madwB5!&Zo5xa={~LX(p{RB zZvHH73rjbcrVTar@gc+0Rl3h;y>w->F7OQb)3mKD-C&+J)V_~P_n9i)XSH6s*@vt; z^5<#iv2=rp+EDvG?iuK(APv^Ed9h{TW!Dz}c-Xc#~@2&8^o!XI2CGJBF7~C`g|<98ImC+?_Q*Z^=wB@YdFApgpUB{Hf}t zqut@g%xG#;@$RgGx2X!=-g*_Bo>f8qeD*R@L9lx>nmQeNcUHkWR0Z#By$Via6$DSp z%S*+~S?>htAlTy>tzn(~?yQ4%sSe)VdL5i<=pcW3d^zbL*a;d<9pt?`>)<`AgZH*x z2dfPotL0kgZvrtBEgg z37>7f5{}O*L0*$%&Xlhk?TJ^6QU^!w&Pw>4D&h03SHk@bCFD<)cR3|QNVsQ=jp$4fiqBkUv|#fz=TBqfMRnjFT;2Q!RYG^;$T_&_e!v z`9{`4u!_B@6Nk6%&Y}4ms)cX1UJFMXTF9R<-^5x7*0DEr-ZMPXw^R$?ZoL+cGPICC zXTF)W5UgZxs7Jp6AE+9B*m^Y_o>haqna-R#Ka$lDENK%O?iu5xAE_FC+5 z^1OSrFPt*;q+QaRY3`$M=u1!IvInu(_4jY+AI-e5&pwKs_Zq*PQ`qU3`UNMl@6sFo zexlm>Y3sGqG_;dHtG<=A6U-z?JBZu&NbUSgwe$1VYo}poCx5~{McN5w7^EFIuzRF- zexcg=W$U$5H?)&K55JAH6U;nFJD7UhBenAns-0i8UOP2IJNfhT+etgYjHIWM+R(m7 zYUkIgo!_)xJ5@tF`SbQik#>TK3Tfva9(R7L+WB4UwNo*)lRuw-G-)T8zmRtB;c@5p zs+~WyUOU-u-Bhai)BMMfc7kaQY3CjucmAl_`BUq)^S~^2rg=l|`>wy_svVbH#LqmI z`UiSS{vD&`P5j*jOa%3v>DZx|YCqxsKZTk7vh>hEgm?^^2b zdg|{+>hEUi@Al;HZfPS`RY_IFe z`aC&p=7E&YBXh_M9}ed}k39F1$-_Zqaqqy|E#36AmwRhjCJTGEC6r}sQ(w<{oF^7C zOD7SsG$zi$vPp7CQ{o&f8Op(SXx74VNpgsqVje7&B!?&|&cQNCa)^rJ93l~EhbSn{ z!2(Hmh3;TQAY2&5f)e^bl(ambko|JV*C^l$aM^kZnUJ{ z3|zj{6A87+Vqca-g^_;KNjBB#S7@|q^I_2oAIg%$ho!$H2_7~d?zrRQ;!7SM@%%}I zvH7qHgin5ZSPQN_6_TLviS*!g?et*UoXixsPs$^bal075L_4#!?W_eC%4iN?%T7K$ z%+Zb}2R0XRKrSFsmK-*s0+)w^rp-qDPm(2vjcCB-p-G7e=yuisH<+@RHry`qPq>J9 zBl&wIIr*E2miOfPYV0fmgQOZ0^&P4J*B=x19Rh-ci-~)AccgEN3H%O~KhWlb%ch^GZ%9J(>39m7Gp`GSTxTBioy< zu`<2$1tW#Yye{wNbkdWlTwcj(8#JBm$*e7p?R3bMd0Jk{>1=Ph_Q<3xhpSA-^1Nb$ z#g}_GboP;6WD1sBmRp>AH}v!|=g`r|cc=C9GTqX8BO{#7?q!;#C8Jtpf|YxBS~<$3 zDz_{fV(#5(<=C87j`BUwT+Y+VvB}n`Wg|IGXXG+X(vp!JWrmb{cUn2h#3;Ayv~p~p zR*vn{%CUV~dz9HvZmXu1<8^NGm2I$IgZFGs>pHYG;$nC%W>zp$T6crx2f#) zeC>6+Y(2Z3BumeS#8yMw9xmTPYTXSx@Ktnf@?l^2!eph=(V+XKo>F-p{pV8Gt+X}n z(#E#Z+4NtUG+gl{qLxbTv+Cm)kMEe=cF~nP#;fg))+?Nr(w~PrE-npdF=gJ{?>VjU zxj@>SC7quoU6>_Zl_lMlCEcDSxt1s0aewtmC!A;=RVqE_^gVn2@D@IW?i*cF7W85H zv-hx+$_8zoL7Q*T78taJ25pf+TWrvl7__AZZ9ju{ut7V-pdD(^ROMtDUM@!%`HnPb zM;WxE4cajV?LG$Wz6R}BgLa%jTWQelXVC6%(2h4~Cm6I74cY??+DQg&l|eh%pq*mS zRvWZa4cci2?R10oK!et1&?*KE{s8s@hXC4HMp<|X=Ug5d)sGHTwhLz;_l$@7tx<3ZsrBz7a zsFg&;-RC2&b;Z}BxXKewUSS$Jc`jac<lz)itW3P7m8YO8TmDHoT zTx}(;xaR&El!_P7pIQql)>=>=EVH$sEsk|*vX|bwPD<-^7Y~Mn)VmER3nlf@CidBT z*y+1cCE~yWUMIz!#x*YltRx6X#n_!?$RZySjt2%DRZQw_yOpFIdzGX5RpdIxYoSjI zst1J>)q#Sf{u8{^RSu1fda0tGh$P_M>KScj5Q)^U(;DB8|!i76Y*dNfLl!(fzTlxFJ~ zk>U;)niqZ2F#!C5>IxFS-ZwE4oNFTYdINf`9MMJ;SHUR>#>Jg>9|@w-Vw=0zC`^~o zpU>E{=ZT{0yn>fL$e*p4fmX>zbRSC}uN`&tTZ zQ|2j*D%4=|7ka5coa0JTpq&16){y3-JQt7al2I@V~^ML$^JqexN z>`73`4o^a^tFxr3EQy=AWwcLJxfQBZju6)esJ-vqv*!yvuFWIfsWFGidS{KXcOEz> z%ArjqrL@WA2e$Mx@*e_yX3&2Bj zL)vepDc-=IJwJK2p>7&_@wE0raKO+@`=O-BQ@fE{hil9@ir?;=3r~&W%8`5ER&i*l zhkhQ0@;dZK2R`9hCTG^q-h;6T@o>us^s@~-ba-y^;B)N}55Jm3d@d3`F6!u%1AHpJ zEwGq$^W53vgmsF{Cu5@;X}yAeE|$vNkV-kDGE8sO@KRjena_x+EIbmk?dXKg*T5Ik zHRapz{X$erRprhWWpw8%tGG_=KxN7qm1+F|f#%AsOxxx7mq^L3GImUtpfcqQQ&SS6 z6y~AYgQ#a!ON=}?xD=Hs8}v*`a1}aOhvSbbt67zCjJwRLOa+0dvy!wjgt(}(x>Xsk zh)tj}<&2&w2@1N=8%S=?8dhaIG`Jj<>1-dBDG3ImmF4!VX;sFq>SCdw4n*UVa0I=5D(157646e9u46L1~O(1576479j5#@4l{O<5bYf%RqC z21K=Gu*J18xUNHO=$mRpg9yZ?wV?q~Z5H0#J_G$+FSWU4`>+rlV?28g4+4(X-Y6+$ z+in|zejc97N1Kin;E<0S;_%wZ4d8LRuM=`sQanPUZ?3r|vkLd;Mo8}}<)KZ`g^biJ zMn@oUSEwq}(oXK}O=u}<(Y#8ETUx1fvLm<|#m~<+62+Ax%iDd~j5=CrG6Ze`k0U$} z%pQ~!&mNe`#g5-2gh99#r#0$g4y1B_UkymQjDqm|av2JL^A=+$Odkg04zRW#i4JIG z=zx;49SC-eSn0db6`g=$$4J+>usw8)?+Qp-AxOG<1rjU5ec)`IWq7O62UzSYL=-=I zmLUo<1@_WY;BdZg6gVi!uq1Z7x1qT99FZ8sRj)}0(zAbV$D@RioBiulAMMapALtn* zMVGb!19zSL!)A0|2X`fnB9X9VKicY(c0emJM8e+sF(|%SC7NwxG}R*~)XDDmu~u=d zT{*_JyU(9G+wSDa+T(rWpZG%@Lb9pkjsCvLfNj4XD4^J^TpeHYpo_zggqbEsBYvYDI6>L;5Xo!;H zhD3Yo>~xqMiJ z6!&kE5X%nZSw?xZ;LRfDKfN_8W)}?m*E`rY7&*@dBMlm8h?3%l2pcDs=NJso5)H~& zfD>6(8+8`Mb5UHEs!_j^;`-_R4%c6F)x@q{JGN6_s(Ec9Gh>5y5o*D=# z{}fG&!c}ea+%QY!UZh&#u3sSaJ4;i>5QUP!S4r@9%$Fu?H|(H()oScoUkDlLa?Z%W zR`qX6jHML8fi33_G*ahU5V(3^*uHWH$v4t1pR|utLuHKjB4O>;xOBY@@>df2ueGJb zuhpe!Twl0;&wY8ZRCoa0*O3|ouEvXbg8~aAb!s4CT%<7+PF$s~B*c03OW>u>1S;THqJ)Gkd+C=7TQ`%^ z0${782>V+{MmvW4*E%00KHz1-#^H8_?=?(PNsyqDQU*WlOG5S|o&Q zo|3~d&(>ZKENf;=V3mZDV!Uol8Y6M1a>7OROW z1+Bu8A}=t!Rmv|Xo|+lHR7sGc`Udzc#UMz1zSS^?3$mn#Wl7g5Njm|O8tX0DTuOp8 z6FG4|-v&9&_l2QKC0VLuIlo=XA4WViGgPT0RIUhxP}Dnuq<#;inr|L>)jbJ=1SLVh z>i58_-4}#E9`GcfV91l8PWW69p`JcM>y-pvyR@Lzs3hdN$>#zImaGL6OXleIE?DvcUl{&M zNtVCj==yG0vSx6~mXwRhCG&gVkA6ao;TLI4HT-jVBk&kId`s3$=ZYVXLk-RA-? z7`NJ$8aH^UC8J#+scO}dkqacvb&EDaYfLPehm!BHELn4^B^o}NN3!pg;>Qq6&5Hqw zlF&jmQS`RzTZmkpo&;xmmL~zE5Aq}wRI`HK`+P1G?Dr((QcFX-*7{tqS~Wftd~i0` z`YdUKC!rCrA=Q;SNHpRiUl2C5T}i4Zb)zu8OEs6Wzsi%)`kkJHf=WUzb&!yRlF+Wi zta!EIeK4zqz6v-$w1(LQ^9Op+&w2@Gwd;JPgOZ4@>dGiKXU+hfxwlt_A~*f}{da z8v+(!FlwS|FzBrs3~E#lgIsDuU_anH50cstc&QD+VAO`dUTp{>R~rIJJq*;RV;Iz^ zHiUMm!Jwd$z+O!OyjbtaVOw5@Ym;+WAAt=V@5O*MaV5omWU`Y7;U7h5)i+8jDK1S* zTs#N(m}Nn#Vl9ros<~LCtOWsRYE+Pj8WpTjjS2!+3xd|wf!Ri!WZF;joi7_*JW~$1K z`4TwX-*Z4?loXezue!@Qj|#Wr%iy940v9F4T%r>Mtgf#JD`%i_a&c95^gAORqov$?h@Nh8O_S(3DnpZMp~Z{Lw7E+hJ5q=`Qp4w|U#N$Fh? zi#CF!wV&Z@PnE>d9E|dMBi{`M?Pi0v+n^;~bY~&Ciw}Yp9xDi4@|d8D`*|klj`kW+ zMFUN$J33TK(R4#QMZ3<|kfL!j<%wYq4c~>~KAs-M{9viH`cXkM;SAIS6}&jp3d>MM zXe*Ix$~Oq4RC^dm-$Q$zKr=HEWz-%xHmzyYvjrr^l%%azR{}4jLIWw)9v=F9A8LH! zo;^Q$L4JFnvk|R4+e9c^n+Ot`s0GnPC8e6k!Sn~xMEB;WC+*quy=|E$stQpP+_|z< zZ;o85Xk&a2k)gXPYc&THR6|C=R7ZG1^+R;z$$R$v;Eqg3RQGU6h+o-`z#OzAP||v@ zB-o;M1nkwc;HJ?LtufURjw?TsjyU)B6v6%xFAG4W9f5qbBWNNtqBR}nTWu%_B<%=D z=!o)C_W+G(7zHWSH(q=DF#z#Y>Dy5+5BjF9h7?u&kfJsbBsCi74NcTmqlrr5Cicps z>+IBiB5ibs3ZE|F2wxSX1(N1U_ljM|KcK4TNmXC3234s5!B@=!9lbqUd%7w{@~@;S zcV_naQq@m}f~wRg0Z27U2vA87AR1(Ll(ztWEw#HT;0vVouU3NEbb67B}{{j{YP0Tc3FD#aQC5HvW^`F2(A%|AHL0BC1yBrpb zxqk)=v_etvM#o~$oZX4O7oA5!pl{!^=f_vrVC~y^{Vu`k ze}IL5cTwnU-a+qb`96(f@5r{rJ&45?`k!Dz)2}1mo$*-jq!$aj!Eg=}M#^8o zQU9G`@~%>82|15ECXCqs0+SDyO2_;)!{puc!ZW&)$Ap#h-(d2QQmOjQ43qazCs*e) z;kCy90h5oGO7*X2n7o%>_4iM?Oqy(~{|hD`E0x-h$S`?dsdW6U`Airy{|6=?FO@nE z%P@IAiTmVyChS4>2opC&JIF_7n3^}8bDWWEDxJNDPkeh@2&rDVA=PUuqnv z>ID>1eUC#b^`caAuk>>IF4I33?_AO;KfvgFVZ74Iad2i=E`!50UOI3IzQ;Knob^)! zv<={(j?GIahl2xQc|JJk1P^WSlFZ@YbX;CwanLq+spoKTL88169CYG?Hhe5GVS_`o zYv95~c@dbM?u81IQ&PNV6S3lUEe5LxdRFNT2qne~r4ch0(-JV#Mj#R@X$DrTv!!5l zn$<2P&cKX~a2c4b_RJtJCCwl&M#OT9mBz>vE2WXb=#8lLHeQx4?}zeBv?;v^r=aO_ zzcOIm?+*qgWL5^c0XTq{f+Y+(qy+~G12;7|S{bM(M`35C>K zt@2~4<%6VNH!0BKX-lhk2B@Y>N!mWk#!h2J;1jqEj%So-#>=d;ox*RyEh#0&k|K!m3rNaG zgTp+}VE_;L#(>sy;eSLNftLh~aK>WUiH-IztR z(ah*t2~M|YV-%+cds5VxN&j*r#?^`7akei2@hB*j>z)LU zrn#`7GN`$o%SNkCn@-gn{$CdIBDuRB)k{$`K^t zNQVyC!cP{CE{1gbCc%ZA=}GA1Sy_@6#NPNipDQEpjy6{LDG-GgM^A3j;%buGY*yE5 z2x8dhMRBz~tO02*^u%+lF(6I_$I)pxVkS7%->6M&kqaMPoQ`TXd? zDo=vO+mxhQ)S&??(xHJx(iZBV1kgC&vxiExUEn2RsIalur3VKQZHq6A9$<8&wVvX0 zp;qk_YHeh5o#9Eyr9FU(^qB{kkhTke)tL@R=PP@atS-bN*VR53q@g6VOD8etBSfsICk*6vdT^2=-z_f?`wy))Fs2-dVMam zQC%{GuE!x!uliEtn((}kYnLaXMrm-Z*~U((9U{=CputKqID(XLWXyI5KR0LB!Vu|c zzBy<#7j_eN9{qNrxGEG=e!pm7OjO;8G*u}eJz#TRIC48P`s z77l)>ehCt13Ik_T$7*IZ$zwbT^=qz3Cj6Fq*3lZYNf85~=wc}>h-J#4ZWPzND6X^m zsPWu+wx}MI*BuK8?`Gd3t32CNuT|a_){29J7)UT`lR{iY%`>pa?nQlB- zPa!v zO^6gtZ8k+y(@xO@NA;!*ng^lBF<&=uw7(}I#wNuZb@m|-mf~)%vDxQE@nxO_AEq23 z1|^}-TnQT+d*5|vr1lbEfw8JTWUvpRyt+k{*8wM#%H?T6N8V`GV8jgz6X(G@ zeZSH(9vu&YO_$Gy&NAo8YPG@QTaSimUieTY#ZHjhGlKS<@9Tl+wJb!hxgt4JYnqJd z4OVLu)4E!fX-x;elC!)~iaT4lNE@Uofq0aowhe?(#aR#XzAquS*>e5^pG$={ePZ(T?bDhXT_Ga%jMD*`~g2~^pCoDcD?^?6Z=k|3X?c!w-cKNQ8a zDip^qi%MK;=P|?u=;9?>y9!xXf1wJ^1;Od$1i7S=N|j~F-MmmLa+a>cf7qo~1g=^U zOf_jb55C61Pj!iYD?b!get;+Q;}FB+!EF#FLX67okzPDec{e@a7F~oEsR^TpN&dyuR!@ppBXy9oI_bc2OMy&l=2RSD6g3>_AFtMH+xdl zq)Ay7xeD!B;&VbFN{aVa=y6!~+mmRH=0$gu1mAgxuLLBqk_z+NiRS3y8%$i+cw*&N z>g=dwS?+4^&;sa^k}__f!H<5Gud#}&gx6^Ml>`m(K>1pfANH9c5)5?1^5lg?0@tDV zwZ1r7ugg@CebP?K=7Hh9ZM(KnYs%Myht2{qvpdZkFN>B%0SKj@s4nf|OXLI37{W zEoc?ksv1x5{fvP|@r7qVkC5W-0Rco%Exy_p2QW@mlIBwP2K{55MRVz>0l73fKxP_t zkqhHA<)w?6$R(nYHK0dA)G9=XT6=(6wQV3J{5l1HyM?10Z)%hRMqK^T(S7ILYVMdy;9bjUXC6VQ`MUkPMW^`uNsI&0jMCqlFveO?q-5^C2`M22H~ zI}dE1j0R~yN*nJfz8dt~+X|O|3d-N;vqHA2*|>V~4^Ks5cpPTx2okN`P$*~BHg zs43;A2@|(ElE(^JyShfH|n{|w>ge3tq* zn2q`@?0IViAVp23%kndgMq;#t7=3i(~ezw5^ zO*tg1MQ#eegq;q&RmGJ)7fi13B_O6FJt>k&_M8Dj^?6{XqggP!I)_>Es(vTk=YxUf zMwfJ#0rtgRnxweEL%A17ai{Y!Um5CO=1C~7xnSxdr0z<^$w;=BUx-#|6QC<4#k!iL zFU;})^$wI*H-Pd=ipxi*mAHp50xN|ndZ?@-bxqdVD{OTyM)3hJ4HVY_9ek9?x5D3p zDZd1zHLFoNlI5fb@ugN_MTxp`1eT=gjt++N%TQeRbRa_|#WKYAJcwQS<-%lt`ddrD zymT1|-B%KPs7{eF*3yYMNV+}(l5XvQq|pR5DhV~}b_sHUq;6HWe~}9$%_Tym=_8xN zD?}a+FA5GQR7v2tzc(6?5{?c2q*D2n;JDf61xG!$1TAYWfYP_IjobJt;pj&Auz0nb z<9#LIc%qUt*GWo>1d$A~dB*i>G+kE@VPVP@V`Sxu(U~;8T~_p7BMjXU3Jrr`sHNkM zCm-``=a~3fH0r*-6OiY9JP9q(Tp)RQ@-t24*P&JC`r>Gnk|0lCTon9z6yK`FRjNvg zJ4GIv$JuW{aWya0uA~^o*?7Y$dn35%Fx21{dBg6$^oLo>ZvqpoA5LML?_{P&ZQ|VD zjN*%Y-qZ%wLeMfBRC03XEyBPVc$;QciKC|2a4;|5XIZG9pU2P za}EvmEAJ9c&hvwlwpnM1khtc`SXg_Fk^OG8O|_Gr=BcNKw35zs$btFyph*|_o}hEu zBt%bDFIp)ac`W)~v`0^JfSrxYQR<`Hqx7iF}8T5j?#700eiva?z%0yC9`2eJ+rs^Zrmf2i^~&amoXjKi2bz z`^Ns_Lnyo4XGB@`saaDHpZa0+Nb{oj3eOSVN^^lEJ*v0M5#*1cK@al9!BN*GVryv1 zP65l~qhO)q7_iW8#w=(-m+|p26hAK80wu-btasMfoIftb-3pWLJHwpUd5)-kgD1fr zfnl`{<%L|AW(#^*%3|Ut&=W*m<*1~La+|UR{z)nBT+ijcD)eNdC!s;g5nxLi)Q|>! z3LGEdiyIta?aDD@E}gRE`)T3m(0^@~qskG!NSEc%Yn3B(k~F(hww^wNO3unwq9mgd z7>S+&fViX*{$6hRvr>f{f}W{WsH(Klu^6fqIbQNP2v+k#uo`cm7tIwnhs>BA!xx03 zGh>wxII7J;RVr(c)Mi22;X8+(D0}2e#NQ#Z`66Va#nBWc#l_p@aM_n28XZ8u8|ZWv za?o6mmbQmC%)bndJAHT1o&irnd$ftDB;nYQwcM|uhgc?8?JFtMpicfiSNW@G(4?;& zUF`ED*r%!wBx#WF`x=^~lW25Mfgcy92R~UpUzg(UX(7#v=BWP#Hgs_Z^3gZ}(r(`m z6!d!OV3Yj@#Gq(Hy$S*pR}er|%rP8?$$;>ikck2w%yrO%<|b`xR(W6NTPS{u?*~MB zf+uA(+^p~*=X?KO*O}`y5X4|u`x1zT6m(Q<4j^e$(^4RK1H=;`#QWt9kRk-)5ceU` z!^R%}-)amgt^IwBJu|jg$d;i;OW zela%L^N*&kfXfN}gi~2)lJ7TyHrFsc=o z2z)bZuo0wWz|<}QZk`;z${{FcI-a%T1_y$~iA08QpQVBjAnep!qU!^>Rvkce|IIFW- zz>J50Y09;J;`jp{FM=aFYDa=&8Ks#Y2>S%C8U_c6gpLj$m(}tM_*Rxa2vRlSdzYD; ro4(;P4M>08Sqowvyxo^DljF8+x910)%|G1_PU``}9Fgi~*kbVu3!8`@ literal 0 HcmV?d00001 diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html new file mode 100644 index 0000000..f2e71ae --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_mrp.html @@ -0,0 +1,428 @@ + +Project Summary + + +

    
    +            Lattice Mapping Report File for Design Module 'RAM2GS'
    +
    +
    +
    +Design Information
    +
    +Command line:   map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
    +     RAM2GS_LCMXO2_640HC_impl1.ngd -o RAM2GS_LCMXO2_640HC_impl1_map.ncd -pr
    +     RAM2GS_LCMXO2_640HC_impl1.prf -mp RAM2GS_LCMXO2_640HC_impl1.mrp -lpf C:/Use
    +     rs/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2
    +     _640HC_impl1.lpf -lpf C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMX
    +     O2-640HC/RAM2GS_LCMXO2_640HC.lpf -c 0 -gui -msgset
    +     C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml 
    +Target Vendor:  LATTICE
    +Target Device:  LCMXO2-640HCTQFP100
    +Target Performance:   4
    +Mapper:  xo2c00,  version:  Diamond (64-bit) 3.12.0.240.2
    +Mapped on:  08/17/21  06:20:50
    +
    +
    +Design Summary
    +   Number of registers:    119 out of   877 (14%)
    +      PFU registers:          119 out of   640 (19%)
    +      PIO registers:            0 out of   237 (0%)
    +   Number of SLICEs:       131 out of   320 (41%)
    +      SLICEs as Logic/ROM:    131 out of   320 (41%)
    +      SLICEs as RAM:            0 out of   240 (0%)
    +      SLICEs as Carry:         10 out of   320 (3%)
    +   Number of LUT4s:        255 out of   640 (40%)
    +      Number used as logic LUTs:        235
    +      Number used as distributed RAM:     0
    +      Number used as ripple logic:       20
    +      Number used as shift registers:     0
    +   Number of PIO sites used: 63 + 4(JTAG) out of 79 (85%)
    +   Number of block RAMs:  0 out of 2 (0%)
    +   Number of GSRs:        0 out of 1 (0%)
    +   EFB used :        Yes
    +   JTAG used :       No
    +   Readback used :   No
    +   Oscillator used : No
    +   Startup used :    No
    +   POR :             On
    +   Bandgap :         On
    +   Number of Power Controller:  0 out of 1 (0%)
    +   Number of Dynamic Bank Controller (BCINRD):  0 out of 4 (0%)
    +   Number of DCCA:  0 out of 8 (0%)
    +   Number of DCMA:  0 out of 2 (0%)
    +   Notes:-
    +      1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
    +     distributed RAMs) + 2*(Number of ripple logic)
    +      2. Number of logic LUT4s does not include count of distributed RAM and
    +     ripple logic.
    +   Number of clocks:  5
    +     Net RCLK_c: 52 loads, 52 rising, 0 falling (Driver: PIO RCLK )
    +     Net wb_clk: 1 loads, 1 rising, 0 falling (Driver: wb_clk_550 )
    +     Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 )
    +     Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS )
    +     Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS )
    +   Number of Clock Enables:  14
    +     Net RCLK_c_enable_27: 8 loads, 8 LSLICEs
    +
    +     Net RCLK_c_enable_20: 4 loads, 4 LSLICEs
    +     Net RCLK_c_enable_29: 2 loads, 2 LSLICEs
    +     Net RCLK_c_enable_25: 2 loads, 2 LSLICEs
    +     Net InitReady: 1 loads, 1 LSLICEs
    +     Net RCLK_c_enable_24: 2 loads, 2 LSLICEs
    +     Net PHI2_N_151_enable_1: 1 loads, 1 LSLICEs
    +     Net RCLK_c_enable_26: 1 loads, 1 LSLICEs
    +     Net PHI2_N_151_enable_3: 1 loads, 1 LSLICEs
    +     Net PHI2_N_151_enable_5: 2 loads, 2 LSLICEs
    +     Net Ready_N_280: 1 loads, 1 LSLICEs
    +     Net PHI2_N_151_enable_6: 1 loads, 1 LSLICEs
    +     Net RCLK_c_enable_28: 1 loads, 1 LSLICEs
    +     Net PHI2_N_151_enable_7: 1 loads, 1 LSLICEs
    +   Number of LSRs:  8
    +     Net RASr2: 1 loads, 1 LSLICEs
    +     Net nRowColSel_N_34: 1 loads, 1 LSLICEs
    +     Net wb_rst: 1 loads, 0 LSLICEs
    +     Net nRWE_N_210: 1 loads, 1 LSLICEs
    +     Net C1Submitted_N_232: 2 loads, 2 LSLICEs
    +     Net wb_adr_7__N_92: 2 loads, 2 LSLICEs
    +     Net nRowColSel_N_35: 1 loads, 1 LSLICEs
    +     Net Ready: 7 loads, 7 LSLICEs
    +   Number of nets driven by tri-state buffers:  0
    +   Top 10 highest fanout non-clock nets:
    +     Net InitReady: 36 loads
    +     Net FS_10: 32 loads
    +     Net FS_11: 32 loads
    +     Net FS_9: 26 loads
    +     Net FS_7: 25 loads
    +     Net FS_8: 23 loads
    +     Net FS_5: 21 loads
    +     Net FS_6: 21 loads
    +     Net FS_12: 20 loads
    +     Net Ready: 18 loads
    +
    +
    +
    +
    +   Number of warnings:  0
    +   Number of errors:    0
    +     
    +
    +
    +
    +
    +Design Errors/Warnings
    +
    +   No errors or warnings present.
    +
    +
    +
    +IO (PIO) Attributes
    +
    ++---------------------+-----------+-----------+------------+
    +| IO Name             | Direction | Levelmode | IO         |
    +|                     |           |  IO_TYPE  | Register   |
    ++---------------------+-----------+-----------+------------+
    +| RCLK                | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +
    +| nFWE                | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| nCRAS               | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| nCCAS               | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[0]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[1]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[2]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[3]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[4]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[5]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[6]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Din[7]              | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| CROW[0]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| CROW[1]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[0]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[1]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[2]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[3]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[4]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[5]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[6]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[7]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[8]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| MAin[9]             | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| PHI2                | INPUT     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RDQML               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RDQMH               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| nRCAS               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| nRRAS               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +
    +| nRWE                | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RCKE                | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| nRCS                | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[0]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[1]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[2]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[3]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[4]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[5]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[6]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[7]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[8]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[9]               | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[10]              | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RA[11]              | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RBA[0]              | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RBA[1]              | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| LED                 | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[0]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[1]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[2]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[3]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[4]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[5]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[6]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| Dout[7]             | OUTPUT    | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RD[0]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RD[1]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +
    +| RD[2]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RD[3]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RD[4]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RD[5]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RD[6]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +| RD[7]               | BIDIR     | LVTTL33   |            |
    ++---------------------+-----------+-----------+------------+
    +
    +
    +
    +Removed logic
    +
    +Block i2 undriven or does not drive anything - clipped.
    +Block GSR_INST undriven or does not drive anything - clipped.
    +Signal PHI2_N_151 was merged into signal PHI2_c
    +Signal nRWE_N_209 was merged into signal nRWE_N_210
    +Signal RCLK_c_enable_22 was merged into signal InitReady
    +Signal n2557 was merged into signal nRowColSel_N_34
    +Signal n4935 was merged into signal Ready
    +Signal n4933 was merged into signal nRowColSel_N_35
    +Signal GND_net undriven or does not drive anything - clipped.
    +Signal VCC_net undriven or does not drive anything - clipped.
    +Signal FS_972_add_4_1/S0 undriven or does not drive anything - clipped.
    +Signal FS_972_add_4_1/CI undriven or does not drive anything - clipped.
    +Signal FS_972_add_4_19/S1 undriven or does not drive anything - clipped.
    +Signal FS_972_add_4_19/CO undriven or does not drive anything - clipped.
    +Block i4008 was optimized away.
    +Block nRWE_I_53_1_lut was optimized away.
    +Block InitReady_I_0_586_1_lut_rep_73 was optimized away.
    +Block i1683_1_lut was optimized away.
    +Block i1044_1_lut_rep_86 was optimized away.
    +Block i1684_1_lut_rep_84 was optimized away.
    +Block i1 was optimized away.
    +
    +     
    +
    +
    +
    +Embedded Functional Block Connection Summary
    +
    +   Desired WISHBONE clock frequency: 50.0 MHz
    +   Clock source:                     wb_clk
    +   Reset source:                     wb_rst
    +   Functions mode:
    +      I2C #1 (Primary) Function:     DISABLED
    +      I2C #2 (Secondary) Function:   DISABLED
    +      SPI Function:                  DISABLED
    +      Timer/Counter Function:        DISABLED
    +      Timer/Counter Mode:            NO_WB
    +      UFM Connection:                DISABLED
    +      PLL0 Connection:               DISABLED
    +      PLL1 Connection:               DISABLED
    +   I2C Function Summary:
    +
    +   --------------------
    +      None
    +   SPI Function Summary:
    +   --------------------
    +      None
    +   Timer/Counter Function Summary:
    +   ------------------------------
    +      None
    +   UFM Function Summary:
    +   --------------------
    +      UFM Utilization:        General Purpose Flash Memory
    +      Available General
    +      Purpose Flash Memory:   191 Pages (191*128 Bits)
    +
    +           EBR Blocks with Unique
    +      Initialization Data:    0
    +
    +           WID		EBR Instance
    +      ---		------------
    +
    +
    +
    +
    +ASIC Components
    +---------------
    +
    +Instance Name: ufmefb
    +         Type: EFB
    +
    +
    +
    +Run Time and Memory Usage
    +-------------------------
    +
    +   Total CPU Time: 0 secs  
    +   Total REAL Time: 0 secs  
    +   Peak Memory Usage: 36 MB
    +        
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +     Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +     Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +     Copyright (c) 2001 Agere Systems   All rights reserved.
    +     Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights
    +     reserved.
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html new file mode 100644 index 0000000..23f37c5 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_pad.html @@ -0,0 +1,338 @@ + +PAD Specification File + + +
    PAD Specification File
    +***************************
    +
    +PART TYPE:        LCMXO2-640HC
    +Performance Grade:      4
    +PACKAGE:          TQFP100
    +Package Status:                     Final          Version 1.39
    +
    +Tue Aug 17 06:20:57 2021
    +
    +Pinout by Port Name:
    ++-----------+----------+--------------+-------+-----------+-----------+------------------------------------------------------------+
    +| Port Name | Pin/Bank | Buffer Type  | Site  | PG Enable | BC Enable | Properties                                                 |
    ++-----------+----------+--------------+-------+-----------+-----------+------------------------------------------------------------+
    +| CROW[0]   | 10/3     | LVTTL33_IN   | PL3D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| CROW[1]   | 16/3     | LVTTL33_IN   | PL6A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[0]    | 3/3      | LVTTL33_IN   | PL2C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[1]    | 96/0     | LVTTL33_IN   | PT6D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[2]    | 88/0     | LVTTL33_IN   | PT9A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[3]    | 97/0     | LVTTL33_IN   | PT6C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[4]    | 99/0     | LVTTL33_IN   | PT6A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[5]    | 98/0     | LVTTL33_IN   | PT6B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[6]    | 2/3      | LVTTL33_IN   | PL2B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Din[7]    | 1/3      | LVTTL33_IN   | PL2A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| Dout[0]   | 76/0     | LVTTL33_OUT  | PT11D |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[1]   | 86/0     | LVTTL33_OUT  | PT9C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[2]   | 87/0     | LVTTL33_OUT  | PT9B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[3]   | 85/0     | LVTTL33_OUT  | PT9D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[4]   | 83/0     | LVTTL33_OUT  | PT10B |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[5]   | 84/0     | LVTTL33_OUT  | PT10A |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[6]   | 78/0     | LVTTL33_OUT  | PT11A |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| Dout[7]   | 82/0     | LVTTL33_OUT  | PT10C |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| LED       | 34/2     | LVTTL33_OUT  | PB6C  |           |           | DRIVE:16mA SLEW:SLOW                                       |
    +| MAin[0]   | 14/3     | LVTTL33_IN   | PL5C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[1]   | 12/3     | LVTTL33_IN   | PL5A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[2]   | 13/3     | LVTTL33_IN   | PL5B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[3]   | 21/3     | LVTTL33_IN   | PL7B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[4]   | 20/3     | LVTTL33_IN   | PL7A  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[5]   | 19/3     | LVTTL33_IN   | PL6D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[6]   | 24/3     | LVTTL33_IN   | PL7C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[7]   | 18/3     | LVTTL33_IN   | PL6C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[8]   | 25/3     | LVTTL33_IN   | PL7D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| MAin[9]   | 32/2     | LVTTL33_IN   | PB6B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| PHI2      | 8/3      | LVTTL33_IN   | PL3B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| RA[0]     | 66/1     | LVTTL33_OUT  | PR3D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[10]    | 64/1     | LVTTL33_OUT  | PR5B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[11]    | 59/1     | LVTTL33_OUT  | PR6B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[1]     | 67/1     | LVTTL33_OUT  | PR3C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[2]     | 69/1     | LVTTL33_OUT  | PR3A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[3]     | 71/1     | LVTTL33_OUT  | PR2C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[4]     | 74/1     | LVTTL33_OUT  | PR2B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[5]     | 70/1     | LVTTL33_OUT  | PR2D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[6]     | 68/1     | LVTTL33_OUT  | PR3B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[7]     | 75/1     | LVTTL33_OUT  | PR2A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[8]     | 65/1     | LVTTL33_OUT  | PR5A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RA[9]     | 62/1     | LVTTL33_OUT  | PR5D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RBA[0]    | 58/1     | LVTTL33_OUT  | PR6C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RBA[1]    | 60/1     | LVTTL33_OUT  | PR6A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RCKE      | 53/1     | LVTTL33_OUT  | PR7B  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RCLK      | 63/1     | LVTTL33_IN   | PR5C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| RDQMH     | 51/1     | LVTTL33_OUT  | PR7D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RDQML     | 48/2     | LVTTL33_OUT  | PB14C |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| RD[0]     | 36/2     | LVTTL33_BIDI | PB10A |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| RD[1]     | 37/2     | LVTTL33_BIDI | PB10B |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| RD[2]     | 38/2     | LVTTL33_BIDI | PB10C |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| RD[3]     | 39/2     | LVTTL33_BIDI | PB10D |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| RD[4]     | 40/2     | LVTTL33_BIDI | PB12A |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| RD[5]     | 41/2     | LVTTL33_BIDI | PB12B |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| RD[6]     | 42/2     | LVTTL33_BIDI | PB12C |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| RD[7]     | 43/2     | LVTTL33_BIDI | PB12D |           |           | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW  |
    +| nCCAS     | 9/3      | LVTTL33_IN   | PL3C  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| nCRAS     | 17/3     | LVTTL33_IN   | PL6B  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| nFWE      | 15/3     | LVTTL33_IN   | PL5D  |           |           | CLAMP:ON HYSTERESIS:SMALL                                  |
    +| nRCAS     | 52/1     | LVTTL33_OUT  | PR7C  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nRCS      | 57/1     | LVTTL33_OUT  | PR6D  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nRRAS     | 54/1     | LVTTL33_OUT  | PR7A  |           |           | DRIVE:4mA SLEW:SLOW                                        |
    +| nRWE      | 49/2     | LVTTL33_OUT  | PB14D |           |           | DRIVE:4mA SLEW:SLOW                                        |
    ++-----------+----------+--------------+-------+-----------+-----------+------------------------------------------------------------+
    +
    +Vccio by Bank:
    ++------+-------+
    +| Bank | Vccio |
    ++------+-------+
    +| 0    | 3.3V  |
    +| 1    | 3.3V  |
    +| 2    | 3.3V  |
    +| 3    | 3.3V  |
    ++------+-------+
    +
    +
    +Vref by Bank:
    ++------+-----+-----------------+---------+
    +| Vref | Pin | Bank # / Vref # | Load(s) |
    ++------+-----+-----------------+---------+
    ++------+-----+-----------------+---------+
    +
    +Pinout by Pin Number:
    ++----------+-----------------------+------------+--------------+-------+---------------+-----------+-----------+
    +| Pin/Bank | Pin Info              | Preference | Buffer Type  | Site  | Dual Function | PG Enable | BC Enable |
    ++----------+-----------------------+------------+--------------+-------+---------------+-----------+-----------+
    +| 1/3      | Din[7]                | LOCATED    | LVTTL33_IN   | PL2A  |               |           |           |
    +| 2/3      | Din[6]                | LOCATED    | LVTTL33_IN   | PL2B  |               |           |           |
    +| 3/3      | Din[0]                | LOCATED    | LVTTL33_IN   | PL2C  | PCLKT3_2      |           |           |
    +| 4/3      |     unused, PULL:DOWN |            |              | PL2D  | PCLKC3_2      |           |           |
    +| 7/3      |     unused, PULL:DOWN |            |              | PL3A  |               |           |           |
    +| 8/3      | PHI2                  | LOCATED    | LVTTL33_IN   | PL3B  |               |           |           |
    +| 9/3      | nCCAS                 | LOCATED    | LVTTL33_IN   | PL3C  |               |           |           |
    +| 10/3     | CROW[0]               | LOCATED    | LVTTL33_IN   | PL3D  |               |           |           |
    +| 12/3     | MAin[1]               | LOCATED    | LVTTL33_IN   | PL5A  | PCLKT3_1      |           |           |
    +| 13/3     | MAin[2]               | LOCATED    | LVTTL33_IN   | PL5B  | PCLKC3_1      |           |           |
    +| 14/3     | MAin[0]               | LOCATED    | LVTTL33_IN   | PL5C  |               |           |           |
    +| 15/3     | nFWE                  | LOCATED    | LVTTL33_IN   | PL5D  |               |           |           |
    +| 16/3     | CROW[1]               | LOCATED    | LVTTL33_IN   | PL6A  |               |           |           |
    +| 17/3     | nCRAS                 | LOCATED    | LVTTL33_IN   | PL6B  |               |           |           |
    +| 18/3     | MAin[7]               | LOCATED    | LVTTL33_IN   | PL6C  |               |           |           |
    +| 19/3     | MAin[5]               | LOCATED    | LVTTL33_IN   | PL6D  |               |           |           |
    +| 20/3     | MAin[4]               | LOCATED    | LVTTL33_IN   | PL7A  | PCLKT3_0      |           |           |
    +| 21/3     | MAin[3]               | LOCATED    | LVTTL33_IN   | PL7B  | PCLKC3_0      |           |           |
    +| 24/3     | MAin[6]               | LOCATED    | LVTTL33_IN   | PL7C  |               |           |           |
    +| 25/3     | MAin[8]               | LOCATED    | LVTTL33_IN   | PL7D  |               |           |           |
    +| 27/2     |     unused, PULL:DOWN |            |              | PB4A  | CSSPIN        |           |           |
    +| 28/2     |     unused, PULL:DOWN |            |              | PB4B  |               |           |           |
    +| 29/2     |     unused, PULL:DOWN |            |              | PB4C  |               |           |           |
    +| 30/2     |     unused, PULL:DOWN |            |              | PB4D  |               |           |           |
    +| 31/2     |     unused, PULL:DOWN |            |              | PB6A  | MCLK/CCLK     |           |           |
    +| 32/2     | MAin[9]               | LOCATED    | LVTTL33_IN   | PB6B  | SO/SPISO      |           |           |
    +| 34/2     | LED                   | LOCATED    | LVTTL33_OUT  | PB6C  | PCLKT2_0      |           |           |
    +| 35/2     |     unused, PULL:DOWN |            |              | PB6D  | PCLKC2_0      |           |           |
    +| 36/2     | RD[0]                 | LOCATED    | LVTTL33_BIDI | PB10A |               |           |           |
    +| 37/2     | RD[1]                 | LOCATED    | LVTTL33_BIDI | PB10B |               |           |           |
    +| 38/2     | RD[2]                 | LOCATED    | LVTTL33_BIDI | PB10C | PCLKT2_1      |           |           |
    +| 39/2     | RD[3]                 | LOCATED    | LVTTL33_BIDI | PB10D | PCLKC2_1      |           |           |
    +| 40/2     | RD[4]                 | LOCATED    | LVTTL33_BIDI | PB12A |               |           |           |
    +| 41/2     | RD[5]                 | LOCATED    | LVTTL33_BIDI | PB12B |               |           |           |
    +| 42/2     | RD[6]                 | LOCATED    | LVTTL33_BIDI | PB12C |               |           |           |
    +| 43/2     | RD[7]                 | LOCATED    | LVTTL33_BIDI | PB12D |               |           |           |
    +| 45/2     |     unused, PULL:DOWN |            |              | PB14A |               |           |           |
    +| 47/2     |     unused, PULL:DOWN |            |              | PB14B |               |           |           |
    +| 48/2     | RDQML                 | LOCATED    | LVTTL33_OUT  | PB14C | SN            |           |           |
    +| 49/2     | nRWE                  | LOCATED    | LVTTL33_OUT  | PB14D | SI/SISPI      |           |           |
    +| 51/1     | RDQMH                 | LOCATED    | LVTTL33_OUT  | PR7D  |               |           |           |
    +| 52/1     | nRCAS                 | LOCATED    | LVTTL33_OUT  | PR7C  |               |           |           |
    +| 53/1     | RCKE                  | LOCATED    | LVTTL33_OUT  | PR7B  |               |           |           |
    +| 54/1     | nRRAS                 | LOCATED    | LVTTL33_OUT  | PR7A  |               |           |           |
    +| 57/1     | nRCS                  | LOCATED    | LVTTL33_OUT  | PR6D  |               |           |           |
    +| 58/1     | RBA[0]                | LOCATED    | LVTTL33_OUT  | PR6C  |               |           |           |
    +| 59/1     | RA[11]                | LOCATED    | LVTTL33_OUT  | PR6B  |               |           |           |
    +| 60/1     | RBA[1]                | LOCATED    | LVTTL33_OUT  | PR6A  |               |           |           |
    +| 62/1     | RA[9]                 | LOCATED    | LVTTL33_OUT  | PR5D  | PCLKC1_0      |           |           |
    +| 63/1     | RCLK                  | LOCATED    | LVTTL33_IN   | PR5C  | PCLKT1_0      |           |           |
    +| 64/1     | RA[10]                | LOCATED    | LVTTL33_OUT  | PR5B  |               |           |           |
    +| 65/1     | RA[8]                 | LOCATED    | LVTTL33_OUT  | PR5A  |               |           |           |
    +| 66/1     | RA[0]                 | LOCATED    | LVTTL33_OUT  | PR3D  |               |           |           |
    +| 67/1     | RA[1]                 | LOCATED    | LVTTL33_OUT  | PR3C  |               |           |           |
    +| 68/1     | RA[6]                 | LOCATED    | LVTTL33_OUT  | PR3B  |               |           |           |
    +| 69/1     | RA[2]                 | LOCATED    | LVTTL33_OUT  | PR3A  |               |           |           |
    +| 70/1     | RA[5]                 | LOCATED    | LVTTL33_OUT  | PR2D  |               |           |           |
    +| 71/1     | RA[3]                 | LOCATED    | LVTTL33_OUT  | PR2C  |               |           |           |
    +| 74/1     | RA[4]                 | LOCATED    | LVTTL33_OUT  | PR2B  |               |           |           |
    +| 75/1     | RA[7]                 | LOCATED    | LVTTL33_OUT  | PR2A  |               |           |           |
    +| 76/0     | Dout[0]               | LOCATED    | LVTTL33_OUT  | PT11D | DONE          |           |           |
    +| 77/0     |     unused, PULL:DOWN |            |              | PT11C | INITN         |           |           |
    +| 78/0     | Dout[6]               | LOCATED    | LVTTL33_OUT  | PT11A |               |           |           |
    +| 81/0     |     unused, PULL:DOWN |            |              | PT10D | PROGRAMN      |           |           |
    +| 82/0     | Dout[7]               | LOCATED    | LVTTL33_OUT  | PT10C | JTAGENB       |           |           |
    +| 83/0     | Dout[4]               | LOCATED    | LVTTL33_OUT  | PT10B |               |           |           |
    +| 84/0     | Dout[5]               | LOCATED    | LVTTL33_OUT  | PT10A |               |           |           |
    +| 85/0     | Dout[3]               | LOCATED    | LVTTL33_OUT  | PT9D  | SDA/PCLKC0_0  |           |           |
    +| 86/0     | Dout[1]               | LOCATED    | LVTTL33_OUT  | PT9C  | SCL/PCLKT0_0  |           |           |
    +| 87/0     | Dout[2]               | LOCATED    | LVTTL33_OUT  | PT9B  | PCLKC0_1      |           |           |
    +| 88/0     | Din[2]                | LOCATED    | LVTTL33_IN   | PT9A  | PCLKT0_1      |           |           |
    +| 90/0     | Reserved: sysCONFIG   |            |              | PT7D  | TMS           |           |           |
    +| 91/0     | Reserved: sysCONFIG   |            |              | PT7C  | TCK           |           |           |
    +| 94/0     | Reserved: sysCONFIG   |            |              | PT7B  | TDI           |           |           |
    +| 95/0     | Reserved: sysCONFIG   |            |              | PT7A  | TDO           |           |           |
    +| 96/0     | Din[1]                | LOCATED    | LVTTL33_IN   | PT6D  |               |           |           |
    +| 97/0     | Din[3]                | LOCATED    | LVTTL33_IN   | PT6C  |               |           |           |
    +| 98/0     | Din[5]                | LOCATED    | LVTTL33_IN   | PT6B  |               |           |           |
    +| 99/0     | Din[4]                | LOCATED    | LVTTL33_IN   | PT6A  |               |           |           |
    +| PT11B/0  |     unused, PULL:DOWN |            |              | PT11B |               |           |           |
    ++----------+-----------------------+------------+--------------+-------+---------------+-----------+-----------+
    +
    +sysCONFIG Pins:
    ++----------+--------------------+--------------------+----------+-------------+-------------------+
    +| Pad Name | sysCONFIG Pin Name | sysCONFIG Settings | Pin/Bank | Buffer Type | Config Pull Mode  |
    ++----------+--------------------+--------------------+----------+-------------+-------------------+
    +| PT7D     | TMS                | JTAG_PORT=ENABLE   | 90/0     |             | PULLUP            |
    +| PT7C     | TCK/TEST_CLK       | JTAG_PORT=ENABLE   | 91/0     |             | NO pull up/down   |
    +| PT7B     | TDI/MD7            | JTAG_PORT=ENABLE   | 94/0     |             | PULLUP            |
    +| PT7A     | TDO                | JTAG_PORT=ENABLE   | 95/0     |             | PULLUP            |
    ++----------+--------------------+--------------------+----------+-------------+-------------------+
    +
    +Dedicated sysCONFIG Pins:
    +
    +
    +List of All Pins' Locate Preferences Based on Final Placement After PAR 
    +to Help Users Lock Down ALL the Pins Easily (by Simply Copy & Paste): 
    +
    +LOCATE  COMP  "CROW[0]"  SITE  "10";
    +LOCATE  COMP  "CROW[1]"  SITE  "16";
    +LOCATE  COMP  "Din[0]"  SITE  "3";
    +LOCATE  COMP  "Din[1]"  SITE  "96";
    +LOCATE  COMP  "Din[2]"  SITE  "88";
    +LOCATE  COMP  "Din[3]"  SITE  "97";
    +LOCATE  COMP  "Din[4]"  SITE  "99";
    +LOCATE  COMP  "Din[5]"  SITE  "98";
    +LOCATE  COMP  "Din[6]"  SITE  "2";
    +LOCATE  COMP  "Din[7]"  SITE  "1";
    +LOCATE  COMP  "Dout[0]"  SITE  "76";
    +LOCATE  COMP  "Dout[1]"  SITE  "86";
    +LOCATE  COMP  "Dout[2]"  SITE  "87";
    +LOCATE  COMP  "Dout[3]"  SITE  "85";
    +LOCATE  COMP  "Dout[4]"  SITE  "83";
    +LOCATE  COMP  "Dout[5]"  SITE  "84";
    +LOCATE  COMP  "Dout[6]"  SITE  "78";
    +LOCATE  COMP  "Dout[7]"  SITE  "82";
    +LOCATE  COMP  "LED"  SITE  "34";
    +LOCATE  COMP  "MAin[0]"  SITE  "14";
    +LOCATE  COMP  "MAin[1]"  SITE  "12";
    +LOCATE  COMP  "MAin[2]"  SITE  "13";
    +LOCATE  COMP  "MAin[3]"  SITE  "21";
    +LOCATE  COMP  "MAin[4]"  SITE  "20";
    +LOCATE  COMP  "MAin[5]"  SITE  "19";
    +LOCATE  COMP  "MAin[6]"  SITE  "24";
    +LOCATE  COMP  "MAin[7]"  SITE  "18";
    +LOCATE  COMP  "MAin[8]"  SITE  "25";
    +LOCATE  COMP  "MAin[9]"  SITE  "32";
    +LOCATE  COMP  "PHI2"  SITE  "8";
    +LOCATE  COMP  "RA[0]"  SITE  "66";
    +LOCATE  COMP  "RA[10]"  SITE  "64";
    +LOCATE  COMP  "RA[11]"  SITE  "59";
    +LOCATE  COMP  "RA[1]"  SITE  "67";
    +LOCATE  COMP  "RA[2]"  SITE  "69";
    +LOCATE  COMP  "RA[3]"  SITE  "71";
    +LOCATE  COMP  "RA[4]"  SITE  "74";
    +LOCATE  COMP  "RA[5]"  SITE  "70";
    +LOCATE  COMP  "RA[6]"  SITE  "68";
    +LOCATE  COMP  "RA[7]"  SITE  "75";
    +LOCATE  COMP  "RA[8]"  SITE  "65";
    +LOCATE  COMP  "RA[9]"  SITE  "62";
    +LOCATE  COMP  "RBA[0]"  SITE  "58";
    +LOCATE  COMP  "RBA[1]"  SITE  "60";
    +LOCATE  COMP  "RCKE"  SITE  "53";
    +LOCATE  COMP  "RCLK"  SITE  "63";
    +LOCATE  COMP  "RDQMH"  SITE  "51";
    +LOCATE  COMP  "RDQML"  SITE  "48";
    +LOCATE  COMP  "RD[0]"  SITE  "36";
    +LOCATE  COMP  "RD[1]"  SITE  "37";
    +LOCATE  COMP  "RD[2]"  SITE  "38";
    +LOCATE  COMP  "RD[3]"  SITE  "39";
    +LOCATE  COMP  "RD[4]"  SITE  "40";
    +LOCATE  COMP  "RD[5]"  SITE  "41";
    +LOCATE  COMP  "RD[6]"  SITE  "42";
    +LOCATE  COMP  "RD[7]"  SITE  "43";
    +LOCATE  COMP  "nCCAS"  SITE  "9";
    +LOCATE  COMP  "nCRAS"  SITE  "17";
    +LOCATE  COMP  "nFWE"  SITE  "15";
    +LOCATE  COMP  "nRCAS"  SITE  "52";
    +LOCATE  COMP  "nRCS"  SITE  "57";
    +LOCATE  COMP  "nRRAS"  SITE  "54";
    +LOCATE  COMP  "nRWE"  SITE  "49";
    +
    +
    +
    +
    +
    +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Tue Aug 17 06:20:59 2021
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html new file mode 100644 index 0000000..65dfab2 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_par.html @@ -0,0 +1,329 @@ + +Place & Route Report + + +
    PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Tue Aug 17 06:20:51 2021
    +
    +C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_640HC_impl1.p2t
    +RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir
    +RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset
    +C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml
    +
    +
    +Preference file: RAM2GS_LCMXO2_640HC_impl1.prf.
    +
    +Cost Table Summary
    +Level/       Number       Worst        Timing       Worst        Timing       Run          NCD
    +Cost [ncd]   Unrouted     Slack        Score        Slack(hold)  Score(hold)  Time         Status
    +----------   --------     -----        ------       -----------  -----------  ----         ------
    +5_1   *      0            1.135        0            0.304        0            10           Completed
    +* : Design saved.
    +
    +Total (real) run time for 1-seed: 10 secs 
    +
    +par done!
    +
    +Note: user must run 'Trace' for timing closure signoff.
    +
    +Lattice Place and Route Report for Design "RAM2GS_LCMXO2_640HC_impl1_map.ncd"
    +Tue Aug 17 06:20:51 2021
    +
    +
    +Best Par Run
    +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2.
    +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf
    +Preference file: RAM2GS_LCMXO2_640HC_impl1.prf.
    +Placement level-cost: 5-1.
    +Routing Iterations: 6
    +
    +Loading design for application par from file RAM2GS_LCMXO2_640HC_impl1_map.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO2-640HC
    +Package:     TQFP100
    +Performance: 4
    +Loading device for application par from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.39.
    +Performance Hardware Data Status:   Final          Version 34.4.
    +License checked out.
    +
    +
    +Ignore Preference Error(s):  True
    +
    +Device utilization summary:
    +
    +   PIO (prelim)   63+4(JTAG)/80      84% used
    +                  63+4(JTAG)/79      85% bonded
    +
    +   SLICE            131/320          40% used
    +
    +   EFB                1/1           100% used
    +
    +
    +Number of Signals: 401
    +Number of Connections: 1131
    +
    +Pin Constraint Summary:
    +   63 out of 63 pins locked (100% locked).
    +
    +The following 4 signals are selected to use the primary clock routing resources:
    +    RCLK_c (driver: RCLK, clk load #: 52)
    +    PHI2_c (driver: PHI2, clk load #: 13)
    +    nCRAS_c (driver: nCRAS, clk load #: 7)
    +    nCCAS_c (driver: nCCAS, clk load #: 4)
    +
    +WARNING - par: Signal "PHI2_c" is selected to use Primary clock resources. However, its driver comp "PHI2" is located at "8", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
    +WARNING - par: Signal "nCRAS_c" is selected to use Primary clock resources. However, its driver comp "nCRAS" is located at "17", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
    +WARNING - par: Signal "nCCAS_c" is selected to use Primary clock resources. However, its driver comp "nCCAS" is located at "9", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
    +
    +No signal is selected as secondary clock.
    +
    +No signal is selected as Global Set/Reset.
    +Starting Placer Phase 0.
    +............
    +Finished Placer Phase 0.  REAL time: 0 secs 
    +
    +Starting Placer Phase 1.
    +....................
    +Placer score = 65362.
    +Finished Placer Phase 1.  REAL time: 6 secs 
    +
    +Starting Placer Phase 2.
    +.
    +Placer score =  65089
    +Finished Placer Phase 2.  REAL time: 6 secs 
    +
    +
    +
    +Clock Report
    +
    +Global Clock Resources:
    +  CLK_PIN    : 1 out of 8 (12%)
    +  General PIO: 3 out of 80 (3%)
    +  DCM        : 0 out of 2 (0%)
    +  DCC        : 0 out of 8 (0%)
    +
    +Global Clocks:
    +  PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 52
    +  PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3B)", clk load = 13
    +  PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL6B)", clk load = 7
    +  PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL3C)", clk load = 4
    +
    +  PRIMARY  : 4 out of 8 (50%)
    +  SECONDARY: 0 out of 8 (0%)
    +
    +
    +
    +
    +I/O Usage Summary (final):
    +   63 + 4(JTAG) out of 80 (83.8%) PIO sites used.
    +   63 + 4(JTAG) out of 79 (84.8%) bonded PIO sites used.
    +   Number of PIO comps: 63; differential: 0.
    +   Number of Vref pins used: 0.
    +
    +I/O Bank Usage Summary:
    ++----------+----------------+------------+-----------+
    +| I/O Bank | Usage          | Bank Vccio | Bank Vref |
    ++----------+----------------+------------+-----------+
    +| 0        | 13 / 19 ( 68%) | 3.3V       | -         |
    +| 1        | 20 / 20 (100%) | 3.3V       | -         |
    +| 2        | 12 / 20 ( 60%) | 3.3V       | -         |
    +| 3        | 18 / 20 ( 90%) | 3.3V       | -         |
    ++----------+----------------+------------+-----------+
    +
    +Total placer CPU time: 5 secs 
    +
    +Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd.
    +
    +0 connections routed; 1131 unrouted.
    +Starting router resource preassignment
    +WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
    +WARNING - par: The driver of primary clock net nCRAS_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
    +WARNING - par: The driver of primary clock net nCCAS_c is not placed on one of the sites dedicated for primary clocks. This primary clock will be routed to an H-spine through general routing resource and might suffer from excessive delay or skew.
    +
    +WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew.
    +   Signal=wb_clk loads=1 clock_loads=1
    +
    +Completed router resource preassignment. Real time: 8 secs 
    +
    +Start NBR router at 06:20:59 08/17/21
    +
    +*****************************************************************
    +Info: NBR allows conflicts(one node used by more than one signal)
    +      in the earlier iterations. In each iteration, it tries to  
    +      solve the conflicts while keeping the critical connections 
    +      routed as short as possible. The routing process is said to
    +      be completed when no conflicts exist and all connections   
    +      are routed.                                                
    +Note: NBR uses a different method to calculate timing slacks. The
    +      worst slack and total negative slack may not be the same as
    +      that in TRCE report. You should always run TRCE to verify  
    +      your design.                                               
    +*****************************************************************
    +
    +Start NBR special constraint process at 06:20:59 08/17/21
    +
    +Start NBR section for initial routing at 06:20:59 08/17/21
    +Level 1, iteration 1
    +0(0.00%) conflict; 980(86.65%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.167ns/0.000ns; real time: 8 secs 
    +Level 2, iteration 1
    +1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.141ns/0.000ns; real time: 9 secs 
    +Level 3, iteration 1
    +1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +Level 4, iteration 1
    +26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +
    +Info: Initial congestion level at 75% usage is 0
    +Info: Initial congestion area  at 75% usage is 0 (0.00%)
    +
    +Start NBR section for normal routing at 06:21:00 08/17/21
    +Level 1, iteration 1
    +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +Level 2, iteration 1
    +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +Level 3, iteration 1
    +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +Level 4, iteration 1
    +12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +Level 4, iteration 2
    +5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +Level 4, iteration 3
    +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +
    +Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21
    +
    +Start NBR section for re-routing at 06:21:00 08/17/21
    +Level 4, iteration 1
    +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; 
    +Estimated worst slack/total negative slack<setup>: 1.135ns/0.000ns; real time: 9 secs 
    +
    +Start NBR section for post-routing at 06:21:00 08/17/21
    +
    +End NBR router with 0 unrouted connection
    +
    +NBR Summary
    +-----------
    +  Number of unrouted connections : 0 (0.00%)
    +  Number of connections with timing violations : 0 (0.00%)
    +  Estimated worst slack<setup> : 1.135ns
    +  Timing score<setup> : 0
    +-----------
    +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
    +
    +
    +
    +WARNING - par: The following clock signals will be routed by using generic routing resource and may suffer from excessive delay and/or skew.
    +   Signal=wb_clk loads=1 clock_loads=1
    +
    +Total CPU time 9 secs 
    +Total REAL time: 10 secs 
    +Completely routed.
    +End of route.  1131 routed (100.00%); 0 unrouted.
    +
    +Hold time timing score: 0, hold timing errors: 0
    +
    +Timing score: 0 
    +
    +Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd.
    +
    +
    +All signals are completely routed.
    +
    +
    +PAR_SUMMARY::Run status = Completed
    +PAR_SUMMARY::Number of unrouted conns = 0
    +PAR_SUMMARY::Worst  slack<setup/<ns>> = 1.135
    +PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
    +PAR_SUMMARY::Worst  slack<hold /<ns>> = 0.304
    +PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
    +PAR_SUMMARY::Number of errors = 0
    +
    +Total CPU  time to completion: 9 secs 
    +Total REAL time to completion: 10 secs 
    +
    +par done!
    +
    +Note: user must run 'Trace' for timing closure signoff.
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html new file mode 100644 index 0000000..e426f18 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_summary.html @@ -0,0 +1,83 @@ + +Project Summary + + +
    
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    RAM2GS_LCMXO2_640HC project summary
    Module Name:RAM2GS_LCMXO2_640HCSynthesis:Lattice LSE
    Implementation Name:impl1Strategy Name:Strategy1
    Last Process:JEDEC FileState:Passed
    Target Device:LCMXO2-640HC-4TG100CDevice Family:MachXO2
    Device Type:LCMXO2-640HCPackage Type:TQFP100
    Performance grade:4Operating conditions:COM
    Logic preference file:RAM2GS_LCMXO2_640HC.lpf
    Physical Preference file:impl1/RAM2GS_LCMXO2_640HC_impl1.prf
    Product Version:3.12.0.240.2Patch Version:
    Updated:2021/08/17 06:21:51
    Implementation Location:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1
    Project File:C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.ldf
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html new file mode 100644 index 0000000..8f5276d --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_tw1.html @@ -0,0 +1,2780 @@ + +Lattice Map TRACE Report + + +
    Map TRACE Report
    +
    +Loading design for application trce from file ram2gs_lcmxo2_640hc_impl1_map.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO2-640HC
    +Package:     TQFP100
    +Performance: 4
    +Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.39.
    +Performance Hardware Data Status:   Final          Version 34.4.
    +Setup and Hold Report
    +
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    +Tue Aug 17 06:20:51 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Command line:    trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
    +Design file:     ram2gs_lcmxo2_640hc_impl1_map.ncd
    +Preference file: ram2gs_lcmxo2_640hc_impl1.prf
    +Device,speed:    LCMXO2-640HC,4
    +Report level:    verbose report, limited to 1 item per preference
    +--------------------------------------------------------------------------------
    +
    +Preference Summary
    +
    +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 121 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 1409 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 161.658ns (weighted slack = 323.316ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 13.035ns (30.0% logic, 70.0% route), 8 logic levels. + + Constraint Details: + + 13.035ns physical path delay SLICE_111 to SLICE_19 meets + 175.000ns delay constraint less + 0.307ns CE_SET requirement (totaling 174.693ns) by 161.658ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_111.CLK to SLICE_111.Q1 SLICE_111 (from PHI2_c) +ROUTE 1 e 1.234 SLICE_111.Q1 to SLICE_158.B0 Bank_5 +CTOF_DEL --- 0.495 SLICE_158.B0 to SLICE_158.F0 SLICE_158 +ROUTE 1 e 1.234 SLICE_158.F0 to SLICE_139.B0 n4610 +CTOF_DEL --- 0.495 SLICE_139.B0 to SLICE_139.F0 SLICE_139 +ROUTE 2 e 1.234 SLICE_139.F0 to SLICE_114.B1 n4628 +CTOF_DEL --- 0.495 SLICE_114.B1 to SLICE_114.F1 SLICE_114 +ROUTE 4 e 1.234 SLICE_114.F1 to SLICE_116.B1 n2384 +CTOF_DEL --- 0.495 SLICE_116.B1 to SLICE_116.F1 SLICE_116 +ROUTE 2 e 0.480 SLICE_116.F1 to SLICE_116.D0 n4888 +CTOF_DEL --- 0.495 SLICE_116.D0 to SLICE_116.F0 SLICE_116 +ROUTE 1 e 1.234 SLICE_116.F0 to SLICE_19.B1 n4624 +CTOF_DEL --- 0.495 SLICE_19.B1 to SLICE_19.F1 SLICE_19 +ROUTE 4 e 1.234 SLICE_19.F1 to SLICE_130.C0 C1Submitted_N_232 +CTOF_DEL --- 0.495 SLICE_130.C0 to SLICE_130.F0 SLICE_130 +ROUTE 1 e 1.234 SLICE_130.F0 to SLICE_19.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 13.035 (30.0% logic, 70.0% route), 8 logic levels. + +Report: 26.684ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_122 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_25 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.077ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i8 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 13.757ns (33.7% logic, 66.3% route), 9 logic levels. + + Constraint Details: + + 13.757ns physical path delay SLICE_5 to SLICE_70 meets + 16.000ns delay constraint less + 0.166ns DIN_SET requirement (totaling 15.834ns) by 2.077ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_5.CLK to SLICE_5.Q1 SLICE_5 (from RCLK_c) +ROUTE 23 e 1.234 SLICE_5.Q1 to SLICE_98.B1 FS_8 +CTOF_DEL --- 0.495 SLICE_98.B1 to SLICE_98.F1 SLICE_98 +ROUTE 4 e 1.234 SLICE_98.F1 to SLICE_93.B1 n4924 +CTOF_DEL --- 0.495 SLICE_93.B1 to SLICE_93.F1 SLICE_93 +ROUTE 1 e 1.234 SLICE_93.F1 to SLICE_133.D0 n98 +CTOF_DEL --- 0.495 SLICE_133.D0 to SLICE_133.F0 SLICE_133 +ROUTE 2 e 0.480 SLICE_133.F0 to SLICE_133.B1 n2199 +CTOF_DEL --- 0.495 SLICE_133.B1 to SLICE_133.F1 SLICE_133 +ROUTE 1 e 1.234 SLICE_133.F1 to *9/SLICE_84.C1 n53 +CTOOFX_DEL --- 0.721 *9/SLICE_84.C1 to *SLICE_84.OFX0 i29/SLICE_84 +ROUTE 1 e 1.234 *SLICE_84.OFX0 to SLICE_148.C1 n14_adj_3 +CTOF_DEL --- 0.495 SLICE_148.C1 to SLICE_148.F1 SLICE_148 +ROUTE 2 e 1.234 SLICE_148.F1 to SLICE_135.C0 n12_adj_8 +CTOF_DEL --- 0.495 SLICE_135.C0 to SLICE_135.F0 SLICE_135 +ROUTE 2 e 1.234 SLICE_135.F0 to SLICE_70.A1 n14_adj_7 +CTOF_DEL --- 0.495 SLICE_70.A1 to SLICE_70.F1 SLICE_70 +ROUTE 1 e 0.001 SLICE_70.F1 to SLICE_70.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 13.757 (33.7% logic, 66.3% route), 9 logic levels. + +Report: 13.923ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_54 and + 5.791ns delay SLICE_54 to RA[10] (totaling 8.157ns) meets + 12.500ns offset RCLK to RA[10] by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_54.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_54.CLK to SLICE_54.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_54.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 4.105 64.PADDO to 64.PAD RA[10] + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[9] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[9] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_151.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_151.C0 to SLICE_151.F0 SLICE_151 +ROUTE 1 e 1.234 SLICE_151.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 4.105 62.PADDO to 62.PAD RA[9] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[8] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[8] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_163.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_163.C1 to SLICE_163.F1 SLICE_163 +ROUTE 1 e 1.234 SLICE_163.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 4.105 65.PADDO to 65.PAD RA[8] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[7] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[7] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_155.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_155.C1 to SLICE_155.F1 SLICE_155 +ROUTE 1 e 1.234 SLICE_155.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 4.105 75.PADDO to 75.PAD RA[7] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[6] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[6] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_163.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_163.C0 to SLICE_163.F0 SLICE_163 +ROUTE 1 e 1.234 SLICE_163.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 4.105 68.PADDO to 68.PAD RA[6] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[5] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[5] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_157.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_157.C1 to SLICE_157.F1 SLICE_157 +ROUTE 1 e 1.234 SLICE_157.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 4.105 70.PADDO to 70.PAD RA[5] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[4] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[4] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_158.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_158.C1 to SLICE_158.F1 SLICE_158 +ROUTE 1 e 1.234 SLICE_158.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 4.105 74.PADDO to 74.PAD RA[4] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[3] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[3] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_162.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_162.C0 to SLICE_162.F0 SLICE_162 +ROUTE 1 e 1.234 SLICE_162.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 4.105 71.PADDO to 71.PAD RA[3] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[2] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[2] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_161.C0 nRowColSel +CTOF_DEL --- 0.495 SLICE_161.C0 to SLICE_161.F0 SLICE_161 +ROUTE 1 e 1.234 SLICE_161.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 4.105 69.PADDO to 69.PAD RA[2] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[1] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[1] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_162.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_162.C1 to SLICE_162.F1 SLICE_162 +ROUTE 1 e 1.234 SLICE_162.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 4.105 67.PADDO to 67.PAD RA[1] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RA[0] (totaling 9.886ns) meets + 12.500ns offset RCLK to RA[0] by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_159.C1 nRowColSel +CTOF_DEL --- 0.495 SLICE_159.C1 to SLICE_159.F1 SLICE_159 +ROUTE 1 e 1.234 SLICE_159.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 4.105 66.PADDO to 66.PAD RA[0] + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_59 and + 5.791ns delay SLICE_59 to nRCS (totaling 8.157ns) meets + 12.500ns offset RCLK to nRCS by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_59.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_59.CLK to SLICE_59.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_59.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 4.105 57.PADDO to 57.PAD nRCS + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_35 and + 5.791ns delay SLICE_35 to RCKE (totaling 8.157ns) meets + 12.500ns offset RCLK to RCKE by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_35.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_35.CLK to SLICE_35.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 e 1.234 SLICE_35.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 4.105 53.PADDO to 53.PAD RCKE + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_62 and + 5.791ns delay SLICE_62 to nRWE (totaling 8.157ns) meets + 12.500ns offset RCLK to nRWE by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_62.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_62.CLK to SLICE_62.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_62.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 4.105 49.PADDO to 49.PAD nRWE + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_60 and + 5.791ns delay SLICE_60 to nRRAS (totaling 8.157ns) meets + 12.500ns offset RCLK to nRRAS by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_60.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_60.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 4.105 54.PADDO to 54.PAD nRRAS + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 4.343ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 5.791ns (78.7% logic, 21.3% route), 2 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_57 and + 5.791ns delay SLICE_57 to nRCAS (totaling 8.157ns) meets + 12.500ns offset RCLK to nRCAS by 4.343ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_57.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_57.CLK to SLICE_57.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 e 1.234 SLICE_57.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 4.105 52.PADDO to 52.PAD nRCAS + -------- + 5.791 (78.7% logic, 21.3% route), 2 logic levels. + +Report: 8.157ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RDQMH (totaling 9.886ns) meets + 12.500ns offset RCLK to RDQMH by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_151.B1 nRowColSel +CTOF_DEL --- 0.495 SLICE_151.B1 to SLICE_151.F1 SLICE_151 +ROUTE 1 e 1.234 SLICE_151.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 4.105 51.PADDO to 51.PAD RDQMH + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.614ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.520ns (67.2% logic, 32.8% route), 3 logic levels. + + Clock Path Delay: 2.366ns (47.8% logic, 52.2% route), 1 logic levels. + + Constraint Details: + 2.366ns delay RCLK to SLICE_63 and + 7.520ns delay SLICE_63 to RDQML (totaling 9.886ns) meets + 12.500ns offset RCLK to RDQML by 2.614ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 e 1.234 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 2.366 (47.8% logic, 52.2% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 1.234 SLICE_63.Q0 to SLICE_161.B1 nRowColSel +CTOF_DEL --- 0.495 SLICE_161.B1 to SLICE_161.F1 SLICE_161 +ROUTE 1 e 1.234 SLICE_161.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 4.105 48.PADDO to 48.PAD RDQML + -------- + 7.520 (67.2% logic, 32.8% route), 3 logic levels. + +Report: 9.886ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 26.684 ns| 8 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 13.923 ns| 9 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 8.157 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.886 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:20:51 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,M +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +Preference Summary + +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 121 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 1409 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.447ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_542 (from PHI2_c -) + Destination: FF Data in C1Submitted_542 (to PHI2_c -) + + Delay: 0.434ns (53.9% logic, 46.1% route), 2 logic levels. + + Constraint Details: + + 0.434ns physical path delay SLICE_15 to SLICE_15 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint requirement (totaling -0.013ns) by 0.447ns + + Physical Path Details: + + Data path SLICE_15 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_15.CLK to SLICE_15.Q0 SLICE_15 (from PHI2_c) +ROUTE 2 e 0.199 SLICE_15.Q0 to SLICE_15.D0 C1Submitted +CTOF_DEL --- 0.101 SLICE_15.D0 to SLICE_15.F0 SLICE_15 +ROUTE 1 e 0.001 SLICE_15.F0 to SLICE_15.DI0 n2549 (to PHI2_c) + -------- + 0.434 (53.9% logic, 46.1% route), 2 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.351ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.332ns (40.1% logic, 59.9% route), 1 logic levels. + + Constraint Details: + + 0.332ns physical path delay SLICE_118 to SLICE_118 meets + -0.019ns M_HLD and + 0.000ns delay constraint requirement (totaling -0.019ns) by 0.351ns + + Physical Path Details: + + Data path SLICE_118 to SLICE_118: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_118.CLK to SLICE_118.Q0 SLICE_118 (from RCLK_c) +ROUTE 1 e 0.199 SLICE_118.Q0 to SLICE_118.M1 n1197 (to RCLK_c) + -------- + 0.332 (40.1% logic, 59.9% route), 1 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_54 and + 2.321ns delay SLICE_54 to RA[10] (totaling 3.284ns) meets + 0.000ns hold offset RCLK to RA[10] by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_54.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_54.CLK to SLICE_54.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_54.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 1.673 64.PADDO to 64.PAD RA[10] + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[9] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_151.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_151.C0 to SLICE_151.F0 SLICE_151 +ROUTE 1 e 0.515 SLICE_151.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 1.673 62.PADDO to 62.PAD RA[9] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[8] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_163.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_163.C1 to SLICE_163.F1 SLICE_163 +ROUTE 1 e 0.515 SLICE_163.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 1.673 65.PADDO to 65.PAD RA[8] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[7] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_155.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_155.C1 to SLICE_155.F1 SLICE_155 +ROUTE 1 e 0.515 SLICE_155.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 1.673 75.PADDO to 75.PAD RA[7] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[6] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_163.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_163.C0 to SLICE_163.F0 SLICE_163 +ROUTE 1 e 0.515 SLICE_163.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 1.673 68.PADDO to 68.PAD RA[6] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[5] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_157.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_157.C1 to SLICE_157.F1 SLICE_157 +ROUTE 1 e 0.515 SLICE_157.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 1.673 70.PADDO to 70.PAD RA[5] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[4] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[4] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_158.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_158.C1 to SLICE_158.F1 SLICE_158 +ROUTE 1 e 0.515 SLICE_158.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 1.673 74.PADDO to 74.PAD RA[4] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[3] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_162.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_162.C0 to SLICE_162.F0 SLICE_162 +ROUTE 1 e 0.515 SLICE_162.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 1.673 71.PADDO to 71.PAD RA[3] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[2] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_161.C0 nRowColSel +CTOF_DEL --- 0.101 SLICE_161.C0 to SLICE_161.F0 SLICE_161 +ROUTE 1 e 0.515 SLICE_161.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 1.673 69.PADDO to 69.PAD RA[2] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[1] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_162.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_162.C1 to SLICE_162.F1 SLICE_162 +ROUTE 1 e 0.515 SLICE_162.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 1.673 67.PADDO to 67.PAD RA[1] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RA[0] (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_159.C1 nRowColSel +CTOF_DEL --- 0.101 SLICE_159.C1 to SLICE_159.F1 SLICE_159 +ROUTE 1 e 0.515 SLICE_159.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 1.673 66.PADDO to 66.PAD RA[0] + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_59 and + 2.321ns delay SLICE_59 to nRCS (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRCS by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_59.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_59.CLK to SLICE_59.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_59.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 1.673 57.PADDO to 57.PAD nRCS + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_35 and + 2.321ns delay SLICE_35 to RCKE (totaling 3.284ns) meets + 0.000ns hold offset RCLK to RCKE by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_35.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_35.CLK to SLICE_35.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 e 0.515 SLICE_35.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 1.673 53.PADDO to 53.PAD RCKE + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_62 and + 2.321ns delay SLICE_62 to nRWE (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRWE by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_62.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_62.CLK to SLICE_62.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_62.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 1.673 49.PADDO to 49.PAD nRWE + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_60 and + 2.321ns delay SLICE_60 to nRRAS (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRRAS by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_60.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_60.CLK to SLICE_60.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_60.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 1.673 54.PADDO to 54.PAD nRRAS + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.284ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 2.321ns (77.8% logic, 22.2% route), 2 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_57 and + 2.321ns delay SLICE_57 to nRCAS (totaling 3.284ns) meets + 0.000ns hold offset RCLK to nRCAS by 3.284ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_57.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_57.CLK to SLICE_57.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 e 0.515 SLICE_57.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 1.673 52.PADDO to 52.PAD nRCAS + -------- + 2.321 (77.8% logic, 22.2% route), 2 logic levels. + +Report: 3.284ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RDQMH (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_151.B1 nRowColSel +CTOF_DEL --- 0.101 SLICE_151.B1 to SLICE_151.F1 SLICE_151 +ROUTE 1 e 0.515 SLICE_151.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 1.673 51.PADDO to 51.PAD RDQMH + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.900ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.937ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 0.963ns (46.5% logic, 53.5% route), 1 logic levels. + + Constraint Details: + 0.963ns delay RCLK to SLICE_63 and + 2.937ns delay SLICE_63 to RDQML (totaling 3.900ns) meets + 0.000ns hold offset RCLK to RDQML by 3.900ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 e 0.515 63.PADDI to SLICE_63.CLK RCLK_c + -------- + 0.963 (46.5% logic, 53.5% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 SLICE_63.CLK to SLICE_63.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 e 0.515 SLICE_63.Q0 to SLICE_161.B1 nRowColSel +CTOF_DEL --- 0.101 SLICE_161.B1 to SLICE_161.F1 SLICE_161 +ROUTE 1 e 0.515 SLICE_161.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 1.673 48.PADDO to 48.PAD RDQML + -------- + 2.937 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 3.900ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.284 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.900 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + + + + +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html new file mode 100644 index 0000000..774a443 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_twr.html @@ -0,0 +1,4699 @@ + +Lattice TRACE Report + + +
    Place & Route TRACE Report
    +
    +Loading design for application trce from file ram2gs_lcmxo2_640hc_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO2-640HC
    +Package:     TQFP100
    +Performance: 4
    +Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.39.
    +Performance Hardware Data Status:   Final          Version 34.4.
    +Setup and Hold Report
    +
    +--------------------------------------------------------------------------------
    +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2
    +Tue Aug 17 06:21:01 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Command line:    trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
    +Design file:     ram2gs_lcmxo2_640hc_impl1.ncd
    +Preference file: ram2gs_lcmxo2_640hc_impl1.prf
    +Device,speed:    LCMXO2-640HC,4
    +Report level:    verbose report, limited to 10 items per preference
    +--------------------------------------------------------------------------------
    +
    +Preference Summary
    +
    +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 121 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 1409 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 162.100ns (weighted slack = 324.200ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.593ns (31.1% logic, 68.9% route), 8 logic levels. + + Constraint Details: + + 12.593ns physical path delay SLICE_151 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.100ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q0 SLICE_151 (from PHI2_c) +ROUTE 1 1.278 R5C9B.Q0 to R2C8B.C0 Bank_6 +CTOF_DEL --- 0.495 R2C8B.C0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.593 (31.1% logic, 68.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.100ns (weighted slack = 324.200ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 12.593ns (31.1% logic, 68.9% route), 8 logic levels. + + Constraint Details: + + 12.593ns physical path delay SLICE_151 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.100ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q0 SLICE_151 (from PHI2_c) +ROUTE 1 1.278 R5C9B.Q0 to R2C8B.C0 Bank_6 +CTOF_DEL --- 0.495 R2C8B.C0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.593 (31.1% logic, 68.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.374ns (weighted slack = 324.748ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i4 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.319ns (31.8% logic, 68.2% route), 8 logic levels. + + Constraint Details: + + 12.319ns physical path delay SLICE_111 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.374ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_111 (from PHI2_c) +ROUTE 1 1.004 R2C8C.Q0 to R2C8B.B0 Bank_4 +CTOF_DEL --- 0.495 R2C8B.B0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.319 (31.8% logic, 68.2% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.374ns (weighted slack = 324.748ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i4 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 12.319ns (31.8% logic, 68.2% route), 8 logic levels. + + Constraint Details: + + 12.319ns physical path delay SLICE_111 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.374ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_111 (from PHI2_c) +ROUTE 1 1.004 R2C8C.Q0 to R2C8B.B0 Bank_4 +CTOF_DEL --- 0.495 R2C8B.B0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.319 (31.8% logic, 68.2% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.411ns (weighted slack = 324.822ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.282ns (31.9% logic, 68.1% route), 8 logic levels. + + Constraint Details: + + 12.282ns physical path delay SLICE_111 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.411ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q1 SLICE_111 (from PHI2_c) +ROUTE 1 0.967 R2C8C.Q1 to R2C8B.A0 Bank_5 +CTOF_DEL --- 0.495 R2C8B.A0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.282 (31.9% logic, 68.1% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 162.411ns (weighted slack = 324.822ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i5 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 12.282ns (31.9% logic, 68.1% route), 8 logic levels. + + Constraint Details: + + 12.282ns physical path delay SLICE_111 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 162.411ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q1 SLICE_111 (from PHI2_c) +ROUTE 1 0.967 R2C8C.Q1 to R2C8B.A0 Bank_5 +CTOF_DEL --- 0.495 R2C8B.A0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 12.282 (31.9% logic, 68.1% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.336ns (weighted slack = 326.672ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i6 (from PHI2_c +) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 11.357ns (34.5% logic, 65.5% route), 8 logic levels. + + Constraint Details: + + 11.357ns physical path delay SLICE_151 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.336ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q0 SLICE_151 (from PHI2_c) +ROUTE 1 1.278 R5C9B.Q0 to R2C8B.C0 Bank_6 +CTOF_DEL --- 0.495 R2C8B.C0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.756 R2C9D.F1 to R2C9B.C1 n2384 +CTOF_DEL --- 0.495 R2C9B.C1 to R2C9B.F1 SLICE_116 +ROUTE 2 0.445 R2C9B.F1 to R2C9B.C0 n4888 +CTOF_DEL --- 0.495 R2C9B.C0 to R2C9B.F0 SLICE_116 +ROUTE 1 0.645 R2C9B.F0 to R3C9A.D1 n4624 +CTOF_DEL --- 0.495 R3C9A.D1 to R3C9A.F1 SLICE_19 +ROUTE 4 1.042 R3C9A.F1 to R3C9D.B0 C1Submitted_N_232 +CTOF_DEL --- 0.495 R3C9D.B0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.653 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 11.357 (34.5% logic, 65.5% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R3C9A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.510ns (weighted slack = 327.020ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 11.183ns (35.0% logic, 65.0% route), 8 logic levels. + + Constraint Details: + + 11.183ns physical path delay SLICE_151 to SLICE_20 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.510ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q1 SLICE_151 (from PHI2_c) +ROUTE 1 0.967 R5C9B.Q1 to R5C9D.A1 Bank_7 +CTOF_DEL --- 0.495 R5C9D.A1 to R5C9D.F1 SLICE_139 +ROUTE 1 0.436 R5C9D.F1 to R5C9D.C0 n4574 +CTOF_DEL --- 0.495 R5C9D.C0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 11.183 (35.0% logic, 65.0% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.510ns (weighted slack = 327.020ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i7 (from PHI2_c +) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 11.183ns (35.0% logic, 65.0% route), 8 logic levels. + + Constraint Details: + + 11.183ns physical path delay SLICE_151 to SLICE_24 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.510ns + + Physical Path Details: + + Data path SLICE_151 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C9B.CLK to R5C9B.Q1 SLICE_151 (from PHI2_c) +ROUTE 1 0.967 R5C9B.Q1 to R5C9D.A1 Bank_7 +CTOF_DEL --- 0.495 R5C9D.A1 to R5C9D.F1 SLICE_139 +ROUTE 1 0.436 R5C9D.F1 to R5C9D.C0 n4574 +CTOF_DEL --- 0.495 R5C9D.C0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.788 R2C9D.F1 to R3C9D.C1 n2384 +CTOF_DEL --- 0.495 R3C9D.C1 to R3C9D.F1 SLICE_130 +ROUTE 2 0.995 R3C9D.F1 to R4C9B.A0 n4889 +CTOF_DEL --- 0.495 R4C9B.A0 to R4C9B.F0 SLICE_21 +ROUTE 4 1.474 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.495 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.436 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.495 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 1.084 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 11.183 (35.0% logic, 65.0% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_151: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C9B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R5C6B.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 163.610ns (weighted slack = 327.220ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i4 (from PHI2_c +) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 11.083ns (35.3% logic, 64.7% route), 8 logic levels. + + Constraint Details: + + 11.083ns physical path delay SLICE_111 to SLICE_19 meets + 175.000ns delay constraint less + 0.000ns skew and + 0.307ns CE_SET requirement (totaling 174.693ns) by 163.610ns + + Physical Path Details: + + Data path SLICE_111 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R2C8C.CLK to R2C8C.Q0 SLICE_111 (from PHI2_c) +ROUTE 1 1.004 R2C8C.Q0 to R2C8B.B0 Bank_4 +CTOF_DEL --- 0.495 R2C8B.B0 to R2C8B.F0 SLICE_158 +ROUTE 1 1.535 R2C8B.F0 to R5C9D.B0 n4610 +CTOF_DEL --- 0.495 R5C9D.B0 to R5C9D.F0 SLICE_139 +ROUTE 2 1.086 R5C9D.F0 to R2C9D.C1 n4628 +CTOF_DEL --- 0.495 R2C9D.C1 to R2C9D.F1 SLICE_114 +ROUTE 4 0.756 R2C9D.F1 to R2C9B.C1 n2384 +CTOF_DEL --- 0.495 R2C9B.C1 to R2C9B.F1 SLICE_116 +ROUTE 2 0.445 R2C9B.F1 to R2C9B.C0 n4888 +CTOF_DEL --- 0.495 R2C9B.C0 to R2C9B.F0 SLICE_116 +ROUTE 1 0.645 R2C9B.F0 to R3C9A.D1 n4624 +CTOF_DEL --- 0.495 R3C9A.D1 to R3C9A.F1 SLICE_19 +ROUTE 4 1.042 R3C9A.F1 to R3C9D.B0 C1Submitted_N_232 +CTOF_DEL --- 0.495 R3C9D.B0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.653 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 11.083 (35.3% logic, 64.7% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_111: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R2C8C.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 3.539 8.PADDI to R3C9A.CLK PHI2_c + -------- + 3.539 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 25.800ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_122 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 347.500ns + The internal maximum frequency of the following component is 400.000 MHz + + Logical Details: Cell type Pin name Component name + + Destination: SLICE CLK SLICE_25 + + Delay: 2.500ns -- based on Minimum Pulse Width + +Report: 2.500ns is the minimum period for this preference. + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 12.261ns (37.8% logic, 62.2% route), 9 logic levels. + + Constraint Details: + + 12.261ns physical path delay SLICE_6 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 3.573ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.808 R6C6D.Q1 to R3C7A.B1 FS_6 +CTOF_DEL --- 0.495 R3C7A.B1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 12.261 (37.8% logic, 62.2% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 3.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 12.261ns (37.8% logic, 62.2% route), 9 logic levels. + + Constraint Details: + + 12.261ns physical path delay SLICE_6 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 3.573ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.808 R6C6D.Q1 to R3C7A.B1 FS_6 +CTOF_DEL --- 0.495 R3C7A.B1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 12.261 (37.8% logic, 62.2% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.370ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i7 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.464ns (36.1% logic, 63.9% route), 8 logic levels. + + Constraint Details: + + 11.464ns physical path delay SLICE_5 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.370ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q0 SLICE_5 (from RCLK_c) +ROUTE 25 2.442 R6C7A.Q0 to R2C8D.C1 FS_7 +CTOF_DEL --- 0.495 R2C8D.C1 to R2C8D.F1 SLICE_143 +ROUTE 2 1.427 R2C8D.F1 to R2C6A.B1 n4915 +CTOF_DEL --- 0.495 R2C6A.B1 to R2C6A.F1 SLICE_113 +ROUTE 5 0.672 R2C6A.F1 to R2C7D.D1 n4890 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.464 (36.1% logic, 63.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.370ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i7 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.464ns (36.1% logic, 63.9% route), 8 logic levels. + + Constraint Details: + + 11.464ns physical path delay SLICE_5 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.370ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q0 SLICE_5 (from RCLK_c) +ROUTE 25 2.442 R6C7A.Q0 to R2C8D.C1 FS_7 +CTOF_DEL --- 0.495 R2C8D.C1 to R2C8D.F1 SLICE_143 +ROUTE 2 1.427 R2C8D.F1 to R2C6A.B1 n4915 +CTOF_DEL --- 0.495 R2C6A.B1 to R2C6A.F1 SLICE_113 +ROUTE 5 0.672 R2C6A.F1 to R2C7D.D1 n4890 +CTOF_DEL --- 0.495 R2C7D.D1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.464 (36.1% logic, 63.9% route), 8 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.376ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i8 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.458ns (40.5% logic, 59.5% route), 9 logic levels. + + Constraint Details: + + 11.458ns physical path delay SLICE_5 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.376ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q1 SLICE_5 (from RCLK_c) +ROUTE 23 1.005 R6C7A.Q1 to R3C7A.D1 FS_8 +CTOF_DEL --- 0.495 R3C7A.D1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.458 (40.5% logic, 59.5% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.376ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i8 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.458ns (40.5% logic, 59.5% route), 9 logic levels. + + Constraint Details: + + 11.458ns physical path delay SLICE_5 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.376ns + + Physical Path Details: + + Data path SLICE_5 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C7A.CLK to R6C7A.Q1 SLICE_5 (from RCLK_c) +ROUTE 23 1.005 R6C7A.Q1 to R3C7A.D1 FS_8 +CTOF_DEL --- 0.495 R3C7A.D1 to R3C7A.F1 SLICE_98 +ROUTE 4 1.021 R3C7A.F1 to R3C7D.B1 n4924 +CTOF_DEL --- 0.495 R3C7D.B1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.458 (40.5% logic, 59.5% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_5: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C7A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.398ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.436ns (40.6% logic, 59.4% route), 9 logic levels. + + Constraint Details: + + 11.436ns physical path delay SLICE_6 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.398ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.551 R6C6D.Q1 to R3C7D.C0 FS_6 +CTOF_DEL --- 0.495 R3C7D.C0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.436 (40.6% logic, 59.4% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.398ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i6 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.436ns (40.6% logic, 59.4% route), 9 logic levels. + + Constraint Details: + + 11.436ns physical path delay SLICE_6 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.398ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q1 SLICE_6 (from RCLK_c) +ROUTE 21 1.551 R6C6D.Q1 to R3C7D.C0 FS_6 +CTOF_DEL --- 0.495 R3C7D.C0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.436 (40.6% logic, 59.4% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.449ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i5 (from RCLK_c +) + Destination: FF Data in wb_adr_i4 (to RCLK_c +) + + Delay: 11.385ns (40.7% logic, 59.3% route), 9 logic levels. + + Constraint Details: + + 11.385ns physical path delay SLICE_6 to SLICE_70 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.449ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_70: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q0 SLICE_6 (from RCLK_c) +ROUTE 21 1.500 R6C6D.Q0 to R3C7D.D0 FS_5 +CTOF_DEL --- 0.495 R3C7D.D0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3A.D1 n14_adj_7 +CTOF_DEL --- 0.495 R2C3A.D1 to R2C3A.F1 SLICE_70 +ROUTE 1 0.000 R2C3A.F1 to R2C3A.DI1 wb_adr_7_N_60_4 (to RCLK_c) + -------- + 11.385 (40.7% logic, 59.3% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_70: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3A.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 4.449ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i5 (from RCLK_c +) + Destination: FF Data in wb_adr_i6 (to RCLK_c +) + + Delay: 11.385ns (40.7% logic, 59.3% route), 9 logic levels. + + Constraint Details: + + 11.385ns physical path delay SLICE_6 to SLICE_72 meets + 16.000ns delay constraint less + 0.000ns skew and + 0.166ns DIN_SET requirement (totaling 15.834ns) by 4.449ns + + Physical Path Details: + + Data path SLICE_6 to SLICE_72: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R6C6D.CLK to R6C6D.Q0 SLICE_6 (from RCLK_c) +ROUTE 21 1.500 R6C6D.Q0 to R3C7D.D0 FS_5 +CTOF_DEL --- 0.495 R3C7D.D0 to R3C7D.F0 SLICE_93 +ROUTE 3 0.453 R3C7D.F0 to R3C7D.C1 n53_adj_9 +CTOF_DEL --- 0.495 R3C7D.C1 to R3C7D.F1 SLICE_93 +ROUTE 1 1.001 R3C7D.F1 to R2C7D.B0 n98 +CTOF_DEL --- 0.495 R2C7D.B0 to R2C7D.F0 SLICE_133 +ROUTE 2 1.013 R2C7D.F0 to R2C7D.B1 n2199 +CTOF_DEL --- 0.495 R2C7D.B1 to R2C7D.F1 SLICE_133 +ROUTE 1 0.747 R2C7D.F1 to R2C7A.C1 n53 +CTOOFX_DEL --- 0.721 R2C7A.C1 to R2C7A.OFX0 i29/SLICE_84 +ROUTE 1 0.744 R2C7A.OFX0 to R2C6C.C1 n14_adj_3 +CTOF_DEL --- 0.495 R2C6C.C1 to R2C6C.F1 SLICE_148 +ROUTE 2 0.637 R2C6C.F1 to R2C5D.D0 n12_adj_8 +CTOF_DEL --- 0.495 R2C5D.D0 to R2C5D.F0 SLICE_135 +ROUTE 2 0.652 R2C5D.F0 to R2C3D.D0 n14_adj_7 +CTOF_DEL --- 0.495 R2C3D.D0 to R2C3D.F0 SLICE_72 +ROUTE 1 0.000 R2C3D.F0 to R2C3D.DI0 wb_adr_7_N_60_6 (to RCLK_c) + -------- + 11.385 (40.7% logic, 59.3% route), 9 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_6: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R6C6D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_72: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 2.019 63.PADDI to R2C3D.CLK RCLK_c + -------- + 2.019 (0.0% logic, 100.0% route), 0 logic levels. + +Report: 12.427ns is the minimum period for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.015ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 6.334ns (71.9% logic, 28.1% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_54 and + 6.334ns delay SLICE_54 to RA[10] (totaling 9.485ns) meets + 12.500ns offset RCLK to RA[10] by 3.015ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C11D.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C11D.CLK to R4C11D.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 1.777 R4C11D.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 4.105 64.PADDO to 64.PAD RA[10] + -------- + 6.334 (71.9% logic, 28.1% route), 2 logic levels. + +Report: 9.485ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.495ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 7.854ns (64.3% logic, 35.7% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.854ns delay SLICE_63 to RA[9] (totaling 11.005ns) meets + 12.500ns offset RCLK to RA[9] by 1.495ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.016 R5C10A.Q0 to R5C9B.A0 nRowColSel +CTOF_DEL --- 0.495 R5C9B.A0 to R5C9B.F0 SLICE_151 +ROUTE 1 1.786 R5C9B.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 4.105 62.PADDO to 62.PAD RA[9] + -------- + 7.854 (64.3% logic, 35.7% route), 3 logic levels. + +Report: 11.005ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.386ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 7.963ns (63.4% logic, 36.6% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.963ns delay SLICE_63 to RA[8] (totaling 11.114ns) meets + 12.500ns offset RCLK to RA[8] by 1.386ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.053 R5C10A.Q0 to R4C10D.B1 nRowColSel +CTOF_DEL --- 0.495 R4C10D.B1 to R4C10D.F1 SLICE_163 +ROUTE 1 1.858 R4C10D.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 4.105 65.PADDO to 65.PAD RA[8] + -------- + 7.963 (63.4% logic, 36.6% route), 3 logic levels. + +Report: 11.114ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.552ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 7.797ns (64.8% logic, 35.2% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.797ns delay SLICE_63 to RA[7] (totaling 10.948ns) meets + 12.500ns offset RCLK to RA[7] by 1.552ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.017 R5C10A.Q0 to R2C10D.D1 nRowColSel +CTOF_DEL --- 0.495 R2C10D.D1 to R2C10D.F1 SLICE_155 +ROUTE 1 1.728 R2C10D.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 4.105 75.PADDO to 75.PAD RA[7] + -------- + 7.797 (64.8% logic, 35.2% route), 3 logic levels. + +Report: 10.948ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.401ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 7.948ns (63.6% logic, 36.4% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.948ns delay SLICE_63 to RA[6] (totaling 11.099ns) meets + 12.500ns offset RCLK to RA[6] by 1.401ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.053 R5C10A.Q0 to R4C10D.B0 nRowColSel +CTOF_DEL --- 0.495 R4C10D.B0 to R4C10D.F0 SLICE_163 +ROUTE 1 1.843 R4C10D.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 4.105 68.PADDO to 68.PAD RA[6] + -------- + 7.948 (63.6% logic, 36.4% route), 3 logic levels. + +Report: 11.099ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.135ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 8.214ns (61.5% logic, 38.5% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 8.214ns delay SLICE_63 to RA[5] (totaling 11.365ns) meets + 12.500ns offset RCLK to RA[5] by 1.135ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.310 R5C10A.Q0 to R2C9A.C1 nRowColSel +CTOF_DEL --- 0.495 R2C9A.C1 to R2C9A.F1 SLICE_157 +ROUTE 1 1.852 R2C9A.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 4.105 70.PADDO to 70.PAD RA[5] + -------- + 8.214 (61.5% logic, 38.5% route), 3 logic levels. + +Report: 11.365ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.135ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 8.214ns (61.5% logic, 38.5% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 8.214ns delay SLICE_63 to RA[4] (totaling 11.365ns) meets + 12.500ns offset RCLK to RA[4] by 1.135ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.310 R5C10A.Q0 to R2C8B.C1 nRowColSel +CTOF_DEL --- 0.495 R2C8B.C1 to R2C8B.F1 SLICE_158 +ROUTE 1 1.852 R2C8B.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 4.105 74.PADDO to 74.PAD RA[4] + -------- + 8.214 (61.5% logic, 38.5% route), 3 logic levels. + +Report: 11.365ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.322ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 8.027ns (62.9% logic, 37.1% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 8.027ns delay SLICE_63 to RA[3] (totaling 11.178ns) meets + 12.500ns offset RCLK to RA[3] by 1.322ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.990 R5C10A.Q0 to R3C10C.D0 nRowColSel +CTOF_DEL --- 0.495 R3C10C.D0 to R3C10C.F0 SLICE_162 +ROUTE 1 1.985 R3C10C.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 4.105 71.PADDO to 71.PAD RA[3] + -------- + 8.027 (62.9% logic, 37.1% route), 3 logic levels. + +Report: 11.178ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.577ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 7.772ns (65.0% logic, 35.0% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.772ns delay SLICE_63 to RA[2] (totaling 10.923ns) meets + 12.500ns offset RCLK to RA[2] by 1.577ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.796 R5C10A.Q0 to R5C9C.C0 nRowColSel +CTOF_DEL --- 0.495 R5C9C.C0 to R5C9C.F0 SLICE_161 +ROUTE 1 1.924 R5C9C.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 4.105 69.PADDO to 69.PAD RA[2] + -------- + 7.772 (65.0% logic, 35.0% route), 3 logic levels. + +Report: 10.923ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.579ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 7.770ns (65.0% logic, 35.0% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.770ns delay SLICE_63 to RA[1] (totaling 10.921ns) meets + 12.500ns offset RCLK to RA[1] by 1.579ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.990 R5C10A.Q0 to R3C10C.D1 nRowColSel +CTOF_DEL --- 0.495 R3C10C.D1 to R3C10C.F1 SLICE_162 +ROUTE 1 1.728 R3C10C.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 4.105 67.PADDO to 67.PAD RA[1] + -------- + 7.770 (65.0% logic, 35.0% route), 3 logic levels. + +Report: 10.921ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.855ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 7.494ns (67.4% logic, 32.6% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.494ns delay SLICE_63 to RA[0] (totaling 10.645ns) meets + 12.500ns offset RCLK to RA[0] by 1.855ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 1.017 R5C10A.Q0 to R3C10D.D1 nRowColSel +CTOF_DEL --- 0.495 R3C10D.D1 to R3C10D.F1 SLICE_159 +ROUTE 1 1.425 R3C10D.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 4.105 66.PADDO to 66.PAD RA[0] + -------- + 7.494 (67.4% logic, 32.6% route), 3 logic levels. + +Report: 10.645ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.826ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 6.523ns (69.9% logic, 30.1% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_59 and + 6.523ns delay SLICE_59 to nRCS (totaling 9.674ns) meets + 12.500ns offset RCLK to nRCS by 2.826ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C11B.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C11B.CLK to R4C11B.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 1.966 R4C11B.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 4.105 57.PADDO to 57.PAD nRCS + -------- + 6.523 (69.9% logic, 30.1% route), 2 logic levels. + +Report: 9.674ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.616ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 6.733ns (67.7% logic, 32.3% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_35 and + 6.733ns delay SLICE_35 to RCKE (totaling 9.884ns) meets + 12.500ns offset RCLK to RCKE by 2.616ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C7B.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C7B.CLK to R4C7B.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 2.176 R4C7B.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 4.105 53.PADDO to 53.PAD RCKE + -------- + 6.733 (67.7% logic, 32.3% route), 2 logic levels. + +Report: 9.884ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.225ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 6.124ns (74.4% logic, 25.6% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_62 and + 6.124ns delay SLICE_62 to nRWE (totaling 9.275ns) meets + 12.500ns offset RCLK to nRWE by 3.225ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C11A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C11A.CLK to R5C11A.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 1.567 R5C11A.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 4.105 49.PADDO to 49.PAD nRWE + -------- + 6.124 (74.4% logic, 25.6% route), 2 logic levels. + +Report: 9.275ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.703ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 6.646ns (68.6% logic, 31.4% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_60 and + 6.646ns delay SLICE_60 to nRRAS (totaling 9.797ns) meets + 12.500ns offset RCLK to nRRAS by 2.703ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R4C11A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R4C11A.CLK to R4C11A.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 2.089 R4C11A.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 4.105 54.PADDO to 54.PAD nRRAS + -------- + 6.646 (68.6% logic, 31.4% route), 2 logic levels. + +Report: 9.797ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 2.826ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 6.523ns (69.9% logic, 30.1% route), 2 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_57 and + 6.523ns delay SLICE_57 to nRCAS (totaling 9.674ns) meets + 12.500ns offset RCLK to nRCAS by 2.826ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C11B.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C11B.CLK to R5C11B.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 1.966 R5C11B.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 4.105 52.PADDO to 52.PAD nRCAS + -------- + 6.523 (69.9% logic, 30.1% route), 2 logic levels. + +Report: 9.674ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 7.790ns (64.9% logic, 35.1% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.790ns delay SLICE_63 to RDQMH (totaling 10.941ns) meets + 12.500ns offset RCLK to RDQMH by 1.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.648 R5C10A.Q0 to R5C9B.D1 nRowColSel +CTOF_DEL --- 0.495 R5C9B.D1 to R5C9B.F1 SLICE_151 +ROUTE 1 2.090 R5C9B.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 4.105 51.PADDO to 51.PAD RDQMH + -------- + 7.790 (64.9% logic, 35.1% route), 3 logic levels. + +Report: 10.941ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 1.859ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 7.490ns (67.4% logic, 32.6% route), 3 logic levels. + + Clock Path Delay: 3.151ns (35.9% logic, 64.1% route), 1 logic levels. + + Constraint Details: + 3.151ns delay RCLK to SLICE_63 and + 7.490ns delay SLICE_63 to RDQML (totaling 10.641ns) meets + 12.500ns offset RCLK to RDQML by 1.859ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 1.132 63.PAD to 63.PADDI RCLK +ROUTE 52 2.019 63.PADDI to R5C10A.CLK RCLK_c + -------- + 3.151 (35.9% logic, 64.1% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.452 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.796 R5C10A.Q0 to R5C9C.C1 nRowColSel +CTOF_DEL --- 0.495 R5C9C.C1 to R5C9C.F1 SLICE_161 +ROUTE 1 1.642 R5C9C.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 4.105 48.PADDO to 48.PAD RDQML + -------- + 7.490 (67.4% logic, 32.6% route), 3 logic levels. + +Report: 10.641ns is the minimum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | 350.000 ns| 25.800 ns| 8 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | 350.000 ns| 2.500 ns| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | 16.000 ns| 12.427 ns| 9 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.485 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.005 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.114 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.948 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.099 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.365 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.365 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 11.178 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.923 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.921 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.645 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.884 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.275 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.797 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 9.674 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.941 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 12.500 ns| 10.641 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:21:01 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,m +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +Preference Summary + +
  • PERIOD NET "PHI2_c" 350.000000 ns (0 errors)
  • 121 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCCAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "nCRAS_c" 350.000000 ns (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • PERIOD NET "RCLK_c" 16.000000 ns (0 errors)
  • 1409 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 1 item scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +
  • CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" (0 errors)
  • 0 items scored, 0 timing errors detected. + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +================================================================================ +Preference: PERIOD NET "PHI2_c" 350.000000 ns ; + 121 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_542 (from PHI2_c -) + Destination: FF Data in C1Submitted_542 (to PHI2_c -) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_15 to SLICE_15 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_15 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9C.CLK to R3C9C.Q0 SLICE_15 (from PHI2_c) +ROUTE 2 0.132 R3C9C.Q0 to R3C9C.A0 C1Submitted +CTOF_DEL --- 0.101 R3C9C.A0 to R3C9C.F0 SLICE_15 +ROUTE 1 0.000 R3C9C.F0 to R3C9C.DI0 n2549 (to PHI2_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.474ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in CmdSubmitted_549 (to PHI2_c -) + + Delay: 0.461ns (50.8% logic, 49.2% route), 2 logic levels. + + Constraint Details: + + 0.461ns physical path delay SLICE_19 to SLICE_21 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.474ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.003 R4C9B.F0 to R4C9B.DI0 XOR8MEG_N_149 (to PHI2_c) + -------- + 0.461 (50.8% logic, 49.2% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_21: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R4C9B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.538ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q ADSubmitted_543 (from PHI2_c -) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 0.510ns (45.9% logic, 54.1% route), 2 logic levels. + + Constraint Details: + + 0.510ns physical path delay SLICE_10 to SLICE_19 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.538ns + + Physical Path Details: + + Data path SLICE_10 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9B.CLK to R3C9B.Q0 SLICE_10 (from PHI2_c) +ROUTE 1 0.133 R3C9B.Q0 to R3C9D.D0 ADSubmitted +CTOF_DEL --- 0.101 R3C9D.D0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.143 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 0.510 (45.9% logic, 54.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.860ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q C1Submitted_542 (from PHI2_c -) + Destination: FF Data in CmdEnable_541 (to PHI2_c -) + + Delay: 0.832ns (40.3% logic, 59.7% route), 3 logic levels. + + Constraint Details: + + 0.832ns physical path delay SLICE_15 to SLICE_19 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.860ns + + Physical Path Details: + + Data path SLICE_15 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9C.CLK to R3C9C.Q0 SLICE_15 (from PHI2_c) +ROUTE 2 0.224 R3C9C.Q0 to R3C9C.B1 C1Submitted +CTOF_DEL --- 0.101 R3C9C.B1 to R3C9C.F1 SLICE_15 +ROUTE 1 0.130 R3C9C.F1 to R3C9D.A0 n7 +CTOF_DEL --- 0.101 R3C9D.A0 to R3C9D.F0 SLICE_130 +ROUTE 1 0.143 R3C9D.F0 to R3C9A.CE PHI2_N_151_enable_1 (to PHI2_c) + -------- + 0.832 (40.3% logic, 59.7% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_15: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.873ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in XOR8MEG_544 (to PHI2_c -) + + Delay: 0.845ns (39.6% logic, 60.4% route), 3 logic levels. + + Constraint Details: + + 0.845ns physical path delay SLICE_19 to SLICE_145 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 0.873ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_145: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.141 R4C9B.F0 to R5C9A.D1 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R5C9A.D1 to R5C9A.F1 SLICE_110 +ROUTE 1 0.145 R5C9A.F1 to R5C8A.CE PHI2_N_151_enable_6 (to PHI2_c) + -------- + 0.845 (39.6% logic, 60.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_145: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C8A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.009ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in CmdUFMShift_547 (to PHI2_c -) + FF CmdUFMData_548 + + Delay: 0.981ns (34.1% logic, 65.9% route), 3 logic levels. + + Constraint Details: + + 0.981ns physical path delay SLICE_19 to SLICE_161 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 1.009ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_161: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.059 R4C9B.F0 to R4C9B.C1 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R4C9B.C1 to R4C9B.F1 SLICE_21 +ROUTE 1 0.363 R4C9B.F1 to R5C9C.CE PHI2_N_151_enable_3 (to PHI2_c) + -------- + 0.981 (34.1% logic, 65.9% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_161: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C9C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.341ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in CmdLEDEN_545 (to PHI2_c -) + + Delay: 1.313ns (33.2% logic, 66.8% route), 4 logic levels. + + Constraint Details: + + 1.313ns physical path delay SLICE_19 to SLICE_20 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 1.341ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.335 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.056 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.101 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 0.262 R5C8D.F1 to R5C6A.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 1.313 (33.2% logic, 66.8% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_20: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C6A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 1.341ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CmdEnable_541 (from PHI2_c -) + Destination: FF Data in Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 1.313ns (33.2% logic, 66.8% route), 4 logic levels. + + Constraint Details: + + 1.313ns physical path delay SLICE_19 to SLICE_24 meets + -0.028ns CE_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.028ns) by 1.341ns + + Physical Path Details: + + Data path SLICE_19 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C9A.CLK to R3C9A.Q0 SLICE_19 (from PHI2_c) +ROUTE 1 0.224 R3C9A.Q0 to R4C9B.B0 CmdEnable +CTOF_DEL --- 0.101 R4C9B.B0 to R4C9B.F0 SLICE_21 +ROUTE 4 0.335 R4C9B.F0 to R5C8D.B0 XOR8MEG_N_149 +CTOF_DEL --- 0.101 R5C8D.B0 to R5C8D.F0 SLICE_112 +ROUTE 1 0.056 R5C8D.F0 to R5C8D.C1 n4882 +CTOF_DEL --- 0.101 R5C8D.C1 to R5C8D.F1 SLICE_112 +ROUTE 2 0.262 R5C8D.F1 to R5C6B.CE PHI2_N_151_enable_5 (to PHI2_c) + -------- + 1.313 (33.2% logic, 66.8% route), 4 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_19: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_24: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C6B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.457ns (weighted slack = 350.914ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q XOR8MEG_544 (from PHI2_c -) + Destination: FF Data in RA11_521 (to PHI2_c +) + + Delay: 0.444ns (52.7% logic, 47.3% route), 2 logic levels. + + Constraint Details: + + 0.444ns physical path delay SLICE_145 to SLICE_32 meets + -0.013ns DIN_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.013ns) by 175.457ns + + Physical Path Details: + + Data path SLICE_145 to SLICE_32: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C8A.CLK to R5C8A.Q0 SLICE_145 (from PHI2_c) +ROUTE 1 0.210 R5C8A.Q0 to R5C8C.A0 XOR8MEG +CTOF_DEL --- 0.101 R5C8C.A0 to R5C8C.F0 SLICE_32 +ROUTE 1 0.000 R5C8C.F0 to R5C8C.DI0 RA11_N_217 (to PHI2_c) + -------- + 0.444 (52.7% logic, 47.3% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_145: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C8A.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_32: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R5C8C.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 175.815ns (weighted slack = 351.630ns) + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q Bank_i2 (from PHI2_c +) + Destination: FF Data in ADSubmitted_543 (to PHI2_c -) + + Delay: 0.787ns (42.6% logic, 57.4% route), 3 logic levels. + + Constraint Details: + + 0.787ns physical path delay SLICE_143 to SLICE_10 meets + -0.028ns CE_HLD and + -175.000ns delay constraint less + 0.000ns skew requirement (totaling -175.028ns) by 175.815ns + + Physical Path Details: + + Data path SLICE_143 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R2C8D.CLK to R2C8D.Q0 SLICE_143 (from PHI2_c) +ROUTE 2 0.139 R2C8D.Q0 to R2C9C.C1 Bank_2 +CTOF_DEL --- 0.101 R2C9C.C1 to R2C9C.F1 SLICE_132 +ROUTE 1 0.056 R2C9C.F1 to R2C9C.C0 n4782 +CTOF_DEL --- 0.101 R2C9C.C0 to R2C9C.F0 SLICE_132 +ROUTE 1 0.257 R2C9C.F0 to R3C9B.CE PHI2_N_151_enable_7 (to PHI2_c) + -------- + 0.787 (42.6% logic, 57.4% route), 3 logic levels. + + Clock Skew Details: + + Source Clock Path PHI2 to SLICE_143: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R2C8D.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path PHI2 to SLICE_10: + + Name Fanout Delay (ns) Site Resource +ROUTE 14 1.240 8.PADDI to R3C9B.CLK PHI2_c + -------- + 1.240 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: PERIOD NET "nCCAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "nCRAS_c" 350.000000 ns ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: PERIOD NET "RCLK_c" 16.000000 ns ; + 1409 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i2 (from RCLK_c +) + Destination: FF Data in IS_FSM__i3 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_118 to SLICE_118 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_118 to SLICE_118: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C9C.CLK to R4C9C.Q0 SLICE_118 (from RCLK_c) +ROUTE 1 0.152 R4C9C.Q0 to R4C9C.M1 n1197 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_118: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C9C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_118: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C9C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i4 (from RCLK_c +) + Destination: FF Data in IS_FSM__i5 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_127 to SLICE_127 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_127 to SLICE_127: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C10B.CLK to R4C10B.Q0 SLICE_127 (from RCLK_c) +ROUTE 1 0.152 R4C10B.Q0 to R4C10B.M1 n1195 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_127: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_127: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q CASr_518 (from RCLK_c +) + Destination: FF Data in CASr2_519 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_16 to SLICE_16 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_16 to SLICE_16: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R6C10C.CLK to R6C10C.Q0 SLICE_16 (from RCLK_c) +ROUTE 1 0.152 R6C10C.Q0 to R6C10C.M1 CASr (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_16: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_16: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i10 (from RCLK_c +) + Destination: FF Data in IS_FSM__i11 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_163 to SLICE_163 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_163 to SLICE_163: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C10D.CLK to R4C10D.Q0 SLICE_163 (from RCLK_c) +ROUTE 1 0.152 R4C10D.Q0 to R4C10D.M1 n1189 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_163: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_163: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C10D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i14 (from RCLK_c +) + Destination: FF Data in IS_FSM__i15 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_91 to SLICE_91 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_91 to SLICE_91: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C8D.CLK to R4C8D.Q0 SLICE_91 (from RCLK_c) +ROUTE 1 0.152 R4C8D.Q0 to R4C8D.M1 n1185 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_91: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C8D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_91: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C8D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i12 (from RCLK_c +) + Destination: FF Data in IS_FSM__i13 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_94 to SLICE_94 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_94 to SLICE_94: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C7D.CLK to R4C7D.Q0 SLICE_94 (from RCLK_c) +ROUTE 1 0.152 R4C7D.Q0 to R4C7D.M1 n1187 (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_94: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7D.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.304ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q PHI2r_512 (from RCLK_c +) + Destination: FF Data in PHI2r2_513 (to RCLK_c +) + + Delay: 0.285ns (46.7% logic, 53.3% route), 1 logic levels. + + Constraint Details: + + 0.285ns physical path delay SLICE_95 to SLICE_35 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.304ns + + Physical Path Details: + + Data path SLICE_95 to SLICE_35: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C7A.CLK to R4C7A.Q0 SLICE_95 (from RCLK_c) +ROUTE 1 0.152 R4C7A.Q0 to R4C7B.M1 PHI2r (to RCLK_c) + -------- + 0.285 (46.7% logic, 53.3% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_95: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7A.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R4C7B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.306ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RASr_515 (from RCLK_c +) + Destination: FF Data in RASr2_516 (to RCLK_c +) + + Delay: 0.287ns (46.3% logic, 53.7% route), 1 logic levels. + + Constraint Details: + + 0.287ns physical path delay SLICE_30 to SLICE_30 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.306ns + + Physical Path Details: + + Data path SLICE_30 to SLICE_30: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C7A.CLK to R5C7A.Q0 SLICE_30 (from RCLK_c) +ROUTE 2 0.154 R5C7A.Q0 to R5C7A.M1 RASr (to RCLK_c) + -------- + 0.287 (46.3% logic, 53.7% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_30: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R5C7A.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_30: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R5C7A.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.307ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q IS_FSM__i0 (from RCLK_c +) + Destination: FF Data in IS_FSM__i1 (to RCLK_c +) + + Delay: 0.288ns (46.2% logic, 53.8% route), 1 logic levels. + + Constraint Details: + + 0.288ns physical path delay SLICE_162 to SLICE_162 meets + -0.019ns M_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.019ns) by 0.307ns + + Physical Path Details: + + Data path SLICE_162 to SLICE_162: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R3C10C.CLK to R3C10C.Q0 SLICE_162 (from RCLK_c) +ROUTE 4 0.155 R3C10C.Q0 to R3C10C.M1 nRCS_N_172 (to RCLK_c) + -------- + 0.288 (46.2% logic, 53.8% route), 1 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_162: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R3C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_162: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R3C10C.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +Passed: The following path meets requirements by 0.379ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q FS_972__i2 (from RCLK_c +) + Destination: FF Data in FS_972__i2 (to RCLK_c +) + + Delay: 0.366ns (63.9% logic, 36.1% route), 2 logic levels. + + Constraint Details: + + 0.366ns physical path delay SLICE_0 to SLICE_0 meets + -0.013ns DIN_HLD and + 0.000ns delay constraint less + 0.000ns skew requirement (totaling -0.013ns) by 0.379ns + + Physical Path Details: + + Data path SLICE_0 to SLICE_0: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R6C6B.CLK to R6C6B.Q1 SLICE_0 (from RCLK_c) +ROUTE 3 0.132 R6C6B.Q1 to R6C6B.A1 FS_2 +CTOF_DEL --- 0.101 R6C6B.A1 to R6C6B.F1 SLICE_0 +ROUTE 1 0.000 R6C6B.F1 to R6C6B.DI1 n93 (to RCLK_c) + -------- + 0.366 (63.9% logic, 36.1% route), 2 logic levels. + + Clock Skew Details: + + Source Clock Path RCLK to SLICE_0: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C6B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + Destination Clock Path RCLK to SLICE_0: + + Name Fanout Delay (ns) Site Resource +ROUTE 52 0.651 63.PADDI to R6C6B.CLK RCLK_c + -------- + 0.651 (0.0% logic, 100.0% route), 0 logic levels. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "Dout[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.236ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RA10_536 (from RCLK_c +) + Destination: Port Pad RA[10] + + Data Path Delay: 2.217ns (81.5% logic, 18.5% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_54 and + 2.217ns delay SLICE_54 to RA[10] (totaling 3.236ns) meets + 0.000ns hold offset RCLK to RA[10] by 3.236ns + + Physical Path Details: + + Clock path RCLK to SLICE_54: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C11D.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_54 to RA[10]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C11D.CLK to R4C11D.Q0 SLICE_54 (from RCLK_c) +ROUTE 1 0.411 R4C11D.Q0 to 64.PADDO n1975 +DOPAD_DEL --- 1.673 64.PADDO to 64.PAD RA[10] + -------- + 2.217 (81.5% logic, 18.5% route), 2 logic levels. + +Report: 3.236ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.561ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[9] + + Data Path Delay: 2.542ns (75.0% logic, 25.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.542ns delay SLICE_63 to RA[9] (totaling 3.561ns) meets + 0.000ns hold offset RCLK to RA[9] by 3.561ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[9]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.219 R5C10A.Q0 to R5C9B.A0 nRowColSel +CTOF_DEL --- 0.101 R5C9B.A0 to R5C9B.F0 SLICE_151 +ROUTE 1 0.416 R5C9B.F0 to 62.PADDO RA_c_9 +DOPAD_DEL --- 1.673 62.PADDO to 62.PAD RA[9] + -------- + 2.542 (75.0% logic, 25.0% route), 3 logic levels. + +Report: 3.561ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.608ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[8] + + Data Path Delay: 2.589ns (73.7% logic, 26.3% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.589ns delay SLICE_63 to RA[8] (totaling 3.608ns) meets + 0.000ns hold offset RCLK to RA[8] by 3.608ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[8]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.231 R5C10A.Q0 to R4C10D.B1 nRowColSel +CTOF_DEL --- 0.101 R4C10D.B1 to R4C10D.F1 SLICE_163 +ROUTE 1 0.451 R4C10D.F1 to 65.PADDO RA_c_8 +DOPAD_DEL --- 1.673 65.PADDO to 65.PAD RA[8] + -------- + 2.589 (73.7% logic, 26.3% route), 3 logic levels. + +Report: 3.608ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.552ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[7] + + Data Path Delay: 2.533ns (75.3% logic, 24.7% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.533ns delay SLICE_63 to RA[7] (totaling 3.552ns) meets + 0.000ns hold offset RCLK to RA[7] by 3.552ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[7]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.229 R5C10A.Q0 to R2C10D.D1 nRowColSel +CTOF_DEL --- 0.101 R2C10D.D1 to R2C10D.F1 SLICE_155 +ROUTE 1 0.397 R2C10D.F1 to 75.PADDO RA_c_7 +DOPAD_DEL --- 1.673 75.PADDO to 75.PAD RA[7] + -------- + 2.533 (75.3% logic, 24.7% route), 3 logic levels. + +Report: 3.552ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.573ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[6] + + Data Path Delay: 2.554ns (74.7% logic, 25.3% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.554ns delay SLICE_63 to RA[6] (totaling 3.573ns) meets + 0.000ns hold offset RCLK to RA[6] by 3.573ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[6]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.231 R5C10A.Q0 to R4C10D.B0 nRowColSel +CTOF_DEL --- 0.101 R4C10D.B0 to R4C10D.F0 SLICE_163 +ROUTE 1 0.416 R4C10D.F0 to 68.PADDO RA_c_6 +DOPAD_DEL --- 1.673 68.PADDO to 68.PAD RA[6] + -------- + 2.554 (74.7% logic, 25.3% route), 3 logic levels. + +Report: 3.573ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.630ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[5] + + Data Path Delay: 2.611ns (73.0% logic, 27.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.611ns delay SLICE_63 to RA[5] (totaling 3.630ns) meets + 0.000ns hold offset RCLK to RA[5] by 3.630ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[5]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.283 R5C10A.Q0 to R2C9A.C1 nRowColSel +CTOF_DEL --- 0.101 R2C9A.C1 to R2C9A.F1 SLICE_157 +ROUTE 1 0.421 R2C9A.F1 to 70.PADDO RA_c_5 +DOPAD_DEL --- 1.673 70.PADDO to 70.PAD RA[5] + -------- + 2.611 (73.0% logic, 27.0% route), 3 logic levels. + +Report: 3.630ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.630ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[4] + + Data Path Delay: 2.611ns (73.0% logic, 27.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.611ns delay SLICE_63 to RA[4] (totaling 3.630ns) meets + 0.000ns hold offset RCLK to RA[4] by 3.630ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[4]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.283 R5C10A.Q0 to R2C8B.C1 nRowColSel +CTOF_DEL --- 0.101 R2C8B.C1 to R2C8B.F1 SLICE_158 +ROUTE 1 0.421 R2C8B.F1 to 74.PADDO RA_c_4 +DOPAD_DEL --- 1.673 74.PADDO to 74.PAD RA[4] + -------- + 2.611 (73.0% logic, 27.0% route), 3 logic levels. + +Report: 3.630ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.615ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[3] + + Data Path Delay: 2.596ns (73.5% logic, 26.5% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.596ns delay SLICE_63 to RA[3] (totaling 3.615ns) meets + 0.000ns hold offset RCLK to RA[3] by 3.615ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[3]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.226 R5C10A.Q0 to R3C10C.D0 nRowColSel +CTOF_DEL --- 0.101 R3C10C.D0 to R3C10C.F0 SLICE_162 +ROUTE 1 0.463 R3C10C.F0 to 71.PADDO RA_c_3 +DOPAD_DEL --- 1.673 71.PADDO to 71.PAD RA[3] + -------- + 2.596 (73.5% logic, 26.5% route), 3 logic levels. + +Report: 3.615ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.527ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[2] + + Data Path Delay: 2.508ns (76.0% logic, 24.0% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.508ns delay SLICE_63 to RA[2] (totaling 3.527ns) meets + 0.000ns hold offset RCLK to RA[2] by 3.527ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[2]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.145 R5C10A.Q0 to R5C9C.C0 nRowColSel +CTOF_DEL --- 0.101 R5C9C.C0 to R5C9C.F0 SLICE_161 +ROUTE 1 0.456 R5C9C.F0 to 69.PADDO RA_c_2 +DOPAD_DEL --- 1.673 69.PADDO to 69.PAD RA[2] + -------- + 2.508 (76.0% logic, 24.0% route), 3 logic levels. + +Report: 3.527ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.549ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[1] + + Data Path Delay: 2.530ns (75.4% logic, 24.6% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.530ns delay SLICE_63 to RA[1] (totaling 3.549ns) meets + 0.000ns hold offset RCLK to RA[1] by 3.549ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[1]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.226 R5C10A.Q0 to R3C10C.D1 nRowColSel +CTOF_DEL --- 0.101 R3C10C.D1 to R3C10C.F1 SLICE_162 +ROUTE 1 0.397 R3C10C.F1 to 67.PADDO RA_c_1 +DOPAD_DEL --- 1.673 67.PADDO to 67.PAD RA[1] + -------- + 2.530 (75.4% logic, 24.6% route), 3 logic levels. + +Report: 3.549ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.471ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RA[0] + + Data Path Delay: 2.452ns (77.8% logic, 22.2% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.452ns delay SLICE_63 to RA[0] (totaling 3.471ns) meets + 0.000ns hold offset RCLK to RA[0] by 3.471ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RA[0]: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.229 R5C10A.Q0 to R3C10D.D1 nRowColSel +CTOF_DEL --- 0.101 R3C10D.D1 to R3C10D.F1 SLICE_159 +ROUTE 1 0.316 R3C10D.F1 to 66.PADDO RA_c_0 +DOPAD_DEL --- 1.673 66.PADDO to 66.PAD RA[0] + -------- + 2.452 (77.8% logic, 22.2% route), 3 logic levels. + +Report: 3.471ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.300ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCS_532 (from RCLK_c +) + Destination: Port Pad nRCS + + Data Path Delay: 2.281ns (79.2% logic, 20.8% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_59 and + 2.281ns delay SLICE_59 to nRCS (totaling 3.300ns) meets + 0.000ns hold offset RCLK to nRCS by 3.300ns + + Physical Path Details: + + Clock path RCLK to SLICE_59: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C11B.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_59 to nRCS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C11B.CLK to R4C11B.Q0 SLICE_59 (from RCLK_c) +ROUTE 1 0.475 R4C11B.Q0 to 57.PADDO nRCS_c +DOPAD_DEL --- 1.673 57.PADDO to 57.PAD nRCS + -------- + 2.281 (79.2% logic, 20.8% route), 2 logic levels. + +Report: 3.300ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RCKE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.362ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q RCKE_531 (from RCLK_c +) + Destination: Port Pad RCKE + + Data Path Delay: 2.343ns (77.1% logic, 22.9% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_35 and + 2.343ns delay SLICE_35 to RCKE (totaling 3.362ns) meets + 0.000ns hold offset RCLK to RCKE by 3.362ns + + Physical Path Details: + + Clock path RCLK to SLICE_35: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C7B.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_35 to RCKE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C7B.CLK to R4C7B.Q0 SLICE_35 (from RCLK_c) +ROUTE 4 0.537 R4C7B.Q0 to 53.PADDO RCKE_c +DOPAD_DEL --- 1.673 53.PADDO to 53.PAD RCKE + -------- + 2.343 (77.1% logic, 22.9% route), 2 logic levels. + +Report: 3.362ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRWE" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.194ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRWE_535 (from RCLK_c +) + Destination: Port Pad nRWE + + Data Path Delay: 2.175ns (83.0% logic, 17.0% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_62 and + 2.175ns delay SLICE_62 to nRWE (totaling 3.194ns) meets + 0.000ns hold offset RCLK to nRWE by 3.194ns + + Physical Path Details: + + Clock path RCLK to SLICE_62: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C11A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_62 to nRWE: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11A.CLK to R5C11A.Q0 SLICE_62 (from RCLK_c) +ROUTE 1 0.369 R5C11A.Q0 to 49.PADDO nRWE_c +DOPAD_DEL --- 1.673 49.PADDO to 49.PAD nRWE + -------- + 2.175 (83.0% logic, 17.0% route), 2 logic levels. + +Report: 3.194ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.322ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRRAS_533 (from RCLK_c +) + Destination: Port Pad nRRAS + + Data Path Delay: 2.303ns (78.4% logic, 21.6% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_60 and + 2.303ns delay SLICE_60 to nRRAS (totaling 3.322ns) meets + 0.000ns hold offset RCLK to nRRAS by 3.322ns + + Physical Path Details: + + Clock path RCLK to SLICE_60: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R4C11A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_60 to nRRAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R4C11A.CLK to R4C11A.Q0 SLICE_60 (from RCLK_c) +ROUTE 1 0.497 R4C11A.Q0 to 54.PADDO nRRAS_c +DOPAD_DEL --- 1.673 54.PADDO to 54.PAD nRRAS + -------- + 2.303 (78.4% logic, 21.6% route), 2 logic levels. + +Report: 3.322ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.300ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRCAS_534 (from RCLK_c +) + Destination: Port Pad nRCAS + + Data Path Delay: 2.281ns (79.2% logic, 20.8% route), 2 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_57 and + 2.281ns delay SLICE_57 to nRCAS (totaling 3.300ns) meets + 0.000ns hold offset RCLK to nRCAS by 3.300ns + + Physical Path Details: + + Clock path RCLK to SLICE_57: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C11B.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_57 to nRCAS: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C11B.CLK to R5C11B.Q0 SLICE_57 (from RCLK_c) +ROUTE 1 0.475 R5C11B.Q0 to 52.PADDO nRCAS_c +DOPAD_DEL --- 1.673 52.PADDO to 52.PAD nRCAS + -------- + 2.281 (79.2% logic, 20.8% route), 2 logic levels. + +Report: 3.300ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.559ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQMH + + Data Path Delay: 2.540ns (75.1% logic, 24.9% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.540ns delay SLICE_63 to RDQMH (totaling 3.559ns) meets + 0.000ns hold offset RCLK to RDQMH by 3.559ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RDQMH: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.139 R5C10A.Q0 to R5C9B.D1 nRowColSel +CTOF_DEL --- 0.101 R5C9B.D1 to R5C9B.F1 SLICE_151 +ROUTE 1 0.494 R5C9B.F1 to 51.PADDO RDQMH_c +DOPAD_DEL --- 1.673 51.PADDO to 51.PAD RDQMH + -------- + 2.540 (75.1% logic, 24.9% route), 3 logic levels. + +Report: 3.559ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RDQML" 12.500000 ns CLKPORT "RCLK" ; + 1 item scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +Passed: The following path meets requirements by 3.470ns + + Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-) + + Source: FF Q nRowColSel_538 (from RCLK_c +) + Destination: Port Pad RDQML + + Data Path Delay: 2.451ns (77.8% logic, 22.2% route), 3 logic levels. + + Clock Path Delay: 1.019ns (44.0% logic, 56.0% route), 1 logic levels. + + Constraint Details: + 1.019ns delay RCLK to SLICE_63 and + 2.451ns delay SLICE_63 to RDQML (totaling 3.470ns) meets + 0.000ns hold offset RCLK to RDQML by 3.470ns + + Physical Path Details: + + Clock path RCLK to SLICE_63: + + Name Fanout Delay (ns) Site Resource +PADI_DEL --- 0.448 63.PAD to 63.PADDI RCLK +ROUTE 52 0.571 63.PADDI to R5C10A.CLK RCLK_c + -------- + 1.019 (44.0% logic, 56.0% route), 1 logic levels. + + Data path SLICE_63 to RDQML: + + Name Fanout Delay (ns) Site Resource +REG_DEL --- 0.133 R5C10A.CLK to R5C10A.Q0 SLICE_63 (from RCLK_c) +ROUTE 12 0.145 R5C10A.Q0 to R5C9C.C1 nRowColSel +CTOF_DEL --- 0.101 R5C9C.C1 to R5C9C.F1 SLICE_161 +ROUTE 1 0.399 R5C9C.F1 to 48.PADDO RDQML_c +DOPAD_DEL --- 1.673 48.PADDO to 48.PAD RDQML + -------- + 2.451 (77.8% logic, 22.2% route), 3 logic levels. + +Report: 3.470ns is the maximum offset for this preference. + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Preference: CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns CLKPORT "RCLK" ; + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + +Report Summary +-------------- +---------------------------------------------------------------------------- +Preference(MIN Delays) | Constraint| Actual|Levels +---------------------------------------------------------------------------- + | | | +PERIOD NET "PHI2_c" 350.000000 ns ; | -| -| 2 + | | | +PERIOD NET "nCCAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "nCRAS_c" 350.000000 ns ; | -| -| 0 + | | | +PERIOD NET "RCLK_c" 16.000000 ns ; | -| -| 1 + | | | +CLOCK_TO_OUT PORT "RD[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[0]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[7]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[6]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[5]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[4]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[3]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[2]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "Dout[1]" 12.500000 | | | +ns CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RBA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[11]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RA[10]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.236 ns| 2 + | | | +CLOCK_TO_OUT PORT "RA[9]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.561 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[8]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.608 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.552 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.573 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.630 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.630 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.615 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.527 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.549 ns| 3 + | | | +CLOCK_TO_OUT PORT "RA[0]" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.471 ns| 3 + | | | +CLOCK_TO_OUT PORT "nRCS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.300 ns| 2 + | | | +CLOCK_TO_OUT PORT "RCKE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.362 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRWE" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.194 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRRAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.322 ns| 2 + | | | +CLOCK_TO_OUT PORT "nRCAS" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.300 ns| 2 + | | | +CLOCK_TO_OUT PORT "RDQMH" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.559 ns| 3 + | | | +CLOCK_TO_OUT PORT "RDQML" 12.500000 ns | | | +CLKPORT "RCLK" ; | 0.000 ns| 3.470 ns| 3 + | | | +CLOCK_TO_OUT PORT "RD[7]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[6]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[5]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[4]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[3]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[2]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +CLOCK_TO_OUT PORT "RD[1]" 12.500000 ns | | | +CLKPORT "RCLK" ; | -| -| 0 + | | | +---------------------------------------------------------------------------- + + +All preferences were met. + + +Clock Domains Analysis +------------------------ + +Found 5 clocks: + +Clock Domain: wb_clk Source: SLICE_73.Q0 Loads: 1 + No transfer within this clock domain is found + +Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 9 + No transfer within this clock domain is found + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 6 + No transfer within this clock domain is found + +Clock Domain: RCLK_c Source: RCLK.PAD Loads: 52 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; + + Data transfers from: + Clock Domain: wb_clk Source: SLICE_73.Q0 + Covered under: PERIOD NET "RCLK_c" 16.000000 ns ; Transfers: 2 + + Clock Domain: nCRAS_c Source: nCRAS.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + Clock Domain: PHI2_c Source: PHI2.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + +Clock Domain: PHI2_c Source: PHI2.PAD Loads: 14 + Covered under: PERIOD NET "PHI2_c" 350.000000 ns ; + + Data transfers from: + Clock Domain: RCLK_c Source: RCLK.PAD + Not reported because source and destination domains are unrelated. + To report these transfers please refer to preference CLKSKEWDIFF to define + external clock skew between clock ports. + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + + + + +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_drc.log b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_drc.log new file mode 100644 index 0000000..0265ac7 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_drc.log @@ -0,0 +1,15 @@ +Results of NGD DRC are available in RAM2GS_drc.log. +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... + + +Running DRC... + +DRC complete with no errors or warnings + +Design Results: + 452 blocks expanded +completed the first expansion +All blocks are expanded and NGD expansion is successful. +Writing NGD file RAM2GS_LCMXO2_640HC_impl1.ngd. diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr new file mode 100644 index 0000000..71c3f66 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse.twr @@ -0,0 +1,335 @@ +-------------------------------------------------------------------------------- +Lattice Synthesis Timing Report, Version +Tue Aug 17 06:19:46 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Design: RAM2GS +Constraint file: +Report level: verbose report, limited to 3 items per constraint +-------------------------------------------------------------------------------- + + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk3 [get_nets PHI2_c] + 130 items scored, 125 timing errors detected. +-------------------------------------------------------------------------------- + + +Error: The following path violates requirements by 10.606ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i4 (from PHI2_c +) + Destination: FD1P3AX SP CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.821ns (30.4% logic, 69.6% route), 8 logic levels. + + Constraint Details: + + 12.821ns data_path Bank_i4 to CmdLEDEN_545 violates + 2.500ns delay constraint less + 0.285ns LCE_S requirement (totaling 2.215ns) by 10.606ns + + Path Details: Bank_i4 to CmdLEDEN_545 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.444 CK to Q Bank_i4 (from PHI2_c) +Route 1 e 0.941 Bank[4] +LUT4 --- 0.493 C to Z i3734_4_lut +Route 1 e 0.941 n4610 +LUT4 --- 0.493 B to Z i3751_4_lut +Route 2 e 1.141 n4628 +LUT4 --- 0.493 B to Z i13_4_lut_adj_13 +Route 4 e 1.340 n2384 +LUT4 --- 0.493 B to Z i3712_2_lut_rep_40 +Route 2 e 1.141 n4889 +LUT4 --- 0.493 D to Z i3_4_lut_adj_23 +Route 4 e 1.340 XOR8MEG_N_149 +LUT4 --- 0.493 D to Z i2_3_lut_rep_33_4_lut +Route 1 e 0.941 n4882 +LUT4 --- 0.493 A to Z i1_3_lut_adj_21 +Route 2 e 1.141 PHI2_N_151_enable_5 + -------- + 12.821 (30.4% logic, 69.6% route), 8 logic levels. + + +Error: The following path violates requirements by 10.606ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i4 (from PHI2_c +) + Destination: FD1P3AX SP Cmdn8MEGEN_546 (to PHI2_c -) + + Delay: 12.821ns (30.4% logic, 69.6% route), 8 logic levels. + + Constraint Details: + + 12.821ns data_path Bank_i4 to Cmdn8MEGEN_546 violates + 2.500ns delay constraint less + 0.285ns LCE_S requirement (totaling 2.215ns) by 10.606ns + + Path Details: Bank_i4 to Cmdn8MEGEN_546 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.444 CK to Q Bank_i4 (from PHI2_c) +Route 1 e 0.941 Bank[4] +LUT4 --- 0.493 C to Z i3734_4_lut +Route 1 e 0.941 n4610 +LUT4 --- 0.493 B to Z i3751_4_lut +Route 2 e 1.141 n4628 +LUT4 --- 0.493 B to Z i13_4_lut_adj_13 +Route 4 e 1.340 n2384 +LUT4 --- 0.493 B to Z i3712_2_lut_rep_40 +Route 2 e 1.141 n4889 +LUT4 --- 0.493 D to Z i3_4_lut_adj_23 +Route 4 e 1.340 XOR8MEG_N_149 +LUT4 --- 0.493 D to Z i2_3_lut_rep_33_4_lut +Route 1 e 0.941 n4882 +LUT4 --- 0.493 A to Z i1_3_lut_adj_21 +Route 2 e 1.141 PHI2_N_151_enable_5 + -------- + 12.821 (30.4% logic, 69.6% route), 8 logic levels. + + +Error: The following path violates requirements by 10.606ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK Bank_i5 (from PHI2_c +) + Destination: FD1P3AX SP CmdLEDEN_545 (to PHI2_c -) + + Delay: 12.821ns (30.4% logic, 69.6% route), 8 logic levels. + + Constraint Details: + + 12.821ns data_path Bank_i5 to CmdLEDEN_545 violates + 2.500ns delay constraint less + 0.285ns LCE_S requirement (totaling 2.215ns) by 10.606ns + + Path Details: Bank_i5 to CmdLEDEN_545 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.444 CK to Q Bank_i5 (from PHI2_c) +Route 1 e 0.941 Bank[5] +LUT4 --- 0.493 B to Z i3734_4_lut +Route 1 e 0.941 n4610 +LUT4 --- 0.493 B to Z i3751_4_lut +Route 2 e 1.141 n4628 +LUT4 --- 0.493 B to Z i13_4_lut_adj_13 +Route 4 e 1.340 n2384 +LUT4 --- 0.493 B to Z i3712_2_lut_rep_40 +Route 2 e 1.141 n4889 +LUT4 --- 0.493 D to Z i3_4_lut_adj_23 +Route 4 e 1.340 XOR8MEG_N_149 +LUT4 --- 0.493 D to Z i2_3_lut_rep_33_4_lut +Route 1 e 0.941 n4882 +LUT4 --- 0.493 A to Z i1_3_lut_adj_21 +Route 2 e 1.141 PHI2_N_151_enable_5 + -------- + 12.821 (30.4% logic, 69.6% route), 8 logic levels. + +Warning: 13.106 ns is the maximum delay for this constraint. + + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCCAS_c] + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk1 [get_nets nCRAS_c] + 0 items scored, 0 timing errors detected. +-------------------------------------------------------------------------------- + + +================================================================================ +Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c] + 1392 items scored, 1147 timing errors detected. +-------------------------------------------------------------------------------- + + +Error: The following path violates requirements by 10.222ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_972__i8 (from RCLK_c +) + Destination: FD1S3AX D wb_adr_i4 (to RCLK_c +) + + Delay: 15.062ns (30.7% logic, 69.3% route), 10 logic levels. + + Constraint Details: + + 15.062ns data_path FS_972__i8 to wb_adr_i4 violates + 5.000ns delay constraint less + 0.160ns L_S requirement (totaling 4.840ns) by 10.222ns + + Path Details: FS_972__i8 to wb_adr_i4 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.444 CK to Q FS_972__i8 (from RCLK_c) +Route 23 e 1.894 FS[8] +LUT4 --- 0.493 B to Z i1_2_lut_rep_75 +Route 4 e 1.340 n4924 +LUT4 --- 0.493 B to Z i2387_3_lut_4_lut +Route 1 e 0.941 n98 +LUT4 --- 0.493 D to Z i1_3_lut_4_lut_adj_9 +Route 2 e 1.141 n2199 +LUT4 --- 0.493 B to Z i92_4_lut +Route 1 e 0.941 n53 +LUT4 --- 0.493 C to Z i3106_3_lut_3_lut +Route 1 e 0.020 n1_adj_6 +MUXL5 --- 0.233 ALUT to Z i29 +Route 1 e 0.941 n14_adj_3 +LUT4 --- 0.493 C to Z i1_2_lut_2_lut_3_lut +Route 2 e 1.141 n12_adj_8 +LUT4 --- 0.493 C to Z i1_3_lut_4_lut_adj_11 +Route 2 e 1.141 n14_adj_7 +LUT4 --- 0.493 A to Z i28_3_lut +Route 1 e 0.941 wb_adr_7__N_60[4] + -------- + 15.062 (30.7% logic, 69.3% route), 10 logic levels. + + +Error: The following path violates requirements by 10.222ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_972__i8 (from RCLK_c +) + Destination: FD1S3AX D wb_adr_i6 (to RCLK_c +) + + Delay: 15.062ns (30.7% logic, 69.3% route), 10 logic levels. + + Constraint Details: + + 15.062ns data_path FS_972__i8 to wb_adr_i6 violates + 5.000ns delay constraint less + 0.160ns L_S requirement (totaling 4.840ns) by 10.222ns + + Path Details: FS_972__i8 to wb_adr_i6 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.444 CK to Q FS_972__i8 (from RCLK_c) +Route 23 e 1.894 FS[8] +LUT4 --- 0.493 B to Z i1_2_lut_rep_75 +Route 4 e 1.340 n4924 +LUT4 --- 0.493 B to Z i2387_3_lut_4_lut +Route 1 e 0.941 n98 +LUT4 --- 0.493 D to Z i1_3_lut_4_lut_adj_9 +Route 2 e 1.141 n2199 +LUT4 --- 0.493 B to Z i92_4_lut +Route 1 e 0.941 n53 +LUT4 --- 0.493 C to Z i3106_3_lut_3_lut +Route 1 e 0.020 n1_adj_6 +MUXL5 --- 0.233 ALUT to Z i29 +Route 1 e 0.941 n14_adj_3 +LUT4 --- 0.493 C to Z i1_2_lut_2_lut_3_lut +Route 2 e 1.141 n12_adj_8 +LUT4 --- 0.493 C to Z i1_3_lut_4_lut_adj_11 +Route 2 e 1.141 n14_adj_7 +LUT4 --- 0.493 A to Z i29_3_lut +Route 1 e 0.941 wb_adr_7__N_60[6] + -------- + 15.062 (30.7% logic, 69.3% route), 10 logic levels. + + +Error: The following path violates requirements by 10.216ns + + Logical Details: Cell type Pin type Cell name (clock net +/-) + + Source: FD1S3AX CK FS_972__i6 (from RCLK_c +) + Destination: FD1S3AX D wb_adr_i4 (to RCLK_c +) + + Delay: 15.056ns (30.7% logic, 69.3% route), 10 logic levels. + + Constraint Details: + + 15.056ns data_path FS_972__i6 to wb_adr_i4 violates + 5.000ns delay constraint less + 0.160ns L_S requirement (totaling 4.840ns) by 10.216ns + + Path Details: FS_972__i6 to wb_adr_i4 + + Name Fanout Delay (ns) Pins Resource(Cell.Net) +L_CO --- 0.444 CK to Q FS_972__i6 (from RCLK_c) +Route 21 e 1.888 FS[6] +LUT4 --- 0.493 A to Z i1_2_lut_rep_75 +Route 4 e 1.340 n4924 +LUT4 --- 0.493 B to Z i2387_3_lut_4_lut +Route 1 e 0.941 n98 +LUT4 --- 0.493 D to Z i1_3_lut_4_lut_adj_9 +Route 2 e 1.141 n2199 +LUT4 --- 0.493 B to Z i92_4_lut +Route 1 e 0.941 n53 +LUT4 --- 0.493 C to Z i3106_3_lut_3_lut +Route 1 e 0.020 n1_adj_6 +MUXL5 --- 0.233 ALUT to Z i29 +Route 1 e 0.941 n14_adj_3 +LUT4 --- 0.493 C to Z i1_2_lut_2_lut_3_lut +Route 2 e 1.141 n12_adj_8 +LUT4 --- 0.493 C to Z i1_3_lut_4_lut_adj_11 +Route 2 e 1.141 n14_adj_7 +LUT4 --- 0.493 A to Z i28_3_lut +Route 1 e 0.941 wb_adr_7__N_60[4] + -------- + 15.056 (30.7% logic, 69.3% route), 10 logic levels. + +Warning: 15.222 ns is the maximum delay for this constraint. + + +Timing Report Summary +-------------- +-------------------------------------------------------------------------------- +Constraint | Constraint| Actual|Levels +-------------------------------------------------------------------------------- + | | | +create_clock -period 5.000000 -name | | | +clk3 [get_nets PHI2_c] | 5.000 ns| 26.212 ns| 8 * + | | | +create_clock -period 5.000000 -name | | | +clk2 [get_nets nCCAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk1 [get_nets nCRAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk0 [get_nets RCLK_c] | 5.000 ns| 15.222 ns| 10 * + | | | +-------------------------------------------------------------------------------- + + +2 constraints not met. + +-------------------------------------------------------------------------------- +Critical Nets | Loads| Errors| % of total +-------------------------------------------------------------------------------- +n14 | 16| 200| 15.72% + | | | +n12_adj_8 | 2| 198| 15.57% + | | | +n14_adj_3 | 1| 183| 14.39% + | | | +n14_adj_7 | 2| 176| 13.84% + | | | +-------------------------------------------------------------------------------- + + +Timing summary: +--------------- + +Timing errors: 1272 Score: 5951146 + +Constraints cover 1577 paths, 335 nets, and 954 connections (77.9% coverage) + + +Peak memory: 59748352 bytes, TRCE: 3297280 bytes, DLYMAN: 0 bytes +CPU_TIME_REPORT: 0 secs diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html new file mode 100644 index 0000000..098f931 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_lse_lsetwr.html @@ -0,0 +1,402 @@ + +Lattice Synthesis Timing Report + + +
    Lattice Synthesis Timing Report
    +--------------------------------------------------------------------------------
    +Lattice Synthesis Timing Report, Version  
    +Tue Aug 17 05:43:37 2021
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +
    +Report Information
    +------------------
    +Design:     RAM2GS
    +Constraint file:  
    +Report level:    verbose report, limited to 3 items per constraint
    +--------------------------------------------------------------------------------
    +
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk3 [get_nets nCCAS_c]
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk2 [get_nets nCRAS_c]
    +            0 items scored, 0 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk1 [get_nets PHI2_c]
    +            120 items scored, 116 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Error:  The following path violates requirements by 10.528ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i1  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdEnable_541  (to PHI2_c -)
    +
    +   Delay:                  12.743ns  (30.6% logic, 69.4% route), 8 logic levels.
    +
    + Constraint Details:
    +
    +     12.743ns data_path Bank_i1 to CmdEnable_541 violates
    +      2.500ns delay constraint less
    +      0.285ns LCE_S requirement (totaling 2.215ns) by 10.528ns
    +
    + Path Details: Bank_i1 to CmdEnable_541
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.444             CK to Q              Bank_i1 (from PHI2_c)
    +Route         2   e 1.198                                  Bank[1]
    +LUT4        ---     0.493              B to Z              i1819_2_lut
    +Route         1   e 0.941                                  n2427
    +LUT4        ---     0.493              C to Z              i1857_4_lut
    +Route         1   e 0.941                                  n2465
    +LUT4        ---     0.493              A to Z              i13_4_lut_adj_4
    +Route         5   e 1.405                                  n1712
    +LUT4        ---     0.493              A to Z              i1_2_lut_rep_12
    +Route         2   e 1.141                                  n2551
    +LUT4        ---     0.493              D to Z              i1827_4_lut
    +Route         1   e 0.941                                  n2435
    +LUT4        ---     0.493              B to Z              i3_4_lut_adj_1
    +Route         4   e 1.340                                  C1Submitted_N_200
    +LUT4        ---     0.493              C to Z              i34_4_lut
    +Route         1   e 0.941                                  PHI2_N_119_enable_1
    +                  --------
    +                   12.743  (30.6% logic, 69.4% route), 8 logic levels.
    +
    +
    +Error:  The following path violates requirements by 10.471ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i3  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdEnable_541  (to PHI2_c -)
    +
    +   Delay:                  12.686ns  (30.7% logic, 69.3% route), 8 logic levels.
    +
    + Constraint Details:
    +
    +     12.686ns data_path Bank_i3 to CmdEnable_541 violates
    +      2.500ns delay constraint less
    +      0.285ns LCE_S requirement (totaling 2.215ns) by 10.471ns
    +
    + Path Details: Bank_i3 to CmdEnable_541
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.444             CK to Q              Bank_i3 (from PHI2_c)
    +Route         1   e 0.941                                  Bank[3]
    +LUT4        ---     0.493              B to Z              i1799_2_lut
    +Route         2   e 1.141                                  n2407
    +LUT4        ---     0.493              A to Z              i1857_4_lut
    +Route         1   e 0.941                                  n2465
    +LUT4        ---     0.493              A to Z              i13_4_lut_adj_4
    +Route         5   e 1.405                                  n1712
    +LUT4        ---     0.493              A to Z              i1_2_lut_rep_12
    +Route         2   e 1.141                                  n2551
    +LUT4        ---     0.493              D to Z              i1827_4_lut
    +Route         1   e 0.941                                  n2435
    +LUT4        ---     0.493              B to Z              i3_4_lut_adj_1
    +Route         4   e 1.340                                  C1Submitted_N_200
    +LUT4        ---     0.493              C to Z              i34_4_lut
    +Route         1   e 0.941                                  PHI2_N_119_enable_1
    +                  --------
    +                   12.686  (30.7% logic, 69.3% route), 8 logic levels.
    +
    +
    +Error:  The following path violates requirements by 10.471ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             Bank_i6  (from PHI2_c +)
    +   Destination:    FD1P3AX    SP             CmdEnable_541  (to PHI2_c -)
    +
    +   Delay:                  12.686ns  (30.7% logic, 69.3% route), 8 logic levels.
    +
    + Constraint Details:
    +
    +     12.686ns data_path Bank_i6 to CmdEnable_541 violates
    +      2.500ns delay constraint less
    +      0.285ns LCE_S requirement (totaling 2.215ns) by 10.471ns
    +
    + Path Details: Bank_i6 to CmdEnable_541
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.444             CK to Q              Bank_i6 (from PHI2_c)
    +Route         1   e 0.941                                  Bank[6]
    +LUT4        ---     0.493              A to Z              i1799_2_lut
    +Route         2   e 1.141                                  n2407
    +LUT4        ---     0.493              A to Z              i1857_4_lut
    +Route         1   e 0.941                                  n2465
    +LUT4        ---     0.493              A to Z              i13_4_lut_adj_4
    +Route         5   e 1.405                                  n1712
    +LUT4        ---     0.493              A to Z              i1_2_lut_rep_12
    +Route         2   e 1.141                                  n2551
    +LUT4        ---     0.493              D to Z              i1827_4_lut
    +Route         1   e 0.941                                  n2435
    +LUT4        ---     0.493              B to Z              i3_4_lut_adj_1
    +Route         4   e 1.340                                  C1Submitted_N_200
    +LUT4        ---     0.493              C to Z              i34_4_lut
    +Route         1   e 0.941                                  PHI2_N_119_enable_1
    +                  --------
    +                   12.686  (30.7% logic, 69.3% route), 8 logic levels.
    +
    +Warning: 13.028 ns is the maximum delay for this constraint.
    +
    +
    +
    +================================================================================
    +Constraint: create_clock -period 5.000000 -name clk0 [get_nets RCLK_c]
    +            466 items scored, 158 timing errors detected.
    +--------------------------------------------------------------------------------
    +
    +
    +Error:  The following path violates requirements by 3.233ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_725__i9  (from RCLK_c +)
    +   Destination:    FD1P3IX    SP             n8MEGEN_557  (to RCLK_c +)
    +
    +   Delay:                   7.948ns  (33.3% logic, 66.7% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.948ns data_path FS_725__i9 to n8MEGEN_557 violates
    +      5.000ns delay constraint less
    +      0.285ns LCE_S requirement (totaling 4.715ns) by 3.233ns
    +
    + Path Details: FS_725__i9 to n8MEGEN_557
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.444             CK to Q              FS_725__i9 (from RCLK_c)
    +Route         3   e 1.315                                  FS[9]
    +LUT4        ---     0.493              A to Z              i1847_4_lut
    +Route         1   e 0.941                                  n2455
    +LUT4        ---     0.493              B to Z              i1855_4_lut
    +Route         1   e 0.941                                  n2463
    +LUT4        ---     0.493              B to Z              i14_4_lut
    +Route         1   e 0.941                                  n2384
    +LUT4        ---     0.493              D to Z              i3_4_lut_adj_13
    +Route         1   e 0.020                                  n2385
    +MUXL5       ---     0.233           BLUT to Z              i26
    +Route         2   e 1.141                                  RCLK_c_enable_10
    +                  --------
    +                    7.948  (33.3% logic, 66.7% route), 6 logic levels.
    +
    +
    +Error:  The following path violates requirements by 3.233ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_725__i9  (from RCLK_c +)
    +   Destination:    FD1P3IX    SP             LEDEN_556  (to RCLK_c +)
    +
    +   Delay:                   7.948ns  (33.3% logic, 66.7% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.948ns data_path FS_725__i9 to LEDEN_556 violates
    +      5.000ns delay constraint less
    +      0.285ns LCE_S requirement (totaling 4.715ns) by 3.233ns
    +
    + Path Details: FS_725__i9 to LEDEN_556
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.444             CK to Q              FS_725__i9 (from RCLK_c)
    +Route         3   e 1.315                                  FS[9]
    +LUT4        ---     0.493              A to Z              i1847_4_lut
    +Route         1   e 0.941                                  n2455
    +LUT4        ---     0.493              B to Z              i1855_4_lut
    +Route         1   e 0.941                                  n2463
    +LUT4        ---     0.493              B to Z              i14_4_lut
    +Route         1   e 0.941                                  n2384
    +LUT4        ---     0.493              D to Z              i3_4_lut_adj_13
    +Route         1   e 0.020                                  n2385
    +MUXL5       ---     0.233           BLUT to Z              i26
    +Route         2   e 1.141                                  RCLK_c_enable_10
    +                  --------
    +                    7.948  (33.3% logic, 66.7% route), 6 logic levels.
    +
    +
    +Error:  The following path violates requirements by 3.233ns
    +
    + Logical Details:  Cell type  Pin type       Cell name  (clock net +/-)
    +
    +   Source:         FD1S3AX    CK             FS_725__i8  (from RCLK_c +)
    +   Destination:    FD1P3IX    SP             n8MEGEN_557  (to RCLK_c +)
    +
    +   Delay:                   7.948ns  (33.3% logic, 66.7% route), 6 logic levels.
    +
    + Constraint Details:
    +
    +      7.948ns data_path FS_725__i8 to n8MEGEN_557 violates
    +      5.000ns delay constraint less
    +      0.285ns LCE_S requirement (totaling 4.715ns) by 3.233ns
    +
    + Path Details: FS_725__i8 to n8MEGEN_557
    +
    +   Name    Fanout   Delay (ns)          Pins               Resource(Cell.Net)
    +L_CO        ---     0.444             CK to Q              FS_725__i8 (from RCLK_c)
    +Route         3   e 1.315                                  FS[8]
    +LUT4        ---     0.493              B to Z              i1821_2_lut
    +Route         1   e 0.941                                  n2429
    +LUT4        ---     0.493              C to Z              i1855_4_lut
    +Route         1   e 0.941                                  n2463
    +LUT4        ---     0.493              B to Z              i14_4_lut
    +Route         1   e 0.941                                  n2384
    +LUT4        ---     0.493              D to Z              i3_4_lut_adj_13
    +Route         1   e 0.020                                  n2385
    +MUXL5       ---     0.233           BLUT to Z              i26
    +Route         2   e 1.141                                  RCLK_c_enable_10
    +                  --------
    +                    7.948  (33.3% logic, 66.7% route), 6 logic levels.
    +
    +Warning: 8.233 ns is the maximum delay for this constraint.
    +
    +
    +Timing Report Summary
    +--------------
    +--------------------------------------------------------------------------------
    +Constraint                              |   Constraint|       Actual|Levels
    +--------------------------------------------------------------------------------
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk3 [get_nets nCCAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk2 [get_nets nCRAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk1 [get_nets PHI2_c]                  |     5.000 ns|    26.056 ns|     8 *
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk0 [get_nets RCLK_c]                  |     5.000 ns|     8.233 ns|     6 *
    +                                        |             |             |
    +--------------------------------------------------------------------------------
    +
    +
    +2 constraints not met.
    +
    +--------------------------------------------------------------------------------
    +Critical Nets                           |   Loads|  Errors| % of total
    +--------------------------------------------------------------------------------
    +n1712                                   |       5|     104|     37.96%
    +                                        |        |        |
    +n2465                                   |       1|      52|     18.98%
    +                                        |        |        |
    +n2251                                   |       1|      41|     14.96%
    +                                        |        |        |
    +n2551                                   |       2|      40|     14.60%
    +                                        |        |        |
    +n2250                                   |       1|      39|     14.23%
    +                                        |        |        |
    +n2252                                   |       1|      39|     14.23%
    +                                        |        |        |
    +XOR8MEG_N_117                           |       3|      34|     12.41%
    +                                        |        |        |
    +n2249                                   |       1|      33|     12.04%
    +                                        |        |        |
    +n2253                                   |       1|      33|     12.04%
    +                                        |        |        |
    +C1Submitted_N_200                       |       4|      32|     11.68%
    +                                        |        |        |
    +n2379                                   |       2|      32|     11.68%
    +                                        |        |        |
    +n2435                                   |       1|      32|     11.68%
    +                                        |        |        |
    +RCLK_c_enable_10                        |       2|      30|     10.95%
    +                                        |        |        |
    +n2384                                   |       1|      30|     10.95%
    +                                        |        |        |
    +n2385                                   |       1|      30|     10.95%
    +                                        |        |        |
    +n2407                                   |       2|      28|     10.22%
    +                                        |        |        |
    +n2453                                   |       2|      28|     10.22%
    +                                        |        |        |
    +--------------------------------------------------------------------------------
    +
    +
    +Timing summary:
    +---------------
    +
    +Timing errors: 274  Score: 1700966
    +
    +Constraints cover  587 paths, 177 nets, and 436 connections (66.4% coverage)
    +
    +
    +Peak memory: 55074816 bytes, TRCE: 434176 bytes, DLYMAN: 0 bytes
    +CPU_TIME_REPORT: 0 secs 
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v new file mode 100644 index 0000000..e6fdb98 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_prim.v @@ -0,0 +1,1243 @@ +// Verilog netlist produced by program LSE : version Diamond (64-bit) 3.12.0.240.2 +// Netlist written on Tue Aug 17 06:19:46 2021 +// +// Verilog Description of module RAM2GS +// + +module RAM2GS (PHI2, MAin, CROW, Din, Dout, nCCAS, nCRAS, nFWE, + LED, RBA, RA, RD, nRCS, RCLK, RCKE, nRWE, nRRAS, + nRCAS, RDQMH, RDQML) /* synthesis syn_module_defined=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1[8:14]) + input PHI2; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12]) + input [9:0]MAin; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + input [1:0]CROW; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18]) + input [7:0]Din; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + output [7:0]Dout; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + input nCCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13]) + input nCRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20]) + input nFWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(35[8:12]) + output LED; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(11[9:12]) + output [1:0]RBA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22]) + output [11:0]RA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + inout [7:0]RD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + output nRCS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[13:17]) + input RCLK; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12]) + output RCKE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(44[13:17]) + output nRWE; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[45:49]) + output nRRAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[23:28]) + output nRCAS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[34:39]) + output RDQMH; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[16:21]) + output RDQML; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[9:14]) + + wire PHI2_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12]) + wire nCCAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13]) + wire nCRAS_c /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20]) + wire RCLK_c /* synthesis SET_AS_NETWORK=RCLK_c, is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12]) + wire wb_clk /* synthesis is_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(317[6:12]) + wire nCCAS_N_3 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + wire nCRAS_N_9 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22]) + wire PHI2_N_151 /* synthesis is_inv_clock=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(37[6:13]) + + wire GND_net, VCC_net, LEDEN, PHI2r, PHI2r2, PHI2r3, RASr, + RASr2, RASr3, CASr, CASr2, CASr3, FWEr, CBR, Din_c_7, + Din_c_6, Din_c_5, Din_c_4, Din_c_3, Din_c_2, Din_c_1, Din_c_0; + wire [7:0]Bank; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(30[12:16]) + + wire CROW_c_1, CROW_c_0, MAin_c_9, MAin_c_8, MAin_c_7, MAin_c_6, + MAin_c_5, MAin_c_4, MAin_c_3, MAin_c_2, MAin_c_1, MAin_c_0, + nFWE_c, n8MEGEN, XOR8MEG, RCKEEN, RCKE_c, nRCS_c, nRRAS_c, + nRCAS_c, nRWE_c, RBA_c_1, RBA_c_0, nRowColSel, RA_c, n1975; + wire [9:0]RowA; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(50[12:16]) + + wire RA_c_9, RA_c_8, RA_c_7, RA_c_6, RA_c_5, RA_c_4, RA_c_3, + RA_c_2, RA_c_1, RA_c_0, RDQML_c, RDQMH_c; + wire [7:0]WRD; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(58[12:15]) + + wire C1Submitted, ADSubmitted, CmdEnable, CmdSubmitted, CmdLEDEN, + Cmdn8MEGEN, CmdUFMData, CmdUFMShift, n4097, InitReady, Ready, + n10; + wire [17:0]FS; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + + wire wb_rst, wb_cyc_stb, wb_we; + wire [7:0]wb_adr; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(321[12:18]) + wire [7:0]wb_dati; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(322[12:19]) + wire [1:0]wb_dato; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(323[13:20]) + + wire LED_N_134, RA11_N_217, n1197, n3, RCKE_N_165, nRowColSel_N_35, + nRWE_N_215, nRowColSel_N_34, nRowColSel_N_33, nRowColSel_N_32, + nRCS_N_179, n2557, nRCS_N_175, n2556, n4883, nRCS_N_174, + nRCAS_N_199, nRWE_N_211, RCKEEN_N_153, nRCS_N_172, nRCAS_N_198, + nRWE_N_210, nRWE_N_209, n1196, n1195, n1194, n1193, n3622, + Ready_N_284, nRCS_N_170, Ready_N_280, nRCS_N_169, nRRAS_N_189, + nRCAS_N_194, nRWE_N_204, RCKEEN_N_152, n3989, n1, CmdEnable_N_243, + C1Submitted_N_232, XOR8MEG_N_149, CmdLEDEN_N_251, Cmdn8MEGEN_N_260, + n4901, n2199, wb_adr_7__N_92, RCLK_c_enable_27, n4149, n17, + wb_we_N_354, n2426, n5144, n4539, n93, n38, n4900, n87, + wb_we_N_351, n638, n646, n4893, wb_cyc_stb_N_350, n4888, + n5142, n4087, wb_cyc_stb_N_348, n89, n4880, n80, n4899, + n2238, n746, n747, n748, n751, n752, n754, n755, n756, + n757, n758, n759, n760, n761, n4094, n4093, n4882, n4628, + n23, n4624, n4892, n4092, n4622, n3609, n4091, LEDEN_N_110, + n8MEGEN_N_139, PHI2_N_151_enable_3, n4610, RCLK_c_enable_25, + RCLK_c_enable_28, n4887, n4548, n84, wb_we_N_338, n2549, + n83, n1969, n1971, wb_cyc_stb_N_307, n4517; + wire [7:0]wb_adr_7__N_60; + wire [7:0]wb_dati_7__N_68; + + wire n1192, n1191, n1189, n1188, n1187, n1186, n1185, n6, + n3_adj_1, n4519, n2308, n14, n86, n39, PHI2_N_151_enable_1, + n12, n2040, n2104, n1286, n4869, PHI2_N_151_enable_5, RCLK_c_enable_24, + n4165, n1972, Dout_c, n1965, n1974, n10_adj_2, n78, n2262, + n2252, n2258, n1973, n14_adj_3, n4884, n2244, n1885, n56, + n4859, n4858, n1889, RCLK_c_enable_20, RCLK_c_enable_29, n4898, + n45, n53, n85, n92, RCLK_c_enable_26, n4526, n4090, n1970, + n4939, n4938, n95, n4937, n4089, n2384, n4850, n42, + n3_adj_4, n4936, n1968, n91, n94, n90, n88, n79, n4935, + n42_adj_5, n81, n82, n1_adj_6, n14_adj_7, n4574, n4504, + n4088, n4086, n12_adj_8, n4934, PHI2_N_151_enable_6, n4933, + n4585, n4932, n4733, n98, n4732, n3671, n4931, n4930, + n4125, n4929, n4928, n4731, n4927, n4926, n4925, n4924, + n4730, n4897, n4923, RCLK_c_enable_22, n4729, n53_adj_9, + n175, n4921, n4920, n4919, n4164, n4918, n4917, n4916, + n4915, n12_adj_10, n4914, n4913, n4896, n4807, n4806, + n4582, n15, n4129, n3969, n4911, n3711, n4910, n4527, + n4909, n4530, n4891, n6_adj_11, n4718, n4908, n6_adj_12, + n4895, n4890, n4886, n4, n4907, n4_adj_13, PHI2_N_151_enable_7, + n4782, n4889, n4894, n14_adj_14, n4885, n4777, n4776, + n6_adj_15, n4906, n4905, n4941, n4632, n4513, n3_adj_16, + n20, n4904, n4775, n4618, n4774, n4634, n22, n4903, + n4902, n4940, n7; + + VHI i2 (.Z(VCC_net)); + INV i4006 (.A(nCCAS_c), .Z(nCCAS_N_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13]) + FD1P3AX IS_FSM__i15 (.D(n1185), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(Ready_N_284)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i15.GSR = "ENABLED"; + FD1P3AX IS_FSM__i14 (.D(n1186), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1185)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i14.GSR = "ENABLED"; + FD1S3AX PHI2r2_513 (.D(PHI2r), .CK(RCLK_c), .Q(PHI2r2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam PHI2r2_513.GSR = "ENABLED"; + FD1S3AX PHI2r3_514 (.D(PHI2r2), .CK(RCLK_c), .Q(PHI2r3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam PHI2r3_514.GSR = "ENABLED"; + FD1S3AX RASr_515 (.D(nCRAS_N_9), .CK(RCLK_c), .Q(RASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam RASr_515.GSR = "ENABLED"; + FD1S3AX RASr2_516 (.D(RASr), .CK(RCLK_c), .Q(RASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam RASr2_516.GSR = "ENABLED"; + FD1S3AX RASr3_517 (.D(RASr2), .CK(RCLK_c), .Q(RASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam RASr3_517.GSR = "ENABLED"; + FD1S3AX CASr_518 (.D(nCCAS_N_3), .CK(RCLK_c), .Q(CASr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam CASr_518.GSR = "ENABLED"; + FD1S3AX CASr2_519 (.D(CASr), .CK(RCLK_c), .Q(CASr2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam CASr2_519.GSR = "ENABLED"; + FD1S3AX CASr3_520 (.D(CASr2), .CK(RCLK_c), .Q(CASr3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam CASr3_520.GSR = "ENABLED"; + FD1S3IX RowA_i0 (.D(MAin_c_0), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i0.GSR = "ENABLED"; + FD1S3IX S_FSM_i2 (.D(n2556), .CK(RCLK_c), .CD(n4933), .Q(nRowColSel_N_34)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam S_FSM_i2.GSR = "ENABLED"; + FD1S3AX WRD_i0 (.D(Din_c_0), .CK(nCCAS_N_3), .Q(WRD[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i0.GSR = "ENABLED"; + FD1S3AX FWEr_525 (.D(n4932), .CK(nCRAS_N_9), .Q(FWEr)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam FWEr_525.GSR = "ENABLED"; + FD1S3AX CBR_526 (.D(nCCAS_N_3), .CK(nCRAS_N_9), .Q(CBR)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam CBR_526.GSR = "ENABLED"; + FD1S3IX RBA__i1 (.D(CROW_c_0), .CK(nCRAS_N_9), .CD(n4935), .Q(RBA_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RBA__i1.GSR = "ENABLED"; + FD1P3AX IS_FSM__i13 (.D(n1187), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1186)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i13.GSR = "ENABLED"; + FD1P3AX IS_FSM__i12 (.D(n1188), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1187)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i12.GSR = "ENABLED"; + EFB ufmefb (.WBCLKI(wb_clk), .WBRSTI(wb_rst), .WBCYCI(wb_cyc_stb), + .WBSTBI(wb_cyc_stb), .WBWEI(wb_we), .WBADRI0(wb_adr[0]), .WBADRI1(wb_adr[1]), + .WBADRI2(wb_adr[2]), .WBADRI3(wb_adr[3]), .WBADRI4(wb_adr[4]), + .WBADRI5(wb_adr[5]), .WBADRI6(wb_adr[6]), .WBADRI7(wb_adr[7]), + .WBDATI0(wb_dati[0]), .WBDATI1(wb_dati[1]), .WBDATI2(wb_dati[2]), + .WBDATI3(wb_dati[3]), .WBDATI4(wb_dati[4]), .WBDATI5(wb_dati[5]), + .WBDATI6(wb_dati[6]), .WBDATI7(wb_dati[7]), .I2C1SCLI(GND_net), + .I2C1SDAI(GND_net), .I2C2SCLI(GND_net), .I2C2SDAI(GND_net), .SPISCKI(GND_net), + .SPIMISOI(GND_net), .SPIMOSII(GND_net), .SPISCSN(GND_net), .TCCLKI(GND_net), + .TCRSTN(GND_net), .TCIC(GND_net), .PLL0DATI0(GND_net), .PLL0DATI1(GND_net), + .PLL0DATI2(GND_net), .PLL0DATI3(GND_net), .PLL0DATI4(GND_net), + .PLL0DATI5(GND_net), .PLL0DATI6(GND_net), .PLL0DATI7(GND_net), + .PLL0ACKI(GND_net), .PLL1DATI0(GND_net), .PLL1DATI1(GND_net), + .PLL1DATI2(GND_net), .PLL1DATI3(GND_net), .PLL1DATI4(GND_net), + .PLL1DATI5(GND_net), .PLL1DATI6(GND_net), .PLL1DATI7(GND_net), + .PLL1ACKI(GND_net), .WBDATO0(wb_dato[0]), .WBDATO1(wb_dato[1])) /* synthesis syn_instantiated=1 */ ; + defparam ufmefb.EFB_I2C1 = "DISABLED"; + defparam ufmefb.EFB_I2C2 = "DISABLED"; + defparam ufmefb.EFB_SPI = "DISABLED"; + defparam ufmefb.EFB_TC = "DISABLED"; + defparam ufmefb.EFB_TC_PORTMODE = "NO_WB"; + defparam ufmefb.EFB_UFM = "DISABLED"; + defparam ufmefb.EFB_WB_CLK_FREQ = "50.0"; + defparam ufmefb.DEV_DENSITY = "1200L"; + defparam ufmefb.UFM_INIT_PAGES = 0; + defparam ufmefb.UFM_INIT_START_PAGE = 0; + defparam ufmefb.UFM_INIT_ALL_ZEROS = "ENABLED"; + defparam ufmefb.UFM_INIT_FILE_NAME = "NONE"; + defparam ufmefb.UFM_INIT_FILE_FORMAT = "HEX"; + defparam ufmefb.I2C1_ADDRESSING = "7BIT"; + defparam ufmefb.I2C2_ADDRESSING = "7BIT"; + defparam ufmefb.I2C1_SLAVE_ADDR = "0b1000001"; + defparam ufmefb.I2C2_SLAVE_ADDR = "0b1000010"; + defparam ufmefb.I2C1_BUS_PERF = "100kHz"; + defparam ufmefb.I2C2_BUS_PERF = "100kHz"; + defparam ufmefb.I2C1_CLK_DIVIDER = 1; + defparam ufmefb.I2C2_CLK_DIVIDER = 1; + defparam ufmefb.I2C1_GEN_CALL = "DISABLED"; + defparam ufmefb.I2C2_GEN_CALL = "DISABLED"; + defparam ufmefb.I2C1_WAKEUP = "DISABLED"; + defparam ufmefb.I2C2_WAKEUP = "DISABLED"; + defparam ufmefb.SPI_MODE = "SLAVE"; + defparam ufmefb.SPI_CLK_DIVIDER = 1; + defparam ufmefb.SPI_LSB_FIRST = "DISABLED"; + defparam ufmefb.SPI_CLK_INV = "DISABLED"; + defparam ufmefb.SPI_PHASE_ADJ = "DISABLED"; + defparam ufmefb.SPI_SLAVE_HANDSHAKE = "DISABLED"; + defparam ufmefb.SPI_INTR_TXRDY = "DISABLED"; + defparam ufmefb.SPI_INTR_RXRDY = "DISABLED"; + defparam ufmefb.SPI_INTR_TXOVR = "DISABLED"; + defparam ufmefb.SPI_INTR_RXOVR = "DISABLED"; + defparam ufmefb.SPI_WAKEUP = "DISABLED"; + defparam ufmefb.TC_MODE = "CTCM"; + defparam ufmefb.TC_SCLK_SEL = "PCLOCK"; + defparam ufmefb.TC_CCLK_SEL = 1; + defparam ufmefb.GSR = "ENABLED"; + defparam ufmefb.TC_TOP_SET = 65535; + defparam ufmefb.TC_OCR_SET = 32767; + defparam ufmefb.TC_OC_MODE = "TOGGLE"; + defparam ufmefb.TC_RESETN = "ENABLED"; + defparam ufmefb.TC_TOP_SEL = "ON"; + defparam ufmefb.TC_OV_INT = "OFF"; + defparam ufmefb.TC_OCR_INT = "OFF"; + defparam ufmefb.TC_ICR_INT = "OFF"; + defparam ufmefb.TC_OVERFLOW = "ENABLED"; + defparam ufmefb.TC_ICAPTURE = "DISABLED"; + FD1P3AX IS_FSM__i11 (.D(n1189), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1188)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i11.GSR = "ENABLED"; + FD1P3AX IS_FSM__i10 (.D(nRWE_N_210), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1189)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i10.GSR = "ENABLED"; + FD1P3AX IS_FSM__i9 (.D(n1191), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(nRWE_N_210)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i9.GSR = "ENABLED"; + FD1P3AX IS_FSM__i8 (.D(n1192), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1191)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i8.GSR = "ENABLED"; + FD1S3AX RCKE_531 (.D(RCKE_N_165), .CK(RCLK_c), .Q(RCKE_c)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(133[9] 136[5]) + defparam RCKE_531.GSR = "ENABLED"; + FD1P3AY nRCS_532 (.D(nRCS_N_169), .SP(RCLK_c_enable_20), .CK(RCLK_c), + .Q(nRCS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam nRCS_532.GSR = "ENABLED"; + FD1P3AX IS_FSM__i7 (.D(n1193), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1192)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i7.GSR = "ENABLED"; + FD1P3AX IS_FSM__i6 (.D(n1194), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1193)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i6.GSR = "ENABLED"; + FD1S3IX S_FSM_i3 (.D(n2556), .CK(RCLK_c), .CD(n2557), .Q(nRowColSel_N_33)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam S_FSM_i3.GSR = "ENABLED"; + FD1P3AX IS_FSM__i5 (.D(n1195), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1194)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i5.GSR = "ENABLED"; + FD1P3AX IS_FSM__i4 (.D(n1196), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1195)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i4.GSR = "ENABLED"; + FD1P3AX IS_FSM__i3 (.D(n1197), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1196)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i3.GSR = "ENABLED"; + FD1P3AX IS_FSM__i2 (.D(nRCAS_N_198), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(n1197)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i2.GSR = "ENABLED"; + FD1P3AX IS_FSM__i1 (.D(nRCS_N_172), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(nRCAS_N_198)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i1.GSR = "ENABLED"; + FD1P3AY nRRAS_533 (.D(nRRAS_N_189), .SP(RCLK_c_enable_20), .CK(RCLK_c), + .Q(nRRAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam nRRAS_533.GSR = "ENABLED"; + LUT4 m1_lut (.Z(n5144)) /* synthesis lut_function=1, syn_instantiated=1 */ ; + defparam m1_lut.init = 16'hffff; + FD1P3AY nRCAS_534 (.D(nRCAS_N_194), .SP(RCLK_c_enable_20), .CK(RCLK_c), + .Q(nRCAS_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam nRCAS_534.GSR = "ENABLED"; + FD1P3AY nRWE_535 (.D(nRWE_N_204), .SP(RCLK_c_enable_29), .CK(RCLK_c), + .Q(nRWE_c)) /* synthesis lse_init_val=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam nRWE_535.GSR = "ENABLED"; + FD1S3JX RA10_536 (.D(n4129), .CK(RCLK_c), .PD(nRWE_N_209), .Q(n1975)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam RA10_536.GSR = "ENABLED"; + FD1P3AX RCKEEN_537 (.D(RCKEEN_N_152), .SP(RCLK_c_enable_20), .CK(RCLK_c), + .Q(RCKEEN)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam RCKEEN_537.GSR = "ENABLED"; + FD1S3JX C1Submitted_542 (.D(n2549), .CK(PHI2_N_151), .PD(C1Submitted_N_232), + .Q(C1Submitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam C1Submitted_542.GSR = "ENABLED"; + FD1P3IX wb_we_553 (.D(wb_we_N_338), .SP(RCLK_c_enable_25), .CD(wb_adr_7__N_92), + .CK(RCLK_c), .Q(wb_we)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_we_553.GSR = "ENABLED"; + LUT4 nRCS_I_34_3_lut_4_lut (.A(RCKE_c), .B(RASr2), .C(nRowColSel_N_35), + .D(nRCS_N_175), .Z(nRCS_N_174)) /* synthesis lut_function=(!(A (C+!(D))+!A (B (C+!(D))+!B !(C+(D))))) */ ; + defparam nRCS_I_34_3_lut_4_lut.init = 16'h1f10; + FD1S3AX CmdSubmitted_549 (.D(XOR8MEG_N_149), .CK(PHI2_N_151), .Q(CmdSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam CmdSubmitted_549.GSR = "ENABLED"; + FD1S3AX FS_972__i17 (.D(n78), .CK(RCLK_c), .Q(FS[17])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i17.GSR = "ENABLED"; + PFUMX i12 (.BLUT(n3), .ALUT(n758), .C0(InitReady), .Z(wb_dati_7__N_68[3])); + FD1S3AX FS_972__i16 (.D(n79), .CK(RCLK_c), .Q(FS[16])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i16.GSR = "ENABLED"; + FD1S3AX FS_972__i15 (.D(n80), .CK(RCLK_c), .Q(FS[15])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i15.GSR = "ENABLED"; + FD1S3AX FS_972__i14 (.D(n81), .CK(RCLK_c), .Q(FS[14])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i14.GSR = "ENABLED"; + FD1S3AX FS_972__i13 (.D(n82), .CK(RCLK_c), .Q(FS[13])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i13.GSR = "ENABLED"; + FD1S3AX FS_972__i12 (.D(n83), .CK(RCLK_c), .Q(FS[12])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i12.GSR = "ENABLED"; + FD1S3AX FS_972__i11 (.D(n84), .CK(RCLK_c), .Q(FS[11])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i11.GSR = "ENABLED"; + FD1S3AX FS_972__i10 (.D(n85), .CK(RCLK_c), .Q(FS[10])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i10.GSR = "ENABLED"; + FD1S3AX FS_972__i9 (.D(n86), .CK(RCLK_c), .Q(FS[9])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i9.GSR = "ENABLED"; + FD1S3AX FS_972__i8 (.D(n87), .CK(RCLK_c), .Q(FS[8])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i8.GSR = "ENABLED"; + FD1S3AX FS_972__i7 (.D(n88), .CK(RCLK_c), .Q(FS[7])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i7.GSR = "ENABLED"; + FD1S3AX FS_972__i6 (.D(n89), .CK(RCLK_c), .Q(FS[6])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i6.GSR = "ENABLED"; + FD1S3AX wb_adr_i0 (.D(wb_adr_7__N_60[0]), .CK(RCLK_c), .Q(wb_adr[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i0.GSR = "ENABLED"; + FD1S3AX FS_972__i5 (.D(n90), .CK(RCLK_c), .Q(FS[5])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i5.GSR = "ENABLED"; + FD1S3AX FS_972__i4 (.D(n91), .CK(RCLK_c), .Q(FS[4])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i4.GSR = "ENABLED"; + FD1S3AX FS_972__i3 (.D(n92), .CK(RCLK_c), .Q(FS[3])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i3.GSR = "ENABLED"; + FD1S3AX FS_972__i2 (.D(n93), .CK(RCLK_c), .Q(FS[2])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i2.GSR = "ENABLED"; + FD1S3AX FS_972__i1 (.D(n94), .CK(RCLK_c), .Q(FS[1])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i1.GSR = "ENABLED"; + FD1P3AX wb_rst_551 (.D(n3671), .SP(RCLK_c_enable_22), .CK(RCLK_c), + .Q(wb_rst)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_rst_551.GSR = "ENABLED"; + FD1S3AX wb_dati_i0 (.D(wb_dati_7__N_68[0]), .CK(RCLK_c), .Q(wb_dati[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i0.GSR = "ENABLED"; + FD1S3AX S_FSM_i1 (.D(n4921), .CK(RCLK_c), .Q(nRowColSel_N_35)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam S_FSM_i1.GSR = "ENABLED"; + FD1P3AX LEDEN_556 (.D(LEDEN_N_110), .SP(RCLK_c_enable_24), .CK(RCLK_c), + .Q(LEDEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam LEDEN_556.GSR = "ENABLED"; + FD1P3AX n8MEGEN_557 (.D(n8MEGEN_N_139), .SP(RCLK_c_enable_24), .CK(RCLK_c), + .Q(n8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam n8MEGEN_557.GSR = "ENABLED"; + FD1S3AX PHI2r_512 (.D(PHI2_c), .CK(RCLK_c), .Q(PHI2r)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam PHI2r_512.GSR = "ENABLED"; + FD1S3IX S_FSM_i4 (.D(n1286), .CK(RCLK_c), .CD(n4921), .Q(nRowColSel_N_32)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam S_FSM_i4.GSR = "ENABLED"; + IB RCLK_pad (.I(RCLK), .O(RCLK_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(40[8:12]) + IB nFWE_pad (.I(nFWE), .O(nFWE_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(35[8:12]) + IB nCRAS_pad (.I(nCRAS), .O(nCRAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20]) + IB nCCAS_pad (.I(nCCAS), .O(nCCAS_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[8:13]) + IB Din_pad_0 (.I(Din[0]), .O(Din_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB Din_pad_1 (.I(Din[1]), .O(Din_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB Din_pad_2 (.I(Din[2]), .O(Din_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB Din_pad_3 (.I(Din[3]), .O(Din_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB Din_pad_4 (.I(Din[4]), .O(Din_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB Din_pad_5 (.I(Din[5]), .O(Din_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB Din_pad_6 (.I(Din[6]), .O(Din_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB Din_pad_7 (.I(Din[7]), .O(Din_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(25[14:17]) + IB CROW_pad_0 (.I(CROW[0]), .O(CROW_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18]) + IB CROW_pad_1 (.I(CROW[1]), .O(CROW_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(33[14:18]) + IB MAin_pad_0 (.I(MAin[0]), .O(MAin_c_0)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_1 (.I(MAin[1]), .O(MAin_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_2 (.I(MAin[2]), .O(MAin_c_2)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_3 (.I(MAin[3]), .O(MAin_c_3)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_4 (.I(MAin[4]), .O(MAin_c_4)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_5 (.I(MAin[5]), .O(MAin_c_5)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_6 (.I(MAin[6]), .O(MAin_c_6)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_7 (.I(MAin[7]), .O(MAin_c_7)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_8 (.I(MAin[8]), .O(MAin_c_8)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB MAin_pad_9 (.I(MAin[9]), .O(MAin_c_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(34[14:18]) + IB PHI2_pad (.I(PHI2), .O(PHI2_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12]) + OB RDQML_pad (.I(RDQML_c), .O(RDQML)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[9:14]) + OB RDQMH_pad (.I(RDQMH_c), .O(RDQMH)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(55[16:21]) + OB nRCAS_pad (.I(nRCAS_c), .O(nRCAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[34:39]) + OB nRRAS_pad (.I(nRRAS_c), .O(nRRAS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[23:28]) + OB nRWE_pad (.I(nRWE_c), .O(nRWE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[45:49]) + OB RCKE_pad (.I(RCKE_c), .O(RCKE)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(44[13:17]) + OB nRCS_pad (.I(nRCS_c), .O(nRCS)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(45[13:17]) + OB RA_pad_0 (.I(RA_c_0), .O(RA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_1 (.I(RA_c_1), .O(RA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_2 (.I(RA_c_2), .O(RA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_3 (.I(RA_c_3), .O(RA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_4 (.I(RA_c_4), .O(RA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_5 (.I(RA_c_5), .O(RA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_6 (.I(RA_c_6), .O(RA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_7 (.I(RA_c_7), .O(RA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_8 (.I(RA_c_8), .O(RA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_9 (.I(RA_c_9), .O(RA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_10 (.I(n1975), .O(RA[10])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RA_pad_11 (.I(RA_c), .O(RA[11])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(51[16:18]) + OB RBA_pad_0 (.I(RBA_c_0), .O(RBA[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22]) + OB RBA_pad_1 (.I(RBA_c_1), .O(RBA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(46[19:22]) + OB LED_pad (.I(LED_N_134), .O(LED)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(11[9:12]) + OB Dout_pad_0 (.I(Dout_c), .O(Dout[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + OB Dout_pad_1 (.I(n1974), .O(Dout[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + OB Dout_pad_2 (.I(n1973), .O(Dout[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + OB Dout_pad_3 (.I(n1972), .O(Dout[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + OB Dout_pad_4 (.I(n1971), .O(Dout[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + OB Dout_pad_5 (.I(n1970), .O(Dout[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + OB Dout_pad_6 (.I(n1969), .O(Dout[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + OB Dout_pad_7 (.I(n1968), .O(Dout[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(26[15:19]) + BB Dout_pad_0__1130 (.I(WRD[0]), .T(n1965), .B(RD[0]), .O(Dout_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + BB Dout_pad_1__1129 (.I(WRD[1]), .T(n1965), .B(RD[1]), .O(n1974)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + BB Dout_pad_2__1128 (.I(WRD[2]), .T(n1965), .B(RD[2]), .O(n1973)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + BB Dout_pad_3__1127 (.I(WRD[3]), .T(n1965), .B(RD[3]), .O(n1972)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + BB Dout_pad_4__1126 (.I(WRD[4]), .T(n1965), .B(RD[4]), .O(n1971)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + BB Dout_pad_5__1125 (.I(WRD[5]), .T(n1965), .B(RD[5]), .O(n1970)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + BB Dout_pad_6__1124 (.I(WRD[6]), .T(n1965), .B(RD[6]), .O(n1969)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + FD1S3AX wb_dati_i7 (.D(wb_dati_7__N_68[7]), .CK(RCLK_c), .Q(wb_dati[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i7.GSR = "ENABLED"; + FD1S3AX wb_dati_i6 (.D(wb_dati_7__N_68[6]), .CK(RCLK_c), .Q(wb_dati[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i6.GSR = "ENABLED"; + FD1S3AX wb_dati_i5 (.D(wb_dati_7__N_68[5]), .CK(RCLK_c), .Q(wb_dati[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i5.GSR = "ENABLED"; + FD1S3AX wb_dati_i4 (.D(wb_dati_7__N_68[4]), .CK(RCLK_c), .Q(wb_dati[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i4.GSR = "ENABLED"; + FD1S3AX wb_dati_i3 (.D(wb_dati_7__N_68[3]), .CK(RCLK_c), .Q(wb_dati[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i3.GSR = "ENABLED"; + FD1S3AX wb_dati_i2 (.D(wb_dati_7__N_68[2]), .CK(RCLK_c), .Q(wb_dati[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i2.GSR = "ENABLED"; + FD1S3AX wb_dati_i1 (.D(wb_dati_7__N_68[1]), .CK(RCLK_c), .Q(wb_dati[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_dati_i1.GSR = "ENABLED"; + CCU2D FS_972_add_4_3 (.A0(FS[1]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[2]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4086), + .COUT(n4087), .S0(n94), .S1(n93)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_3.INIT0 = 16'hfaaa; + defparam FS_972_add_4_3.INIT1 = 16'hfaaa; + defparam FS_972_add_4_3.INJECT1_0 = "NO"; + defparam FS_972_add_4_3.INJECT1_1 = "NO"; + PFUMX i1369 (.BLUT(wb_we_N_351), .ALUT(n2104), .C0(n4886), .Z(n2238)); + FD1P3IX wb_cyc_stb_552 (.D(wb_cyc_stb_N_307), .SP(RCLK_c_enable_25), + .CD(wb_adr_7__N_92), .CK(RCLK_c), .Q(wb_cyc_stb)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_cyc_stb_552.GSR = "ENABLED"; + FD1S3AX wb_adr_i7 (.D(wb_adr_7__N_60[7]), .CK(RCLK_c), .Q(wb_adr[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i7.GSR = "ENABLED"; + FD1S3AX wb_adr_i6 (.D(wb_adr_7__N_60[6]), .CK(RCLK_c), .Q(wb_adr[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i6.GSR = "ENABLED"; + FD1S3AX wb_adr_i5 (.D(wb_adr_7__N_60[5]), .CK(RCLK_c), .Q(wb_adr[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i5.GSR = "ENABLED"; + FD1S3AX wb_adr_i4 (.D(wb_adr_7__N_60[4]), .CK(RCLK_c), .Q(wb_adr[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i4.GSR = "ENABLED"; + FD1S3AX wb_adr_i3 (.D(wb_adr_7__N_60[3]), .CK(RCLK_c), .Q(wb_adr[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i3.GSR = "ENABLED"; + FD1S3AX wb_adr_i2 (.D(wb_adr_7__N_60[2]), .CK(RCLK_c), .Q(wb_adr[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i2.GSR = "ENABLED"; + FD1S3AX wb_adr_i1 (.D(wb_adr_7__N_60[1]), .CK(RCLK_c), .Q(wb_adr[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_adr_i1.GSR = "ENABLED"; + FD1S3IX RBA__i2 (.D(CROW_c_1), .CK(nCRAS_N_9), .CD(n4935), .Q(RBA_c_1)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RBA__i2.GSR = "ENABLED"; + CCU2D FS_972_add_4_17 (.A0(FS[15]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[16]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4093), + .COUT(n4094), .S0(n80), .S1(n79)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_17.INIT0 = 16'hfaaa; + defparam FS_972_add_4_17.INIT1 = 16'hfaaa; + defparam FS_972_add_4_17.INJECT1_0 = "NO"; + defparam FS_972_add_4_17.INJECT1_1 = "NO"; + CCU2D FS_972_add_4_15 (.A0(FS[13]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[14]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4092), + .COUT(n4093), .S0(n82), .S1(n81)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_15.INIT0 = 16'hfaaa; + defparam FS_972_add_4_15.INIT1 = 16'hfaaa; + defparam FS_972_add_4_15.INJECT1_0 = "NO"; + defparam FS_972_add_4_15.INJECT1_1 = "NO"; + FD1P3AX CmdEnable_541 (.D(CmdEnable_N_243), .SP(PHI2_N_151_enable_1), + .CK(PHI2_N_151), .Q(CmdEnable)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam CmdEnable_541.GSR = "ENABLED"; + FD1P3AX InitReady_530 (.D(n5144), .SP(RCLK_c_enable_26), .CK(RCLK_c), + .Q(InitReady)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(126[9] 130[5]) + defparam InitReady_530.GSR = "ENABLED"; + PFUMX i12_adj_1 (.BLUT(n3_adj_4), .ALUT(n755), .C0(InitReady), .Z(wb_dati_7__N_68[6])); + LUT4 i1_3_lut_4_lut_then_4_lut (.A(FS[5]), .B(FS[8]), .C(FS[6]), .D(FS[7]), + .Z(n4941)) /* synthesis lut_function=(A (B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i1_3_lut_4_lut_then_4_lut.init = 16'h8000; + LUT4 i1_3_lut_4_lut_else_4_lut (.A(FS[5]), .B(FS[8]), .C(FS[6]), .D(FS[7]), + .Z(n4940)) /* synthesis lut_function=(!(A (B+(D))+!A (B+(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i1_3_lut_4_lut_else_4_lut.init = 16'h0133; + LUT4 i2692_2_lut (.A(RCKE_c), .B(RASr2), .Z(nRWE_N_215)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(160[14] 176[8]) + defparam i2692_2_lut.init = 16'hdddd; + LUT4 i217_2_lut_rep_70 (.A(FS[9]), .B(FS[5]), .Z(n4919)) /* synthesis lut_function=(A+(B)) */ ; + defparam i217_2_lut_rep_70.init = 16'heeee; + LUT4 i1_2_lut (.A(n3989), .B(n3969), .Z(wb_dati_7__N_68[1])) /* synthesis lut_function=(A+(B)) */ ; + defparam i1_2_lut.init = 16'heeee; + LUT4 n4542_bdd_4_lut (.A(FS[10]), .B(FS[11]), .C(FS[7]), .D(n4924), + .Z(n4806)) /* synthesis lut_function=(!((B ((D)+!C)+!B (C+(D)))+!A)) */ ; + defparam n4542_bdd_4_lut.init = 16'h0082; + LUT4 i1_2_lut_4_lut (.A(n4929), .B(XOR8MEG_N_149), .C(Din_c_4), .D(n4931), + .Z(PHI2_N_151_enable_3)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; + defparam i1_2_lut_4_lut.init = 16'h4000; + PFUMX i3861 (.BLUT(n4777), .ALUT(n761), .C0(InitReady), .Z(wb_dati_7__N_68[0])); + FD1S3AX Bank_i0 (.D(Din_c_0), .CK(PHI2_c), .Q(Bank[0])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i0.GSR = "ENABLED"; + LUT4 i1_4_lut_4_lut (.A(CBR), .B(FWEr), .C(n4618), .D(nRowColSel_N_34), + .Z(n20)) /* synthesis lut_function=(!(A+!(B (C+(D))+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(206[26:30]) + defparam i1_4_lut_4_lut.init = 16'h5540; + LUT4 n10_bdd_4_lut_3959 (.A(n10_adj_2), .B(FS[10]), .C(FS[11]), .D(n14), + .Z(n4517)) /* synthesis lut_function=(A+(B (D)+!B ((D)+!C))) */ ; + defparam n10_bdd_4_lut_3959.init = 16'hffab; + LUT4 i3141_4_lut_4_lut (.A(n4895), .B(n3609), .C(FS[10]), .D(FS[11]), + .Z(n38)) /* synthesis lut_function=(!(A (B+(C+!(D)))+!A !(B (C)+!B (C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i3141_4_lut_4_lut.init = 16'h5350; + FD1S3AX WRD_i7 (.D(Din_c_7), .CK(nCCAS_N_3), .Q(WRD[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i7.GSR = "ENABLED"; + FD1S3AX WRD_i6 (.D(Din_c_6), .CK(nCCAS_N_3), .Q(WRD[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i6.GSR = "ENABLED"; + LUT4 i2_3_lut_rep_77 (.A(RASr2), .B(InitReady), .C(nRowColSel_N_35), + .Z(n4926)) /* synthesis lut_function=(A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20]) + defparam i2_3_lut_rep_77.init = 16'h8080; + FD1S3AX WRD_i5 (.D(Din_c_5), .CK(nCCAS_N_3), .Q(WRD[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i5.GSR = "ENABLED"; + CCU2D FS_972_add_4_13 (.A0(FS[11]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[12]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4091), + .COUT(n4092), .S0(n84), .S1(n83)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_13.INIT0 = 16'hfaaa; + defparam FS_972_add_4_13.INIT1 = 16'hfaaa; + defparam FS_972_add_4_13.INJECT1_0 = "NO"; + defparam FS_972_add_4_13.INJECT1_1 = "NO"; + FD1S3AX WRD_i4 (.D(Din_c_4), .CK(nCCAS_N_3), .Q(WRD[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i4.GSR = "ENABLED"; + LUT4 i1_2_lut_rep_57_4_lut (.A(RASr2), .B(InitReady), .C(nRowColSel_N_35), + .D(nRCS_N_172), .Z(n4906)) /* synthesis lut_function=((((D)+!C)+!B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20]) + defparam i1_2_lut_rep_57_4_lut.init = 16'hff7f; + FD1S3AX WRD_i3 (.D(Din_c_3), .CK(nCCAS_N_3), .Q(WRD[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i3.GSR = "ENABLED"; + FD1S3AX WRD_i2 (.D(Din_c_2), .CK(nCCAS_N_3), .Q(WRD[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i2.GSR = "ENABLED"; + FD1S3AX WRD_i1 (.D(Din_c_1), .CK(nCCAS_N_3), .Q(WRD[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(115[9] 117[5]) + defparam WRD_i1.GSR = "ENABLED"; + FD1S3JX RowA_i9 (.D(MAin_c_9), .CK(nCRAS_N_9), .PD(n4935), .Q(RowA[9])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i9.GSR = "ENABLED"; + FD1S3IX RowA_i8 (.D(MAin_c_8), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[8])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i8.GSR = "ENABLED"; + LUT4 n2426_bdd_4_lut (.A(n2426), .B(n4165), .C(FS[11]), .D(FS[10]), + .Z(n5142)) /* synthesis lut_function=(A (B (D)+!B !(C+!(D)))+!A (B (C (D)))) */ ; + defparam n2426_bdd_4_lut.init = 16'hca00; + FD1S3IX RowA_i7 (.D(MAin_c_7), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i7.GSR = "ENABLED"; + FD1S3IX RowA_i6 (.D(MAin_c_6), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i6.GSR = "ENABLED"; + BB Dout_pad_7__1123 (.I(WRD[7]), .T(n1965), .B(RD[7]), .O(n1968)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(59[14:16]) + FD1S3JX RowA_i5 (.D(MAin_c_5), .CK(nCRAS_N_9), .PD(n4935), .Q(RowA[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i5.GSR = "ENABLED"; + FD1S3IX RowA_i4 (.D(MAin_c_4), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i4.GSR = "ENABLED"; + FD1S3IX RowA_i3 (.D(MAin_c_3), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i3.GSR = "ENABLED"; + FD1S3IX RowA_i2 (.D(MAin_c_2), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i2.GSR = "ENABLED"; + LUT4 i3798_2_lut_4_lut (.A(RASr2), .B(InitReady), .C(nRowColSel_N_35), + .D(Ready), .Z(RCLK_c_enable_27)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(225[8:20]) + defparam i3798_2_lut_4_lut.init = 16'h0080; + LUT4 i3_4_lut (.A(Din_c_6), .B(n4624), .C(Din_c_5), .D(n4548), .Z(C1Submitted_N_232)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ; + defparam i3_4_lut.init = 16'h0200; + FD1S3IX RowA_i1 (.D(MAin_c_1), .CK(nCRAS_N_9), .CD(n4935), .Q(RowA[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(97[9] 112[5]) + defparam RowA_i1.GSR = "ENABLED"; + GSR GSR_INST (.GSR(VCC_net)); + FD1S3AX Bank_i7 (.D(Din_c_7), .CK(PHI2_c), .Q(Bank[7])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i7.GSR = "ENABLED"; + LUT4 i1392_4_lut (.A(wb_we_N_354), .B(n2258), .C(n10_adj_2), .D(n4), + .Z(n2262)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11]) + defparam i1392_4_lut.init = 16'hccca; + LUT4 i1388_4_lut (.A(n4897), .B(n2238), .C(n10_adj_2), .D(n4891), + .Z(n2258)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11]) + defparam i1388_4_lut.init = 16'hccca; + CCU2D FS_972_add_4_11 (.A0(FS[9]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[10]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4090), + .COUT(n4091), .S0(n86), .S1(n85)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_11.INIT0 = 16'hfaaa; + defparam FS_972_add_4_11.INIT1 = 16'hfaaa; + defparam FS_972_add_4_11.INJECT1_0 = "NO"; + defparam FS_972_add_4_11.INJECT1_1 = "NO"; + LUT4 i1_2_lut_rep_35_3_lut_4_lut_4_lut (.A(n4920), .B(n4902), .C(n4899), + .D(FS[10]), .Z(n4884)) /* synthesis lut_function=(A+(B (C)+!B !((D)+!C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46]) + defparam i1_2_lut_rep_35_3_lut_4_lut_4_lut.init = 16'heafa; + LUT4 i1_2_lut_rep_78 (.A(FS[7]), .B(FS[6]), .Z(n4927)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i1_2_lut_rep_78.init = 16'heeee; + LUT4 i2_2_lut_rep_51_3_lut_4_lut (.A(FS[7]), .B(FS[6]), .C(FS[8]), + .D(FS[9]), .Z(n4900)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i2_2_lut_rep_51_3_lut_4_lut.init = 16'hfffe; + LUT4 i1_3_lut_4_lut (.A(FS[7]), .B(FS[6]), .C(FS[8]), .D(FS[5]), + .Z(n53_adj_9)) /* synthesis lut_function=(A (C)+!A (B (C)+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i1_3_lut_4_lut.init = 16'hf0e0; + LUT4 i1_2_lut_rep_79 (.A(FS[5]), .B(FS[9]), .Z(n4928)) /* synthesis lut_function=(!((B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_2_lut_rep_79.init = 16'h2222; + LUT4 n34_bdd_2_lut_3877_3_lut (.A(FS[5]), .B(FS[9]), .C(n4806), .Z(n4807)) /* synthesis lut_function=(!((B+!(C))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam n34_bdd_2_lut_3877_3_lut.init = 16'h2020; + LUT4 n61_bdd_4_lut_3912 (.A(n4923), .B(n12_adj_8), .C(n45), .D(FS[10]), + .Z(n4850)) /* synthesis lut_function=(A (B+!((D)+!C))+!A (B)) */ ; + defparam n61_bdd_4_lut_3912.init = 16'hccec; + FD1S3AX Bank_i6 (.D(Din_c_6), .CK(PHI2_c), .Q(Bank[6])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i6.GSR = "ENABLED"; + LUT4 i3122_3_lut_3_lut_4_lut (.A(n4927), .B(n4905), .C(n646), .D(FS[10]), + .Z(n23)) /* synthesis lut_function=(!(A ((D)+!C)+!A (B ((D)+!C)+!B !(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i3122_3_lut_3_lut_4_lut.init = 16'h11f0; + FD1S3AX Bank_i5 (.D(Din_c_5), .CK(PHI2_c), .Q(Bank[5])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i5.GSR = "ENABLED"; + FD1S3AX Bank_i4 (.D(Din_c_4), .CK(PHI2_c), .Q(Bank[4])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i4.GSR = "ENABLED"; + LUT4 i1_4_lut_4_lut_4_lut (.A(FS[10]), .B(n3_adj_16), .C(FS[11]), + .D(n4895), .Z(n42_adj_5)) /* synthesis lut_function=(!(A+(B (C (D))+!B ((D)+!C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_4_lut_4_lut_4_lut.init = 16'h0454; + LUT4 n1097_bdd_2_lut_3927 (.A(n4858), .B(FS[9]), .Z(n4859)) /* synthesis lut_function=(A+!(B)) */ ; + defparam n1097_bdd_2_lut_3927.init = 16'hbbbb; + FD1S3AX Bank_i3 (.D(Din_c_3), .CK(PHI2_c), .Q(Bank[3])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i3.GSR = "ENABLED"; + FD1S3AX Bank_i2 (.D(Din_c_2), .CK(PHI2_c), .Q(Bank[2])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i2.GSR = "ENABLED"; + FD1S3AX FS_972__i0 (.D(n95), .CK(RCLK_c), .Q(FS[0])) /* synthesis syn_use_carry_chain=1 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972__i0.GSR = "ENABLED"; + LUT4 i7_4_lut_4_lut (.A(FS[4]), .B(n4517), .C(n10), .D(n14_adj_14), + .Z(n4539)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(692[20:26]) + defparam i7_4_lut_4_lut.init = 16'h4000; + LUT4 FS_5__bdd_4_lut_3949 (.A(FS[5]), .B(FS[8]), .C(FS[6]), .D(FS[7]), + .Z(n4858)) /* synthesis lut_function=(A (B (C (D))+!B !(D))+!A !(B+(C (D)))) */ ; + defparam FS_5__bdd_4_lut_3949.init = 16'h8133; + FD1S3AX Bank_i1 (.D(Din_c_1), .CK(PHI2_c), .Q(Bank[1])); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam Bank_i1.GSR = "ENABLED"; + LUT4 n9_bdd_2_lut_3908_4_lut (.A(n4910), .B(n4919), .C(FS[10]), .D(FS[12]), + .Z(n4775)) /* synthesis lut_function=(!((B+(C+!(D)))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam n9_bdd_2_lut_3908_4_lut.init = 16'h0200; + FD1P3AX CmdUFMData_548 (.D(Din_c_0), .SP(PHI2_N_151_enable_3), .CK(PHI2_N_151), + .Q(CmdUFMData)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam CmdUFMData_548.GSR = "ENABLED"; + LUT4 i3106_3_lut_3_lut (.A(FS[12]), .B(FS[11]), .C(n53), .Z(n1_adj_6)) /* synthesis lut_function=(!(A (B+!(C))+!A !(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46]) + defparam i3106_3_lut_3_lut.init = 16'h7070; + LUT4 nRCAS_I_0_594_3_lut_4_lut (.A(nRCAS_N_198), .B(n4906), .C(Ready), + .D(nRCAS_N_199), .Z(nRCAS_N_194)) /* synthesis lut_function=(A ((D)+!C)+!A (B ((D)+!C)+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(267[12] 276[6]) + defparam nRCAS_I_0_594_3_lut_4_lut.init = 16'hfe0e; + LUT4 i5_4_lut_4_lut (.A(FS[12]), .B(n4895), .C(n4519), .D(n2308), + .Z(n12_adj_10)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46]) + defparam i5_4_lut_4_lut.init = 16'h4000; + LUT4 Din_7__I_0_i6_2_lut_rep_80 (.A(Din_c_6), .B(Din_c_7), .Z(n4929)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31]) + defparam Din_7__I_0_i6_2_lut_rep_80.init = 16'heeee; + LUT4 i1_4_lut_4_lut_adj_2 (.A(n4907), .B(FS[12]), .C(n42), .D(n4807), + .Z(n3_adj_4)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_4_lut_4_lut_adj_2.init = 16'h5140; + LUT4 FS_7__bdd_4_lut_3948 (.A(FS[7]), .B(FS[9]), .C(FS[8]), .D(n4939), + .Z(n638)) /* synthesis lut_function=(!(A (B (C+(D)))+!A (B (C)+!B !(C+(D))))) */ ; + defparam FS_7__bdd_4_lut_3948.init = 16'h373e; + PFUMX i29 (.BLUT(n56), .ALUT(n1_adj_6), .C0(n4632), .Z(n14_adj_3)); + LUT4 i2_3_lut_rep_33_4_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_4), + .D(XOR8MEG_N_149), .Z(n4882)) /* synthesis lut_function=(!(A+(B+!(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31]) + defparam i2_3_lut_rep_33_4_lut.init = 16'h1000; + LUT4 i1_2_lut_rep_59_3_lut (.A(Din_c_6), .B(Din_c_7), .C(Din_c_5), + .Z(n4908)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31]) + defparam i1_2_lut_rep_59_3_lut.init = 16'hfefe; + LUT4 i21_3_lut_4_lut_4_lut (.A(n4907), .B(n759), .C(InitReady), .D(n4880), + .Z(wb_dati_7__N_68[2])) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i21_3_lut_4_lut_4_lut.init = 16'hc5c0; + PFUMX i13 (.BLUT(n4539), .ALUT(n4513), .C0(InitReady), .Z(RCLK_c_enable_24)); + LUT4 i1_4_lut_4_lut_adj_3 (.A(n4907), .B(n4900), .C(n4890), .D(FS[5]), + .Z(n45)) /* synthesis lut_function=(!(A+(B (C)+!B !((D)+!C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_4_lut_4_lut_adj_3.init = 16'h1505; + LUT4 FS_6__bdd_4_lut_3962 (.A(FS[6]), .B(FS[5]), .C(FS[8]), .D(FS[7]), + .Z(n4869)) /* synthesis lut_function=(!(A (B (C (D)+!C !(D))+!B !(C+(D)))+!A !(B (C+(D))+!B (C)))) */ ; + defparam FS_6__bdd_4_lut_3962.init = 16'h7ef0; + LUT4 i3707_2_lut_rep_81 (.A(MAin_c_3), .B(MAin_c_6), .Z(n4930)) /* synthesis lut_function=(A (B)) */ ; + defparam i3707_2_lut_rep_81.init = 16'h8888; + LUT4 i1_2_lut_rep_82 (.A(Din_c_3), .B(Din_c_5), .Z(n4931)) /* synthesis lut_function=(A (B)) */ ; + defparam i1_2_lut_rep_82.init = 16'h8888; + PFUMX i3859 (.BLUT(n4775), .ALUT(n4774), .C0(FS[11]), .Z(n4776)); + LUT4 i21_3_lut_4_lut_4_lut_adj_4 (.A(n4907), .B(n756), .C(InitReady), + .D(n4880), .Z(wb_dati_7__N_68[5])) /* synthesis lut_function=(A (B (C))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i21_3_lut_4_lut_4_lut_adj_4.init = 16'hc5c0; + LUT4 i1_4_lut_4_lut_adj_5 (.A(n4907), .B(FS[12]), .C(n42), .D(n39), + .Z(n3)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_4_lut_4_lut_adj_5.init = 16'h5140; + FD1P3AX CmdUFMShift_547 (.D(Din_c_1), .SP(PHI2_N_151_enable_3), .CK(PHI2_N_151), + .Q(CmdUFMShift)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam CmdUFMShift_547.GSR = "ENABLED"; + FD1P3AX Cmdn8MEGEN_546 (.D(Cmdn8MEGEN_N_260), .SP(PHI2_N_151_enable_5), + .CK(PHI2_N_151), .Q(Cmdn8MEGEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam Cmdn8MEGEN_546.GSR = "ENABLED"; + FD1P3AX CmdLEDEN_545 (.D(CmdLEDEN_N_251), .SP(PHI2_N_151_enable_5), + .CK(PHI2_N_151), .Q(CmdLEDEN)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam CmdLEDEN_545.GSR = "ENABLED"; + LUT4 i1_2_lut_4_lut_4_lut (.A(n4907), .B(FS[12]), .C(n42_adj_5), .D(n38), + .Z(n3_adj_1)) /* synthesis lut_function=(!(A+!(B (C)+!B (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_2_lut_4_lut_4_lut.init = 16'h5140; + FD1P3AX Ready_540 (.D(n5144), .SP(Ready_N_280), .CK(RCLK_c), .Q(Ready)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam Ready_540.GSR = "ENABLED"; + FD1P3AX XOR8MEG_544 (.D(Din_c_0), .SP(PHI2_N_151_enable_6), .CK(PHI2_N_151), + .Q(XOR8MEG)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam XOR8MEG_544.GSR = "ENABLED"; + LUT4 i3748_4_lut (.A(Din_c_3), .B(MAin_c_0), .C(Din_c_2), .D(n4888), + .Z(n4624)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; + defparam i3748_4_lut.init = 16'hfffe; + FD1S3IX RA11_521 (.D(RA11_N_217), .CK(PHI2_c), .CD(n4935), .Q(RA_c)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(90[9] 94[5]) + defparam RA11_521.GSR = "ENABLED"; + FD1P3AX IS_FSM__i0 (.D(Ready_N_284), .SP(RCLK_c_enable_27), .CK(RCLK_c), + .Q(nRCS_N_172)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255[11:15]) + defparam IS_FSM__i0.GSR = "ENABLED"; + FD1P3AX wb_clk_550 (.D(n1889), .SP(RCLK_c_enable_28), .CK(RCLK_c), + .Q(wb_clk)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(351[9] 730[5]) + defparam wb_clk_550.GSR = "ENABLED"; + LUT4 i1_4_lut_4_lut_adj_6 (.A(n4907), .B(FS[11]), .C(n3711), .D(n175), + .Z(n17)) /* synthesis lut_function=(!(A+(B (C)+!B !(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_4_lut_4_lut_adj_6.init = 16'h1504; + FD1P3AX nRowColSel_538 (.D(n1885), .SP(RCLK_c_enable_29), .CK(RCLK_c), + .Q(nRowColSel)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam nRowColSel_538.GSR = "ENABLED"; + LUT4 i2_3_lut_rep_62_4_lut (.A(Din_c_3), .B(Din_c_5), .C(Din_c_2), + .D(Din_c_6), .Z(n4911)) /* synthesis lut_function=(!((((D)+!C)+!B)+!A)) */ ; + defparam i2_3_lut_rep_62_4_lut.init = 16'h0080; + LUT4 i2_1_lut_rep_83 (.A(nFWE_c), .Z(n4932)) /* synthesis lut_function=(!(A)) */ ; + defparam i2_1_lut_rep_83.init = 16'h5555; + LUT4 i1_2_lut_2_lut (.A(nFWE_c), .B(n4504), .Z(n4548)) /* synthesis lut_function=(!(A+!(B))) */ ; + defparam i1_2_lut_2_lut.init = 16'h4444; + LUT4 i1684_1_lut_rep_84 (.A(nRowColSel_N_35), .Z(n4933)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam i1684_1_lut_rep_84.init = 16'h5555; + LUT4 i2736_4_lut (.A(wb_adr[7]), .B(InitReady), .C(wb_adr[6]), .D(n4901), + .Z(wb_adr_7__N_60[7])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6]) + defparam i2736_4_lut.init = 16'hc088; + LUT4 i29_3_lut (.A(n14_adj_7), .B(n746), .C(InitReady), .Z(wb_adr_7__N_60[6])) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i29_3_lut.init = 16'hcaca; + LUT4 i3_4_lut_4_lut (.A(nRowColSel_N_35), .B(RASr2), .C(InitReady), + .D(nRCS_N_172), .Z(nRCS_N_170)) /* synthesis lut_function=((((D)+!C)+!B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam i3_4_lut_4_lut.init = 16'hff7f; + LUT4 i2787_2_lut_rep_85 (.A(FS[10]), .B(FS[11]), .Z(n4934)) /* synthesis lut_function=(A (B)) */ ; + defparam i2787_2_lut_rep_85.init = 16'h8888; + LUT4 i2791_2_lut_rep_42_3_lut_4_lut_4_lut_2_lut (.A(FS[11]), .B(n14), + .Z(n4891)) /* synthesis lut_function=((B)+!A) */ ; + defparam i2791_2_lut_rep_42_3_lut_4_lut_4_lut_2_lut.init = 16'hdddd; + LUT4 i3_4_lut_4_lut_adj_7 (.A(n4907), .B(n4904), .C(InitReady), .D(n4895), + .Z(n3969)) /* synthesis lut_function=(!(A+((C+(D))+!B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i3_4_lut_4_lut_adj_7.init = 16'h0004; + FD1P3IX ADSubmitted_543 (.D(n4883), .SP(PHI2_N_151_enable_7), .CD(C1Submitted_N_232), + .CK(PHI2_N_151), .Q(ADSubmitted)) /* synthesis lse_init_val=0 */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(280[9] 315[5]) + defparam ADSubmitted_543.GSR = "ENABLED"; + LUT4 CmdLEDEN_I_69_3_lut_4_lut (.A(Din_c_4), .B(n4908), .C(Din_c_1), + .D(LEDEN), .Z(CmdLEDEN_N_251)) /* synthesis lut_function=(A (B (D)+!B !(C))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31]) + defparam CmdLEDEN_I_69_3_lut_4_lut.init = 16'hdf02; + LUT4 Cmdn8MEGEN_I_72_3_lut_4_lut (.A(Din_c_4), .B(n4908), .C(Din_c_0), + .D(n8MEGEN), .Z(Cmdn8MEGEN_N_260)) /* synthesis lut_function=(A (B (D)+!B !(C))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31]) + defparam Cmdn8MEGEN_I_72_3_lut_4_lut.init = 16'hdf02; + CCU2D FS_972_add_4_9 (.A0(FS[7]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[8]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4089), + .COUT(n4090), .S0(n88), .S1(n87)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_9.INIT0 = 16'hfaaa; + defparam FS_972_add_4_9.INIT1 = 16'hfaaa; + defparam FS_972_add_4_9.INJECT1_0 = "NO"; + defparam FS_972_add_4_9.INJECT1_1 = "NO"; + LUT4 i3804_2_lut_4_lut (.A(FS[11]), .B(n4909), .C(n10_adj_2), .D(FS[9]), + .Z(n3671)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46]) + defparam i3804_2_lut_4_lut.init = 16'h0001; + LUT4 i1_2_lut_rep_53 (.A(FS[11]), .B(n14), .Z(n4902)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46]) + defparam i1_2_lut_rep_53.init = 16'heeee; + LUT4 i3808_2_lut_4_lut (.A(FS[11]), .B(n4909), .C(n10_adj_2), .D(InitReady), + .Z(wb_adr_7__N_92)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46]) + defparam i3808_2_lut_4_lut.init = 16'h0001; + LUT4 i1_2_lut_rep_64_3_lut (.A(FS[10]), .B(FS[11]), .C(n14), .Z(n4913)) /* synthesis lut_function=(((C)+!B)+!A) */ ; + defparam i1_2_lut_rep_64_3_lut.init = 16'hf7f7; + LUT4 mux_427_i5_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[3]), + .D(wb_adr[4]), .Z(n748)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_427_i5_3_lut_4_lut.init = 16'hf780; + LUT4 i1044_1_lut_rep_86 (.A(Ready), .Z(n4935)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam i1044_1_lut_rep_86.init = 16'h5555; + LUT4 n4729_bdd_2_lut_3976 (.A(n4729), .B(FS[11]), .Z(n4730)) /* synthesis lut_function=(!((B)+!A)) */ ; + defparam n4729_bdd_2_lut_3976.init = 16'h2222; + LUT4 i1_3_lut_rep_34_4_lut (.A(MAin_c_0), .B(n4888), .C(n4911), .D(n4548), + .Z(n4883)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31]) + defparam i1_3_lut_rep_34_4_lut.init = 16'h2000; + LUT4 i1_2_lut_3_lut (.A(FS[11]), .B(n14), .C(FS[10]), .Z(n4)) /* synthesis lut_function=(A+(B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46]) + defparam i1_2_lut_3_lut.init = 16'hefef; + LUT4 n3572_bdd_4_lut_3847 (.A(n4890), .B(wb_cyc_stb_N_350), .C(n638), + .D(FS[10]), .Z(n4729)) /* synthesis lut_function=(A (B (C+(D))+!B !((D)+!C))+!A !((D)+!C)) */ ; + defparam n3572_bdd_4_lut_3847.init = 16'h88f0; + LUT4 n4733_bdd_2_lut (.A(n4733), .B(n3969), .Z(wb_adr_7__N_60[0])) /* synthesis lut_function=(A+(B)) */ ; + defparam n4733_bdd_2_lut.init = 16'heeee; + LUT4 i28_3_lut (.A(n14_adj_7), .B(n748), .C(InitReady), .Z(wb_adr_7__N_60[4])) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i28_3_lut.init = 16'hcaca; + LUT4 i1_2_lut_rep_44_3_lut_4_lut (.A(FS[11]), .B(n14), .C(FS[10]), + .D(n4920), .Z(n4893)) /* synthesis lut_function=(A+(B+((D)+!C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46]) + defparam i1_2_lut_rep_44_3_lut_4_lut.init = 16'hffef; + LUT4 i1_2_lut_2_lut_adj_8 (.A(Ready), .B(nRowColSel_N_34), .Z(n6)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam i1_2_lut_2_lut_adj_8.init = 16'hdddd; + LUT4 i1_2_lut_rep_37_3_lut_4_lut (.A(FS[11]), .B(n14), .C(FS[10]), + .D(n4920), .Z(n4886)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(648[30:46]) + defparam i1_2_lut_rep_37_3_lut_4_lut.init = 16'hfffe; + LUT4 i2_3_lut_4_lut_4_lut (.A(Ready), .B(n2040), .C(nRowColSel_N_32), + .D(nRowColSel_N_35), .Z(RCLK_c_enable_29)) /* synthesis lut_function=((B+(C+(D)))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam i2_3_lut_4_lut_4_lut.init = 16'hfffd; + LUT4 i2742_4_lut (.A(wb_adr[3]), .B(InitReady), .C(wb_adr[2]), .D(n4901), + .Z(wb_adr_7__N_60[3])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6]) + defparam i2742_4_lut.init = 16'hc088; + LUT4 i2_2_lut_4_lut (.A(FS[11]), .B(n4909), .C(n10_adj_2), .D(FS[9]), + .Z(n10)) /* synthesis lut_function=(!(A (D)+!A (B (D)+!B ((D)+!C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(522[30:46]) + defparam i2_2_lut_4_lut.init = 16'h00fe; + LUT4 i2743_4_lut (.A(wb_adr[2]), .B(InitReady), .C(wb_adr[1]), .D(n4901), + .Z(wb_adr_7__N_60[2])) /* synthesis lut_function=(A (B (C+!(D)))+!A (B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6]) + defparam i2743_4_lut.init = 16'hc088; + CCU2D FS_972_add_4_7 (.A0(FS[5]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[6]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4088), + .COUT(n4089), .S0(n90), .S1(n89)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_7.INIT0 = 16'hfaaa; + defparam FS_972_add_4_7.INIT1 = 16'hfaaa; + defparam FS_972_add_4_7.INJECT1_0 = "NO"; + defparam FS_972_add_4_7.INJECT1_1 = "NO"; + LUT4 i2_3_lut_4_lut (.A(FS[7]), .B(n4919), .C(FS[8]), .D(FS[6]), + .Z(n3_adj_16)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; + defparam i2_3_lut_4_lut.init = 16'h0100; + LUT4 nRCS_N_179_bdd_4_lut (.A(nRCS_N_179), .B(n2040), .C(nRWE_N_215), + .D(nRowColSel_N_35), .Z(nRWE_N_211)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))+!A (C+!(D))) */ ; + defparam nRCS_N_179_bdd_4_lut.init = 16'hf0dd; + LUT4 i34_4_lut (.A(n7), .B(ADSubmitted), .C(C1Submitted_N_232), .D(n4889), + .Z(PHI2_N_151_enable_1)) /* synthesis lut_function=(A (B (C))+!A (B (C+!(D))+!B !(C+(D)))) */ ; + defparam i34_4_lut.init = 16'hc0c5; + LUT4 FS_8__bdd_3_lut_4_lut (.A(FS[7]), .B(n4919), .C(FS[6]), .D(FS[8]), + .Z(n4718)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; + defparam FS_8__bdd_3_lut_4_lut.init = 16'h0100; + LUT4 i13_4_lut (.A(MAin_c_0), .B(C1Submitted), .C(MAin_c_1), .D(n6_adj_11), + .Z(n7)) /* synthesis lut_function=(!(A (B+!(C (D)))+!A (C))) */ ; + defparam i13_4_lut.init = 16'h2505; + LUT4 i2_2_lut_rep_54_2_lut (.A(Ready), .B(nRowColSel_N_35), .Z(n4903)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam i2_2_lut_rep_54_2_lut.init = 16'hdddd; + LUT4 i1_2_lut_rep_87 (.A(FS[7]), .B(FS[5]), .Z(n4936)) /* synthesis lut_function=(A (B)) */ ; + defparam i1_2_lut_rep_87.init = 16'h8888; + LUT4 n34_bdd_2_lut_3867_3_lut_4_lut (.A(n4782), .B(n4930), .C(n4628), + .D(n4582), .Z(PHI2_N_151_enable_7)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam n34_bdd_2_lut_3867_3_lut_4_lut.init = 16'h8000; + LUT4 i1_3_lut_4_lut_adj_9 (.A(n4938), .B(n4914), .C(FS[9]), .D(n98), + .Z(n2199)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A (B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i1_3_lut_4_lut_adj_9.init = 16'hc5cf; + LUT4 i3_4_lut_adj_10 (.A(FS[11]), .B(FS[12]), .C(n4907), .D(n23), + .Z(n4125)) /* synthesis lut_function=(!(A+((C+!(D))+!B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i3_4_lut_adj_10.init = 16'h0400; + LUT4 i1_2_lut_rep_49_3_lut_4_lut (.A(FS[7]), .B(FS[5]), .C(FS[9]), + .D(n4937), .Z(n4898)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i1_2_lut_rep_49_3_lut_4_lut.init = 16'h8000; + LUT4 i1_3_lut_4_lut_adj_11 (.A(FS[10]), .B(n4923), .C(n12_adj_8), + .D(n45), .Z(n14_adj_7)) /* synthesis lut_function=(A (C)+!A (B (C+(D))+!B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_3_lut_4_lut_adj_11.init = 16'hf4f0; + LUT4 i1_2_lut_rep_88 (.A(FS[6]), .B(FS[8]), .Z(n4937)) /* synthesis lut_function=(A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_2_lut_rep_88.init = 16'h8888; + LUT4 i1_2_lut_rep_65_3_lut_4_lut (.A(FS[6]), .B(FS[8]), .C(FS[5]), + .D(FS[7]), .Z(n4914)) /* synthesis lut_function=(A (B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_2_lut_rep_65_3_lut_4_lut.init = 16'h8000; + LUT4 i3_4_lut_adj_12 (.A(Din_c_1), .B(Din_c_0), .C(Din_c_7), .D(Din_c_4), + .Z(n4504)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; + defparam i3_4_lut_adj_12.init = 16'h0040; + LUT4 i1_2_lut_rep_89 (.A(FS[7]), .B(FS[8]), .Z(n4938)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1_2_lut_rep_89.init = 16'heeee; + LUT4 i2_3_lut (.A(InitReady), .B(FS[12]), .C(n754), .Z(n4165)) /* synthesis lut_function=(A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[12] 729[6]) + defparam i2_3_lut.init = 16'h8080; + LUT4 i1_2_lut_rep_45_3_lut_4_lut (.A(FS[7]), .B(FS[8]), .C(FS[9]), + .D(n4939), .Z(n4894)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; + defparam i1_2_lut_rep_45_3_lut_4_lut.init = 16'hfffe; + LUT4 n61_bdd_4_lut (.A(n4923), .B(n4895), .C(n4530), .D(FS[10]), + .Z(n4880)) /* synthesis lut_function=(!(A ((D)+!C)+!A (B ((D)+!C)+!B !(C+(D))))) */ ; + defparam n61_bdd_4_lut.init = 16'h11f0; + LUT4 i1_2_lut_rep_90 (.A(FS[5]), .B(FS[6]), .Z(n4939)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i1_2_lut_rep_90.init = 16'heeee; + LUT4 i2_2_lut_rep_66_3_lut_4_lut (.A(FS[5]), .B(FS[6]), .C(FS[8]), + .D(FS[7]), .Z(n4915)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i2_2_lut_rep_66_3_lut_4_lut.init = 16'hfffe; + LUT4 i13_4_lut_adj_13 (.A(n4582), .B(n4628), .C(n15), .D(n4930), + .Z(n2384)) /* synthesis lut_function=(((C+!(D))+!B)+!A) */ ; + defparam i13_4_lut_adj_13.init = 16'hf7ff; + LUT4 i1_2_lut_adj_14 (.A(MAin_c_7), .B(Bank[2]), .Z(n15)) /* synthesis lut_function=((B)+!A) */ ; + defparam i1_2_lut_adj_14.init = 16'hdddd; + LUT4 i1_2_lut_rep_38_4_lut (.A(n53_adj_9), .B(n4914), .C(FS[9]), .D(FS[11]), + .Z(n4887)) /* synthesis lut_function=(A (B (C (D)))+!A (B (D)+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(464[4] 521[11]) + defparam i1_2_lut_rep_38_4_lut.init = 16'hc500; + LUT4 i2_2_lut_3_lut_4_lut (.A(nRCS_N_172), .B(n4926), .C(Ready), .D(nRCAS_N_198), + .Z(n4129)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(267[12] 276[6]) + defparam i2_2_lut_3_lut_4_lut.init = 16'hfffb; + LUT4 i1_3_lut_3_lut_4_lut (.A(FS[7]), .B(n4924), .C(n4914), .D(FS[9]), + .Z(wb_cyc_stb_N_348)) /* synthesis lut_function=(A (C (D))+!A (B (C (D))+!B (C+!(D)))) */ ; + defparam i1_3_lut_3_lut_4_lut.init = 16'hf011; + LUT4 i1_2_lut_rep_58 (.A(n14), .B(FS[13]), .Z(n4907)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_2_lut_rep_58.init = 16'heeee; + LUT4 i1_2_lut_adj_15 (.A(RASr2), .B(nRowColSel_N_32), .Z(n2556)) /* synthesis lut_function=(!((B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam i1_2_lut_adj_15.init = 16'h2222; + CCU2D FS_972_add_4_19 (.A0(FS[17]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(GND_net), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4094), + .S0(n78)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_19.INIT0 = 16'hfaaa; + defparam FS_972_add_4_19.INIT1 = 16'h0000; + defparam FS_972_add_4_19.INJECT1_0 = "NO"; + defparam FS_972_add_4_19.INJECT1_1 = "NO"; + LUT4 i3746_2_lut_3_lut (.A(n14), .B(FS[13]), .C(FS[10]), .Z(n4622)) /* synthesis lut_function=(A+(B+(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i3746_2_lut_3_lut.init = 16'hfefe; + LUT4 i3709_2_lut (.A(Bank[3]), .B(MAin_c_5), .Z(n4582)) /* synthesis lut_function=(A (B)) */ ; + defparam i3709_2_lut.init = 16'h8888; + LUT4 i3711_4_lut (.A(n4890), .B(n4887), .C(n2199), .D(FS[10]), .Z(n4585)) /* synthesis lut_function=(A (B (C+!(D))+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i3711_4_lut.init = 16'ha088; + PFUMX i12_adj_16 (.BLUT(n4526), .ALUT(n751), .C0(InitReady), .Z(wb_adr_7__N_60[1])); + LUT4 i3751_4_lut (.A(Bank[1]), .B(n4610), .C(n4574), .D(Bank[0]), + .Z(n4628)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i3751_4_lut.init = 16'h8000; + LUT4 i1_2_lut_2_lut_3_lut (.A(n14), .B(FS[13]), .C(n14_adj_3), .Z(n12_adj_8)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_2_lut_2_lut_3_lut.init = 16'h1010; + LUT4 i3734_4_lut (.A(MAin_c_4), .B(Bank[5]), .C(Bank[4]), .D(Bank[6]), + .Z(n4610)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i3734_4_lut.init = 16'h8000; + PFUMX i1383 (.BLUT(n2244), .ALUT(n2252), .C0(n4634), .Z(wb_we_N_338)); + LUT4 i2856_2_lut_3_lut_4_lut (.A(n14), .B(FS[13]), .C(n4915), .D(FS[9]), + .Z(n2426)) /* synthesis lut_function=(!(A+(B+(C+(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i2856_2_lut_3_lut_4_lut.init = 16'h0001; + LUT4 i1669_3_lut_4_lut (.A(InitReady), .B(n4886), .C(wb_dato[0]), + .D(Cmdn8MEGEN), .Z(n8MEGEN_N_139)) /* synthesis lut_function=(A (D)+!A (B (D)+!B (C))) */ ; + defparam i1669_3_lut_4_lut.init = 16'hfe10; + LUT4 i3701_2_lut (.A(Bank[7]), .B(MAin_c_2), .Z(n4574)) /* synthesis lut_function=(A (B)) */ ; + defparam i3701_2_lut.init = 16'h8888; + LUT4 n34_bdd_2_lut_3863_2_lut_3_lut (.A(n14), .B(FS[13]), .C(n4776), + .Z(n4777)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam n34_bdd_2_lut_3863_2_lut_3_lut.init = 16'h1010; + LUT4 i1382_3_lut (.A(wb_we_N_354), .B(wb_cyc_stb), .C(InitReady), + .Z(n2252)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11]) + defparam i1382_3_lut.init = 16'hcaca; + PFUMX i12_adj_17 (.BLUT(n3_adj_1), .ALUT(n757), .C0(InitReady), .Z(wb_dati_7__N_68[4])); + LUT4 n34_bdd_2_lut_3841_2_lut_3_lut (.A(n14), .B(FS[13]), .C(n4731), + .Z(n4732)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam n34_bdd_2_lut_3841_2_lut_3_lut.init = 16'h1010; + LUT4 i1375_4_lut (.A(n4897), .B(n2238), .C(n10_adj_2), .D(n4913), + .Z(n2244)) /* synthesis lut_function=(A (B+!(C+(D)))+!A (B (C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11]) + defparam i1375_4_lut.init = 16'hccca; + LUT4 i6_4_lut (.A(n4149), .B(n12_adj_10), .C(n4622), .D(n4164), + .Z(n4526)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i6_4_lut.init = 16'h0800; + LUT4 mux_427_i1_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[7]), + .D(wb_adr[0]), .Z(n752)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_427_i1_3_lut_4_lut.init = 16'hf780; + LUT4 i6_4_lut_adj_18 (.A(FS[13]), .B(n12), .C(FS[17]), .D(FS[14]), + .Z(RCLK_c_enable_26)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i6_4_lut_adj_18.init = 16'h8000; + LUT4 i5_4_lut (.A(FS[12]), .B(FS[16]), .C(FS[15]), .D(n4934), .Z(n12)) /* synthesis lut_function=(A (B (C (D)))) */ ; + defparam i5_4_lut.init = 16'h8000; + LUT4 i2_3_lut_4_lut_adj_19 (.A(Din_c_5), .B(n4929), .C(XOR8MEG_N_149), + .D(Din_c_4), .Z(PHI2_N_151_enable_6)) /* synthesis lut_function=(!(A+(B+((D)+!C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(304[17:31]) + defparam i2_3_lut_4_lut_adj_19.init = 16'h0010; + LUT4 i1_2_lut_rep_39 (.A(MAin_c_1), .B(n2384), .Z(n4888)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31]) + defparam i1_2_lut_rep_39.init = 16'hdddd; + PFUMX i3833 (.BLUT(n4732), .ALUT(n752), .C0(InitReady), .Z(n4733)); + LUT4 i1683_1_lut (.A(nRowColSel_N_34), .Z(n2557)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam i1683_1_lut.init = 16'h5555; + LUT4 i1_2_lut_rep_36_3_lut (.A(MAin_c_1), .B(n2384), .C(MAin_c_0), + .Z(n4885)) /* synthesis lut_function=((B+!(C))+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(72[15:31]) + defparam i1_2_lut_rep_36_3_lut.init = 16'hdfdf; + LUT4 i92_4_lut (.A(n4887), .B(n2199), .C(FS[10]), .D(n4890), .Z(n53)) /* synthesis lut_function=(A (B+!(C (D)))+!A (B (C)+!B !((D)+!C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i92_4_lut.init = 16'hcafa; + LUT4 i3812_2_lut (.A(FS[11]), .B(FS[12]), .Z(n4632)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i3812_2_lut.init = 16'hbbbb; + LUT4 i6_4_lut_adj_20 (.A(FS[10]), .B(n4527), .C(n4924), .D(n4936), + .Z(n14_adj_14)) /* synthesis lut_function=(!(A+((C+!(D))+!B))) */ ; + defparam i6_4_lut_adj_20.init = 16'h0400; + LUT4 i4_4_lut (.A(FS[1]), .B(n4902), .C(n4920), .D(n6_adj_12), .Z(n4527)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; + defparam i4_4_lut.init = 16'h0100; + PFUMX i3123 (.BLUT(n4125), .ALUT(n760), .C0(InitReady), .Z(n3989)); + LUT4 i1_3_lut (.A(FS[0]), .B(FS[2]), .C(FS[3]), .Z(n6_adj_12)) /* synthesis lut_function=(!(A+!(B (C)))) */ ; + defparam i1_3_lut.init = 16'h4040; + LUT4 i1_2_lut_rep_60 (.A(FS[10]), .B(n14), .Z(n4909)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46]) + defparam i1_2_lut_rep_60.init = 16'heeee; + LUT4 nRCS_N_170_I_0_4_lut (.A(nRCS_N_170), .B(n4918), .C(Ready), .D(nRowColSel_N_35), + .Z(nRRAS_N_189)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B ((D)+!C)+!B !(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6]) + defparam nRCS_N_170_I_0_4_lut.init = 16'h3afa; + LUT4 i1_3_lut_adj_21 (.A(n4882), .B(Din_c_5), .C(Din_c_3), .Z(PHI2_N_151_enable_5)) /* synthesis lut_function=(A ((C)+!B)) */ ; + defparam i1_3_lut_adj_21.init = 16'ha2a2; + LUT4 FS_17__I_0_579_i10_2_lut (.A(FS[12]), .B(FS[13]), .Z(n10_adj_2)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(461[30:46]) + defparam FS_17__I_0_579_i10_2_lut.init = 16'heeee; + LUT4 i3_4_lut_adj_22 (.A(FS[15]), .B(FS[17]), .C(FS[16]), .D(FS[14]), + .Z(n14)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; + defparam i3_4_lut_adj_22.init = 16'hfffe; + LUT4 i1_2_lut_rep_50_3_lut (.A(FS[10]), .B(n14), .C(FS[11]), .Z(n4899)) /* synthesis lut_function=(A+(B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46]) + defparam i1_2_lut_rep_50_3_lut.init = 16'hefef; + LUT4 i1_2_lut_rep_43_3_lut_4_lut (.A(FS[10]), .B(n14), .C(n4920), + .D(FS[11]), .Z(n4892)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46]) + defparam i1_2_lut_rep_43_3_lut_4_lut.init = 16'hfeff; + LUT4 i3_4_lut_adj_23 (.A(MAin_c_1), .B(MAin_c_0), .C(CmdEnable), .D(n4889), + .Z(XOR8MEG_N_149)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; + defparam i3_4_lut_adj_23.init = 16'h0040; + LUT4 i3712_2_lut_rep_40 (.A(nFWE_c), .B(n2384), .Z(n4889)) /* synthesis lut_function=(A+(B)) */ ; + defparam i3712_2_lut_rep_40.init = 16'heeee; + LUT4 nRCAS_I_46_4_lut (.A(nRCS_N_175), .B(CBR), .C(nRowColSel_N_35), + .D(RASr2), .Z(nRCAS_N_199)) /* synthesis lut_function=(!(A (B (C (D)))+!A (B ((D)+!C)+!B !(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(178[13] 223[7]) + defparam nRCAS_I_46_4_lut.init = 16'h3afa; + LUT4 i66_4_lut (.A(FS[10]), .B(n3609), .C(FS[11]), .D(n2308), .Z(n39)) /* synthesis lut_function=(!(A (C+(D))+!A (B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i66_4_lut.init = 16'h101a; + LUT4 i2_2_lut (.A(InitReady), .B(Ready_N_284), .Z(n6_adj_15)) /* synthesis lut_function=(A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(83[9] 87[5]) + defparam i2_2_lut.init = 16'h8888; + LUT4 i2_2_lut_4_lut_adj_24 (.A(n4931), .B(Din_c_6), .C(Din_c_2), .D(n4504), + .Z(n6_adj_11)) /* synthesis lut_function=(!((B+!(C (D)))+!A)) */ ; + defparam i2_2_lut_4_lut_adj_24.init = 16'h2000; + LUT4 FS_17__I_0_572_i10_2_lut_rep_71 (.A(FS[12]), .B(FS[13]), .Z(n4920)) /* synthesis lut_function=((B)+!A) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[30:46]) + defparam FS_17__I_0_572_i10_2_lut_rep_71.init = 16'hdddd; + LUT4 i1676_3_lut_4_lut (.A(nFWE_c), .B(n2384), .C(MAin_c_1), .D(C1Submitted), + .Z(n2549)) /* synthesis lut_function=(A (D)+!A (B (D)+!B !(C+!(D)))) */ ; + defparam i1676_3_lut_4_lut.init = 16'hef00; + LUT4 RA11_I_57_3_lut (.A(Din_c_6), .B(XOR8MEG), .C(n8MEGEN), .Z(RA11_N_217)) /* synthesis lut_function=(A (B (C)+!B !(C))+!A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(91[22:51]) + defparam RA11_I_57_3_lut.init = 16'hc6c6; + LUT4 i2387_3_lut_4_lut (.A(FS[5]), .B(n4924), .C(FS[11]), .D(n53_adj_9), + .Z(n98)) /* synthesis lut_function=(A ((D)+!C)+!A (B ((D)+!C)+!B (C (D)))) */ ; + defparam i2387_3_lut_4_lut.init = 16'hfe0e; + LUT4 i1_4_lut (.A(FS[2]), .B(n4884), .C(n4886), .D(n4517), .Z(n1)) /* synthesis lut_function=(!((B (C (D)))+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_4_lut.init = 16'h2aaa; + LUT4 i2506_4_lut (.A(RASr), .B(RCKEEN), .C(RASr2), .D(RASr3), .Z(RCKE_N_165)) /* synthesis lut_function=(A (B+!(C+!(D)))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(19[12:17]) + defparam i2506_4_lut.init = 16'hcfc8; + LUT4 i2801_4_lut (.A(FWEr), .B(n4903), .C(n2040), .D(n4_adj_13), + .Z(n1885)) /* synthesis lut_function=(!(A (B+!(C+!(D)))+!A (B+!(C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(139[9] 277[5]) + defparam i2801_4_lut.init = 16'h3032; + LUT4 i1_2_lut_adj_25 (.A(CASr3), .B(CBR), .Z(n4_adj_13)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(222[16:37]) + defparam i1_2_lut_adj_25.init = 16'heeee; + LUT4 i2_2_lut_3_lut_4_lut_adj_26 (.A(n4898), .B(n4894), .C(n4897), + .D(FS[11]), .Z(n4519)) /* synthesis lut_function=(!(A+!(B (C (D))))) */ ; + defparam i2_2_lut_3_lut_4_lut_adj_26.init = 16'h4000; + LUT4 n9_bdd_4_lut_3892 (.A(n4895), .B(n4718), .C(FS[10]), .D(FS[12]), + .Z(n4774)) /* synthesis lut_function=(!(A (((D)+!C)+!B)+!A (B (C (D)+!C !(D))+!B (C+!(D))))) */ ; + defparam n9_bdd_4_lut_3892.init = 16'h05c0; + LUT4 i1_4_lut_adj_27 (.A(FS[10]), .B(n646), .C(n4895), .D(FS[11]), + .Z(n42)) /* synthesis lut_function=(!(A+(B (C (D))+!B (C+!(D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i1_4_lut_adj_27.init = 16'h0544; + LUT4 MAin_9__I_0_565_i3_3_lut (.A(RowA[2]), .B(MAin_c_2), .C(nRowColSel), + .Z(RA_c_2)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i3_3_lut.init = 16'hcaca; + LUT4 MAin_9__I_0_565_i4_3_lut (.A(RowA[3]), .B(MAin_c_3), .C(nRowColSel), + .Z(RA_c_3)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i4_3_lut.init = 16'hcaca; + LUT4 MAin_9__I_0_565_i5_3_lut (.A(RowA[4]), .B(MAin_c_4), .C(nRowColSel), + .Z(RA_c_4)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i5_3_lut.init = 16'hcaca; + LUT4 i2319_3_lut_rep_47_4_lut (.A(n4937), .B(n4936), .C(FS[9]), .D(n4938), + .Z(n4896)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A !(C+(D))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i2319_3_lut_rep_47_4_lut.init = 16'h808f; + LUT4 i24_3_lut_rep_48_4_lut (.A(n4937), .B(n4936), .C(FS[9]), .D(n53_adj_9), + .Z(n4897)) /* synthesis lut_function=(A (B (C+!(D))+!B !(C+(D)))+!A !(C+(D))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i24_3_lut_rep_48_4_lut.init = 16'h808f; + LUT4 i1_3_lut_4_lut_adj_28 (.A(n4927), .B(n4925), .C(FS[10]), .D(FS[12]), + .Z(n175)) /* synthesis lut_function=(!(A+(B+(C+!(D))))) */ ; + defparam i1_3_lut_4_lut_adj_28.init = 16'h0100; + LUT4 i1_3_lut_3_lut_4_lut_adj_29 (.A(n4937), .B(n4936), .C(n4938), + .D(FS[9]), .Z(wb_cyc_stb_N_350)) /* synthesis lut_function=(A (B ((D)+!C)+!B !(C+(D)))+!A !(C+(D))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_3_lut_3_lut_4_lut_adj_29.init = 16'h880f; + LUT4 i2708_2_lut_rep_41_3_lut_3_lut_4_lut (.A(n4937), .B(n4936), .C(n4915), + .D(FS[9]), .Z(n4890)) /* synthesis lut_function=(!(A (B ((D)+!C)+!B !(C+(D)))+!A !(C+(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i2708_2_lut_rep_41_3_lut_3_lut_4_lut.init = 16'h77f0; + LUT4 MAin_9__I_0_565_i6_3_lut (.A(RowA[5]), .B(MAin_c_5), .C(nRowColSel), + .Z(RA_c_5)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i6_3_lut.init = 16'hcaca; + LUT4 MAin_9__I_0_565_i7_3_lut (.A(RowA[6]), .B(MAin_c_6), .C(nRowColSel), + .Z(RA_c_6)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i7_3_lut.init = 16'hcaca; + PFUMX i3831 (.BLUT(n4585), .ALUT(n4730), .C0(FS[12]), .Z(n4731)); + LUT4 MAin_9__I_0_565_i8_3_lut (.A(RowA[7]), .B(MAin_c_7), .C(nRowColSel), + .Z(RA_c_7)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i8_3_lut.init = 16'hcaca; + CCU2D FS_972_add_4_1 (.A0(GND_net), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[0]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .COUT(n4086), + .S1(n95)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_1.INIT0 = 16'hF000; + defparam FS_972_add_4_1.INIT1 = 16'h0555; + defparam FS_972_add_4_1.INJECT1_0 = "NO"; + defparam FS_972_add_4_1.INJECT1_1 = "NO"; + LUT4 MAin_9__I_0_565_i9_3_lut (.A(RowA[8]), .B(MAin_c_8), .C(nRowColSel), + .Z(RA_c_8)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i9_3_lut.init = 16'hcaca; + LUT4 MAin_9__I_0_565_i10_3_lut (.A(RowA[9]), .B(MAin_c_9), .C(nRowColSel), + .Z(RA_c_9)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i10_3_lut.init = 16'hcaca; + LUT4 i2_4_lut_4_lut (.A(FS[6]), .B(n4097), .C(FS[11]), .D(n4905), + .Z(n4530)) /* synthesis lut_function=(!(A+(B+((D)+!C)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i2_4_lut_4_lut.init = 16'h0010; + CCU2D FS_972_add_4_5 (.A0(FS[3]), .B0(GND_net), .C0(GND_net), .D0(GND_net), + .A1(FS[4]), .B1(GND_net), .C1(GND_net), .D1(GND_net), .CIN(n4087), + .COUT(n4088), .S0(n92), .S1(n91)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam FS_972_add_4_5.INIT0 = 16'hfaaa; + defparam FS_972_add_4_5.INIT1 = 16'hfaaa; + defparam FS_972_add_4_5.INJECT1_0 = "NO"; + defparam FS_972_add_4_5.INJECT1_1 = "NO"; + LUT4 i1248_4_lut (.A(wb_cyc_stb_N_350), .B(wb_cyc_stb_N_348), .C(n4893), + .D(n4892), .Z(n2104)) /* synthesis lut_function=(!(A (B (C (D))+!B (C))+!A (((D)+!C)+!B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(684[12] 729[6]) + defparam i1248_4_lut.init = 16'h0aca; + LUT4 i2812_2_lut_rep_69 (.A(RCKE_c), .B(RASr2), .Z(n4918)) /* synthesis lut_function=(A+(B)) */ ; + defparam i2812_2_lut_rep_69.init = 16'heeee; + LUT4 i1_2_lut_rep_52_4_lut (.A(CmdSubmitted), .B(PHI2r3), .C(PHI2r2), + .D(CmdUFMShift), .Z(n4901)) /* synthesis lut_function=(!(((C+!(D))+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam i1_2_lut_rep_52_4_lut.init = 16'h0800; + LUT4 i3810_4_lut (.A(InitReady), .B(n10_adj_2), .C(n4899), .D(n4), + .Z(n4634)) /* synthesis lut_function=(A+!(B+(C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11]) + defparam i3810_4_lut.init = 16'habbb; + LUT4 i3792_2_lut (.A(nCRAS_c), .B(LEDEN), .Z(LED_N_134)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(12[15:34]) + defparam i3792_2_lut.init = 16'hbbbb; + LUT4 i2694_2_lut_rep_67 (.A(FWEr), .B(CBR), .Z(n4916)) /* synthesis lut_function=(A+(B)) */ ; + defparam i2694_2_lut_rep_67.init = 16'heeee; + LUT4 RASr2_I_0_1_lut_rep_72 (.A(RASr2), .Z(n4921)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(135[40:46]) + defparam RASr2_I_0_1_lut_rep_72.init = 16'h5555; + LUT4 i2_3_lut_rep_68 (.A(CmdSubmitted), .B(PHI2r3), .C(PHI2r2), .Z(n4917)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam i2_3_lut_rep_68.init = 16'h0808; + LUT4 i3742_2_lut (.A(nRowColSel_N_33), .B(CASr2), .Z(n4618)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(178[13] 223[7]) + defparam i3742_2_lut.init = 16'hbbbb; + LUT4 nRWE_I_0_596_4_lut (.A(n3622), .B(nRWE_N_211), .C(Ready), .D(n4906), + .Z(nRWE_N_204)) /* synthesis lut_function=(A (B (C+(D))+!B !(C+!(D)))+!A (B+!(C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6]) + defparam nRWE_I_0_596_4_lut.init = 16'hcfc5; + LUT4 i1174_2_lut (.A(FS[9]), .B(n4869), .Z(wb_we_N_351)) /* synthesis lut_function=(!(A (B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(525[4] 647[11]) + defparam i1174_2_lut.init = 16'h7777; + LUT4 i3224_2_lut (.A(FS[12]), .B(FS[7]), .Z(n4097)) /* synthesis lut_function=(A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i3224_2_lut.init = 16'h8888; + LUT4 i1662_2_lut_4_lut (.A(n4548), .B(n4885), .C(n4911), .D(C1Submitted_N_232), + .Z(CmdEnable_N_243)) /* synthesis lut_function=(A (B (D)+!B (C+(D)))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(301[7:24]) + defparam i1662_2_lut_4_lut.init = 16'hff20; + LUT4 i3754_2_lut_3_lut (.A(FWEr), .B(CBR), .C(RASr2), .Z(n22)) /* synthesis lut_function=(!(A (C)+!A (B (C)))) */ ; + defparam i3754_2_lut_3_lut.init = 16'h1f1f; + LUT4 i4_4_lut_adj_30 (.A(nRowColSel_N_35), .B(nRowColSel_N_33), .C(nRowColSel_N_32), + .D(n6), .Z(RCLK_c_enable_20)) /* synthesis lut_function=(A+(B+(C+(D)))) */ ; + defparam i4_4_lut_adj_30.init = 16'hfffe; + LUT4 mux_427_i6_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[4]), + .D(wb_adr[5]), .Z(n747)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_427_i6_3_lut_4_lut.init = 16'hf780; + LUT4 i1_4_lut_4_lut_adj_31 (.A(RASr2), .B(n6_adj_15), .C(nRowColSel_N_32), + .D(Ready), .Z(Ready_N_280)) /* synthesis lut_function=(A (D)+!A (B (C+(D))+!B (D))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(135[40:46]) + defparam i1_4_lut_4_lut_adj_31.init = 16'hff40; + LUT4 InitReady_I_0_586_1_lut_rep_73 (.A(InitReady), .Z(RCLK_c_enable_22)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26]) + defparam InitReady_I_0_586_1_lut_rep_73.init = 16'h5555; + LUT4 nRCS_I_0_590_3_lut (.A(nRCS_N_170), .B(nRCS_N_174), .C(Ready), + .Z(nRCS_N_169)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6]) + defparam nRCS_I_0_590_3_lut.init = 16'hcaca; + LUT4 i1390_4_lut_4_lut (.A(InitReady), .B(n2262), .C(FS[4]), .D(CmdUFMData), + .Z(wb_cyc_stb_N_307)) /* synthesis lut_function=(A (D)+!A !((C)+!B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26]) + defparam i1390_4_lut_4_lut.init = 16'hae04; + LUT4 i2758_2_lut (.A(nRWE_N_210), .B(nRCAS_N_198), .Z(n3622)) /* synthesis lut_function=(A+(B)) */ ; + defparam i2758_2_lut.init = 16'heeee; + LUT4 i1_2_lut_4_lut_4_lut_adj_32 (.A(InitReady), .B(PHI2r2), .C(PHI2r3), + .D(CmdSubmitted), .Z(RCLK_c_enable_28)) /* synthesis lut_function=(!(A (B+!(C (D))))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26]) + defparam i1_2_lut_4_lut_4_lut_adj_32.init = 16'h7555; + LUT4 i1_2_lut_3_lut_adj_33 (.A(n4917), .B(CmdUFMShift), .C(InitReady), + .Z(RCLK_c_enable_25)) /* synthesis lut_function=(A (B+!(C))+!A !(C)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam i1_2_lut_3_lut_adj_33.init = 16'h8f8f; + LUT4 mux_427_i7_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[5]), + .D(wb_adr[6]), .Z(n746)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_427_i7_3_lut_4_lut.init = 16'hf780; + LUT4 i1_4_lut_4_lut_adj_34 (.A(InitReady), .B(n1), .C(CmdUFMShift), + .D(wb_adr_7__N_92), .Z(n1889)) /* synthesis lut_function=(!(A (C+(D))+!A ((D)+!B))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(710[16:26]) + defparam i1_4_lut_4_lut_adj_34.init = 16'h004e; + PFUMX i36 (.BLUT(n20), .ALUT(n22), .C0(nRowColSel_N_35), .Z(RCKEEN_N_153)); + LUT4 mux_428_i8_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[6]), + .D(wb_dati[7]), .Z(n754)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i8_3_lut_4_lut.init = 16'hf780; + LUT4 MAin_9__I_0_565_i2_3_lut (.A(RowA[1]), .B(MAin_c_1), .C(nRowColSel), + .Z(RA_c_1)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i2_3_lut.init = 16'hcaca; + LUT4 i1_2_lut_rep_74 (.A(FS[11]), .B(FS[12]), .Z(n4923)) /* synthesis lut_function=(A (B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_2_lut_rep_74.init = 16'h8888; + LUT4 i1185_2_lut (.A(nRowColSel_N_33), .B(nRowColSel_N_34), .Z(n2040)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(187[13] 223[7]) + defparam i1185_2_lut.init = 16'heeee; + PFUMX i3897 (.BLUT(n4850), .ALUT(n747), .C0(InitReady), .Z(wb_adr_7__N_60[5])); + LUT4 i2685_2_lut (.A(nCCAS_c), .B(nFWE_c), .Z(n1965)) /* synthesis lut_function=(A+(B)) */ ; + defparam i2685_2_lut.init = 16'heeee; + LUT4 i1_2_lut_rep_55_3_lut (.A(FS[11]), .B(FS[12]), .C(FS[10]), .Z(n4904)) /* synthesis lut_function=(!(((C)+!B)+!A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_2_lut_rep_55_3_lut.init = 16'h0808; + LUT4 nRWE_I_53_1_lut (.A(nRWE_N_210), .Z(nRWE_N_209)) /* synthesis lut_function=(!(A)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(247[14] 254[8]) + defparam nRWE_I_53_1_lut.init = 16'h5555; + LUT4 i1_2_lut_3_lut_4_lut (.A(FS[7]), .B(FS[6]), .C(n4925), .D(FS[5]), + .Z(n4164)) /* synthesis lut_function=(A+((C+!(D))+!B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i1_2_lut_3_lut_4_lut.init = 16'hfbff; + LUT4 i1_2_lut_rep_46_3_lut_4_lut (.A(FS[9]), .B(FS[8]), .C(n4927), + .D(FS[5]), .Z(n4895)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ; + defparam i1_2_lut_rep_46_3_lut_4_lut.init = 16'hfeff; + LUT4 i3789_3_lut_4_lut_4_lut (.A(n4890), .B(n4859), .C(FS[10]), .D(n4896), + .Z(n56)) /* synthesis lut_function=(A (B ((D)+!C)+!B (C (D)))+!A (B+(C))) */ ; + defparam i3789_3_lut_4_lut_4_lut.init = 16'hfc5c; + LUT4 n4580_bdd_4_lut (.A(nFWE_c), .B(MAin_c_1), .C(MAin_c_7), .D(Bank[2]), + .Z(n4782)) /* synthesis lut_function=(!(A+(((D)+!C)+!B))) */ ; + defparam n4580_bdd_4_lut.init = 16'h0040; + LUT4 i2832_2_lut_3_lut_4_lut (.A(FS[9]), .B(FS[8]), .C(FS[6]), .D(FS[5]), + .Z(n3609)) /* synthesis lut_function=(A+(B+(C+!(D)))) */ ; + defparam i2832_2_lut_3_lut_4_lut.init = 16'hfeff; + LUT4 i2_3_lut_4_lut_adj_35 (.A(FS[7]), .B(FS[6]), .C(n4925), .D(FS[5]), + .Z(n2308)) /* synthesis lut_function=(A+((C+(D))+!B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128[9:13]) + defparam i2_3_lut_4_lut_adj_35.init = 16'hfffb; + LUT4 i1_2_lut_rep_75 (.A(FS[6]), .B(FS[8]), .Z(n4924)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1_2_lut_rep_75.init = 16'heeee; + LUT4 i3_4_lut_adj_36 (.A(CASr2), .B(FWEr), .C(CBR), .D(CASr3), .Z(nRCS_N_179)) /* synthesis lut_function=(!(((C+(D))+!B)+!A)) */ ; + defparam i3_4_lut_adj_36.init = 16'h0008; + LUT4 i1_2_lut_3_lut_4_lut_adj_37 (.A(FS[6]), .B(FS[8]), .C(n4928), + .D(FS[7]), .Z(n646)) /* synthesis lut_function=(!(A+(B+((D)+!C)))) */ ; + defparam i1_2_lut_3_lut_4_lut_adj_37.init = 16'h0010; + PUR PUR_INST (.PUR(VCC_net)); + defparam PUR_INST.RST_PULSE = 1; + LUT4 i1_2_lut_rep_61_3_lut (.A(FS[6]), .B(FS[8]), .C(FS[7]), .Z(n4910)) /* synthesis lut_function=(!(A+(B+!(C)))) */ ; + defparam i1_2_lut_rep_61_3_lut.init = 16'h1010; + LUT4 mux_428_i6_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[4]), + .D(wb_dati[5]), .Z(n756)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i6_3_lut_4_lut.init = 16'hf780; + TSALL TSALL_INST (.TSALL(GND_net)); + LUT4 i1667_3_lut_4_lut (.A(InitReady), .B(n4886), .C(wb_dato[1]), + .D(CmdLEDEN), .Z(LEDEN_N_110)) /* synthesis lut_function=(A (D)+!A (B (D)+!B (C))) */ ; + defparam i1667_3_lut_4_lut.init = 16'hfe10; + LUT4 mux_428_i3_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[1]), + .D(wb_dati[2]), .Z(n759)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i3_3_lut_4_lut.init = 16'hf780; + LUT4 i1_2_lut_rep_76 (.A(FS[9]), .B(FS[8]), .Z(n4925)) /* synthesis lut_function=(A+(B)) */ ; + defparam i1_2_lut_rep_76.init = 16'heeee; + LUT4 i1_3_lut_4_lut_adj_38 (.A(n4893), .B(n4892), .C(InitReady), .D(n4917), + .Z(n4513)) /* synthesis lut_function=(A (B (D)+!B (C (D)))+!A (C (D))) */ ; + defparam i1_3_lut_4_lut_adj_38.init = 16'hf800; + LUT4 i1_2_lut_rep_56_3_lut (.A(FS[9]), .B(FS[8]), .C(FS[5]), .Z(n4905)) /* synthesis lut_function=(A+(B+!(C))) */ ; + defparam i1_2_lut_rep_56_3_lut.init = 16'hefef; + LUT4 i36_4_lut (.A(n5142), .B(n754), .C(InitReady), .D(n17), .Z(wb_dati_7__N_68[7])) /* synthesis lut_function=(A (B+!(C))+!A (B (C+(D))+!B !(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(359[12] 729[6]) + defparam i36_4_lut.init = 16'hcfca; + LUT4 mux_428_i4_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[2]), + .D(wb_dati[3]), .Z(n758)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i4_3_lut_4_lut.init = 16'hf780; + LUT4 i2696_4_lut (.A(nRCS_N_179), .B(nRowColSel_N_34), .C(n4916), + .D(nRowColSel_N_33), .Z(nRCS_N_175)) /* synthesis lut_function=(A (B+(C (D)))+!A (B+(C+!(D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(187[13] 223[7]) + defparam i2696_4_lut.init = 16'hfcdd; + LUT4 InitReady_I_0_3_lut (.A(InitReady), .B(RCKEEN_N_153), .C(Ready), + .Z(RCKEEN_N_152)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(224[12] 276[6]) + defparam InitReady_I_0_3_lut.init = 16'hcaca; + LUT4 i2726_2_lut (.A(nRowColSel_N_33), .B(nRowColSel_N_32), .Z(n1286)) /* synthesis lut_function=(A+(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123[13:16]) + defparam i2726_2_lut.init = 16'heeee; + LUT4 i3795_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQML_c)) /* synthesis lut_function=(!(A (B))) */ ; + defparam i3795_2_lut.init = 16'h7777; + LUT4 i95_4_lut (.A(n4894), .B(FS[10]), .C(FS[12]), .D(n4900), .Z(n3711)) /* synthesis lut_function=(A (B (C+(D))+!B ((D)+!C))+!A (B (C+(D))+!B (C (D)))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(78[13:15]) + defparam i95_4_lut.init = 16'hfec2; + LUT4 i2684_2_lut (.A(MAin_c_9), .B(nRowColSel), .Z(RDQMH_c)) /* synthesis lut_function=(A+!(B)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(57[17:46]) + defparam i2684_2_lut.init = 16'hbbbb; + LUT4 mux_428_i2_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[0]), + .D(wb_dati[1]), .Z(n760)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i2_3_lut_4_lut.init = 16'hf780; + LUT4 i2_3_lut_4_lut_adj_39 (.A(FS[9]), .B(FS[8]), .C(FS[7]), .D(n4939), + .Z(n4149)) /* synthesis lut_function=(A+(B+((D)+!C))) */ ; + defparam i2_3_lut_4_lut_adj_39.init = 16'hffef; + LUT4 mux_428_i7_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[5]), + .D(wb_dati[6]), .Z(n755)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i7_3_lut_4_lut.init = 16'hf780; + LUT4 mux_428_i5_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_dati[3]), + .D(wb_dati[4]), .Z(n757)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i5_3_lut_4_lut.init = 16'hf780; + LUT4 mux_427_i2_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_adr[0]), + .D(wb_adr[1]), .Z(n751)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_427_i2_3_lut_4_lut.init = 16'hf780; + LUT4 MAin_9__I_0_565_i1_3_lut (.A(RowA[0]), .B(MAin_c_0), .C(nRowColSel), + .Z(RA_c_0)) /* synthesis lut_function=(A (B+!(C))+!A (B (C))) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(54[19:54]) + defparam MAin_9__I_0_565_i1_3_lut.init = 16'hcaca; + LUT4 mux_428_i1_3_lut_4_lut (.A(n4917), .B(CmdUFMShift), .C(wb_we), + .D(wb_dati[0]), .Z(n761)) /* synthesis lut_function=(A (B (C)+!B (D))+!A (D)) */ ; // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(717[16:47]) + defparam mux_428_i1_3_lut_4_lut.init = 16'hf780; + INV i4008 (.A(PHI2_c), .Z(PHI2_N_151)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(7[8:12]) + INV i4007 (.A(nCRAS_c), .Z(nCRAS_N_9)); // c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(15[15:20]) + PFUMX i3913 (.BLUT(n4940), .ALUT(n4941), .C0(FS[9]), .Z(wb_we_N_354)); + VLO i1 (.Z(GND_net)); + +endmodule +// +// Verilog Description of module PUR +// module not written out since it is a black-box. +// + +// +// Verilog Description of module TSALL +// module not written out since it is a black-box. +// + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log b/CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log new file mode 100644 index 0000000..aef4f04 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/automake.log @@ -0,0 +1,1344 @@ + +map -a "MachXO2" -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial "RAM2GS_LCMXO2_640HC_impl1.ngd" -o "RAM2GS_LCMXO2_640HC_impl1_map.ncd" -pr "RAM2GS_LCMXO2_640HC_impl1.prf" -mp "RAM2GS_LCMXO2_640HC_impl1.mrp" -lpf "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1.lpf" -lpf "C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/RAM2GS_LCMXO2_640HC.lpf" -c 0 +map: version Diamond (64-bit) 3.12.0.240.2 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + Process the file: RAM2GS_LCMXO2_640HC_impl1.ngd + Picdevice="LCMXO2-640HC" + + Pictype="TQFP100" + + Picspeed=4 + + Remove unused logic + + Do not produce over sized NCDs. + +Part used: LCMXO2-640HCTQFP100, Performance used: 4. + +Loading device for application baspr from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. + +Running general design DRC... + +Removing unused logic... + +Optimizing... + + + + +Design Summary: + Number of registers: 119 out of 877 (14%) + PFU registers: 119 out of 640 (19%) + PIO registers: 0 out of 237 (0%) + Number of SLICEs: 131 out of 320 (41%) + SLICEs as Logic/ROM: 131 out of 320 (41%) + SLICEs as RAM: 0 out of 240 (0%) + SLICEs as Carry: 10 out of 320 (3%) + Number of LUT4s: 255 out of 640 (40%) + Number used as logic LUTs: 235 + Number used as distributed RAM: 0 + Number used as ripple logic: 20 + Number used as shift registers: 0 + Number of PIO sites used: 63 + 4(JTAG) out of 79 (85%) + Number of block RAMs: 0 out of 2 (0%) + Number of GSRs: 0 out of 1 (0%) + EFB used : Yes + JTAG used : No + Readback used : No + Oscillator used : No + Startup used : No + POR : On + Bandgap : On + Number of Power Controller: 0 out of 1 (0%) + Number of Dynamic Bank Controller (BCINRD): 0 out of 4 (0%) + Number of DCCA: 0 out of 8 (0%) + Number of DCMA: 0 out of 2 (0%) + Notes:- + 1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of distributed RAMs) + 2*(Number of ripple logic) + 2. Number of logic LUT4s does not include count of distributed RAM and ripple logic. + Number of clocks: 5 + Net RCLK_c: 52 loads, 52 rising, 0 falling (Driver: PIO RCLK ) + Net wb_clk: 1 loads, 1 rising, 0 falling (Driver: wb_clk_550 ) + Net PHI2_c: 13 loads, 5 rising, 8 falling (Driver: PIO PHI2 ) + Net nCRAS_c: 7 loads, 0 rising, 7 falling (Driver: PIO nCRAS ) + Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS ) + Number of Clock Enables: 14 + Net RCLK_c_enable_27: 8 loads, 8 LSLICEs + Net RCLK_c_enable_20: 4 loads, 4 LSLICEs + Net RCLK_c_enable_29: 2 loads, 2 LSLICEs + Net RCLK_c_enable_25: 2 loads, 2 LSLICEs + Net InitReady: 1 loads, 1 LSLICEs + Net RCLK_c_enable_24: 2 loads, 2 LSLICEs + Net PHI2_N_151_enable_1: 1 loads, 1 LSLICEs + Net RCLK_c_enable_26: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_3: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_5: 2 loads, 2 LSLICEs + Net Ready_N_280: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_6: 1 loads, 1 LSLICEs + Net RCLK_c_enable_28: 1 loads, 1 LSLICEs + Net PHI2_N_151_enable_7: 1 loads, 1 LSLICEs + Number of LSRs: 8 + Net RASr2: 1 loads, 1 LSLICEs + Net nRowColSel_N_34: 1 loads, 1 LSLICEs + Net wb_rst: 1 loads, 0 LSLICEs + Net nRWE_N_210: 1 loads, 1 LSLICEs + Net C1Submitted_N_232: 2 loads, 2 LSLICEs + Net wb_adr_7__N_92: 2 loads, 2 LSLICEs + Net nRowColSel_N_35: 1 loads, 1 LSLICEs + Net Ready: 7 loads, 7 LSLICEs + Number of nets driven by tri-state buffers: 0 + Top 10 highest fanout non-clock nets: + Net InitReady: 36 loads + Net FS_10: 32 loads + Net FS_11: 32 loads + Net FS_9: 26 loads + Net FS_7: 25 loads + Net FS_8: 23 loads + Net FS_5: 21 loads + Net FS_6: 21 loads + Net FS_12: 20 loads + Net Ready: 18 loads + + + Number of warnings: 0 + Number of errors: 0 + + + +Total CPU Time: 0 secs +Total REAL Time: 0 secs +Peak Memory Usage: 36 MB + +Dumping design to file RAM2GS_LCMXO2_640HC_impl1_map.ncd. + +ncd2vdb "RAM2GS_LCMXO2_640HC_impl1_map.ncd" ".vdbs/RAM2GS_LCMXO2_640HC_impl1_map.vdb" + +Loading device for application ncd2vdb from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. + +trce -f "RAM2GS_LCMXO2_640HC_impl1.mt" -o "RAM2GS_LCMXO2_640HC_impl1.tw1" "RAM2GS_LCMXO2_640HC_impl1_map.ncd" "RAM2GS_LCMXO2_640HC_impl1.prf" +trce: version Diamond (64-bit) 3.12.0.240.2 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Loading design for application trce from file ram2gs_lcmxo2_640hc_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:20:51 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,4 +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:20:51 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO2_640HC_impl1.tw1 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1_map.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,M +Report level: verbose report, limited to 1 item per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 889 connections (78.60% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + +Total CPU Time: 0 secs +Total REAL Time: 0 secs +Peak Memory Usage: 40 MB + + +mpartrce -p "RAM2GS_LCMXO2_640HC_impl1.p2t" -f "RAM2GS_LCMXO2_640HC_impl1.p3t" -tf "RAM2GS_LCMXO2_640HC_impl1.pt" "RAM2GS_LCMXO2_640HC_impl1_map.ncd" "RAM2GS_LCMXO2_640HC_impl1.ncd" + +---- MParTrce Tool ---- +Removing old design directory at request of -rem command line option to this program. +Running par. Please wait . . . + +Lattice Place and Route Report for Design "RAM2GS_LCMXO2_640HC_impl1_map.ncd" +Tue Aug 17 06:20:51 2021 + +PAR: Place And Route Diamond (64-bit) 3.12.0.240.2. +Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Preference file: RAM2GS_LCMXO2_640HC_impl1.prf. +Placement level-cost: 5-1. +Routing Iterations: 6 + +Loading design for application par from file RAM2GS_LCMXO2_640HC_impl1_map.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application par from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +License checked out. + + +Ignore Preference Error(s): True +Device utilization summary: + + PIO (prelim) 63+4(JTAG)/80 84% used + 63+4(JTAG)/79 85% bonded + + SLICE 131/320 40% used + + EFB 1/1 100% used + + +Number of Signals: 401 +Number of Connections: 1131 + +Pin Constraint Summary: + 63 out of 63 pins locked (100% locked). + +The following 4 signals are selected to use the primary clock routing resources: + RCLK_c (driver: RCLK, clk load #: 52) + PHI2_c (driver: PHI2, clk load #: 13) + nCRAS_c (driver: nCRAS, clk load #: 7) + nCCAS_c (driver: nCCAS, clk load #: 4) + + + + + +No signal is selected as secondary clock. + +No signal is selected as Global Set/Reset. +Starting Placer Phase 0. +............ +Finished Placer Phase 0. REAL time: 0 secs + +Starting Placer Phase 1. +.................... +Placer score = 65362. +Finished Placer Phase 1. REAL time: 6 secs + +Starting Placer Phase 2. +. +Placer score = 65089 +Finished Placer Phase 2. REAL time: 6 secs + + +------------------ Clock Report ------------------ + +Global Clock Resources: + CLK_PIN : 1 out of 8 (12%) + General PIO: 3 out of 80 (3%) + DCM : 0 out of 2 (0%) + DCC : 0 out of 8 (0%) + +Global Clocks: + PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 52 + PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3B)", clk load = 13 + PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL6B)", clk load = 7 + PRIMARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL3C)", clk load = 4 + + PRIMARY : 4 out of 8 (50%) + SECONDARY: 0 out of 8 (0%) + +--------------- End of Clock Report --------------- + + +I/O Usage Summary (final): + 63 + 4(JTAG) out of 80 (83.8%) PIO sites used. + 63 + 4(JTAG) out of 79 (84.8%) bonded PIO sites used. + Number of PIO comps: 63; differential: 0. + Number of Vref pins used: 0. + +I/O Bank Usage Summary: ++----------+----------------+------------+-----------+ +| I/O Bank | Usage | Bank Vccio | Bank Vref | ++----------+----------------+------------+-----------+ +| 0 | 13 / 19 ( 68%) | 3.3V | - | +| 1 | 20 / 20 (100%) | 3.3V | - | +| 2 | 12 / 20 ( 60%) | 3.3V | - | +| 3 | 18 / 20 ( 90%) | 3.3V | - | ++----------+----------------+------------+-----------+ + +Total placer CPU time: 5 secs + +Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd. + +0 connections routed; 1131 unrouted. +Starting router resource preassignment + + + + + + +Completed router resource preassignment. Real time: 8 secs + +Start NBR router at 06:20:59 08/17/21 + +***************************************************************** +Info: NBR allows conflicts(one node used by more than one signal) + in the earlier iterations. In each iteration, it tries to + solve the conflicts while keeping the critical connections + routed as short as possible. The routing process is said to + be completed when no conflicts exist and all connections + are routed. +Note: NBR uses a different method to calculate timing slacks. The + worst slack and total negative slack may not be the same as + that in TRCE report. You should always run TRCE to verify + your design. +***************************************************************** + +Start NBR special constraint process at 06:20:59 08/17/21 + +Start NBR section for initial routing at 06:20:59 08/17/21 +Level 1, iteration 1 +0(0.00%) conflict; 980(86.65%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.167ns/0.000ns; real time: 8 secs +Level 2, iteration 1 +1(0.00%) conflict; 970(85.76%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.141ns/0.000ns; real time: 9 secs +Level 3, iteration 1 +1(0.00%) conflict; 904(79.93%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 1 +26(0.06%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Info: Initial congestion level at 75% usage is 0 +Info: Initial congestion area at 75% usage is 0 (0.00%) + +Start NBR section for normal routing at 06:21:00 08/17/21 +Level 1, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 2, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 3, iteration 1 +1(0.00%) conflict; 28(2.48%) untouched conns; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 1 +12(0.03%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 2 +5(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs +Level 4, iteration 3 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Start NBR section for setup/hold timing optimization with effort level 3 at 06:21:00 08/17/21 + +Start NBR section for re-routing at 06:21:00 08/17/21 +Level 4, iteration 1 +0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score; +Estimated worst slack/total negative slack: 1.135ns/0.000ns; real time: 9 secs + +Start NBR section for post-routing at 06:21:00 08/17/21 + +End NBR router with 0 unrouted connection + +NBR Summary +----------- + Number of unrouted connections : 0 (0.00%) + Number of connections with timing violations : 0 (0.00%) + Estimated worst slack : 1.135ns + Timing score : 0 +----------- +Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored. + + + + + +Total CPU time 9 secs +Total REAL time: 10 secs +Completely routed. +End of route. 1131 routed (100.00%); 0 unrouted. + +Hold time timing score: 0, hold timing errors: 0 + +Timing score: 0 + +Dumping design to file RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd. + + +PAR_SUMMARY::Run status = Completed +PAR_SUMMARY::Number of unrouted conns = 0 +PAR_SUMMARY::Worst slack> = 1.135 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Worst slack> = 0.304 +PAR_SUMMARY::Timing score> = 0.000 +PAR_SUMMARY::Number of errors = 0 + +Total CPU time to completion: 9 secs +Total REAL time to completion: 10 secs + +par done! + +Note: user must run 'Trace' for timing closure signoff. + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Exiting par with exit code 0 +Exiting mpartrce with exit code 0 + +trce -f "RAM2GS_LCMXO2_640HC_impl1.pt" -o "RAM2GS_LCMXO2_640HC_impl1.twr" "RAM2GS_LCMXO2_640HC_impl1.ncd" "RAM2GS_LCMXO2_640HC_impl1.prf" +trce: version Diamond (64-bit) 3.12.0.240.2 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Loading design for application trce from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Setup and Hold Report + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:21:01 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,4 +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +Timing summary (Setup): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) + +-------------------------------------------------------------------------------- +Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 06:21:01 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Report Information +------------------ +Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o RAM2GS_LCMXO2_640HC_impl1.twr -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf +Design file: ram2gs_lcmxo2_640hc_impl1.ncd +Preference file: ram2gs_lcmxo2_640hc_impl1.prf +Device,speed: LCMXO2-640HC,m +Report level: verbose report, limited to 10 items per preference +-------------------------------------------------------------------------------- + +BLOCK ASYNCPATHS +BLOCK RESETPATHS +-------------------------------------------------------------------------------- + + +Derating parameters +------------------- +Voltage: 3.300 V + +VCCIO Voltage: + 3.135 V (Bank 0) + 3.135 V (Bank 1) + 3.135 V (Bank 2) + 3.135 V (Bank 3) + 2.375 V (Bank 4) + 2.375 V (Bank 5) + 2.375 V (Bank 6) + 2.375 V (Bank 7) + + + +Timing summary (Hold): +--------------- + +Timing errors: 0 Score: 0 +Cumulative negative slack: 0 + +Constraints cover 1548 paths, 9 nets, and 900 connections (79.58% coverage) + + + +Timing summary (Setup and Hold): +--------------- + +Timing errors: 0 (setup), 0 (hold) +Score: 0 (setup), 0 (hold) +Cumulative negative slack: 0 (0+0) +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- + +Total CPU Time: 0 secs +Total REAL Time: 0 secs +Peak Memory Usage: 40 MB + + +iotiming "RAM2GS_LCMXO2_640HC_impl1.ncd" "RAM2GS_LCMXO2_640HC_impl1.prf" +I/O Timing Report: +: version Diamond (64-bit) 3.12.0.240.2 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application iotiming from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Running Performance Grade: 4 +Computing Setup Time ... +Computing Max Clock to Output Delay ... +Computing Hold Time ... +Computing Min Clock to Output Delay ... + +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 5 +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Running Performance Grade: 5 +Computing Setup Time ... +Computing Max Clock to Output Delay ... +Computing Hold Time ... +Computing Min Clock to Output Delay ... + +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 6 +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Running Performance Grade: 6 +Computing Setup Time ... +Computing Max Clock to Output Delay ... +Computing Hold Time ... +Computing Min Clock to Output Delay ... + +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: M +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Running Performance Grade: M +Computing Setup Time ... +Computing Max Clock to Output Delay ... +Computing Hold Time ... +Computing Min Clock to Output Delay ... +Done. + +ibisgen "RAM2GS_LCMXO2_640HC_impl1.pad" "C:/lscc/diamond/3.12/cae_library/ibis/machxo2.ibs" +IBIS Models Generator: Lattice Diamond (64-bit) 3.12.0.240.2 + +Tue Aug 17 06:21:03 2021 + +Comp: CROW[0] + Site: 10 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: CROW[1] + Site: 16 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[0] + Site: 3 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[1] + Site: 96 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[2] + Site: 88 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[3] + Site: 97 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[4] + Site: 99 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[5] + Site: 98 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[6] + Site: 2 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Din[7] + Site: 1 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: Dout[0] + Site: 76 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: Dout[1] + Site: 86 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: Dout[2] + Site: 87 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: Dout[3] + Site: 85 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: Dout[4] + Site: 83 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: Dout[5] + Site: 84 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: Dout[6] + Site: 78 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: Dout[7] + Site: 82 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: LED + Site: 34 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=16mA + SLEW=SLOW +----------------------- +Comp: MAin[0] + Site: 14 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[1] + Site: 12 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[2] + Site: 13 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[3] + Site: 21 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[4] + Site: 20 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[5] + Site: 19 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[6] + Site: 24 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[7] + Site: 18 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[8] + Site: 25 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: MAin[9] + Site: 32 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: PHI2 + Site: 8 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: RA[0] + Site: 66 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[10] + Site: 64 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[11] + Site: 59 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[1] + Site: 67 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[2] + Site: 69 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[3] + Site: 71 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[4] + Site: 74 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[5] + Site: 70 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[6] + Site: 68 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[7] + Site: 75 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[8] + Site: 65 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RA[9] + Site: 62 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RBA[0] + Site: 58 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RBA[1] + Site: 60 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RCKE + Site: 53 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RCLK + Site: 63 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: RDQMH + Site: 51 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RDQML + Site: 48 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: RD[0] + Site: 36 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: RD[1] + Site: 37 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: RD[2] + Site: 38 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: RD[3] + Site: 39 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: RD[4] + Site: 40 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: RD[5] + Site: 41 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: RD[6] + Site: 42 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: RD[7] + Site: 43 + Type: BIDI + IO_TYPE=LVTTL33 + DRIVE=4mA + PULL=KEEPER + CLAMP=ON + HYSTERESIS=SMALL + SLEW=SLOW +----------------------- +Comp: nCCAS + Site: 9 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: nCRAS + Site: 17 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: nFWE + Site: 15 + Type: IN + IO_TYPE=LVTTL33 + CLAMP=ON + HYSTERESIS=SMALL +----------------------- +Comp: nRCAS + Site: 52 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: nRCS + Site: 57 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: nRRAS + Site: 54 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Comp: nRWE + Site: 49 + Type: OUT + IO_TYPE=LVTTL33 + DRIVE=4mA + SLEW=SLOW +----------------------- +Created design models. + + +Generating: C:\Users\Dog\Documents\GitHub\RAM2GS\CPLD\LCMXO2\LCMXO2-640HC\impl1\IBIS\RAM2GS_LCMXO2_640HC~.ibs + + + + +tmcheck -par "RAM2GS_LCMXO2_640HC_impl1.par" + +bitgen -f "RAM2GS_LCMXO2_640HC_impl1.t2b" -w "RAM2GS_LCMXO2_640HC_impl1.ncd" "RAM2GS_LCMXO2_640HC_impl1.prf" + + +BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2 +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + + +Loading design for application Bitgen from file RAM2GS_LCMXO2_640HC_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. + +Running DRC. +DRC detected 0 errors and 0 warnings. +Reading Preference File from RAM2GS_LCMXO2_640HC_impl1.prf. + +Preference Summary: ++---------------------------------+---------------------------------+ +| Preference | Current Setting | ++---------------------------------+---------------------------------+ +| RamCfg | Reset** | ++---------------------------------+---------------------------------+ +| MCCLK_FREQ | 2.08** | ++---------------------------------+---------------------------------+ +| CONFIG_SECURE | OFF** | ++---------------------------------+---------------------------------+ +| INBUF | ON** | ++---------------------------------+---------------------------------+ +| JTAG_PORT | ENABLE** | ++---------------------------------+---------------------------------+ +| SDM_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| SLAVE_SPI_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| MASTER_SPI_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| I2C_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| MUX_CONFIGURATION_PORTS | DISABLE** | ++---------------------------------+---------------------------------+ +| CONFIGURATION | CFG** | ++---------------------------------+---------------------------------+ +| COMPRESS_CONFIG | ON** | ++---------------------------------+---------------------------------+ +| MY_ASSP | OFF** | ++---------------------------------+---------------------------------+ +| ONE_TIME_PROGRAM | OFF** | ++---------------------------------+---------------------------------+ +| ENABLE_TRANSFR | DISABLE** | ++---------------------------------+---------------------------------+ +| SHAREDEBRINIT | DISABLE** | ++---------------------------------+---------------------------------+ +| BACKGROUND_RECONFIG | OFF** | ++---------------------------------+---------------------------------+ + * Default setting. + ** The specified setting matches the default setting. + + +Creating bit map... + +Bitstream Status: Final Version 1.95. + +Saving bit stream in "RAM2GS_LCMXO2_640HC_impl1.bit". +Total CPU Time: 1 secs +Total REAL Time: 2 secs +Peak Memory Usage: 245 MB + +tmcheck -par "RAM2GS_LCMXO2_640HC_impl1.par" + +bitgen -f "RAM2GS_LCMXO2_640HC_impl1.t2b" -w "RAM2GS_LCMXO2_640HC_impl1.ncd" -jedec "RAM2GS_LCMXO2_640HC_impl1.prf" + + +BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2 +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + + +Loading design for application Bitgen from file RAM2GS_LCMXO2_640HC_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 4 +Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. + +Running DRC. +DRC detected 0 errors and 0 warnings. +Reading Preference File from RAM2GS_LCMXO2_640HC_impl1.prf. + +Preference Summary: ++---------------------------------+---------------------------------+ +| Preference | Current Setting | ++---------------------------------+---------------------------------+ +| RamCfg | Reset** | ++---------------------------------+---------------------------------+ +| MCCLK_FREQ | 2.08** | ++---------------------------------+---------------------------------+ +| CONFIG_SECURE | OFF** | ++---------------------------------+---------------------------------+ +| INBUF | ON** | ++---------------------------------+---------------------------------+ +| JTAG_PORT | ENABLE** | ++---------------------------------+---------------------------------+ +| SDM_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| SLAVE_SPI_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| MASTER_SPI_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| I2C_PORT | DISABLE** | ++---------------------------------+---------------------------------+ +| MUX_CONFIGURATION_PORTS | DISABLE** | ++---------------------------------+---------------------------------+ +| CONFIGURATION | CFG** | ++---------------------------------+---------------------------------+ +| COMPRESS_CONFIG | ON** | ++---------------------------------+---------------------------------+ +| MY_ASSP | OFF** | ++---------------------------------+---------------------------------+ +| ONE_TIME_PROGRAM | OFF** | ++---------------------------------+---------------------------------+ +| ENABLE_TRANSFR | DISABLE** | ++---------------------------------+---------------------------------+ +| SHAREDEBRINIT | DISABLE** | ++---------------------------------+---------------------------------+ +| BACKGROUND_RECONFIG | OFF** | ++---------------------------------+---------------------------------+ + * Default setting. + ** The specified setting matches the default setting. + + +Creating bit map... + +Bitstream Status: Final Version 1.95. + +Saving bit stream in "RAM2GS_LCMXO2_640HC_impl1.jed". + +=========== +UFM Summary. +=========== +UFM Size: 191 Pages (128*191 Bits). +UFM Utilization: General Purpose Flash Memory. + +Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190). +Initialized UFM Pages: 0 Page. + +Total CPU Time: 1 secs +Total REAL Time: 2 secs +Peak Memory Usage: 245 MB diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html new file mode 100644 index 0000000..ad12f66 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html @@ -0,0 +1,12 @@ +
    Setting log file to 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html'.
    +Starting: parse design source files
    +(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v'
    +(VERI-1482) Analyzing Verilog file 'C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v'
    +INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
    +INFO - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(1,1-731,10) (VERI-9000) elaborating module 'RAM2GS'
    +INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
    +WARNING - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(325,2-348,25) (VERI-2435) port 'PLL0DATI7' is not connected on this instance
    +WARNING - C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v(325,2-348,25) (VERI-1927) port 'WBDATO7' remains unconnected for this instance
    +Done: design load finished with (0) errors, and (2) warnings
    +
    +
    \ No newline at end of file diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior b/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior new file mode 100644 index 0000000..6529f93 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1.ior @@ -0,0 +1,133 @@ +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 5 +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: 6 +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd. +Design name: RAM2GS +NCD version: 3.3 +Vendor: LATTICE +Device: LCMXO2-640HC +Package: TQFP100 +Performance: M +Package Status: Final Version 1.39. +Performance Hardware Data Status: Final Version 34.4. +// Design: RAM2GS +// Package: TQFP100 +// ncd File: ram2gs_lcmxo2_640hc_impl1.ncd +// Version: Diamond (64-bit) 3.12.0.240.2 +// Written on Tue Aug 17 06:21:03 2021 +// M: Minimum Performance Grade +// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml + +I/O Timing Report (All units are in ns) + +Worst Case Results across Performance Grades (M, 6, 5, 4): + +// Input Setup and Hold Times + +Port Clock Edge Setup Performance_Grade Hold Performance_Grade +---------------------------------------------------------------------- +CROW[0] nCRAS F -0.195 M 1.729 4 +CROW[1] nCRAS F -0.218 M 1.801 4 +Din[0] PHI2 F 6.339 4 1.186 4 +Din[0] nCCAS F 1.641 4 0.107 M +Din[1] PHI2 F 6.084 4 1.570 4 +Din[1] nCCAS F 0.198 4 1.314 4 +Din[2] PHI2 F 3.778 4 1.771 4 +Din[2] nCCAS F 0.075 4 1.431 4 +Din[3] PHI2 F 4.331 4 1.705 4 +Din[3] nCCAS F -0.116 M 1.722 4 +Din[4] PHI2 F 6.176 4 1.711 4 +Din[4] nCCAS F 1.065 4 0.575 4 +Din[5] PHI2 F 4.684 4 1.261 4 +Din[5] nCCAS F -0.081 M 1.625 4 +Din[6] PHI2 F 5.243 4 0.356 4 +Din[6] nCCAS F 1.414 4 0.309 4 +Din[7] PHI2 F 6.602 4 1.175 4 +Din[7] nCCAS F -0.286 M 2.137 4 +MAin[0] PHI2 F 5.034 4 0.629 4 +MAin[0] nCRAS F 1.094 4 0.380 4 +MAin[1] PHI2 F 6.081 4 1.157 4 +MAin[1] nCRAS F 0.544 4 0.877 4 +MAin[2] PHI2 F 9.979 4 -0.319 M +MAin[2] nCRAS F -0.050 M 1.401 4 +MAin[3] PHI2 F 9.162 4 -0.219 M +MAin[3] nCRAS F 1.032 4 0.440 4 +MAin[4] PHI2 F 11.678 4 -0.770 M +MAin[4] nCRAS F -0.150 M 1.620 4 +MAin[5] PHI2 F 8.668 4 -0.081 M +MAin[5] nCRAS F -0.050 M 1.401 4 +MAin[6] PHI2 F 8.516 4 -0.025 M +MAin[6] nCRAS F 1.003 4 0.478 4 +MAin[7] PHI2 F 9.320 4 -0.061 M +MAin[7] nCRAS F 1.001 4 0.478 4 +MAin[8] nCRAS F -0.146 M 1.657 4 +MAin[9] nCRAS F -0.360 M 2.140 4 +PHI2 RCLK R 3.079 4 -0.602 M +nCCAS RCLK R 3.574 4 -0.705 M +nCCAS nCRAS F 3.232 4 -0.351 M +nCRAS RCLK R 2.757 4 -0.470 M +nFWE PHI2 F 5.913 4 0.723 4 +nFWE nCRAS F 0.547 4 0.890 4 + + +// Clock to Output Delay + +Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade +------------------------------------------------------------------------ +LED RCLK R 10.062 4 3.164 M +RA[0] RCLK R 10.645 4 3.471 M +RA[0] nCRAS F 11.744 4 3.770 M +RA[10] RCLK R 9.485 4 3.236 M +RA[11] PHI2 R 11.513 4 3.824 M +RA[1] RCLK R 10.921 4 3.549 M +RA[1] nCRAS F 12.664 4 4.036 M +RA[2] RCLK R 10.923 4 3.527 M +RA[2] nCRAS F 12.463 4 3.984 M +RA[3] RCLK R 11.178 4 3.615 M +RA[3] nCRAS F 12.304 4 3.917 M +RA[4] RCLK R 11.365 4 3.630 M +RA[4] nCRAS F 13.243 4 4.179 M +RA[5] RCLK R 11.365 4 3.630 M +RA[5] nCRAS F 12.940 4 4.098 M +RA[6] RCLK R 11.099 4 3.573 M +RA[6] nCRAS F 12.162 4 3.870 M +RA[7] RCLK R 10.948 4 3.552 M +RA[7] nCRAS F 12.282 4 3.936 M +RA[8] RCLK R 11.114 4 3.608 M +RA[8] nCRAS F 12.909 4 4.116 M +RA[9] RCLK R 11.005 4 3.561 M +RA[9] nCRAS F 12.959 4 4.081 M +RBA[0] nCRAS F 11.842 4 3.911 M +RBA[1] nCRAS F 11.343 4 3.771 M +RCKE RCLK R 9.884 4 3.362 M +RDQMH RCLK R 10.941 4 3.559 M +RDQML RCLK R 10.641 4 3.470 M +RD[0] nCCAS F 12.628 4 4.413 M +RD[1] nCCAS F 12.231 4 4.302 M +RD[2] nCCAS F 12.231 4 4.302 M +RD[3] nCCAS F 11.928 4 4.221 M +RD[4] nCCAS F 12.427 4 4.361 M +RD[5] nCCAS F 12.697 4 4.400 M +RD[6] nCCAS F 12.427 4 4.361 M +RD[7] nCCAS F 12.427 4 4.361 M +nRCAS RCLK R 9.674 4 3.300 M +nRCS RCLK R 9.674 4 3.300 M +nRRAS RCLK R 9.797 4 3.322 M +nRWE RCLK R 9.275 4 3.194 M +WARNING: you must also run trce with hold speed: 4 +WARNING: you must also run trce with setup speed: M diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd b/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd new file mode 100644 index 0000000..0409f06 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/ram2gs_lcmxo2_640hc_impl1_trce.asd @@ -0,0 +1,91 @@ +[ActiveSupport TRCE] +; Setup Analysis +Period_0 = 25.800 ns (350.000 ns); +Period_1 = 2.500 ns (350.000 ns); +Period_2 = 2.500 ns (350.000 ns); +Period_3 = 12.427 ns (16.000 ns); +Tco_4 = - (-); +Tco_5 = - (-); +Tco_6 = - (-); +Tco_7 = - (-); +Tco_8 = - (-); +Tco_9 = - (-); +Tco_10 = - (-); +Tco_11 = - (-); +Tco_12 = - (-); +Tco_13 = - (-); +Tco_14 = - (-); +Tco_15 = - (-); +Tco_16 = 9.485 ns (12.500 ns); +Tco_17 = 11.005 ns (12.500 ns); +Tco_18 = 11.114 ns (12.500 ns); +Tco_19 = 10.948 ns (12.500 ns); +Tco_20 = 11.099 ns (12.500 ns); +Tco_21 = 11.365 ns (12.500 ns); +Tco_22 = 11.365 ns (12.500 ns); +Tco_23 = 11.178 ns (12.500 ns); +Tco_24 = 10.923 ns (12.500 ns); +Tco_25 = 10.921 ns (12.500 ns); +Tco_26 = 10.645 ns (12.500 ns); +Tco_27 = 9.674 ns (12.500 ns); +Tco_28 = 9.884 ns (12.500 ns); +Tco_29 = 9.275 ns (12.500 ns); +Tco_30 = 9.797 ns (12.500 ns); +Tco_31 = 9.674 ns (12.500 ns); +Tco_32 = 10.941 ns (12.500 ns); +Tco_33 = 10.641 ns (12.500 ns); +Tco_34 = - (-); +Tco_35 = - (-); +Tco_36 = - (-); +Tco_37 = - (-); +Tco_38 = - (-); +Tco_39 = - (-); +Tco_40 = - (-); +Failed = 0 (Total 41); +Clock_ports = 4; +Clock_nets = 5; +; Hold Analysis +Period_0 = - (-); +Period_1 = - (-); +Period_2 = - (-); +Period_3 = - (-); +Tco_4 = - (-); +Tco_5 = - (-); +Tco_6 = - (-); +Tco_7 = - (-); +Tco_8 = - (-); +Tco_9 = - (-); +Tco_10 = - (-); +Tco_11 = - (-); +Tco_12 = - (-); +Tco_13 = - (-); +Tco_14 = - (-); +Tco_15 = - (-); +Tco_16 = 3.236 ns (0.000 ns); +Tco_17 = 3.561 ns (0.000 ns); +Tco_18 = 3.608 ns (0.000 ns); +Tco_19 = 3.552 ns (0.000 ns); +Tco_20 = 3.573 ns (0.000 ns); +Tco_21 = 3.630 ns (0.000 ns); +Tco_22 = 3.630 ns (0.000 ns); +Tco_23 = 3.615 ns (0.000 ns); +Tco_24 = 3.527 ns (0.000 ns); +Tco_25 = 3.549 ns (0.000 ns); +Tco_26 = 3.471 ns (0.000 ns); +Tco_27 = 3.300 ns (0.000 ns); +Tco_28 = 3.362 ns (0.000 ns); +Tco_29 = 3.194 ns (0.000 ns); +Tco_30 = 3.322 ns (0.000 ns); +Tco_31 = 3.300 ns (0.000 ns); +Tco_32 = 3.559 ns (0.000 ns); +Tco_33 = 3.470 ns (0.000 ns); +Tco_34 = - (-); +Tco_35 = - (-); +Tco_36 = - (-); +Tco_37 = - (-); +Tco_38 = - (-); +Tco_39 = - (-); +Tco_40 = - (-); +Failed = 0 (Total 41); +Clock_ports = 4; +Clock_nets = 5; diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log new file mode 100644 index 0000000..7c23447 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis.log @@ -0,0 +1,271 @@ +synthesis: version Diamond (64-bit) 3.12.0.240.2 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. +Tue Aug 17 06:19:45 2021 + + +Command Line: synthesis -f RAM2GS_LCMXO2_640HC_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml + +Synthesis options: +The -a option is MachXO2. +The -s option is 4. +The -t option is TQFP100. +The -d option is LCMXO2-640HC. +Using package TQFP100. +Using performance grade 4. + + +########################################################## + +### Lattice Family : MachXO2 + +### Device : LCMXO2-640HC + +### Package : TQFP100 + +### Speed : 4 + +########################################################## + + + +INFO - synthesis: User-Selected Strategy Settings +Optimization goal = Balanced +Top-level module name = RAM2GS. +Target frequency = 200.000000 MHz. +Maximum fanout = 1000. +Timing path count = 3 +BRAM utilization = 100.000000 % +DSP usage = true +DSP utilization = 100.000000 % +fsm_encoding_style = auto +resolve_mixed_drivers = 0 +fix_gated_clocks = 1 + +Mux style = Auto +Use Carry Chain = true +carry_chain_length = 0 +Loop Limit = 1950. +Use IO Insertion = TRUE +Use IO Reg = AUTO + +Resource Sharing = TRUE +Propagate Constants = TRUE +Remove Duplicate Registers = TRUE +force_gsr = auto +ROM style = auto +RAM style = auto +The -comp option is FALSE. +The -syn option is FALSE. +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) +-p C:/lscc/diamond/3.12/ispfpga/xo2c00/data (searchpath added) +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 (searchpath added) +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added) +Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v +NGD file = RAM2GS_LCMXO2_640HC_impl1.ngd +-sdc option: SDC file input not used. +-lpf option: Output file option is ON. +Hardtimer checking is enabled (default). The -dt option is not used. +The -r option is OFF. [ Remove LOC Properties is OFF. ] +Technology check ok... + +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 +Compile design. +Compile Design Begin +Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v. VERI-1482 +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482 +Top module name (Verilog): RAM2GS +INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1): compiling module RAM2GS. VERI-1018 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123): expression size 32 truncated to fit in target size 2. VERI-1209 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128): expression size 32 truncated to fit in target size 18. VERI-1209 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255): expression size 32 truncated to fit in target size 4. VERI-1209 +INFO - synthesis: C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800): compiling module EFB. VERI-1018 +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(348): input port PLL0DATI7 is not connected on this instance. VDB-1013 +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... +Loading device for application map from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga. +Package Status: Final Version 1.39. +Top-level module name = RAM2GS. +######## Missing driver on net n1128. Patching with GND. +######## Missing driver on net n1132. Patching with GND. +######## Missing driver on net n1133. Patching with GND. +######## Missing driver on net n1134. Patching with GND. +######## Missing driver on net n1135. Patching with GND. +######## Missing driver on net n1131. Patching with GND. +######## Missing driver on net n1130. Patching with GND. +######## Missing driver on net n1136. Patching with GND. +######## Missing driver on net n1137. Patching with GND. +######## Missing driver on net n1138. Patching with GND. +######## Missing driver on net n1139. Patching with GND. +######## Missing driver on net n1140. Patching with GND. +######## Missing driver on net n1141. Patching with GND. +######## Missing driver on net n1142. Patching with GND. +######## Missing driver on net n1143. Patching with GND. +######## Missing driver on net n1144. Patching with GND. +######## Missing driver on net n1145. Patching with GND. +######## Missing driver on net n1146. Patching with GND. +######## Missing driver on net n1147. Patching with GND. +######## Missing driver on net n1148. Patching with GND. +######## Missing driver on net n1129. Patching with GND. +######## Missing driver on net n1149. Patching with GND. +######## Missing driver on net n1150. Patching with GND. +######## Missing driver on net n1151. Patching with GND. +######## Missing driver on net n1152. Patching with GND. +######## Missing driver on net n1153. Patching with GND. +######## Missing driver on net n1154. Patching with GND. +######## Missing driver on net n1155. Patching with GND. +######## Missing driver on net n1156. Patching with GND. +######## Missing driver on net n1157. Patching with GND. +INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding +original encoding -> new encoding (one-hot encoding) + + 0000 -> 0000000000000001 + + 0001 -> 0000000000000010 + + 0010 -> 0000000000000100 + + 0011 -> 0000000000001000 + + 0100 -> 0000000000010000 + + 0101 -> 0000000000100000 + + 0110 -> 0000000001000000 + + 0111 -> 0000000010000000 + + 1000 -> 0000000100000000 + + 1001 -> 0000001000000000 + + 1010 -> 0000010000000000 + + 1011 -> 0000100000000000 + + 1100 -> 0001000000000000 + + 1101 -> 0010000000000000 + + 1110 -> 0100000000000000 + + 1111 -> 1000000000000000 + +INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding +original encoding -> new encoding (one-hot encoding) + + 00 -> 0001 + + 01 -> 0010 + + 10 -> 0100 + + 11 -> 1000 + + + + +GSR will not be inferred because no asynchronous signal was found in the netlist. +WARNING - synthesis: Initial value found on instance C1Submitted_542 will be ignored. +Applying 200.000000 MHz constraint to all clocks + +WARNING - synthesis: No user .sdc file. +Results of NGD DRC are available in RAM2GS_drc.log. +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'... +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'... +All blocks are expanded and NGD expansion is successful. +Writing NGD file RAM2GS_LCMXO2_640HC_impl1.ngd. + +################### Begin Area Report (RAM2GS)###################### +Number of register bits => 119 of 877 (13 % ) +BB => 8 +CCU2D => 10 +EFB => 1 +FD1P3AX => 30 +FD1P3AY => 4 +FD1P3IX => 3 +FD1S3AX => 64 +FD1S3IX => 14 +FD1S3JX => 4 +GSR => 1 +IB => 25 +INV => 3 +LUT4 => 236 +OB => 30 +PFUMX => 16 +################### End Area Report ################## + +################### Begin BlackBox Report ###################### +TSALL => 1 +################### End BlackBox Report ################## + +################### Begin Clock Report ###################### +Clock Nets +Number of Clocks: 5 + Net : RCLK_c, loads : 79 + Net : PHI2_c, loads : 11 + Net : nCRAS_c, loads : 2 + Net : nCCAS_c, loads : 2 + Net : wb_clk, loads : 1 +Clock Enable Nets +Number of Clock Enables: 14 +Top 10 highest fanout Clock Enables: + Net : RCLK_c_enable_27, loads : 16 + Net : RCLK_c_enable_20, loads : 4 + Net : RCLK_c_enable_25, loads : 2 + Net : RCLK_c_enable_24, loads : 2 + Net : RCLK_c_enable_29, loads : 2 + Net : PHI2_N_151_enable_5, loads : 2 + Net : PHI2_N_151_enable_3, loads : 2 + Net : PHI2_N_151_enable_1, loads : 1 + Net : Ready_N_280, loads : 1 + Net : PHI2_N_151_enable_6, loads : 1 +Highest fanout non-clock nets +Top 10 highest fanout non-clock nets: + Net : InitReady, loads : 36 + Net : FS_11, loads : 32 + Net : FS_10, loads : 32 + Net : FS_9, loads : 26 + Net : FS_7, loads : 25 + Net : FS_8, loads : 23 + Net : FS_6, loads : 21 + Net : FS_5, loads : 21 + Net : FS_12, loads : 20 + Net : CmdUFMShift, loads : 16 +################### End Clock Report ################## + +Timing Report Summary +-------------- +-------------------------------------------------------------------------------- +Constraint | Constraint| Actual|Levels +-------------------------------------------------------------------------------- + | | | +create_clock -period 5.000000 -name | | | +clk3 [get_nets PHI2_c] | 200.000 MHz| 38.150 MHz| 8 * + | | | +create_clock -period 5.000000 -name | | | +clk2 [get_nets nCCAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk1 [get_nets nCRAS_c] | -| -| 0 + | | | +create_clock -period 5.000000 -name | | | +clk0 [get_nets RCLK_c] | 200.000 MHz| 65.694 MHz| 10 * + | | | +-------------------------------------------------------------------------------- + + +2 constraints not met. + + +Peak Memory Usage: 57.273 MB + +-------------------------------------------------------------- +Elapsed CPU time for LSE flow : 0.952 secs +-------------------------------------------------------------- diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html new file mode 100644 index 0000000..14e4497 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/synthesis_lse.html @@ -0,0 +1,336 @@ + +Synthesis and Ngdbuild Report + + +
    Synthesis and Ngdbuild  Report
    +synthesis:  version Diamond (64-bit) 3.12.0.240.2
    +
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Tue Aug 17 06:19:45 2021
    +
    +
    +Command Line:  synthesis -f RAM2GS_LCMXO2_640HC_impl1_lattice.synproj -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml 
    +
    +Synthesis options:
    +The -a option is MachXO2.
    +The -s option is 4.
    +The -t option is TQFP100.
    +The -d option is LCMXO2-640HC.
    +Using package TQFP100.
    +Using performance grade 4.
    +                                                          
    +
    +##########################################################
    +
    +### Lattice Family : MachXO2
    +
    +### Device  : LCMXO2-640HC
    +
    +### Package : TQFP100
    +
    +### Speed   : 4
    +
    +##########################################################
    +
    +                                                          
    +
    +INFO - synthesis: User-Selected Strategy Settings
    +Optimization goal = Balanced
    +Top-level module name = RAM2GS.
    +Target frequency = 200.000000 MHz.
    +Maximum fanout = 1000.
    +Timing path count = 3
    +BRAM utilization = 100.000000 %
    +DSP usage = true
    +DSP utilization = 100.000000 %
    +fsm_encoding_style = auto
    +resolve_mixed_drivers = 0
    +fix_gated_clocks = 1
    +
    +Mux style = Auto
    +Use Carry Chain = true
    +carry_chain_length = 0
    +Loop Limit = 1950.
    +Use IO Insertion = TRUE
    +Use IO Reg = AUTO
    +
    +Resource Sharing = TRUE
    +Propagate Constants = TRUE
    +Remove Duplicate Registers = TRUE
    +force_gsr = auto
    +ROM style = auto
    +RAM style = auto
    +The -comp option is FALSE.
    +The -syn option is FALSE.
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added)
    +-p C:/lscc/diamond/3.12/ispfpga/xo2c00/data (searchpath added)
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/impl1 (searchpath added)
    +-p C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC (searchpath added)
    +Verilog design file = C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/RAM2GS-LCMXO2.v
    +NGD file = RAM2GS_LCMXO2_640HC_impl1.ngd
    +-sdc option: SDC file input not used.
    +-lpf option: Output file option is ON.
    +Hardtimer checking is enabled (default). The -dt option is not used.
    +The -r option is OFF. [ Remove LOC Properties is OFF. ]
    +Technology check ok...
    +
    +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482
    +Compile design.
    +Compile Design Begin
    +Analyzing Verilog file c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v. VERI-1482
    +Analyzing Verilog file C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v. VERI-1482
    +Top module name (Verilog): RAM2GS
    +INFO - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(1): compiling module RAM2GS. VERI-1018
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(123): expression size 32 truncated to fit in target size 2. VERI-1209
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(128): expression size 32 truncated to fit in target size 18. VERI-1209
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(255): expression size 32 truncated to fit in target size 4. VERI-1209
    +INFO - synthesis: C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800): compiling module EFB. VERI-1018
    +WARNING - synthesis: c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v(348): input port PLL0DATI7 is not connected on this instance. VDB-1013
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    +Loading device for application map from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.39.
    +Top-level module name = RAM2GS.
    +######## Missing driver on net n1128. Patching with GND.
    +######## Missing driver on net n1132. Patching with GND.
    +######## Missing driver on net n1133. Patching with GND.
    +######## Missing driver on net n1134. Patching with GND.
    +######## Missing driver on net n1135. Patching with GND.
    +######## Missing driver on net n1131. Patching with GND.
    +######## Missing driver on net n1130. Patching with GND.
    +######## Missing driver on net n1136. Patching with GND.
    +######## Missing driver on net n1137. Patching with GND.
    +######## Missing driver on net n1138. Patching with GND.
    +######## Missing driver on net n1139. Patching with GND.
    +######## Missing driver on net n1140. Patching with GND.
    +######## Missing driver on net n1141. Patching with GND.
    +######## Missing driver on net n1142. Patching with GND.
    +######## Missing driver on net n1143. Patching with GND.
    +######## Missing driver on net n1144. Patching with GND.
    +######## Missing driver on net n1145. Patching with GND.
    +######## Missing driver on net n1146. Patching with GND.
    +######## Missing driver on net n1147. Patching with GND.
    +######## Missing driver on net n1148. Patching with GND.
    +######## Missing driver on net n1129. Patching with GND.
    +######## Missing driver on net n1149. Patching with GND.
    +######## Missing driver on net n1150. Patching with GND.
    +######## Missing driver on net n1151. Patching with GND.
    +######## Missing driver on net n1152. Patching with GND.
    +######## Missing driver on net n1153. Patching with GND.
    +######## Missing driver on net n1154. Patching with GND.
    +######## Missing driver on net n1155. Patching with GND.
    +######## Missing driver on net n1156. Patching with GND.
    +######## Missing driver on net n1157. Patching with GND.
    +INFO - synthesis: Extracted state machine for register 'IS' with one-hot encoding
    +original encoding -> new encoding (one-hot encoding)
    +
    + 0000 -> 0000000000000001
    +
    + 0001 -> 0000000000000010
    +
    + 0010 -> 0000000000000100
    +
    + 0011 -> 0000000000001000
    +
    + 0100 -> 0000000000010000
    +
    + 0101 -> 0000000000100000
    +
    + 0110 -> 0000000001000000
    +
    + 0111 -> 0000000010000000
    +
    + 1000 -> 0000000100000000
    +
    + 1001 -> 0000001000000000
    +
    + 1010 -> 0000010000000000
    +
    + 1011 -> 0000100000000000
    +
    + 1100 -> 0001000000000000
    +
    + 1101 -> 0010000000000000
    +
    + 1110 -> 0100000000000000
    +
    + 1111 -> 1000000000000000
    +
    +INFO - synthesis: Extracted state machine for register 'S' with one-hot encoding
    +original encoding -> new encoding (one-hot encoding)
    +
    + 00 -> 0001
    +
    + 01 -> 0010
    +
    + 10 -> 0100
    +
    + 11 -> 1000
    +
    +
    +
    +
    +GSR will not be inferred because no asynchronous signal was found in the netlist.
    +WARNING - synthesis: Initial value found on instance C1Submitted_542 will be ignored.
    +Applying 200.000000 MHz constraint to all clocks
    +
    +WARNING - synthesis: No user .sdc file.
    +Results of NGD DRC are available in RAM2GS_drc.log.
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
    +Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
    +All blocks are expanded and NGD expansion is successful.
    +Writing NGD file RAM2GS_LCMXO2_640HC_impl1.ngd.
    +
    +################### Begin Area Report (RAM2GS)######################
    +Number of register bits => 119 of 877 (13 % )
    +BB => 8
    +CCU2D => 10
    +EFB => 1
    +FD1P3AX => 30
    +FD1P3AY => 4
    +FD1P3IX => 3
    +FD1S3AX => 64
    +FD1S3IX => 14
    +FD1S3JX => 4
    +GSR => 1
    +IB => 25
    +INV => 3
    +LUT4 => 236
    +OB => 30
    +PFUMX => 16
    +################### End Area Report ##################
    +
    +################### Begin BlackBox Report ######################
    +TSALL => 1
    +################### End BlackBox Report ##################
    +
    +################### Begin Clock Report ######################
    +Clock Nets
    +Number of Clocks: 5
    +  Net : RCLK_c, loads : 79
    +  Net : PHI2_c, loads : 11
    +  Net : nCRAS_c, loads : 2
    +  Net : nCCAS_c, loads : 2
    +  Net : wb_clk, loads : 1
    +Clock Enable Nets
    +Number of Clock Enables: 14
    +Top 10 highest fanout Clock Enables:
    +  Net : RCLK_c_enable_27, loads : 16
    +  Net : RCLK_c_enable_20, loads : 4
    +  Net : RCLK_c_enable_25, loads : 2
    +  Net : RCLK_c_enable_24, loads : 2
    +  Net : RCLK_c_enable_29, loads : 2
    +  Net : PHI2_N_151_enable_5, loads : 2
    +  Net : PHI2_N_151_enable_3, loads : 2
    +  Net : PHI2_N_151_enable_1, loads : 1
    +  Net : Ready_N_280, loads : 1
    +  Net : PHI2_N_151_enable_6, loads : 1
    +Highest fanout non-clock nets
    +Top 10 highest fanout non-clock nets:
    +  Net : InitReady, loads : 36
    +  Net : FS_11, loads : 32
    +  Net : FS_10, loads : 32
    +  Net : FS_9, loads : 26
    +  Net : FS_7, loads : 25
    +  Net : FS_8, loads : 23
    +  Net : FS_6, loads : 21
    +  Net : FS_5, loads : 21
    +  Net : FS_12, loads : 20
    +  Net : CmdUFMShift, loads : 16
    +################### End Clock Report ##################
    +
    +Timing Report Summary
    +--------------
    +--------------------------------------------------------------------------------
    +Constraint                              |   Constraint|       Actual|Levels
    +--------------------------------------------------------------------------------
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk3 [get_nets PHI2_c]                  |  200.000 MHz|   38.150 MHz|     8 *
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk2 [get_nets nCCAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk1 [get_nets nCRAS_c]                 |            -|            -|     0  
    +                                        |             |             |
    +create_clock -period 5.000000 -name     |             |             |
    +clk0 [get_nets RCLK_c]                  |  200.000 MHz|   65.694 MHz|    10 *
    +                                        |             |             |
    +--------------------------------------------------------------------------------
    +
    +
    +2 constraints not met.
    +
    +
    +Peak Memory Usage: 57.273  MB
    +
    +--------------------------------------------------------------
    +Elapsed CPU time for LSE flow : 0.952  secs
    +--------------------------------------------------------------
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    + + diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list new file mode 100644 index 0000000..b0c79e4 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_cp_file_list @@ -0,0 +1,350 @@ +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v +3 c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v diff --git a/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file new file mode 100644 index 0000000..ca7af8d --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/impl1/xxx_lse_sign_file @@ -0,0 +1,350 @@ +LSE_CPS_ID_1 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[8:13]" +LSE_CPS_ID_2 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_3 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_4 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_5 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_6 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_7 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_8 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_9 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_10 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_11 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_12 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_13 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_14 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_15 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_16 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_17 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_18 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_19 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_20 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_21 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_22 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_23 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_24 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:133[9] 136[5]" +LSE_CPS_ID_25 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_26 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_27 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_28 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_29 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_30 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_31 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_32 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_33 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_34 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_35 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_36 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_37 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_38 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_39 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_40 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_41 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_42 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_43 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_44 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_45 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_46 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_47 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_48 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_49 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_50 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_51 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_52 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_53 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_54 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_55 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_56 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_57 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_58 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_59 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_60 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_61 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_62 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_63 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_64 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_65 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_66 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_67 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:40[8:12]" +LSE_CPS_ID_68 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:35[8:12]" +LSE_CPS_ID_69 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[15:20]" +LSE_CPS_ID_70 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[8:13]" +LSE_CPS_ID_71 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_72 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_73 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_74 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_75 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_76 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_77 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_78 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:25[14:17]" +LSE_CPS_ID_79 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:33[14:18]" +LSE_CPS_ID_80 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:33[14:18]" +LSE_CPS_ID_81 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_82 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_83 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_84 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_85 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_86 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_87 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_88 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_89 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_90 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:34[14:18]" +LSE_CPS_ID_91 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:7[8:12]" +LSE_CPS_ID_92 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:55[9:14]" +LSE_CPS_ID_93 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:55[16:21]" +LSE_CPS_ID_94 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[34:39]" +LSE_CPS_ID_95 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[23:28]" +LSE_CPS_ID_96 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[45:49]" +LSE_CPS_ID_97 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:44[13:17]" +LSE_CPS_ID_98 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:45[13:17]" +LSE_CPS_ID_99 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_100 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_101 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_102 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_103 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_104 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_105 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_106 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_107 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_108 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_109 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_110 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:51[16:18]" +LSE_CPS_ID_111 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:46[19:22]" +LSE_CPS_ID_112 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:46[19:22]" +LSE_CPS_ID_113 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:11[9:12]" +LSE_CPS_ID_114 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_115 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_116 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_117 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_118 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_119 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_120 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_121 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:26[15:19]" +LSE_CPS_ID_122 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_123 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_124 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_125 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_126 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_127 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_128 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_129 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_130 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_131 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_132 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_133 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_134 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_135 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_136 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_137 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_138 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_139 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_140 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_141 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_142 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_143 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_144 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_145 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_146 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_147 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_148 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_149 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:126[9] 130[5]" +LSE_CPS_ID_150 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_151 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_152 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:160[14] 176[8]" +LSE_CPS_ID_153 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_154 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:206[26:30]" +LSE_CPS_ID_155 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_156 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_157 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_158 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" +LSE_CPS_ID_159 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_160 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_161 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_162 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" +LSE_CPS_ID_163 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_164 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_165 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:115[9] 117[5]" +LSE_CPS_ID_166 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_167 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_168 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_169 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_170 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:59[14:16]" +LSE_CPS_ID_171 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_172 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_173 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_174 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_175 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:225[8:20]" +LSE_CPS_ID_176 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:97[9] 112[5]" +LSE_CPS_ID_177 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_178 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_179 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_180 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_181 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_182 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_183 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_184 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_185 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_186 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_187 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_188 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_189 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_190 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_191 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_192 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_193 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_194 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_195 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:692[20:26]" +LSE_CPS_ID_196 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_197 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_198 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_199 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_200 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:267[12] 276[6]" +LSE_CPS_ID_201 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_202 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_203 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_204 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_205 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_206 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_207 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_208 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_209 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_210 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_211 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_212 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_213 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_214 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_215 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_216 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:90[9] 94[5]" +LSE_CPS_ID_217 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:255[11:15]" +LSE_CPS_ID_218 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:351[9] 730[5]" +LSE_CPS_ID_219 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_220 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_221 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_222 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_223 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_224 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_225 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_226 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:280[9] 315[5]" +LSE_CPS_ID_227 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_228 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_229 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_230 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" +LSE_CPS_ID_231 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_232 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" +LSE_CPS_ID_233 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_234 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_235 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" +LSE_CPS_ID_236 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_237 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_238 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_239 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_240 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:648[30:46]" +LSE_CPS_ID_241 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_242 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_243 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:522[30:46]" +LSE_CPS_ID_244 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_245 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_246 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_247 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_248 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_249 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_250 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_251 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_252 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[12] 729[6]" +LSE_CPS_ID_253 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_254 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_255 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:464[4] 521[11]" +LSE_CPS_ID_256 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:267[12] 276[6]" +LSE_CPS_ID_257 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_258 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_259 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_260 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_261 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_262 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_263 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_264 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_265 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_266 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_267 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_268 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_269 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_270 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:304[17:31]" +LSE_CPS_ID_271 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" +LSE_CPS_ID_272 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_273 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:72[15:31]" +LSE_CPS_ID_274 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_275 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_276 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_277 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_278 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:461[30:46]" +LSE_CPS_ID_279 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_280 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_281 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:178[13] 223[7]" +LSE_CPS_ID_282 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_283 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:83[9] 87[5]" +LSE_CPS_ID_284 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[30:46]" +LSE_CPS_ID_285 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:91[22:51]" +LSE_CPS_ID_286 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_287 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:19[12:17]" +LSE_CPS_ID_288 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:139[9] 277[5]" +LSE_CPS_ID_289 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:222[16:37]" +LSE_CPS_ID_290 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_291 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_292 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_293 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_294 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_295 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_296 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_297 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_298 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_299 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_300 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_301 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_302 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_303 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_304 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_305 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_306 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:684[12] 729[6]" +LSE_CPS_ID_307 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_308 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_309 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:12[15:34]" +LSE_CPS_ID_310 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:135[40:46]" +LSE_CPS_ID_311 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_312 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:178[13] 223[7]" +LSE_CPS_ID_313 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_314 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:525[4] 647[11]" +LSE_CPS_ID_315 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_316 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:301[7:24]" +LSE_CPS_ID_317 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_318 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:135[40:46]" +LSE_CPS_ID_319 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_320 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_321 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_322 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_323 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_324 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_325 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:710[16:26]" +LSE_CPS_ID_326 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_327 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_328 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_329 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:187[13] 223[7]" +LSE_CPS_ID_330 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_331 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:247[14] 254[8]" +LSE_CPS_ID_332 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_333 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:128[9:13]" +LSE_CPS_ID_334 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_335 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_336 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:359[12] 729[6]" +LSE_CPS_ID_337 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_338 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:187[13] 223[7]" +LSE_CPS_ID_339 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:224[12] 276[6]" +LSE_CPS_ID_340 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:123[13:16]" +LSE_CPS_ID_341 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:78[13:15]" +LSE_CPS_ID_342 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:57[17:46]" +LSE_CPS_ID_343 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_344 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_345 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_346 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_347 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:54[19:54]" +LSE_CPS_ID_348 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:717[16:47]" +LSE_CPS_ID_349 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:7[8:12]" +LSE_CPS_ID_350 "c:/users/dog/documents/github/ram2gs/cpld/lcmxo2/ram2gs-lcmxo2.v:15[15:20]" diff --git a/CPLD/LCMXO2/LCMXO2-640HC/msg_file.log b/CPLD/LCMXO2/LCMXO2-640HC/msg_file.log new file mode 100644 index 0000000..e93ec92 --- /dev/null +++ b/CPLD/LCMXO2/LCMXO2-640HC/msg_file.log @@ -0,0 +1,29 @@ +SCUBA, Version Diamond (64-bit) 3.12.0.240.2 +Tue Aug 17 05:48:29 2021 + +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved. +Copyright (c) 1995 AT&T Corp. All rights reserved. +Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved. +Copyright (c) 2001 Agere Systems All rights reserved. +Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved. + +BEGIN SCUBA Module Synthesis + + Issued command : C:\lscc\diamond\3.12\ispfpga\bin\nt64\scuba.exe -w -n EFB -lang verilog -synth lse -bus_exp 7 -bb -type efb -arch xo2c00 -freq 16 -ufm -ufm_ebr 190 -mem_size 1 -ufm_0 -wb -dev 640 + Circuit name : EFB + Module type : efb + Module Version : 1.2 + Ports : + Inputs : wb_clk_i, wb_rst_i, wb_cyc_i, wb_stb_i, wb_we_i, wb_adr_i[7:0], wb_dat_i[7:0] + Outputs : wb_dat_o[7:0], wb_ack_o, wbc_ufm_irq + I/O buffer : not inserted + EDIF output : EFB.edn + Verilog output : EFB.v + Verilog template : EFB_tmpl.v + Verilog purpose : for synthesis and simulation + Bus notation : big endian + Report output : EFB.srp + Estimated Resource Usage: + +END SCUBA Module Synthesis + diff --git a/CPLD/LCMXO2/RAM2GS-LCMXO2.v b/CPLD/LCMXO2/RAM2GS-LCMXO2.v new file mode 100644 index 0000000..48ace8c --- /dev/null +++ b/CPLD/LCMXO2/RAM2GS-LCMXO2.v @@ -0,0 +1,731 @@ +module RAM2GS(PHI2, MAin, CROW, Din, Dout, + nCCAS, nCRAS, nFWE, LED, + RBA, RA, RD, nRCS, RCLK, RCKE, + nRWE, nRRAS, nRCAS, RDQMH, RDQML); + + /* 65816 Phase 2 Clock */ + input PHI2; + + /* Activity LED */ + reg LEDEN = 0; + output LED; + assign LED = ~(~nCRAS && LEDEN); + + /* Async. DRAM Control Inputs */ + input nCCAS, nCRAS; + + /* Synchronized PHI2 and DRAM signals */ + reg PHI2r, PHI2r2, PHI2r3; + reg RASr, RASr2, RASr3; + reg CASr, CASr2, CASr3; + reg FWEr; + reg CBR; + + /* 65816 Data */ + input [7:0] Din; + output [7:0] Dout; + assign Dout[7:0] = RD[7:0]; + + /* Latched 65816 Bank Address */ + reg [7:0] Bank; + + /* Async. DRAM Address Bus */ + input [1:0] CROW; + input [9:0] MAin; + input nFWE; + reg n8MEGEN = 0; + reg XOR8MEG = 0; + + /* SDRAM Clock */ + input RCLK; + + /* SDRAM */ + reg RCKEEN; + output reg RCKE = 0; + output reg nRCS = 1, nRRAS = 1, nRCAS = 1, nRWE = 1; + output reg [1:0] RBA; + reg nRowColSel; + reg RA11; + reg RA10; + reg [9:0] RowA; + output [11:0] RA; + assign RA[11] = RA11; + assign RA[10] = RA10; + assign RA[9:0] = ~nRowColSel ? RowA[9:0] : MAin[9:0]; + output RDQML, RDQMH; + assign RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; + assign RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; + reg [7:0] WRD; + inout [7:0] RD = (~nCCAS & ~nFWE) ? WRD[7:0] : 8'bZ; + + /* UFM Command Interface */ + reg C1Submitted = 0; + reg ADSubmitted = 0; + reg CmdEnable = 0; + reg CmdSubmitted = 0; + reg CmdLEDEN = 0; + reg Cmdn8MEGEN = 0; + reg CmdUFMData = 0; + reg CmdUFMShift = 0; + wire ADWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFF & ~nFWE; + wire C1WR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFE & ~nFWE; + wire CMDWR = Bank[7:0]==8'hFB & MAin[7:0]==8'hFD & ~nFWE; + + /* State Counters */ + reg InitReady = 0; // 1 if ready for init sequence + reg Ready = 0; // 1 if done with init sequence + reg [1:0] S = 0; // post-RAS State counter + reg [17:0] FS = 0; // Fast init state counter + reg [3:0] IS = 0; // Init state counter + reg WriteDone; + + /* Synchronize PHI2, RAS, CAS */ + always @(posedge RCLK) begin + PHI2r <= PHI2; PHI2r2 <= PHI2r; PHI2r3 <= PHI2r2; + RASr <= ~nCRAS; RASr2 <= RASr; RASr3 <= RASr2; + CASr <= ~nCCAS; CASr2 <= CASr; CASr3 <= CASr2; + end + + /* Latch 65816 bank when PHI2 rises */ + always @(posedge PHI2) begin + if (Ready) RA11 <= (Din[6] & ~n8MEGEN) ^ XOR8MEG; // Set RA11 + else RA11 <= 1'b0; // Reserved in mode register + Bank[7:0] <= Din[7:0]; // Latch bank + end + + /* Latch bank address, row address, WE, and CAS when RAS falls */ + always @(negedge nCRAS) begin + if (Ready) begin + RBA[1:0] <= CROW[1:0]; + RowA[9:0] <= MAin[9:0]; + end else begin + RBA[1:0] <= 2'b00; // Reserved in mode register + RowA[9] <= 1'b1; // "1" for single write mode + RowA[8] <= 1'b0; // Reserved + RowA[7] <= 1'b0; // "0" for not test mode + RowA[6:4] <= 3'b010; // "2" for CAS latency 2 + RowA[3] <= 1'b0; // "0" for sequential burst (not used) + RowA[2:0] <= 3'b000; // "0" for burst length 1 (no burst) + end + FWEr <= ~nFWE; + CBR <= ~nCCAS; + end + + /* Latch write data when CAS falls */ + always @(negedge nCCAS) begin + WRD[7:0] <= Din[7:0]; + end + + /* State counter from RAS */ + always @(posedge RCLK) begin + if (~RASr2) S <= 0; + else if (S==2'h3) S <= 2'h3; + else S <= S+1; + end + /* Init state counter */ + always @(posedge RCLK) begin + // Wait ~4.178ms (at 62.5 MHz) before starting init sequence + FS <= FS+1; + if (FS[17:10] == 8'hFF) InitReady <= 1'b1; + end + + /* SDRAM CKE */ + always @(posedge RCLK) begin + // Only 1 LUT4 allowed for this function! + RCKE <= ((RASr | RASr2) & RCKEEN) | (~RASr2 & RASr3); + end + + /* SDRAM command */ + always @(posedge RCLK) begin + if (Ready) begin + if (S==0) begin + if (RASr2) begin + if (CBR) begin + // AREF + nRCS <= 1'b0; + nRRAS <= 1'b0; + nRCAS <= 1'b0; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + end else begin + // ACT + nRCS <= 1'b0; + nRRAS <= 1'b0; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // Bank RA10 consistently "1" + end + // Enable clock only for reads + RCKEEN <= ~CBR & ~FWEr; + end else if (RCKE) begin + // PCall + nRCS <= 1'b0; + nRRAS <= 1'b0; + nRCAS <= 1'b1; + nRWE <= 1'b0; + RA10 <= 1'b1; // "all" + RCKEEN <= 1'b1; + end else begin + // NOP + nRCS <= 1'b1; + nRRAS <= 1'b1; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + RCKEEN <= 1'b1; + end + nRowColSel <= 1'b0; // Select registered row addres + end else if (S==1) begin + // NOP + nRCS <= 1'b1; + nRRAS <= 1'b1; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + nRowColSel <= 1'b1; // Select asynchronous column address + RCKEEN <= ~CBR; // Disable clock if refresh cycle + end else if (S==2) begin + if (~FWEr & ~CBR) begin + // RD + nRCS <= 1'b0; + nRRAS <= 1'b1; + nRCAS <= 1'b0; + nRWE <= 1'b1; + RA10 <= 1'b1; // Auto-precharge + end else begin + // NOP + nRCS <= 1'b1; + nRRAS <= 1'b1; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + end + nRowColSel <= 1'b1; // Select asynchronous column address + RCKEEN <= ~CBR & FWEr; // Enable clock only for writes + end else if (S==3) begin + if (CASr2 & ~CASr3 & ~CBR & FWEr) begin + // WR + nRCS <= 1'b0; + nRRAS <= 1'b1; + nRCAS <= 1'b0; + nRWE <= 1'b0; + RA10 <= 1'b1; // Auto-precharge + end else begin + // NOP + nRCS <= 1'b1; + nRRAS <= 1'b1; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + end + nRowColSel <= ~(~FWEr | CASr3 | CBR); + RCKEEN <= ~(~FWEr | CASr2 | CBR); + end + end else if (InitReady) begin + if (S==0 & RASr2) begin + if (IS==0) begin + // NOP + nRCS <= 1'b1; + nRRAS <= 1'b1; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + end else if (IS==1) begin + // PC all + nRCS <= 1'b0; + nRRAS <= 1'b0; + nRCAS <= 1'b1; + nRWE <= 1'b0; + RA10 <= 1'b1; // "all" + end else if (IS==9) begin + // Load mode register + nRCS <= 1'b0; + nRRAS <= 1'b0; + nRCAS <= 1'b0; + nRWE <= 1'b0; + RA10 <= 1'b0; // Reserved in mode register + end else begin + // AREF + nRCS <= 1'b0; + nRRAS <= 1'b0; + nRCAS <= 1'b0; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + end + IS <= IS+1; + end else begin + // NOP + nRCS <= 1'b1; + nRRAS <= 1'b1; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + end + if (S==3 & ~RASr2 & IS==15) Ready <= 1'b1; + nRowColSel <= 1'b0; // Select registered row address + RCKEEN <= 1'b1; + end else begin + // NOP + nRCS <= 1'b1; + nRRAS <= 1'b1; + nRCAS <= 1'b1; + nRWE <= 1'b1; + RA10 <= 1'b1; // RA10 is don't care + nRowColSel <= 1'b0; // Select registered row address + RCKEEN <= 1'b0; + end + end + + /* Submit command when PHI2 falls */ + always @(negedge PHI2) begin + // Magic number check + if (C1WR & Din[7:0]==8'hC1) begin // "C1" magic number + if (ADSubmitted) begin + CmdEnable <= 1'b1; + end + C1Submitted <= 1'b1; + ADSubmitted <= 1'b0; + end else if (ADWR & Din[7:0]==8'hAD) begin // "AD" magic number + if (C1Submitted) begin + CmdEnable <= 1'b1; + end + ADSubmitted <= 1'b1; + C1Submitted <= 1'b0; + end else if (C1WR | ADWR) begin // wrong magic number submitted + CmdEnable <= 1'b0; + C1Submitted <= 1'b0; + ADSubmitted <= 1'b0; + end else if (CMDWR) CmdEnable <= 1'b0; + + // Submit command + if (CMDWR & CmdEnable) begin + if (Din[7:4]==4'h0) begin + XOR8MEG <= Din[0]; + end else if (Din[7:4]==4'h1) begin + CmdLEDEN <= ~Din[1]; + Cmdn8MEGEN <= ~Din[0]; + end else if (Din[7:4]==4'h3 && Din[3]) begin + CmdLEDEN <= LEDEN; + Cmdn8MEGEN <= n8MEGEN; + CmdUFMShift <= Din[1]; + CmdUFMData <= Din[0]; + end + CmdSubmitted <= 1; + end else CmdSubmitted <= 0; + end + + reg wb_clk; + reg wb_rst; + reg wb_cyc_stb; + reg wb_we; + reg [7:0] wb_adr; + reg [7:0] wb_dati; + wire [1:0] wb_dato; + + EFB ufmefb ( + .WBCLKI(wb_clk), + .WBRSTI(wb_rst), + .WBCYCI(wb_cyc_stb), + .WBSTBI(wb_cyc_stb), + .WBWEI(wb_we), + .WBADRI7(wb_adr[7]), + .WBADRI6(wb_adr[6]), + .WBADRI5(wb_adr[5]), + .WBADRI4(wb_adr[4]), + .WBADRI3(wb_adr[3]), + .WBADRI2(wb_adr[2]), + .WBADRI1(wb_adr[1]), + .WBADRI0(wb_adr[0]), + .WBDATI7(wb_dati[7]), + .WBDATI6(wb_dati[6]), + .WBDATI5(wb_dati[5]), + .WBDATI4(wb_dati[4]), + .WBDATI3(wb_dati[3]), + .WBDATI2(wb_dati[2]), + .WBDATI1(wb_dati[1]), + .WBDATI0(wb_dati[0]), + .WBDATO1(wb_dato[1]), + .WBDATO0(wb_dato[0])); + + /* UFM Control */ + always @(posedge RCLK) begin + if (~InitReady && FS[17:10]==8'h00) begin + wb_clk <= 1'b0; + wb_rst <= ~FS[9]; + wb_cyc_stb <= 1'b0; + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + end else if (~InitReady && FS[17:10]==8'h01) begin + wb_clk <= FS[2]; + wb_rst <= 1'b0; + case (FS[9:5]) + 5'h00: begin // Open frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h80; + wb_cyc_stb <= ~FS[4]; + end 5'h01: begin // Enable configuration interface - command + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h74; + wb_cyc_stb <= ~FS[4]; + end 5'h02: begin // Enable configuration interface - operand 1/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h08; + wb_cyc_stb <= ~FS[4]; + end 5'h03: begin // Enable configuration interface - operand 2/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h04: begin // Enable configuration interface - operand 3/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h1F: begin // Close frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end default: begin + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= 1'b0; + end + endcase + end else if (~InitReady && FS[17:10]==8'h02) begin + wb_clk <= FS[2]; + wb_rst <= 1'b0; + case (FS[9:5]) + 5'h00: begin // Open frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h80; + wb_cyc_stb <= ~FS[4]; + end 5'h01: begin // Poll status register - command + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h3C; + wb_cyc_stb <= ~FS[4]; + end 5'h02: begin // Poll status register - operand 1/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h03: begin // Poll status register - operand 2/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h04: begin // Poll status register - operand 3/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h05: begin // Read status register 1/4 + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h73; + wb_dati[7:0] <= 8'h3C; + wb_cyc_stb <= ~FS[4]; + end 5'h06: begin // Read status register 2/4 + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h73; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h07: begin // Read status register 3/4 + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h73; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h08: begin // Read status register 4/4 + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h73; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h1F: begin // Close frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end default: begin + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= 1'b0; + end + endcase + end else if (~InitReady && FS[17:10]==8'h03) begin + wb_clk <= FS[2]; + wb_rst <= 1'b0; + case (FS[9:5]) + 5'h00: begin // Open frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h80; + wb_cyc_stb <= ~FS[4]; + end 5'h01: begin // Set UFM address - command + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'hB4; + wb_cyc_stb <= ~FS[4]; + end 5'h02: begin // Set UFM address - operand 1/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h03: begin // Set UFM address - operand 2/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h04: begin // Set UFM address - operand 3/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h05: begin // Set UFM address - data 1/4 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h40; + wb_cyc_stb <= ~FS[4]; + end 5'h06: begin // Set UFM address - data 2/4 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h07: begin // Set UFM address - data 3/4 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h08: begin // Set UFM address - data 4/4 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h01; + wb_cyc_stb <= ~FS[4]; + end 5'h1F: begin // Close frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end default: begin + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= 1'b0; + end + endcase + end else if (~InitReady && FS[17:10]==8'h04) begin + wb_clk <= FS[2]; + wb_rst <= 1'b0; + case (FS[9:5]) + 5'h00: begin // Open frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h80; + wb_cyc_stb <= ~FS[4]; + end 5'h01: begin // Read UFM page - command + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'hCA; + wb_cyc_stb <= ~FS[4]; + end 5'h02: begin // Read UFM page - operand 1/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h10; + wb_cyc_stb <= ~FS[4]; + end 5'h03: begin // Read UFM page - operand 2/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h04: begin // Read UFM page - operand 3/3 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h01; + wb_cyc_stb <= ~FS[4]; + end 5'h05: begin // Read UFM page - data 1/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + + if (FS[4:0]==5'h0C) begin + LEDEN <= wb_dato[1]; + n8MEGEN <= wb_dato[0]; + end + end 5'h06: begin // Read UFM page - data 2/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h07: begin // Read UFM page - data 3/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h08: begin // Read UFM page - data 4/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h09: begin // Read UFM page - data 5/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h0A: begin // Read UFM page - data 6/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h0B: begin // Read UFM page - data 7/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h0C: begin // Read UFM page - data 8/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h0D: begin // Read UFM page - data 9/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h0E: begin // Read UFM page - data 10/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h0F: begin // Read UFM page - data 11/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h10: begin // Read UFM page - data 12/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h11: begin // Read UFM page - data 13/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h12: begin // Read UFM page - data 14/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h13: begin // Read UFM page - data 15/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h14: begin // Read UFM page - data 16/16 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h1F: begin // Close frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end default: begin + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= 1'b0; + end + endcase + end else if (~InitReady && FS[17:10]==8'h05) begin + wb_clk <= FS[2]; + wb_rst <= 1'b0; + case (FS[9:5]) + 5'h00: begin // Open frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h80; + wb_cyc_stb <= ~FS[4]; + end 5'h01: begin // Disable configuration interface - command + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h26; + wb_cyc_stb <= ~FS[4]; + end 5'h02: begin // Disable configuration interface - operand 1/2 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h03: begin // Disable configuration interface - operand 2/2 + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end 5'h1F: begin // Close frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end default: begin + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= 1'b0; + end + endcase + end else if (~InitReady && FS[17:10]==8'h06) begin + wb_clk <= FS[2]; + wb_rst <= 1'b0; + case (FS[9:5]) + 5'h00: begin // Open frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h80; + wb_cyc_stb <= ~FS[4]; + end 5'h01: begin // Disable configuration interface - command + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h71; + wb_dati[7:0] <= 8'hFF; + wb_cyc_stb <= ~FS[4]; + end 5'h1F: begin // Close frame + wb_we <= 1'b1; + wb_adr[7:0] <= 8'h70; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= ~FS[4]; + end default: begin + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + wb_cyc_stb <= 1'b0; + end + endcase + end else if (~InitReady) begin + wb_clk <= 1'b0; + wb_rst <= 1'b0; + wb_cyc_stb <= 1'b0; + wb_we <= 1'b0; + wb_adr[7:0] <= 8'h00; + wb_dati[7:0] <= 8'h00; + end else if (~PHI2r2 & PHI2r3 & CmdSubmitted) begin + // Set user command signals after PHI2 falls + // CmdnLEDEN, Cmdn8MEGEN, CmdUFMShift, CmdUFMData + LEDEN <= CmdLEDEN; + n8MEGEN <= Cmdn8MEGEN; + if (CmdUFMShift) begin + wb_adr[7:0] <= { wb_adr[6:0], wb_dati[7] }; + wb_dati[7:0] <= { wb_dati[6:0], wb_we }; + wb_we <= wb_cyc_stb; + wb_cyc_stb <= CmdUFMData; + wb_clk <= 1'b0; + end else wb_clk <= 1'b1; + end + end +endmodule diff --git a/CPLD/MAX/MAXII/RAM2GS.qws b/CPLD/MAX/MAXII/RAM2GS.qws index b0761a5349eac02c3f91222206380c2ce3b47e72..4620ebd54aa138f6cfdb41c02b9004f436262c7c 100644 GIT binary patch literal 818 zcmc(dyG{a85QcwH5=tv83kqY12^Wdtr4sR0U}8WMJG1PrN)VU8q9(={@YQT=eFq;w z#cxiQh8PoVJSTJJ_Me@9=InM}leM60c^WF#x$YEcpe|mYn6D5}3W-(MmP$G$6M`6G z?}EKdw6BqRWNtuO?m!)^L!xcGrfND;g=h<}u46U0T_RS~*;KxeM2osjYB%(t4XvuG zb#$Oj&H~!UuVSsR1C_Bn&cJ2MSQW(61>4gaJOcPV{#P$H#MBeV7}19vQl>@l4P!Qu zEIRw1I4Gr&C8ib9MXa25m}tz7m~>7j=!n;A)8W9N8na9%Yfk%I4QbsVzPjIm95pWF zd8ZC9di9q|_FobRmX0M>O2=i-MiX*5Vrq^f6>TIcQr%E;>(O90%pM8?<*El YuP@K;LsrABOp`7$6Ay-;Cg!L01?`7}%m4rY delta 246 zcmdnQ_LOCUc0B_SFaog;Lp4JRgDHav5QFFxhBO9U24f)Kh#?ioG6cdDAl79_WH4Z` z0J98$EF&OJ1gcE~k|scC2$ZY+4+LO?R{}{e>o3$A5T(Gt!1Ut}6NvfS9!M%Nga9=s zG2{R(Qeemj8l}MC45afIN`N9DvKZt-AYl3dBw`rArnBgS_>;RCPjZ7~Re*-%GZaj| Z$YeBm4^zDOcaVAz04n|m!XP#i0|3TcGROb` diff --git a/CPLD/MAX/MAXII/db/RAM2GS.db_info b/CPLD/MAX/MAXII/db/RAM2GS.db_info index c0baa44..5d4d051 100644 --- a/CPLD/MAX/MAXII/db/RAM2GS.db_info +++ b/CPLD/MAX/MAXII/db/RAM2GS.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Mon Aug 16 18:36:28 2021 +Creation_Time = Tue Sep 28 21:30:08 2021 diff --git a/CPLD/MAX/MAXII/db/RAM2GS.ipinfo b/CPLD/MAX/MAXII/db/RAM2GS.ipinfo index fa2304dd52e67aba538ff1d8d0aba1434af3953a..b9c26971f85c34a6e2ceded3667f29df5e2c05c5 100644 GIT binary patch delta 184 zcmdnU*vvE`xW1eL0uDmyP$j^BG`e$?)G#QN?4+UJ!@Bx|j|9=Hz zQc^zY Q`1?mJLhMq<4?v6n00~k+{{R30 delta 101 zcmZo>+Q>K|IF5q>0-B(-E|lH~r7IYJ{r~^Jo=pM7|Np;&F)1Y>B_JUo!C=4a23Ze7 g4yKLi4eJ-j?@4#qvwZ&S`aRvk9&;KwI~c*r0hz@e+5i9m diff --git a/CPLD/MAX/MAXII/db/RAM2GS.tmw_info b/CPLD/MAX/MAXII/db/RAM2GS.tmw_info index 162d740..16f5f5b 100644 --- a/CPLD/MAX/MAXII/db/RAM2GS.tmw_info +++ b/CPLD/MAX/MAXII/db/RAM2GS.tmw_info @@ -1,6 +1,6 @@ -start_full_compilation:s:00:00:09 -start_analysis_synthesis:s:00:00:02-start_full_compilation +start_full_compilation:s +start_analysis_synthesis:s-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:04-start_full_compilation -start_assembler:s:00:00:01-start_full_compilation -start_timing_analyzer:s:00:00:02-start_full_compilation +start_fitter:s-start_full_compilation +start_assembler:s-start_full_compilation +start_timing_analyzer:s-start_full_compilation diff --git a/CPLD/MAX/RAM2GS-MAX.v b/CPLD/MAX/RAM2GS-MAX.v index 8be9f35..b18d542 100644 --- a/CPLD/MAX/RAM2GS-MAX.v +++ b/CPLD/MAX/RAM2GS-MAX.v @@ -8,7 +8,8 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, /* Activity LED */ reg LEDEN = 0; - output LED = ~(~nCRAS && LEDEN); + output LED; + assign LED = ~(~nCRAS && LEDEN); /* Async. DRAM Control Inputs */ input nCCAS, nCRAS; @@ -22,7 +23,8 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, /* 65816 Data */ input [7:0] Din; - output [7:0] Dout = RD[7:0]; + output [7:0] Dout; + assign Dout[7:0] = RD[7:0]; /* Latched 65816 Bank Address */ reg [7:0] Bank; @@ -50,8 +52,9 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, assign RA[11] = RA11; assign RA[10] = RA10; assign RA[9:0] = ~nRowColSel ? RowA[9:0] : MAin[9:0]; - output RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; - output RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; + output RDQML, RDQMH; + assign RDQML = ~nRowColSel ? 1'b1 : ~MAin[9]; + assign RDQMH = ~nRowColSel ? 1'b1 : MAin[9]; reg [7:0] WRD; inout [7:0] RD = (~nCCAS & ~nFWE) ? WRD[7:0] : 8'bZ; @@ -97,6 +100,7 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, reg ADSubmitted = 0; reg CmdEnable = 0; reg CmdSubmitted = 0; + reg CmdLEDEN = 0; reg Cmdn8MEGEN = 0; reg CmdDRCLK = 0; reg CmdDRDIn = 0; @@ -339,9 +343,11 @@ module RAM2GS(PHI2, MAin, CROW, Din, Dout, if (Din[7:4]==4'h0) begin XOR8MEG <= Din[0]; end else if (Din[7:4]==4'h1) begin + CmdLEDEN <= ~Din[1]; Cmdn8MEGEN <= ~Din[0]; CmdSubmitted <= 1'b1; - end else if (Din[7:4]==4'h2) begin + end else if (Din[7:4]==4'h2 && Din[3]==1'b0) begin + CmdLEDEN <= LEDEN; Cmdn8MEGEN <= n8MEGEN; CmdUFMErase <= Din[3]; CmdUFMPrgm <= Din[2];
    BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Tue Aug 17 06:21:07 2021
    +
    +
    +Command: bitgen -g RamCfg:Reset -path C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC -w -jedec -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO2/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf 
    +
    +Loading design for application Bitgen from file RAM2GS_LCMXO2_640HC_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO2-640HC
    +Package:     TQFP100
    +Performance: 4
    +Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.39.
    +Performance Hardware Data Status:   Final          Version 34.4.
    +
    +Running DRC.
    +DRC detected 0 errors and 0 warnings.
    +Reading Preference File from RAM2GS_LCMXO2_640HC_impl1.prf.
    +
    +
    +Preference Summary:
    +
    ++---------------------------------+---------------------------------+
    +|  Preference                     |  Current Setting                |
    ++---------------------------------+---------------------------------+
    +|                         RamCfg  |                        Reset**  |
    ++---------------------------------+---------------------------------+
    +|                     MCCLK_FREQ  |                         2.08**  |
    ++---------------------------------+---------------------------------+
    +|                  CONFIG_SECURE  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    +|                          INBUF  |                           ON**  |
    ++---------------------------------+---------------------------------+
    +|                      JTAG_PORT  |                       ENABLE**  |
    ++---------------------------------+---------------------------------+
    +|                       SDM_PORT  |                      DISABLE**  |
    ++---------------------------------+---------------------------------+
    +|                 SLAVE_SPI_PORT  |                      DISABLE**  |
    ++---------------------------------+---------------------------------+
    +|                MASTER_SPI_PORT  |                      DISABLE**  |
    ++---------------------------------+---------------------------------+
    +|                       I2C_PORT  |                      DISABLE**  |
    ++---------------------------------+---------------------------------+
    +|        MUX_CONFIGURATION_PORTS  |                      DISABLE**  |
    ++---------------------------------+---------------------------------+
    +|                  CONFIGURATION  |                          CFG**  |
    ++---------------------------------+---------------------------------+
    +|                COMPRESS_CONFIG  |                           ON**  |
    ++---------------------------------+---------------------------------+
    +|                        MY_ASSP  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    +|               ONE_TIME_PROGRAM  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    +|                 ENABLE_TRANSFR  |                      DISABLE**  |
    ++---------------------------------+---------------------------------+
    +|                  SHAREDEBRINIT  |                      DISABLE**  |
    ++---------------------------------+---------------------------------+
    +|            BACKGROUND_RECONFIG  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    + *  Default setting.
    + ** The specified setting matches the default setting.
    +
    +
    +Creating bit map...
    + 
    +Bitstream Status: Final           Version 1.95.
    + 
    +Saving bit stream in "RAM2GS_LCMXO2_640HC_impl1.jed".
    + 
    +===========
    +UFM Summary.
    +===========
    +UFM Size:        191 Pages (128*191 Bits).
    +UFM Utilization: General Purpose Flash Memory.
    + 
    +Available General Purpose Flash Memory:  191 Pages (Page 0 to Page 190).
    +Initialized UFM Pages:                     0 Page.
    + 
    +Total CPU Time: 1 secs 
    +Total REAL Time: 2 secs 
    +Peak Memory Usage: 245 MB
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Mon Aug 16 21:36:33 2021
    +
    +
    +Command: bitgen -w -g ES:No -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO640C/promote.xml RAM2GS_LCMXO640C_impl1.ncd RAM2GS_LCMXO640C_impl1.prf 
    +
    +Loading design for application Bitgen from file RAM2GS_LCMXO640C_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO640C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application Bitgen from file 'mj5g12x10.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.17.
    +Performance Hardware Data Status: Version 1.124.
    +
    +Running DRC.
    +DRC detected 0 errors and 0 warnings.
    +Reading Preference File from RAM2GS_LCMXO640C_impl1.prf.
    +
    +
    +Preference Summary:
    +
    ++---------------------------------+---------------------------------+
    +|  Preference                     |  Current Setting                |
    ++---------------------------------+---------------------------------+
    +|                  CONFIG_SECURE  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    +|                          INBUF  |                           ON**  |
    ++---------------------------------+---------------------------------+
    +|                             ES  |                           No**  |
    ++---------------------------------+---------------------------------+
    + *  Default setting.
    + ** The specified setting matches the default setting.
    +
    +
    +Creating bit map...
    +Saving bit stream in "RAM2GS_LCMXO640C_impl1.bit".
    +Total CPU Time: 0 secs 
    +Total REAL Time: 0 secs 
    +Peak Memory Usage: 46 MB
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    BITGEN: Bitstream Generator Diamond (64-bit) 3.12.0.240.2
    +Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
    +Copyright (c) 1995 AT&T Corp.   All rights reserved.
    +Copyright (c) 1995-2001 Lucent Technologies Inc.  All rights reserved.
    +Copyright (c) 2001 Agere Systems   All rights reserved.
    +Copyright (c) 2002-2020 Lattice Semiconductor Corporation,  All rights reserved.
    +Mon Aug 16 21:36:25 2021
    +
    +
    +Command: bitgen -w -g ES:No -gui -msgset C:/Users/Dog/Documents/GitHub/RAM2GS/CPLD/LCMXO/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf 
    +
    +Loading design for application Bitgen from file RAM2GS_LCMXO256C_impl1.ncd.
    +Design name: RAM2GS
    +NCD version: 3.3
    +Vendor:      LATTICE
    +Device:      LCMXO256C
    +Package:     TQFP100
    +Performance: 3
    +Loading device for application Bitgen from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
    +Package Status:                     Final          Version 1.19.
    +Performance Hardware Data Status: Version 1.124.
    +
    +Running DRC.
    +DRC detected 0 errors and 0 warnings.
    +Reading Preference File from RAM2GS_LCMXO256C_impl1.prf.
    +
    +
    +Preference Summary:
    +
    ++---------------------------------+---------------------------------+
    +|  Preference                     |  Current Setting                |
    ++---------------------------------+---------------------------------+
    +|                  CONFIG_SECURE  |                          OFF**  |
    ++---------------------------------+---------------------------------+
    +|                          INBUF  |                           ON**  |
    ++---------------------------------+---------------------------------+
    +|                             ES  |                           No**  |
    ++---------------------------------+---------------------------------+
    + *  Default setting.
    + ** The specified setting matches the default setting.
    +
    +
    +Creating bit map...
    +Saving bit stream in "RAM2GS_LCMXO256C_impl1.bit".
    +Total CPU Time: 0 secs 
    +Total REAL Time: 0 secs 
    +Peak Memory Usage: 44 MB
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +
    +