RAM2GS/CPLD/LCMXO256C/impl1/RAM2GS_LCMXO256C_impl1_mapv...

3075 lines
111 KiB
Plaintext

(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "RAM2GS")
(DATE "Sat Jan 06 06:25:00 2024")
(VENDOR "Lattice")
(PROGRAM "ldbanno")
(VERSION "Diamond (64-bit) 3.12.1.454")
(DIVIDER /)
(VOLTAGE 1.26:1.20:1.14)
(PROCESS "default")
(TEMPERATURE -40:25:85)
(TIMESCALE 1ps)
(CELL
(CELLTYPE "SLICE_0")
(INSTANCE SLICE_0)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
)
)
(CELL
(CELLTYPE "SLICE_1")
(INSTANCE SLICE_1)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_2")
(INSTANCE SLICE_2)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
(IOPATH FCI FCO (115:128:141)(115:128:141))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_3")
(INSTANCE SLICE_3)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
(IOPATH FCI FCO (115:128:141)(115:128:141))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_4")
(INSTANCE SLICE_4)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
(IOPATH FCI FCO (115:128:141)(115:128:141))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_5")
(INSTANCE SLICE_5)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
(IOPATH FCI FCO (115:128:141)(115:128:141))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_6")
(INSTANCE SLICE_6)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
(IOPATH FCI FCO (115:128:141)(115:128:141))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_7")
(INSTANCE SLICE_7)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
(IOPATH FCI FCO (115:128:141)(115:128:141))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_8")
(INSTANCE SLICE_8)
(DELAY
(ABSOLUTE
(IOPATH A1 FCO (619:692:766)(619:692:766))
(IOPATH A0 FCO (713:797:882)(713:797:882))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
(IOPATH FCI FCO (115:128:141)(115:128:141))
)
)
(TIMINGCHECK
(SETUPHOLD A1 (posedge CLK) (417:466:515)(-161:-170:-179))
(SETUPHOLD A0 (posedge CLK) (693:775:857)(-167:-176:-185))
(SETUPHOLD FCI (posedge CLK) (542:606:671)(-378:-400:-423))
)
)
(CELL
(CELLTYPE "SLICE_9")
(INSTANCE SLICE_9)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (174:174:174)(-78:-78:-78))
)
)
(CELL
(CELLTYPE "SLICE_14")
(INSTANCE SLICE_14)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (174:174:174)(-78:-78:-78))
)
)
(CELL
(CELLTYPE "SLICE_20")
(INSTANCE SLICE_20)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (174:174:174)(-78:-78:-78))
)
)
(CELL
(CELLTYPE "SLICE_21")
(INSTANCE SLICE_21)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (174:174:174)(-78:-78:-78))
(SETUPHOLD CE (negedge CLK) (213:239:265)(-85:-90:-95))
)
)
(CELL
(CELLTYPE "SLICE_22")
(INSTANCE SLICE_22)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (174:174:174)(-78:-78:-78))
)
)
(CELL
(CELLTYPE "SLICE_26")
(INSTANCE SLICE_26)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (174:174:174)(-78:-78:-78))
(SETUPHOLD CE (negedge CLK) (213:239:265)(-85:-90:-95))
)
)
(CELL
(CELLTYPE "SLICE_29")
(INSTANCE SLICE_29)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_30")
(INSTANCE SLICE_30)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD CE (posedge CLK) (197:220:244)(-71:-76:-81))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_31")
(INSTANCE SLICE_31)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD CE (posedge CLK) (197:220:244)(-71:-76:-81))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_32")
(INSTANCE SLICE_32)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_33")
(INSTANCE SLICE_33)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD CE (posedge CLK) (197:220:244)(-71:-76:-81))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_38")
(INSTANCE SLICE_38)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD LSR (posedge CLK) (505:565:626)(-211:-223:-235))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_39")
(INSTANCE SLICE_39)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD LSR (posedge CLK) (505:565:626)(-211:-223:-235))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_41")
(INSTANCE SLICE_41)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_42")
(INSTANCE SLICE_42)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_43")
(INSTANCE SLICE_43)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_44")
(INSTANCE SLICE_44)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_50")
(INSTANCE SLICE_50)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD LSR (posedge CLK) (505:565:626)(-211:-223:-235))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_51")
(INSTANCE SLICE_51)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_52")
(INSTANCE SLICE_52)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_55")
(INSTANCE SLICE_55)
(DELAY
(ABSOLUTE
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
)
)
(CELL
(CELLTYPE "SLICE_56")
(INSTANCE SLICE_56)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
)
)
(CELL
(CELLTYPE "SLICE_57")
(INSTANCE SLICE_57)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (174:174:174)(-78:-78:-78))
(SETUPHOLD CE (negedge CLK) (213:239:265)(-85:-90:-95))
)
)
(CELL
(CELLTYPE "SLICE_58")
(INSTANCE SLICE_58)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD CE (posedge CLK) (197:220:244)(-71:-76:-81))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_59")
(INSTANCE SLICE_59)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_60")
(INSTANCE SLICE_60)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_61")
(INSTANCE SLICE_61)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_62")
(INSTANCE SLICE_62)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_63")
(INSTANCE SLICE_63)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
(SETUPHOLD LSR (posedge CLK) (505:565:626)(-211:-223:-235))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_64")
(INSTANCE SLICE_64)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (181:181:181)(-35:-35:-35))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "nRWE_RNO_1_SLICE_65")
(INSTANCE nRWE_RNO_1\/SLICE_65)
(DELAY
(ABSOLUTE
(IOPATH D1 OFX0 (496:555:615)(496:555:615))
(IOPATH C1 OFX0 (496:555:615)(496:555:615))
(IOPATH B1 OFX0 (496:555:615)(496:555:615))
(IOPATH A1 OFX0 (496:555:615)(496:555:615))
(IOPATH D0 OFX0 (508:569:631)(508:569:631))
(IOPATH C0 OFX0 (508:569:631)(508:569:631))
(IOPATH B0 OFX0 (508:569:631)(508:569:631))
(IOPATH A0 OFX0 (508:569:631)(508:569:631))
(IOPATH M0 OFX0 (405:453:501)(405:453:501))
)
)
)
(CELL
(CELLTYPE "SLICE_66")
(INSTANCE SLICE_66)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_67")
(INSTANCE SLICE_67)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD LSR (negedge CLK) (515:576:638)(-218:-231:-244))
)
)
(CELL
(CELLTYPE "SLICE_68")
(INSTANCE SLICE_68)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD M0 (posedge CLK) (146:162:179)(-60:-64:-69))
(SETUPHOLD LSR (posedge CLK) (505:565:626)(-211:-223:-235))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_69")
(INSTANCE SLICE_69)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD LSR (negedge CLK) (515:576:638)(-218:-231:-244))
)
)
(CELL
(CELLTYPE "SLICE_70")
(INSTANCE SLICE_70)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_71")
(INSTANCE SLICE_71)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_72")
(INSTANCE SLICE_72)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_73")
(INSTANCE SLICE_73)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD LSR (negedge CLK) (515:576:638)(-218:-231:-244))
)
)
(CELL
(CELLTYPE "SLICE_74")
(INSTANCE SLICE_74)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
(SETUPHOLD M0 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_75")
(INSTANCE SLICE_75)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
(SETUPHOLD M0 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_76")
(INSTANCE SLICE_76)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
(SETUPHOLD M0 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_77")
(INSTANCE SLICE_77)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_78")
(INSTANCE SLICE_78)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_79")
(INSTANCE SLICE_79)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
(SETUPHOLD M0 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_80")
(INSTANCE SLICE_80)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_81")
(INSTANCE SLICE_81)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_82")
(INSTANCE SLICE_82)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
)
)
(CELL
(CELLTYPE "SLICE_83")
(INSTANCE SLICE_83)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD LSR (negedge CLK) (515:576:638)(-218:-231:-244))
)
)
(CELL
(CELLTYPE "SLICE_84")
(INSTANCE SLICE_84)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
)
)
(CELL
(CELLTYPE "SLICE_85")
(INSTANCE SLICE_85)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD CE (negedge CLK) (213:239:265)(-85:-90:-95))
)
)
(CELL
(CELLTYPE "SLICE_86")
(INSTANCE SLICE_86)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_87")
(INSTANCE SLICE_87)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
)
)
(CELL
(CELLTYPE "SLICE_88")
(INSTANCE SLICE_88)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD CE (negedge CLK) (213:239:265)(-85:-90:-95))
)
)
(CELL
(CELLTYPE "SLICE_89")
(INSTANCE SLICE_89)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD LSR (negedge CLK) (515:576:638)(-218:-231:-244))
)
)
(CELL
(CELLTYPE "SLICE_90")
(INSTANCE SLICE_90)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD LSR (negedge CLK) (515:576:638)(-218:-231:-244))
)
)
(CELL
(CELLTYPE "SLICE_91")
(INSTANCE SLICE_91)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_92")
(INSTANCE SLICE_92)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_93")
(INSTANCE SLICE_93)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
(SETUPHOLD M0 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_94")
(INSTANCE SLICE_94)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (301:336:371)(301:336:371))
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_95")
(INSTANCE SLICE_95)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (515:537:560)(515:537:560))
(IOPATH CLK Q1 (515:537:560)(515:537:560))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (146:162:179)(-60:-64:-69))
(SETUPHOLD M0 (posedge CLK) (146:162:179)(-60:-64:-69))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
)
(CELL
(CELLTYPE "SLICE_96")
(INSTANCE SLICE_96)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_97")
(INSTANCE SLICE_97)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_98")
(INSTANCE SLICE_98)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_99")
(INSTANCE SLICE_99)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_100")
(INSTANCE SLICE_100)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH D0 F0 (301:336:371)(301:336:371))
(IOPATH C0 F0 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
)
)
)
(CELL
(CELLTYPE "SLICE_101")
(INSTANCE SLICE_101)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (301:336:371)(301:336:371))
(IOPATH B1 F1 (301:336:371)(301:336:371))
(IOPATH A1 F1 (301:336:371)(301:336:371))
(IOPATH B0 F0 (301:336:371)(301:336:371))
(IOPATH A0 F0 (301:336:371)(301:336:371))
(IOPATH CLK Q0 (560:586:613)(560:586:613))
(IOPATH CLK Q1 (560:586:613)(560:586:613))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1000:1000:1000))
(WIDTH (negedge CLK) (1000:1000:1000))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (99:111:123)(-24:-24:-25))
(SETUPHOLD M0 (negedge CLK) (99:111:123)(-24:-24:-25))
)
)
(CELL
(CELLTYPE "RD_0_")
(INSTANCE RD\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD0 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD0 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD0 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD0) (1250:1250:1250))
(WIDTH (negedge RD0) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Dout_0_")
(INSTANCE Dout\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout0 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "PHI2")
(INSTANCE PHI2_I)
(DELAY
(ABSOLUTE
(IOPATH PHI2 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge PHI2) (1250:1250:1250))
(WIDTH (negedge PHI2) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "UFMSDO")
(INSTANCE UFMSDO_I)
(DELAY
(ABSOLUTE
(IOPATH UFMSDO PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge UFMSDO) (1250:1250:1250))
(WIDTH (negedge UFMSDO) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "UFMSDI")
(INSTANCE UFMSDI_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO UFMSDI (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "UFMCLK")
(INSTANCE UFMCLK_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO UFMCLK (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "nUFMCS")
(INSTANCE nUFMCS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nUFMCS (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RDQML")
(INSTANCE RDQML_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RDQML (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RDQMH")
(INSTANCE RDQMH_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RDQMH (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "nRCAS")
(INSTANCE nRCAS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRCAS (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "nRRAS")
(INSTANCE nRRAS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRRAS (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "nRWE")
(INSTANCE nRWE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRWE (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RCKE")
(INSTANCE RCKE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RCKE (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RCLK")
(INSTANCE RCLK_I)
(DELAY
(ABSOLUTE
(IOPATH RCLK PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RCLK) (1250:1250:1250))
(WIDTH (negedge RCLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "nRCS")
(INSTANCE nRCS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRCS (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RD_7_")
(INSTANCE RD\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD7 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD7 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD7 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD7) (1250:1250:1250))
(WIDTH (negedge RD7) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_6_")
(INSTANCE RD\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD6 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD6 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD6 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD6) (1250:1250:1250))
(WIDTH (negedge RD6) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_5_")
(INSTANCE RD\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD5 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD5 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD5 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD5) (1250:1250:1250))
(WIDTH (negedge RD5) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_4_")
(INSTANCE RD\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD4 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD4 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD4 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD4) (1250:1250:1250))
(WIDTH (negedge RD4) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_3_")
(INSTANCE RD\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD3 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD3 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD3 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD3) (1250:1250:1250))
(WIDTH (negedge RD3) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_2_")
(INSTANCE RD\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD2 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD2 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD2 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD2) (1250:1250:1250))
(WIDTH (negedge RD2) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_1_")
(INSTANCE RD\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD1 (3001:3001:3001)(3001:3001:3001)(3001:3001:3001)(3001:3001:3001)
(3001:3001:3001)(3001:3001:3001))
(IOPATH PADDO RD1 (2471:2471:2471)(2471:2471:2471))
(IOPATH RD1 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge RD1) (1250:1250:1250))
(WIDTH (negedge RD1) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RA_11_")
(INSTANCE RA\[11\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA11 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_10_")
(INSTANCE RA\[10\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA10 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_9_")
(INSTANCE RA\[9\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA9 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_8_")
(INSTANCE RA\[8\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA8 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_7_")
(INSTANCE RA\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA7 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_6_")
(INSTANCE RA\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA6 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_5_")
(INSTANCE RA\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA5 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_4_")
(INSTANCE RA\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA4 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_3_")
(INSTANCE RA\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA3 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_2_")
(INSTANCE RA\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA2 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_1_")
(INSTANCE RA\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA1 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RA_0_")
(INSTANCE RA\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA0 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RBA_1_")
(INSTANCE RBA\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RBA1 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "RBA_0_")
(INSTANCE RBA\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RBA0 (2478:2478:2478)(2478:2478:2478))
)
)
)
(CELL
(CELLTYPE "LED")
(INSTANCE LED_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO LED (2843:2843:2843)(2843:2843:2843))
)
)
)
(CELL
(CELLTYPE "nFWE")
(INSTANCE nFWE_I)
(DELAY
(ABSOLUTE
(IOPATH nFWE PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge nFWE) (1250:1250:1250))
(WIDTH (negedge nFWE) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "nCRAS")
(INSTANCE nCRAS_I)
(DELAY
(ABSOLUTE
(IOPATH nCRAS PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge nCRAS) (1250:1250:1250))
(WIDTH (negedge nCRAS) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "nCCAS")
(INSTANCE nCCAS_I)
(DELAY
(ABSOLUTE
(IOPATH nCCAS PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge nCCAS) (1250:1250:1250))
(WIDTH (negedge nCCAS) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Dout_7_")
(INSTANCE Dout\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout7 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "Dout_6_")
(INSTANCE Dout\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout6 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "Dout_5_")
(INSTANCE Dout\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout5 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "Dout_4_")
(INSTANCE Dout\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout4 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "Dout_3_")
(INSTANCE Dout\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout3 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "Dout_2_")
(INSTANCE Dout\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout2 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "Dout_1_")
(INSTANCE Dout\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout1 (1858:1858:1858)(1858:1858:1858))
)
)
)
(CELL
(CELLTYPE "Din_7_")
(INSTANCE Din\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din7 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din7) (1250:1250:1250))
(WIDTH (negedge Din7) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Din_6_")
(INSTANCE Din\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din6 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din6) (1250:1250:1250))
(WIDTH (negedge Din6) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Din_5_")
(INSTANCE Din\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din5 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din5) (1250:1250:1250))
(WIDTH (negedge Din5) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Din_4_")
(INSTANCE Din\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din4 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din4) (1250:1250:1250))
(WIDTH (negedge Din4) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Din_3_")
(INSTANCE Din\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din3 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din3) (1250:1250:1250))
(WIDTH (negedge Din3) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Din_2_")
(INSTANCE Din\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din2 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din2) (1250:1250:1250))
(WIDTH (negedge Din2) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Din_1_")
(INSTANCE Din\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din1 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din1) (1250:1250:1250))
(WIDTH (negedge Din1) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "Din_0_")
(INSTANCE Din\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din0 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge Din0) (1250:1250:1250))
(WIDTH (negedge Din0) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "CROW_1_")
(INSTANCE CROW\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH CROW1 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge CROW1) (1250:1250:1250))
(WIDTH (negedge CROW1) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "CROW_0_")
(INSTANCE CROW\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH CROW0 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge CROW0) (1250:1250:1250))
(WIDTH (negedge CROW0) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_9_")
(INSTANCE MAin\[9\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin9 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin9) (1250:1250:1250))
(WIDTH (negedge MAin9) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_8_")
(INSTANCE MAin\[8\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin8 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin8) (1250:1250:1250))
(WIDTH (negedge MAin8) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_7_")
(INSTANCE MAin\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin7 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin7) (1250:1250:1250))
(WIDTH (negedge MAin7) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_6_")
(INSTANCE MAin\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin6 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin6) (1250:1250:1250))
(WIDTH (negedge MAin6) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_5_")
(INSTANCE MAin\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin5 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin5) (1250:1250:1250))
(WIDTH (negedge MAin5) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_4_")
(INSTANCE MAin\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin4 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin4) (1250:1250:1250))
(WIDTH (negedge MAin4) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_3_")
(INSTANCE MAin\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin3 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin3) (1250:1250:1250))
(WIDTH (negedge MAin3) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_2_")
(INSTANCE MAin\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin2 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin2) (1250:1250:1250))
(WIDTH (negedge MAin2) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_1_")
(INSTANCE MAin\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin1 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin1) (1250:1250:1250))
(WIDTH (negedge MAin1) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "MAin_0_")
(INSTANCE MAin\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin0 PADDI (867:965:1063)(867:965:1063))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin0) (1250:1250:1250))
(WIDTH (negedge MAin0) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RAM2GS")
(INSTANCE )
(DELAY
(ABSOLUTE
(INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_69/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_89/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q0 SLICE_0/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q0 SLICE_94/A0 (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_0/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_1/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_2/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_3/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_4/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_5/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_6/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_7/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_8/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_29/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_30/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_31/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_32/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_33/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_38/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_41/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_42/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_43/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_44/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_50/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_51/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_52/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_58/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_59/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_60/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_61/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_62/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_63/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_64/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_68/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_74/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_95/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/FCO SLICE_8/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_1/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_80/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_86/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_69/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_86/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/FCO SLICE_1/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_86/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_86/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_86/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_86/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_67/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_69/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_80/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_86/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_86/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/FCO SLICE_3/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_56/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_64/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_69/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_80/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_94/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_64/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_80/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_89/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_94/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_89/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_89/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_5/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_58/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_94/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/FCO SLICE_5/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_89/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_89/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_94/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_94/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/FCO SLICE_6/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_7/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_71/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_72/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q1 SLICE_89/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_56/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_69/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/FCO SLICE_7/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_94/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_94/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_9/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_14/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_20/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_70/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_75/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_9/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_14/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_70/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_74/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F0 SLICE_9/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F0 SLICE_14/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F0 SLICE_14/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F0 SLICE_9/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F0 SLICE_20/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F1 SLICE_9/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_20/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_9/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_14/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_20/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_21/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_22/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_26/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_39/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_42/M1 (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_57/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_75/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_76/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_79/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_85/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_88/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_93/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/F0 SLICE_14/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F0 SLICE_14/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/Q0 SLICE_14/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/Q0 SLICE_14/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/F0 SLICE_14/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_14/F1 SLICE_20/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F0 SLICE_20/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F0 SLICE_75/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F1 SLICE_20/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F1 SLICE_75/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F1 SLICE_20/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/Q0 SLICE_20/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/Q0 SLICE_73/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F0 SLICE_21/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F0 SLICE_26/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_21/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_57/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_75/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_76/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_84/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_85/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_88/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F1 SLICE_21/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F1 SLICE_26/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/Q0 SLICE_21/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/Q0 SLICE_33/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_21/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_26/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_21/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_33/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 SLICE_57/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/F1 SLICE_21/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_21/F0 SLICE_21/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_21/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_26/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_57/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_73/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_85/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q1 SLICE_22/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q1 SLICE_52/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/Q1 SLICE_22/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/Q1 SLICE_43/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/Q1 SLICE_52/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_22/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_32/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_32/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_33/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_43/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_43/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_44/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_51/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_52/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_58/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_58/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_64/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 nRWE_RNO_1\/SLICE_65/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_66/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_67/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_67/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 SLICE_95/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q0 SLICE_22/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q0 SLICE_22/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/Q0 SLICE_52/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F1 SLICE_22/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/F0 SLICE_22/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/F1 SLICE_71/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_22/F1 SLICE_72/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_26/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_76/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_78/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_83/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_84/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_87/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_88/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_26/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_58/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/Q0 SLICE_26/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/Q0 SLICE_39/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/F1 SLICE_26/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_29/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_30/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_31/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_38/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_38/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_92/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_92/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_29/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_30/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_30/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_31/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_38/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_38/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_92/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_92/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_29/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_29/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_30/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_30/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_31/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_31/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_38/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_61/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q0 SLICE_92/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_29/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_31/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_33/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_41/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_42/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_43/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_43/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_44/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_50/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_60/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_61/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_62/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_63/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_63/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 nRWE_RNO_1\/SLICE_65/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_66/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_82/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/Q0 SLICE_101/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_29/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_31/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_61/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_66/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 SLICE_101/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/F0 SLICE_29/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q1 SLICE_29/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q1 SLICE_82/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q1 SLICE_84/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q1 SLICE_84/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q1 SLICE_90/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/F1 SLICE_43/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/F1 SLICE_44/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q1 SLICE_63/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q1 SLICE_82/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q1 SLICE_84/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_29/Q1 SLICE_84/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/F1 SLICE_30/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 SLICE_30/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 SLICE_31/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_31/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_31/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_38/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_92/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/Q0 SLICE_95/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/F0 SLICE_31/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_31/F1 SLICE_38/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F1 SLICE_32/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F1 SLICE_32/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F1 SLICE_58/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F1 SLICE_64/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F1 SLICE_69/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F0 SLICE_32/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F0 SLICE_32/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/F1 SLICE_55/B0 (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_33/D1 (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_67/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_69/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_73/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_83/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_87/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_89/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_90/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_95/M0 (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_101/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q0 SLICE_33/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q0 SLICE_41/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q0 SLICE_59/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q0 SLICE_63/B1 (0:0:0)(0:0:0))
(INTERCONNECT UFMSDO_I/PADDI SLICE_33/C0 (0:0:0)(0:0:0))
(INTERCONNECT UFMSDO_I/PADDI SLICE_58/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F0 SLICE_33/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/F1 LED_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/F0 SLICE_38/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/Q0 RA\[10\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_38/F1 SLICE_68/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_39/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_56/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_75/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_83/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_87/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_87/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_88/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_39/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_39/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_56/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_74/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_75/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_83/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_87/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_87/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_39/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_55/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_74/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_75/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_83/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_87/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_93/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/Q0 SLICE_39/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/Q0 SLICE_57/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/F0 SLICE_39/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_39/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_44/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_67/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_69/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_73/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_83/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_89/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 SLICE_90/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/Q0 RA\[11\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/F1 SLICE_73/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/F1 SLICE_85/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/F1 SLICE_85/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_39/F1 SLICE_88/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F0 SLICE_41/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q1 SLICE_41/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q1 SLICE_84/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q1 SLICE_84/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_41/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_50/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_50/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_59/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_59/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_60/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_63/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 nRWE_RNO_1\/SLICE_65/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 nRWE_RNO_1\/SLICE_65/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_66/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_66/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_68/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_82/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_90/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_90/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_95/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_95/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_41/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_50/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_50/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_56/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_63/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 nRWE_RNO_1\/SLICE_65/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 nRWE_RNO_1\/SLICE_65/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_66/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_68/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_68/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_82/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_84/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_84/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_90/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_90/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_95/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/F1 SLICE_41/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/F1 SLICE_41/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/F0 SLICE_41/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/Q1 SLICE_41/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_41/Q0 SLICE_42/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/Q0 SLICE_42/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/Q0 SLICE_61/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/Q0 nRWE_RNO_1\/SLICE_65/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/Q0 RCKE_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_42/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_42/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_43/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_44/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_50/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_61/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_62/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 nRWE_RNO_1\/SLICE_65/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_66/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_68/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_68/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_92/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q1 SLICE_95/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q1 SLICE_42/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q0 SLICE_42/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/Q0 SLICE_95/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/F0 SLICE_42/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_42/F1 SLICE_68/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F0 SLICE_43/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F0 SLICE_50/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F0 SLICE_61/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F0 SLICE_62/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/F0 SLICE_43/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/F0 SLICE_44/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_43/F0 SLICE_43/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/F1 SLICE_44/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F1 SLICE_63/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F0 SLICE_51/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_51/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_67/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/Q0 SLICE_51/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_51/F1 SLICE_51/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_51/Q0 SLICE_51/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_51/Q0 UFMCLK_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_51/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_52/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_64/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/F1 SLICE_51/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/F1 SLICE_52/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/F1 SLICE_64/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_51/F0 SLICE_51/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_55/F0 SLICE_52/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/Q0 SLICE_52/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/Q0 UFMSDI_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_52/F0 SLICE_52/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/F1 SLICE_55/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/F1 SLICE_71/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/F1 SLICE_72/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/Q0 SLICE_55/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_55/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_73/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_83/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_85/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_85/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_87/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_87/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_88/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_88/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_93/M1 (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_55/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_56/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_74/M0 (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_82/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_84/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_87/M0 (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_87/M1 (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_100/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_55/Q0 RD\[4\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_55/Q1 RD\[5\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q0 SLICE_56/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q0 SLICE_62/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q0 SLICE_63/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F0 SLICE_60/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/Q0 RD\[6\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_71/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/F1 SLICE_72/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_56/Q1 RD\[7\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F1 SLICE_57/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F1 SLICE_83/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F0 SLICE_57/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F1 SLICE_57/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F0 SLICE_57/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_58/F0 SLICE_58/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_71/F0 SLICE_58/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F0 SLICE_59/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F1 SLICE_59/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F1 SLICE_62/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/F1 SLICE_59/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/F1 SLICE_59/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/F0 SLICE_59/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/Q0 nRCAS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q1 SLICE_60/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q1 SLICE_62/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q1 SLICE_82/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/F0 SLICE_60/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F0 SLICE_60/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_60/F1 SLICE_60/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_60/F0 SLICE_60/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_60/Q0 nRCS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F1 SLICE_61/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_61/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_66/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F0 SLICE_61/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/Q0 nRRAS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT nRWE_RNO_1\/SLICE_65/OFX0 SLICE_62/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F0 SLICE_62/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F0 SLICE_62/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/Q0 nRWE_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/F1 SLICE_63/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/F0 SLICE_63/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_91/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_91/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_93/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_96/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_96/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_97/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_97/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_98/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_98/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_99/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_99/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_63/Q0 SLICE_101/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F1 SLICE_64/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/Q0 SLICE_64/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/Q0 nUFMCS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F0 SLICE_64/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F0 nRWE_RNO_1\/SLICE_65/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/Q1 SLICE_67/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F0 SLICE_67/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F0 SLICE_80/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F1 SLICE_67/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F1 SLICE_80/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_67/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_76/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_81/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_93/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_97/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_67/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_76/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_81/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_93/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_98/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/Q0 SLICE_98/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/Q1 SLICE_97/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/F1 SLICE_68/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F1 SLICE_68/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F1 SLICE_68/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F1 SLICE_69/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_69/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_76/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_81/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_93/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_93/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_69/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_70/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_76/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_81/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_97/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_97/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q1 SLICE_93/B1 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_70/D1 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_76/D0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_77/B0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_100/B1 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_101/M0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_101/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/F0 SLICE_70/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F0 SLICE_70/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F0 SLICE_76/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F1 SLICE_70/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F1 SLICE_73/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_71/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_72/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F0 SLICE_71/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F0 SLICE_72/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_71/F1 SLICE_71/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F1 SLICE_72/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_73/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_75/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_79/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_82/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_83/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_85/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_85/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_88/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_88/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[7\]_I/PADDI SLICE_73/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[7\]_I/PADDI SLICE_79/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[7\]_I/PADDI SLICE_99/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[6\]_I/PADDI SLICE_73/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[6\]_I/PADDI SLICE_79/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[6\]_I/PADDI SLICE_101/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/Q0 SLICE_101/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/Q1 SLICE_99/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/F1 SLICE_74/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/F1 SLICE_78/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_74/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_78/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F1 SLICE_74/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F1 SLICE_78/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q0 SLICE_74/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_75/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_78/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_79/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_82/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_83/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_85/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/Q0 SLICE_77/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/Q1 SLICE_77/D1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_76/D1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_81/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_89/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_93/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_98/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F1 SLICE_76/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/Q0 SLICE_100/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/Q1 SLICE_100/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/Q1 SLICE_77/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/Q0 SLICE_77/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F1 SLICE_77/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F1 SLICE_79/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F0 SLICE_77/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F0 SLICE_79/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F0 SLICE_77/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F0 SLICE_78/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_79/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_81/D1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_89/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_99/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_79/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_81/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/Q1 SLICE_100/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F1 SLICE_81/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_82/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/Q0 RD\[2\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/Q1 RD\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_83/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_91/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_91/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_96/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[8\]_I/PADDI SLICE_83/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[8\]_I/PADDI SLICE_96/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/Q0 SLICE_96/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/Q1 SLICE_91/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/Q0 RD\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/Q1 RD\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F1 SLICE_85/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F1 SLICE_88/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/Q0 SLICE_98/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/Q1 SLICE_99/B0 (0:0:0)(0:0:0))
(INTERCONNECT CROW\[1\]_I/PADDI SLICE_90/M1 (0:0:0)(0:0:0))
(INTERCONNECT CROW\[0\]_I/PADDI SLICE_90/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/Q0 RBA\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/Q1 RBA\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/F0 RA\[9\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/F1 RDQMH_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/Q0 SLICE_100/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/F1 RA\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F0 RA\[8\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F1 RDQML_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F0 RA\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F1 RA\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F0 RA\[4\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F1 RA\[2\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F0 RA\[5\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F1 RA\[7\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[0\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[7\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[6\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[5\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[4\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[3\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[2\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 RD\[1\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F1 RA\[6\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_I/PADDO (0:0:0)(0:0:0))
)
)
)
)