From 79c593f907fe7d15513b51fd9f9a4481388337f4 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Fri, 6 Apr 2018 16:10:02 +0100 Subject: [PATCH] Kernel 0.9.1 : ASM, Local SYM ok, MACROS in progress --- .Docs/ASM.md | 14 +-- .Floppies/A2OSX.BUILD.po | Bin 819200 -> 819200 bytes .Tools/ASM.T.xlsm | Bin 82792 -> 82764 bytes BIN/ASM.S.DIR.txt | 143 +++++++++++++++++++------------ BIN/ASM.S.EXP.txt | 104 +++++++++++++---------- BIN/ASM.S.FIO.txt | 2 +- BIN/ASM.S.MAC.txt | 64 ++++++++++++-- BIN/ASM.S.OUT.txt | 5 +- BIN/ASM.S.SRC.txt | 178 ++++++++++++++++++++++++++++++++++----- BIN/ASM.S.SYM.txt | 163 +++++++++++++++++++---------------- BIN/ASM.S.txt | 87 ++++++++++++------- BIN/ASM.T.65816.O.txt | 4 +- 12 files changed, 520 insertions(+), 244 deletions(-) diff --git a/.Docs/ASM.md b/.Docs/ASM.md index aa2b16b5..df558655 100644 --- a/.Docs/ASM.md +++ b/.Docs/ASM.md @@ -15,21 +15,21 @@ Multi-CPU macro assembler based on S-C MASM 3.0 dialect | .BS | Block Storage | S-C,A2osX | Working | | | | .DA | Data | S-C,A2osX | Working | | | | .DO | conditional start | S-C,A2osX | Working | | | -| .DU,.DUMMY | begin DUmmy section | S-C,A2osX | In Progress | | | -| .ED | End Dmmy section | S-C,A2osX | In Progress | | | -| .DA | | S-C,A2osX | In Progress | | | +| .DU,.DUMMY | begin DUmmy section | S-C,A2osX | Working | | | +| .ED | End Dmmy section | S-C,A2osX | Working | | | +| .DA | DAta | S-C,A2osX | Working | | | | .ELSE | conditional ELSE | S-C,A2osX | Working | | | -| .EM | End Macro | S-C,A2osX | In Progress | | | +| .EM | End Macro | S-C,A2osX | Working | | | | .EN | ENd of source code | S-C,A2osX | In Progress | | | -| .EP | End Phase| S-C,A2osX | In Progress | | | -| .EQ | EQuate | S-C,A2osX | In Progress | | | +| .EP | End Phase | S-C,A2osX | Working | | | +| .EQ | EQuate | S-C,A2osX | Working | | | | .FIN | conditional end| S-C,A2osX | Working | | | | .PH | PHase start| S-C,A2osX | Working | | | | .HS | Hex String | S-C,A2osX | Working | `HS FE1A78` delimiter allowed : `HS 00.11,22` | | | .IN,.INB,.INBx | | S-C,A2osX | Working | | | | .LI,.LIST | | S-C,A2osX | Working | | | | .MA | MAcro deffinition | S-C,A2osX | Working | | | -| .OP | OPCode | S-C,A2osX | Working | `.OP cpu` where cpu is one of 6502,65C02,65R02,65816,Z80,S16 | | +| .OP | OPCode | S-C,A2osX | Working | `.OP cpu` where cpu is one of 6502,65C02,65R02,65816,Z80,SW16 | | | .OR | ORigin | S-C,A2osX | Working | | | | .PG | PaGe control | S-C,A2osX | IGNORED | | | | .PH | PHase | S-C,A2osX | Working | | | diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 547f52061631077f7731ba77683a0f7d08f8d553..36083e31b20ebd729ac0ebd4a13236659b000d61 100644 GIT binary patch delta 38448 zcmajI2Yl2;`aeEfKFKG$2}!o6FDV2=9y>OGf$bB z?d&Y+>@4Yh(QMk7YkuNo^9x3N$$sM6*pud4l8iBi#9Ow-kH4imKJl6Z@z)-RH|#$U zZ~XBYLf-%KE5_=jTJk~bIl#Pqd$B1&GbE@dc9odS0pp&71Io@%z3jPeQ|POwrKhvo zx9wmL>mI%BV0RJzFWz>r#nV!@)ziZ++LF$^qe^?n^^NMc^h}Evso`5v*_Je(G4%CR zHjw^U8l^YW_s&HFaWi7kY7{M)tfpnH+tnREiQRr#$7G?J;Jq+Jl zyve1#=X!&Ay^Xt1`V&t0`_J5c++Y10s@VMveWtNHMXi~A-htGdhV38&h+|SZXkFIgl`6+rj=A zj4Wqr6jepo3%rL)dgphiqbyo#!XN;!)z()yVD9tvmv^V{Ip{s1C6<}o0rXD}^-UJ~ zCXKM3mRJ^oDg@a@)nPFC z{*vA#Z*Q)5Vrg$8DEvVUI_H^31i^@6^x{ExW zYIkv2r>VP`W+x_UcL};Vt$XO6gZ&BMVZiJC=h)sM-sF)OixS?I=tg2LmYA#gC|{or z-&3C@bx+#Ko;&W}cJSzdL+1W(`Iw`}i{kmf1*T!-YFv5LbCgB@cADmQPu+8H)~45z ze!P6AY00*O2k;GG!021|R1T!)lq;J7jZr1}05TcP1Z- z9^;k)|DDJEcYfo)^MwD-lYVanFrUSk#Ch)+)@!O+I;^Fypx;h4>^XSXaX;$#9^(MG z_qUSXSnrXd-b*^y_T~1+dZ!ilUeLL`FRwp#-vpB(v+ihd?>U_d`-UOwmg3%q&bfX0 z{Vp2A8Qz!k`6mDq@TGHdUv|HTAca`OBCi0TOv)STeY2$3;eAbWo^2W$aOd}aNp1N6 zqxY_NeaY6hz1=0f2RC;5u5bO|u;!sWB9~9>J%=?d7EJ$MET4hh-s{J0 zP2!`stB0ZanEIFo-ZRExa+Qw5T%duyo@Wt}1n+AlTfgh`4SdtLATV$Y*pEQMobY#= zKzPe>e~&@XFo_Q{|Gt3rYT9ui7XTHE_;|Ihy9y* zA^ElXi%c$?la&u+1-U6h0Dis};AHKxi%gqalG)Tu9sc4RY$gd$8Ag;h)%u!zRcALa z{@+i}8xz<~E}*4R@k`Fzm^;Q2LYq-tFVXsp7IsfE(9#L5bs?c?trwe|elI&dNrxwn z-vwJ_#o>}~Iup&t(Yc<80$XP2E< zwzODE&HeJ;+$pp7tGn7^Jq?Q&fc89od4yp+>3tq-wm^JZ^%8I8V3b!d+CU+{=S<2sou_lZYny)d&St^V!m#aR1$#R+%XS)Mx-7%^2&!ZL2-h2_e7%D z!?4n3jKWHb$Cfh1%-1CSS~d{C(#B zRPB(#2;=A+pjiN zUogJA0sATIG3-2w{^=dw_4S5ho4aR23VlauPj_Qvc3ju-4Fj9In`rZBrXD{)-S|L;Ao=0F$NEkUB&6Pa?4ixujy*hJq(#fSyI}9JfnnVZdk*r> z{vZE)l>hyj|2;;3cOC;>Dxu?rh6V!^i8ny3$F&{lIE*GO4yY9L2 zyZ9g+%frv6dY?=4?mU|sNpcs{9C{WNIq;g&|LyJpzxFDzvS80aQcf&A4s3v(-57<9RqP(cTj5Le4duQNBX?|Nu(##_ISOiBYT~D zk92zI%N4ZmOhE28lv)lH?V(Onc3|wt-kIGc)aw`MBJ{60I=+x%^XV*3 zaY?U}@{=h4P0HtmQ1&p^+r&~JmSU&RgI-rlD6?q0tO#$HWwc$EdaK9vzSU{L5?|W; zn)le4-oFydX($*^g*@KnzTP37*1jqIGrU*$dQF`e#Gd=hxR&{7jdyn~SG|s3S?4A6 zRPTjoXm=?(T^&gFEG>PAzEdZ+^n8j!`2WsRer-$8v>@>K=$>7i(cZ;iwFTQf1QNBpVtKCra)zM9pedmhA|e#$>E{{C+sIMH=@!=at*XyV>O1G%rC^7lI) z+H>fAFm?HQ)9k=ce#oRBw*2fru;+)qR;a3nN-X$q%P;-|Z~W}v^8?Zezi=)83IL)b@#B&m%Un1uN_@a zfEbKM=U((p5Pbu~;#lC6|It(a9jE*)-sg(8+QKGDDoPYNJuQHYI^iGnjX$+N7N8`^ z3iKgx69sO+8zhTtIwE0xBeCXpPx7G1@q=5A`wsw$FW=zH3HovZUrypnV9QDW$`7*TH@gXQ)5 zv6KG(140quY8WV-5vB~QVUncl1Kk2|0EF|1uooTOJ9MXc$Z@~#8^7;_-*?jAoA6ry z*9p9e&ezdtP;YdaHDQ`+Y44N}w}NVzC^9o>JS{s-7GEUk*w^}xfoM$>)mb5`eZ{b3 ziu%WoFi3Xu!EO$9Lnd*XBJ-2ah$O0AvB5Od{&-1m)`7PU^{0aQJx2x<@8c!f;~Px5 zIZOQ?ErkY&8B1!~V{`o<&5cN&=l|$DzxLe*Q=aFylC4R7(3JdPex`EdG`DPfyF@ zBa1)dJC60y67TL(u`_f7gYSs6_i5WVnnoFX+RGbFlMNF!%eAJ=$%WqCqXg>Z{qH_n z=H2ZVsT%(nbUxo~-LMh14k2SN-uv^|9_8lGF~=UohBZsOexoTx&K;INuXJ;p3}*CAabMrg0uBG{pA~Vr?i=PLw_)4on`vWL zAQiWLPG%iisq8fG`SPv-Sb6>5@A+~>>=v?)9^Q*WJ@?B_7~{QR+}472UBL*@*w(su>52=z zO^y3*Fd2=SA<1~kNS_Jnsa;!5#{K4-O}`k-O{0q0zFSR(ZOLXf%*@8-rnsQ+GY0Ep zzb(%^=~;tQt3PbcG*xu0)m9xgcNC>$u=x$m%U4ZbbHNS1s@cK$4b!XZMi!4_Y9?FN ze(7av*Ijx#B<$-6J1~~1u)TJuYvC&sucb1Ph+(KQGTUNwUCxqb5z8TduqC0cTidp(ZZNY!dX^u%8p{cRL*IZAW z)Xno%@9H+n`hO}Y4%mti@qtZVwttk zC2Q&{%4^n(_RU~vbz19J=2SzycI8**bVGx-10Uzj=0$4DD;n$d9=V2>s48YDjS-pX z5YZJ?B`mWkB130XR8&>U)Mm_sVWU|39PN=c=Ct8wiDc4TR?*~ZYA$bVzG2a_#Vqk` zw&SAZtAfLQ#f57}u{vn9Ja$(S&k)@cCI#IE!aNqwtLJe#Y4^) z@SLKqrY4rSK&DDdy5=`9ON(AeZ54I87HZvV&5n!IMIvom%zVWf5e6&Ob=A!*u}zdg zx^Ye&b6gNgR@PUYRaMu#o+Vuvg3X?TB56xljjy(8rf*hNO~Ym)rYBh1QmyuDbLyCj zL@}>`(gyI+p-mdVc(2jsQR-6d@&R+U;WF*60dwlvYh_C+8f#{RT0#jnbe+s4dqQup|X@PVLF#=3$1Q_Q7#Xg!S5Ypc=S_o#CsjZmg3S)`(;SOWYQboXrw%4ku?+v&36ifG-bf;w*4|YdE_a zv~G(|ZjVlC(aGDRlRKi5cSI-upeF+);926GdRip!ica1goxCTUtU)8Xqm%cBleOsi zo#A9X`s2QcWFt$wKM+ng%w&lVL?mai#9iTJQx!{mFq~`#>4zecGgx9zL=tR098S(@ zV)jQcFPnWe)i=_-r7z6&D5jov%Sp2>use)fQ|+stF@xD3ivm^DL#8}F2t;VTQLtJ< z+cOA6XnUhzXA{~JVUPuto=vspJgND<#fp1MoBgfXK5?HwfydWZTVLrb^OZ9D{%Baq z7-oMu8dO@s@}JS}`PMuv@W*gYeS@#Qv7FiebUNtSC{TG_C9^*l1*)l^%j|!S0zs%T z`}0wtnT^fN{z4>Zl&`!J#9oYq`I_cTXZDw(K(%vfnBy0gqW03ud*G>7Pkh6uWDF5qHrCHKfrb@Y`Y}r^ZS|7$96F5YXTvsn7RI@?YYA2 zRQ}F(OljKQ5#tEF4g~cLwxeThD|5d|i0gtmq@XMz1*WdNwu;&M*^bp6R6%8HM=MpK zyoFN9Z)1B~-5!KL-B-P0X$P~ugNhfeM#U`opCS*+qs;qPIPX1?hb`GxJ&qTAKa{B) zB+4HM4BGuU<;0J`Z%yUA^6Fs8*m2DLvDTVsb`Ad|RLpUR8q_?m9GZ5`(iLscyP0}e zw950TcItbxJLx~sH2%wJ1dfDg*gp%?5UM*~UKuObi<{&`?oVEo^9Av$~B15)6hNZ7bVR%>@@Qo6#_M zGMNmwvUsy$$HG<1K%#`Hia~Tvj6w8GtYHUpss>+iDfLYRX=@xxEngb+l`+LaNLC;f zZ^ulSAFhAM*aSdJ^rBkvPv-nU!Vr|?EEfftl8Ca7q!8n@G4p+1O*ZUUx?&Nt+K|^U zr)gF>bJ{r?W||lvj%RZi_)?$=+t9vrMF(@c48OaS+yrh7+9KAH2bHI!7_{S~6<0t> zrDmiFaD#Y`;`!(l5OJcraVV#-*@8fXRa6*Uet?Bmf;joL|lQn_aND&{Pc zV>wsKiiVDM=J7|5s;&dtCKz0UMpl^!x}zs)Wxtwz?#W=JZP9wBPLWe}Dl$FOw5xtK zyJwY$+cOdt$p~b+0%SRcx-t) z)H4k`RxN8~Y7OUsskNe{T4&(KiLKrMm0#-kn@K{b4H9Fvz{qISW}PypFK7}S3~bW= zgDiPYc+$*X1HqnrR=92sQ07v5&gOka%TZY5OKRJeGwVE1Bl!W}zW5(VO5DWP1%QHEX&0b^Mf>f+_H8&;qju#FC1Y0wN`#AL;xx2hZ2@KvEC z1(b(h5=v6wczAm#i8aNBuMQ4bb$C|OyKWZ>#>x}MVE zx@X&*3JV$Fa)Wp%x1~!!$}zC$iF5Gs6}!^I9Z2eS2)>#GPMXA$q$g_4w;v^gR<9lTs0nJxz4hDbmO09zsfr2yO*Zf|wn^f7?k6p1nx zfUS{$aR6+K1dIpZ=14#p0JlT}{9ydn5TK?S`L~6Wf$An2v+W^BEscs6O4d_1-5yRh zBDo`!Y?z7U9pU6GB>xaf^6|Jclx!x-cZHKPki0wG{$_BNcuy#M4m#Z0ZP)=5D_8`@ z@?J4P?K?3oYpS=(`BzWla9^kp)N!Qm52axNpt%o3q&dQ_5TN!MKbTE6bvC=|F|Ed|T#=XaxPcrKv^9%t z_DeVQYY*Fzv(bI!)RCFf~$r$n?FHd5HIM}W##VM(3NVg>|VM%T4qV|TpUE5{MY7gnt9%pn(IL8T#DK7R0mgq)ighuZX+IUK+ zw2}&i*q+8oaJ3%AxmKSpRq~9}s8hC*iDK3)sKOeQfG(zbg{_o16#iVP16?omLjr(m zbtO}?8T7n$EZ3GZ*wj&Tg@Uf;2|`)Jv^P}6wLr3C&6nyj-0>rbBiSJ{+S}T&9l{DA zA3iz8nG1$9w*clh%v`o|Ve2yR3rhgDGvD}OFLSj8Mlws^s~vh@Ny~sGOdEInliV&4 z4SGuOQLs<*SdTjY`RM@bTr@k&a z#PJ3NA$&C}S6;kkm7u^f-qh}VTS-fI!h)UBz>T!X&W9!IY}57}Rvdu~z_NNFxM^L> ztV`sW!qa;Z`eyYqroz2R%9v$2gL*^S!Ye3&= zr+QX{*s`_Ey@vTpH8QwslDNASlA)*&@Jrbat>N#n4mdFzOlGGsZ=Kc|ujIlZ3ESWb z(5Ho?+aFlV%zY(C9BsWSG_>}sbz43(0WF=(H--<15(FjJdMznIagMk~wsw41-O6Ci z%0;cqf=%m|FI>5dDeyBg72ZW^aMnhxEyDKZ!|?T1w5%0Sw? zjLe(LIX6m`ki>rF93R|7cDND9_7nLOAz=w{9#EY*6pXQbAeUR%Yw;miw0|V~g&TPl za^Cl;94Mqhp55i z>sZ-N4N?bWQ;y4~e1p>N6C@{3Z-VqFsNbS~zQo9(08M%5_o59oQEjOAHFdNux|oXz z@-%Sg0XZ1ZgXCaB~KB1WqFu|kCysv4+ks%mcxH5?lOfJh=vh_h^)G z4ybSrw6APuZny)ah$jPhVbvvTT07t+7y))u3k=%q)iI7yb<nJ0N_H3cLf%1J?k>+-NJ9v24xiCHhV<)}WRDMM+D8SAg1R zfn$KH2ngzm=4JV{N3xX6z=XlBig+0OvQ12#WPnIt#B5~vN4Z3;@Bj#h5>uvvk@#tX z4t#6O4o?90tIFw*8DWD*Aew+ufl`)AH#sc$fL6%?g-3vSW@-z(iaTYN9KUKgerE{Y zy=Q7Sd6g_1TmgFDDYYo(s?%QZDo$U01RPEP=9*2l$l;*XFnt}3MI+VJ#K*!FXr?mU z6Qs;B{DC>i2Y`;H!K+%nv_q62KY-_KGTFJ01#aW&)s;&xT-t%74%`z!6kxE=2VTNC z(xH@Jn9t(R1$mk%B_%8Y{s1of^L@xzfDCMwG335>w`z9}Rb0k}2Cdkw*aM3sPdHxy z7XV-KZJ=zqz<`aE_Gib1m}SC67;2Wf#31(YrSkJ4`FXMUbSw+a%upF+ImoIjgonkk z5(o$p__SHsO1yOyvXo2k$K9?i$W~mo)x;Hddn+9PdtmirHoHb#D&b`;;WE92!F*<2 z3ph0$UJ4lb%yl^plB327n_kzkt^_;*R=nsI^p_PTJTEksC3Na=>Moe-eApEpZV$QQHg4~UqV>`1#8Pz)zS}1crzx`3gY4p6H^5toH|(usR-fTRomKraS*)` zY+W6MAD7M=G3OiF;TseO#aj3>hib|vGKEyq-)35OM@(wQJ3@)D{)4&|*1m2Y$1*H<25OX7jqWd|84qQ^cXc6P_6cwrvuL}wguM0X5%lw#B+5f9? zzE6I)aqHK$tu73%S+TfHyYPBtNFKs2EDPGv|5tlIrS{sb%D;h|`X5qx^|6ME#K#() zjW7&L|4e&+o08T{>X48d2~dMVlEI2-3ts}IECJe(fG@z(fFdN}3e+KHIYymE?Tr(` zl_1MFuGQY4q~}2CA>nW3dJpebL{GSClkqK|jkWdLUy5})z5_)}DjHcHUSt{HGi}66 zv1#c)NHoM8uMf@Zii$4g`jKfZFF|Mji4X5EXgs{jq2W-MJAVxoEEyxT#!}`y#c^js zyW#9YrvdJI<}?`es!K}*@)+iXk`qy*uqjn&JiMqGMYW#}D20JxCb@th5b{kh?}nRD z?()zI!I~Kn4Z)fzh=xF7k3`XgLX+&~Vn~`%AWUMbxQHn}${nre79qC?xn$#%k{~KZ zc2bFnVhpW_9AFQ`*|SorBeYVPG6qPgV}T^*Lou_CldNMtjHe39^a@C7`epUVoIoWf znzV*jVa-mWLSn^E20UeoNvs3D7nRpi)T8~_O@sCx!7tuw)O@%Ck_+nF+R763`rCMY?un}qOVwlkvAB&W`dhM>=Dq9FUW zDt0x_3Hy*w?ET)`l|!*+bV}^LZYAF2a(l?0j##cZo>pI{4ZTnKc>DcIn(2ohf71*% znLgeAfRbi3nYJgW|Jk=oS!{GTn;^nOK#bH6UTptm4=H}tIP@Lu_y)xpSikz>rK^JZ zQ_v6pptVPP83q|KTxS255G&*>5n_eK>yn3XNc1QWJwnhZ5Hw67GfN>6lLo^on&T$j zQgA}P@P>k)fPNy6#Y3L(Ifip^6uzaYodTna+!Ne(0CtFk3V}k#g93|-DUc;Xionp? zF{Qe$LWU)xNU zz{lv5p*aX2Mi$2xMplri-w5gAIl*XZG_ANSy~;Ha&h7gTSq&0 zVttEh><~ieJns9dU$vUdE9duoC?%+}?E(TSu(?>lkJ^|$%7_5$Ev{(78wCMG+u-o| z4fU%8Dn;(CkVm42nF6DW*al|{mgeAhzqP07}0X3FzQ50PWGu#SM z6yW$pEE(b`jE_tO#u!V6B}T=-5|M%LE7rgrE{cj=isf?NF{@yCF%M$7C0wNdK6M(( z@D{{NO=vt*V1hA<2iYekSDh})H^oasHO`oK&)>`uikw9nL$54~jM$Ss-Sgqwr z#gPpAjo78|;&{}MSEhA6spQ7^@jZ2d_V|-Z%1qd6e3zdTiUq5ag`MP_Vi?@c4a_x_ zj3M2AfqGj(2P875X^BrM8N*<@G4FI>6m1uiDVV>Zb;Zh#C2j4&)vH<;wFRfLi4|Jo zQ_6(qO5mnc37eJbsa_qq|G#l<@8F+7cXvJNh{w9!;Uu(v+N8Y<(;V= zdP>PP)M&~+WoQi9bR+7t!hOo{;jroS;^6trwsn`SY{xDK^N!atjw!Q&o!zKiiIPoC za#WfDLwpy0Krtb(sIW9(+wnO(mLR?J-%Pb55US}Doi}`>~gctvjPQ5 zBMXee`P?oR4>M1!a2!E_eMilTZ`Wav8nE-2+ClvTN^6ivx)hX3#7>akn$HxNdCapG zz+!&3g7_&5v8}?`<1{bVX`**w^D!%|J-x4B^Rd*cK|v@*Ni05qOj^rOyE20?)$UX5 z=atu1ENNSGaoFM; z*-&u1*oEYA7r2}G@mbIH+RA4X*NFHV2&1xU`ka}}wM8sv@+XKB^0Pv1)gtYCbbq@?(Qmt@Ux_Nio?=4cmhmz^maZ3tXL9 zxIn?wy<&o>Pe^~#lVWycJY~?fK$MQ7z$TaAbarb4)QHwK%Q}J`E9Exk*nfH}5zM5r zZWBxYqjvmHN*ZF9{m&u9vL43?S@N^I#*O$M%=x0;cs-lM)=Ox$=Pz7ti{7mZ>p{%*SDHstDr(`*5K4P3#wYC+5QX5O z0Sl{YK6_5dYyF5g#X|WQRi}JHTZo*tAr7%b;tz?X zlat@dMjWPQ!k8G_wQS{OZS9L%S3?215GN22#qb=CFcyW8%>eWp76eL~$=KiT{ z^*`uB3c3APejbsZpNUWBQLXdOiX-!Xf*t4Qv=A4GQLLzFu5G~RyT9PWV|0DVX$G8M z86tf`goKUbYiw%b5L}c~(|TO8?T7v@D>?Byl~_;8;lKr>?}SFAelI#(`GNZAN7^)L zzyiK;UAl^jFd6yUZ3;y0i$!K&(e^sFsEdXk2S0*KFVNjn!PPwUhqJ!$a$%;A zfa(rXo)}|D+o%wu*VwkKjK#--7`GRTS-gtxk})L?g@#y+5M7>t!%%}OANV0lGzalMx^>0W;SzU*z*P&3+{cwY(x{#H zg5nJn5@YMc%nNPPo>3^J`)710R4)}`yAVHmTPP-l?Z!jw|5 z>K$V&2(DVWdTGbfO9O4e2{?re1twTDseRH5F-7BNFx$B3-YR9b@y5tSORn9rXb3b{ zKdK>-=x0e2q6jeSL}by~U@YTFMq&3)=1mE(?5W^pMcaj~;3mw>3o$dwI78|T<|sE} zhadqbSKdf4G~Kv^+*vvV3{^x!;I*hUlKu>rQJAqAgBT0UL>`@W24}M*XVr38&p=;U z&ZG%J3V>Q8`@UB6y`yeW-(!Bd>wj-);wUdFkps{OqS;NM0SH92nYY)B0l*y#+ngvy zsl{hScX$c2&JDH8c6Ky6Mq?f_WtY#F4Le7)Aosj*k4KFE3ubhAQK-`w{7$F0M0YWC z^H$l#3&X4|I*paZ$drBDCRw>a_Hp1s>f|M%PF^ZI`6AKDu8RkC^2Sw5*0H4JR0TGF z5s+od3J_6O3ew6dB$6(PVuIP)v7i>hJPsW|NLtO8SU{gauqLP}h<~q%Dhg3yyL512 z+hq~!v!Vhx>RMTPU36*8%gawIeMPu*8*C)3CiO~L{Ho~U81}1AD;@|Jr_!*7I;s6Z zBTllcV{z+w7hc2EYow^zVAP%?QPYKCpv{JCyp1SfyOsz=d80Q)L%{uY(GXO&8AV;! zhbOuoWh`RxH=qW-1EGd3Kufq$Oc*$waV0eArYOdlvK1()+i0HgC`1vn-Yf~gxqAzh zzZGMOKxYnlTdcQ9{*k$zN@`mDtGH5eJC%WS19%6(DR)G&7HRbVA&ht@5M6ggB8o^U zSh!oVfF|5SEObXSLAe(YbtgI+@lD%(ynJW|!6;Pkr!i<{)(1jFk{(2PcvYmJVo480 zcY?J?as}c1FsR!e;VVkV$JDYu3JjrY+IB~0LIymBtwPUzJUX|OS$jj7${r9;+p87- zO-UX81b85k_M}*CmVNsEr|y^Q@@ZgaJfpS#O-WDrqs0D`T$|5|c{TDmZOvblg1SFb z1ISH{9($fz@B+0kYFfP*4Z*Z}DH?)l^%oR%y=>H8MErHwU&Az$UM19!>}SdWAg1<( zX3a?4sgl^30e?eg!rvoj&FjddSzpFd-{2Fb_)S9R6DFlUatcJwmA67TuD1nGfp=sr z)XsmP8tXr4t%?Iz|3cdKt`SaBsLT4;G246Sv2ZAYM9KTn5cI^sXb1-F1HB@qerV)w z3hPJ49SwYxOIXs!QTqT(`Xsu4tcOrsnwy8gmgUpX;Qm_-pz|#{a~?e=hUB5P9mCV&F%8rOkXrDXcxF^N9}mnmS;BIEfngrHx!TOH3Qx7Y3gY0 zxWPBQDah}RH#67YwFPg$wtQVstEjk{GRH92n*t!C=$i(l)@RH8ZF*2}@n*({2B z-Vrcet-F@MxD5#-k>3@u!brqPI2`_GmVe=EePgibB9`#3p;Euk&XV3Ui2GbOihA7# zImys1K~54E3_lQHaz(?TDVcPBD4_h_oKX33Kn#7I`cr64LFoJ}M*?f=7aE9PBiGa^ zWQsMV{6;02jQ<(JVLc;4aVe(%EB5}2zC>7&*$_{pT`3}R zf#4!7t}w*PV~b*ArO=`Sp~b_#i%i`>~$#9Rn5QrE%%DY@A755;^=Av}^>Bvl+hzEK`PzDYI;@u02X zL)}IPkfAc%97er`j`gl%o?8K`2_G+(R}Gl^w(ajJu9WRm5OrvhAiVvzgP?ndHX7N6 zJNPl=an?T|Xbcq!#-Dnp47J_`G|S!k$qdUqp)uzB&-m`u7) z`_p^4cK3kx{d+hiw@aJ&zLGlfK@fC26h8EVAP5Z-i|+w%d{Qk^bT{rk5ZT2n&E{FZ|w z4Q-abZ+)Jr>GEWJ2G7$^#xK$H6SL$v$s5sL_SM$|8^7%O-**Mf@V#a@uAJQdkrGcw ztWRnS6V1-#q2Wri!I~>ad+`Is8J8>SNzEg!9jQG0Jd9Y+kFH?2xS%m2Or?ORj103L z7659YBswaZ`7nm!Lt)G4&?JzL`#6gE8Ge3K^?H4#mqg6;wD7%&QZcdgw|%n5YR`SF z3{4&f25G%RwH=Q-+-2HO2d*Fb@g4C&>nBP|@VwaG1CbmGZ07OsPk0o(4HmbR!@E_nsj8 za671pxo2xFhZL96L?=hIyWfW0;%o-4F23||v1d*MFeKB0%ym`-Tu!_oOPvd-e$9o8 zI;_0u>)=0+KO2m3mjnE4^T3%pU!J!(M+$+Ma{&qwBbwu znGjBSp-*~qON)vU5In5rm!5DCk1Hi7FqD>)`fA}%7>J!*-Z;qmo-Y?E+i%nzjY#t# zy%lF)bQQz-BWPLm1271d{=%pIi`$fxg*fTLCnnAsbT|#tVHx%}sV*E~hSxxK3qDnkh(KF$c17CnN-D})(?lqOuJNQJZOh;l$km6Z zTeulf%pAW3_`OU1D2ooX8;pdAb5`|r*9+Sq#i)Z|+whwqT_VG4ipt>D0SboBeAb%@>ra;qWqmG-$dF?utr3sasJ-=S ztScp+=L(5eUCDD178+t~+I|f7R}#_8R;Zk_>$yCX${#U;MhZTYsewM z^6H~*4LL(lph|nn?&3gxACWg}3CwPfkwPD#?nqAIX%v#S9zqX?tdon(Iga+L=o>=H@17tywWsl0GS5<+)>q06MgKW9|gY z{>QUo8cUX!GlevU)R7VA7Jl|`_9LvM)Q)ntv9GF>{wL5G0Qf|GNC*voAb z`JGZ+d%aoeDk}5|ewbh~YEGFxg_@Qbj#AL+hRs<8ioWibDpGRqy|8 zPK?8ma08#4IOFJ!!L?HFMKP`b-+NV6Ofp9tBN?LW+`_kL!GI@OX^uriJmLX#B+#7Z zLlX*Xs6)h+P&H9ZYc)xloyp58 z)cwPCr4Vg&VydhIwv(J(c3~>f%RfYqWP&@BRyDs@Odu&I5rBvFL|4N_M+}u6ksKz&c8Tj=-fPEX>v~Kg&)Fzkzs|cq-!9sn3!ic7jL9uPX{BR3q>v% zPLXys4i4Aj-fn@`?T&FJ!HQydDV6sQSp@sd%VK^p;CGMAyH>=Q(@9Sp!}UaYj1*@M zmCH!MTgw%^w7}4Hq~XDDGHwGL4+yfZT!Cx6%i20R+S*t9d}#6IKzCeWlBYJpW`}wu zbU+;DOuh<^EO8Q(P8W?p5D0p2v~vc&E?&u4=IA61GUUq%bhMN99z-3^^(LHp!1d;? z2yIxsMpUA15IVGdqiOJ|r_^h?n%xEVgBJ@uI#|=T0%Q|63C{SbVI;59`4Xp~HiM}E zH5~`Kt_K6jH|VTUKC!ih*t*e#vj~IPx`|NNGIgu?v~B|}=|#I4g)Fz2;8~)p+Ul*+ z2)Ioe0o#QU;LuF89}9(Mkxty(w@#yvPR(aoIdBaK?pc*4xgseZMu|O=wueX@K$>($(Gwl z6NE9c9SJxn_+gHy=cUx!>9QMIioH2k);QmeN8%!^06E7HuL>1Wb{pD{HXjV9I04}14FdX(sd}#Q4!EeG7 zy84g1c8O0S6N5vG7q21W2WBDyg8L{>7XbAunDr$o05H-1f@SP_Il_7kaPyTOHMIT} zXj0g}0<`p3e@C9wujwGErU3{wVNOk5PprFEi%VqMv2FqmSU3wSp zJ<^jRE?(f$d3@k~DV=d2-vPI6R5q4o{09;F9}dnhVeubDJl>%vGUYQl-{0C?{eDDilgmd2G-o2N8!eitkfk zx(vh<{qzX36`G_ZK4dCAuE2{FL&u#aFcah9pb|iA z@zXibnO{NtSBBhcRbq!5HY0k6yH=ZaMU2Y?ol?8^iWp}plu9b zdZ1$Rf{mnCI-yraxt6F;Q^S8Rmi{ys zA9J6P;^U7}wEPK6+y1NplAOP*d$y{v5cb4X(1s3?uM@&ZwLQA!!IOhcLsj92ZZ zD`SS8lkwM3_KX?0WhXTq;ctq=Sx|`JAalNo1&=*J2m@bvLqp9x#DhUTvrjLN7m%XL zS7`B9#T04JUm4>XkE5O>%AGjpc^a9_w{!|*V@GmOtK9?&fm%G2gl7uEoY6C?ILRql zd-r!}D(?|%@9Tsid!h)FEQ&kGyA^Hw;P(i82m)Cj5drN_SH+|TK9p?4@&xTXb89bZea4<%muk68Qw z(Dm2=j(M`AZv+wi2WR=&C=+Y4;bP z>I%5xMCg?R!~JLhsbs4-uMoPoVU5=V=D2_bgrp&KCLwGmSQF(L1$cpzi1*|{r@Em3 z*lZ%KF6T*roN0DCNdg7LL7(do@kI0&0vg7G)rA^`ovgY6#d@-M==c^F?J1&3LsEH@ z8fJ>uInrc<(?xC?{2yp=!>q_@Cm-j?G%nTWostEH6TH*_{TZ2|QJjKNB^x;QoTx^F zFtg{1j-qO{p?SiLb?=ZAJXxtZT)~0MW{oOEG zvtDod7N*CPfLyQ}`DNs$whoxWv5&T#tvhnmc|s{r<^v<<9OzUm;avHMZw|Ir&v|+wTf+GvIQ;GlB;1kL z0*yyONP?*dM)3K#D74uw7MtzpHtp=D7$3bXB_)@0iP)(l8^EkfCCAwME&{>yi{*|< z=HnoLm2;WCn?h~TBZ02v5kS3Tm}>=~6r~A-o@b+ow7OC@eHE&*ToUS$cBv+GC(TM~|bx6kHXV7m#`sy1- zm1u!2ic$#oI&&qGpC%%}B;x)_vPuj?GO$u@TJIJmrOJ*8O7asfcCJ@Ak!Nv*)D1Y+ zxeW4%hB;Gs!>|)o)zjNhkY1(Ck)|C!8EZceo^`r!Di;q4LAco?WP!TQO3v0xzD2 zsu&gJ-fEl)>WMMz4ya&F%|YlXK{jN6(#x>}hCs-r{V_lFQ&B&su31I~GC$!UergE6 zsW81M81dUHEc;M6w|QPeRTKBFM`AcXt))DwjWYwuLy|DLLgc% zF;c{qNu#zREG-FJ&%;;JK_Leapk_r2$mG^OJrFyx8F6VYb#QJVQuKQkMLbY|{^p}X zkDDPjO>Xp*HYxUXop?iYl7iq=e6+*pFXj~Rn1;oo-DG=neafJq! zBs_mo<}%c7UmI15+t2I5{c|}`)GNfEtX?U$$mFXAyBDsO?u7umo4N5gA;dDanrXA9 zN7@fT>F`}I9lqBHw&;Mz2Gru{BF6^TKRKs37_SZdthg-dwW6SNla_3Yv7e<}M{I9C zjcxUMutoRHZV>D`x6p9mO-BACBWUs`8E+J07zU2Sonhv_iB3fYwu+hSxH-Cmsk5B7 zP{G0b+pXcAx(!@ew~H$2ev*c?`*yuA&=vZAu@0^|>0iG?cEuk=SJYBp+=+6|y9AfA zh*YQUmhHPov`^`#8t&D5O|(dVd2%P_AiX@PolwoGsk9v99^lJ%1M}_*x9)oF#dyVD zVt)_}z%~v|5%BR4QSS+(Ry2xt_Q+-Nuy9!<#XlnW%)#+>_oJxN@H&L@W8p%@n{lI< zUhp8wH180|WY)*!$YW{tqL%nQk_uuQw!No0rJevv!jlq(KM460#$MQQ@|OuL`)LVr z;q)|Hz*Q5+Gn@;$WJUsh6FFtnKaz6>yYrvuvz7k_h?FA4AD{bw#vF$f#CFf0N+n|j z(c%{)9C^Fmi1i9B=w`9ax^LkDCZS~UFmo3V?cF*UjN!H478!Hm&&hIEt_oK!y%nLr zd&@jKXp1H`pkao?X3P#(E*D(>K$nOGPA0eRwIR1I-GL^P%LBjeX~m&pTjA=}C0{p{ zgR7TnrAyGv$0CGls4?>BTPVi(v<$2I7wRwbo0?jc+q=h{_| z)UF-|@q|YxpvZNnB4*jGKgr>FoO(cOZH}>5!=XD!sewC}Y)316xw_2=k1p4{@rdhF zf=v~^TRzzP#Q+R@TFX8bn-_S-P_VuTNBt0P2o5hB!IJ(6KrsL_mbG5EdIHP%lc8Yq z!ts-fCes^UB$pHar@sy~gFh|uxeSb8{(phELBf}MHXa4AekC(e?3fO}fEW9ka#jx~ ziV4R}5wDSa10t~}5I(q_#ho-2+)kaG`>nS6e@af(cOsOcd=H$YALv|@d}3)v7gK+f z2bg}M=*7n@41JWph23~dns-tiaDn-ghAtTFY);9kXn~^{I|ERqvvi4$8 zd!Pg*)l%e;CO1Y5rZU#%gJ1~Xm?VQ_^l59iNE#%?Sg_LODUl1cR4mktF}gv5-&@*D z@eg6v@n1}`j)Pz*7Qqj7JPOjo#buB)4n*ZiGbLQsI(O^c`kJD(}FX#QQyXT z0<%CWp<0ME9-vXr5IiQG8PyXwzDT@qw}`7QDvL{0JU&$qQh47*%w!x5qo47>G31O! zy?5|CQ-gaaU~QIU;iQGPl6r@pd*Lr1VZ`TBOV5@ag`Imixz$3xOa3G-J!(8cx)BSc zS8b&9xfOD+T64MZx#3zEUt>O*JK9(ofHSOlhR80G*~K!uL=>(Txur4}7lo-+L&oyl zIZYyWoXj0Ba-C&7SGd`96IJ!oCAoFXHX-br3*ht=ohz6~j>t*6BQnB0Jy~3=Q>W0a zC+zi86&T{+6C)9IESMz}&cH$k%!a7z_kz~XVS8G{{#>P+K~0PU-E%a@z= z)siS?&Y7}7HKIYDTHYYU^u&YB*GlJsQb&x}{|@5~lJVKXU8*+9I-0_aHyd}rK${cp z4bd6av%+ndOKmti)P{M+$i*^GE|&S)o8QI`%{(W(Sk5(E&(!n8wyK;@b7+C^K(q)= zKx&}0;9H~SG9c`;2rm74@OCbRYI zV*deyYl*>i{|AHW<)Uu&3L(#wEAdk(%yJd6%?0Jv0yS=;CDZS|sX@`GA?tN$ zW%XIOVJnrH4YF*P`UiPL_fBl*qTMrZ4ff~}N4Nql*xpoSlwfmV?4tgf};$Z)#hIbtJi$n!c7 z=Y`W>;IP0;I;cs&)gC+LqaIRh`uc1K+ ze~VNR{|<=fb!nIiF=%R`_HjX+jyyHmI;;1=QnHlKf~!V!OXn?NfXVHwgt0Kib~^3CEorv z^Nm`H5R9lg1w^s2+NMS!BgZ){3L;*ov6V*?z-}--ASqNt;p3LRvoZ=Ip02S~MN@!{ zGeZ)X8HJ9m&p9g!LIhx>R!e;quCz1IJJy6Vi6Z>fB`m$hteyW$Z0b3+oTM%)(HdJ_ zGz7lT`e+C!H-spwv&~}LYZN*txha}Z*|27__RcS{x%qR;BwBMx#3--h#d6eS#6l@fBcl#q==j^;KQ!gs!`;X7Z=x9wI_ z0-1Bj==(pfe4Qm|xaM->9HHX+cBtgsYABG?+da2Z`?3NCA1*anU4oQuPaK9?Gey){Y;6FtHa22rSxKzYaNAbN?$N!X z{LMXbuOwkZUbHG4PmafSEG7hAS;kgO{SwuwcykilGC#45otYFazAZbjEz=QSj8kwr zt|9P|!HSI~i6w|1{lbvP^m{rs}pDGGan{Jd_4x?WC5?6|ystkW)#q^CJI5{f;W zDc6FYx=9Yob#hP;;AC0XLs2i1 zNX#Ui6}4`eZEp0Mhj2L?GAsPdgA^|FqKeU~ogZBgGUXgua29r3Jm(>W%DK@cvAWNT zE(u|B{%KX>ef0%q?Wtd5vyxj#lC(;z78=IF{X;FP(=Zy(o);ERZf{$?av8nEocS!4 zTFI!fzkj8cshK(L|qbF{o$Fk95 K-Q|g2^8WzYy~^eQ delta 38589 zcmaLA2Yi&p_CGvZp5!5$kYuyF*)*~#5E8<&o4Nr6Q%N)-Aqi4!2@nLShNz(EW@#dd zf`tdL<)WgXXt02Kt=C4Z(R;oA#EM?KBK9u-@0porOYnW=V|M4vnRBL`IdjgLvc0`U zy}d>KPnk`Z=GyLm#{8twv^qE8{>!3&Fh`phV^2-=U%NH7{Mx?Q__c>(FFO=#ICv=5 z`0K-je(;&+j13E~H5k9&<~7A>#-!-)cNdw=a}FO^>QjZmVQqfr8m*{ zFACFHi*Z=5i)qWsOv!#$=TH@F9E!^6kJqd9&Mh-xtR+`Wm+$ zrdrsj8CKVYCH--(e;4V1NdU!AjLnrD10#xQ~m&e;byDuFSF7bP} zn9%QlY_Sd$9y1TP2P^y1_aCM%b9dC!*BJaq$P4Vopci-&VcZxBc(L`up0tDmW0}XX?iU^f!CV#^?CHh0l!V5mG&nAeKj+fG5Gwv@t1C=SKbr8d>xid+_@=%Lh(S)@ z2Y5z8gKh8@;|8i+nd0`>3stqQ{51I!5)I8pn<57$_J_5`1p*_#gp4qZr_> zW>nc#IIjP^-jxHngVC-HAiCXM&av6RkIr z@y~(0!SVRgd(uGmppzhln1B069T;yijIbeD0Qd+($Z}z*yIyN-GK4{z$r+XjZQ(*8uOo(IqTt`a! z?-ql-s~F;ORS5=@h9+eXLvK*QI9IB7%TELDW8V#&ee5XY{4QU|X7rL@@1{KlV0P?t z`^J{|@_UadF?&}(CrbmHJA5qy{MLO}R_i*e5`4)%f5pFwcH zdCxAYp!UWrQ}*b6<%2)(ao@DB{Ifmn{4ag)JAUZ1{U4$W`W2*lzq@%~xt6)oG|KwM zm_6*dcdmIy{*8L>oddH?lM)h#v$LFRFnd%?4*Q6?pux4~b4?DbjVU8pL2k-$fPbHQ zX>Lh^cHz0EO&tkrf4UBDp0PUD9{Zpnzp|~#-R7>H*@DFZ|NZuaF_t}R2U;2xpK#Xd z+^o1DT3ti4L>n;1uv-&>mQHAA&bcTzD?#gAWU^PJvoA|^xP1~9pAeU}SxvI|QDYpt zJ{f2kRD97Hm*yrVYWFTO&GP?Zo64Z2+3T*7tXH#M%X+Bv>arWk?kKyz?1{3M%ib>g zyzJ*PrQBAYRbEzJU*1yQUOu}#r_58QSXg9HtOe5e~pUEi_1&T8-yE(f3mB(pnrnv)&lMK#iq&rgaOmBvj>s})jlTlKYb2%_nGl` z6p3>x4fov>UHeXQx!xGlXV`yu*Z-h7p*Z{VTrt_M$|6^0{+5b?#=*(1`~qICgp|IQ zPbejo6r8K4==M*E&h4LYYU5q|CJ?nfYzh=zTOp=k@)&1Fz3asSpf2!r0GeMg_`7Rv zfvc{l&xJ`P)_r2He>H}_n)dHrYKqZjtTdfxd}ECE!b+1nD%&?;9!%9fFqoX0W0k4S znC;WfT4ky=#A&y!GL_=v@G8@&cwLmYQ^j0yTKuJ^`rQ|q%-W1gO${bzU!`_ljycI+ zMJl{1#Icfp{Y97}?9Q!64-Nh5m+BFlc?6_x~@0gVvBvj873GU4~l2~T#+K3q>!224=ysCaCj2Nj7z^44ip?q8<=n`nYUDRPU5!9v0;3PRT>>*ogMdmGT<6a zpe3|vkIS{Lpx=JqC%sPkaxp3Q@yPv-QcHlM-4HNk`)?@dpVC)NV}OBTjq3{T`-BiY zg#Haj#}``uKdk2z7xddHKY{XJrF>opWsg91ukZq~7;ETASVc{QQcvqpb!Z*((K=M@ zx~ruBwcZ#^mz@3=Ty@3$&r+4sP%xGXIb9cx?H}H28JIMf<=Qw_J9~}E9t(@)+tpKD z&W;-=`Lx^Dn9lZV?mfFX)Bbs2+krI^^C^2=?2;pzY56AH0Q1U9;9S%|G6Ux%$iI3BE)7 ze;Me6b>{Js$+mf-@6am~eEWYvI&LC2ZpRQ{@GWP@pyi(NzN6!PkHK*IWT1QSpiFuh zEnf8tdS7#P9G&F5dy;R*B;MX*(?UiIY?12xcr1t1apePk^grx^EpD<9^2t(h<;T~% zH1C4`_!I{5Z#eP*M&y( zkJ)7&?(w<3K6jDNUF_>meqr#NIFFxL^uD~O7nU)%upk*&bam>DA?h=|{gZ+fA4(sY z8PshtyG$|ea4yj=3?A)$Ig)67km!K77)G;ausq)&E1rjn=TOB*P{mUQygWbglyIWj zm<^_^q~o6c%tNnzFqn#V?EmDL;yUip&fZ|k&AFre-8;&UDG&@Y9`3om{N3xrk~fsU zdqcUldxL4Dv)Q{PVW4u0W#IHJ>Okif)4;`~&zrs4M;lDz;?TDWD)Y0m10$tnUS`Vo zdwemd=H~G}%#VW2u$U(JU_2FUF7iQK;6xQ{F7|Cc$=6@L%NWtsd8pz(k8jC%>THx< zGQo$^D7s{#4~0>3$w@wx?b!7bR9OGXeHG9&Y>#2rXO#4=|9stNoBGCY^@jm7)_=L~ zi%oqK!V!n9|9ah5oBAd}HFHO%ZxUDjC-Xk#YT9ylk!xSE zP^W#sAU(=gMa_A+DM_2P(UfW!tMzO&oiwjn*P?yO_Se5SmV0Rb7xlZ$_)BG=tryVe z?7Pcc`^p8qiw57k+vnO>AySQ(7h#0>vXkuERlH@mYg9>ptgEqPk8;fykeR!&6jW$& zmzzBCCvAo0Jds)kn-##u%S}b`O?ve>i4of7%S{=kj=qz$doDMP7z@&*g){mXnaCU_NJ|09GVR- zSRvq;(sFvy0)X%hmH1*i`JDH5VD=3k=i76fB+Lsc&W?lqn@nCqT1)4O72|V8&O4*~ zjHRrgpupAI)j4nB(sNvGt=k@ne#zj{UVbF{$8E!n>Ou8N({BbuBmUA16U@gC9>3aT zFq*y05`Ewr(;tQd*O?4k6HV+b6D!S4alndX494a`>qzs&M-8xqkC;c8+LtWXmLD zu4#5RRnDxbZE3GxPqpx|6c5j6m>QW;&Qz}`=`7N2`Pl3*rWb3keQZuMmN2byyOLxH zQ2E>nbYiI%`;|G}P^RU7WlnRKv)a~HH=62hZ*F!sHcy?hj$>AOS(=aMomSb%^Qs!A zY}!3&j@s5?G)LuD7O{*9Z8a$Q$8)rXsi(OcE30Z7`QYYOmayar!Hk-Q)~f~CQkFU~ z2ySkvZmtnMpIceRQcnuzRKhcwHI(I0rpl?a&_C6cjcY_p>R4JG&uwaGYipP~g&aA-zRJTgrf5gMMlaWEmZRqM zQ4IpIvbwcd@5{BEO0Acvr(onpjb-VlYImJ)P8;1Qk_k<$y3O6zUfJ4y<=n;dSo~DB zZ!iJRW{vKqwkhuB>Fu6PL{m? tH z0=z7-GXj8#GA9C1%+lscYPHj*hp174CC&@s0sv}V1ZWWq0Rg%B5h%qh@tg=i3Cmg_ z={E{7xlFIHOtY+o+B3(@uF2=>^=NHvZoNv!f><`UvaCfiQ_yG&5|L?^vslad)|{Qb zMB=rywYLVlh7v4osn+=|hI5&=`dhQhuw1)|Qs-$8p_rjtdz(I1Xn%cc9%1OwGQKnC zrk@X5q0 znV-)kYGOTVy(yI409sc>Ca;W4ZjMY|6`8y`GPxx(xm8bQw_>uf_-pjENM0MB)L!@= znzs?v-4+5&Fr?;`Be?aEi-Hi==hR_%0*aabLY}lgHXl!sdPi0BBhRPA7 zu8t+$76GaTmhE;9I+IH!(5gA!?g+dl!nu^dxn63ma|uT^G9=b^Iakf zy4~H>T;qn*!)$jCg%z`;eUY%D5|(sNB&fKE<=v~*|70HJ?+@iPx44^ID_PS16G8Vy zfGVffu%!DVK#k2aSkeO#AgDK%^k4*NN^3hyIuH(Ob63`Y*uij^yKQYJh&&i~_imF_74GUgWO`SFJ%iN4K=`rio>O?o#(6lOH1`JU{W_akY_ zY~=$~KmG_S*dFYDmi!@-%ep%QIc@HiHg}zyug@(0BY;J(Vvv~nKel7;;<-#6{xRFp z-LrxzpMaF}Q|-K8&Bh zKPp?`^{!jGEHHOLXE#&6Vb?L+G4O!tl9THxUR&MMy?AUjOZyhcLNwM@&RN#o^Q760 z^hwoiO!=db8u)}NuaMdNj0iTj1^ z=vvkdw)5vR>#rxs(r;js^gApZ@3sg^f9OK26SDj%`TCgh7kbBYT=J%2t!|zUtEYR} zvL4O!yE(;gWrm^kFc@UDJprZ|4Lg|4WFWC3$)MG_r4q)OYBuaxxO6VFD9CG>-d119 z>`@#I!X+#xj%STFaOo!@ZRuXPw1*`RBaY|_s*ZN~?`BI%%+NY07O+i;HE7?CQyhLJ z4k`O^L5QYN^YX5xbqg1FvDkRjl}{zi*aTo%69pEnhOJ#Ix_X$^Dj+n)1Vl*!qSIz@ zdp+CqiAlaC2|TmdiQ?P<6lbc#z~x|aPHsu{+S;Y_0?qRS#C9^XB+EVmTPLVFQbLs@ zjOxY9FvvUyo zftH?b<{YEF{HHnDStwc(8ZTumsEl)KfBb27CyxW$uDNTN>M@Av=S3!*xXQoG$@Rsd z+Lr+AC>=tIcd$~1teA3x+o$n~>=T4y#-rNW@&%nNWrEiCm)Y4qF*I(x*6K-y9m^MY zGIbKK15+o9lIqC@?vPk34KVYiUB8K@zFH+Qss%{oL*5Yx)a!B@dsGq6v2u# z?X>fup%d#vyg5K=p!S@ibsjg{6Hi5PQU!3T8v#yk(oX&)+Topw?`h4{*>bLMEn3%r z077L`Ewi-9VsPfCQL$ED%+rSNsqF@LQA9Ca`C6vSQpHR;jY`enrJ`oyd&;cqJ&H4N zw#2|}Kb+e;fjdR5JVPbP{^jw*B z99i>%S?C-#x=SRrW&`r(2lLQUHu@ZycMJ0T3j~{(g)}C$um#f2HMEBt>66G`2xM1Q zXS4W4B#t84hUDT#6P+2a}YWusSSRg}Pi4p7sK8X*i$=0Dm~37=T_0@CULRrdE{z5(q~r1z=4$pbUVu z;ec`g)`bIn0IUxORG{J;f`G;biJRRqm|^ifDX%M+4pMmqOm;oYa61KJi~tNo@ixM(|w!@ zX_4yI#=4EXa{?pVD0rOB9QOxv1rOw8Gv@;wO862guv;F~vR+Y|4F|OIUICwj+O@AJ zdBYzvkUmG;4#C{o)@`cdbtJ1n>z0;|1x=lEyO*udPEnOv(`^Znd1MtUiLk~jR@kE& zmgn_MO%m=%x{c{e&y{lR;Pj}B#V!p`FH26=vTRX~0w<`Xq)@0U99b}DmQ;z4;A@(; zzctF~&tP(`9X5j7%xjsNDHvN_oblAI3$GztGzaq{3x<0qJeO=@l0!_m@jbhR(?^ZS zuTgUujK5XPGE%p2nKB9m)I4F7s|YsJD&x%ueXYJNYHVhKz?{os_oMyXK0ycEC-4gH z*UpPk@*Qvvxh)xPmn1)^^~b;ngiAQ7ZB`osnvH?B#)T_-8ka3wbpCQ?ffFdbDFx0S zv%=f!oHsYjva$YC*wVt~AzR1tD3yVchb0_7AhW^ogE1Q_Gseq?!2yJUvW6*e0$GgZ zDe8-!Rh=yLUs{VrNf`zgki0+VGnBN?Wa_ihBYBQp#?qgsQRbaW0qgJ(E>qzUa`Oa! zAoojN5WQe~k)rc%(XJ_rm(A&1%raimj=ip=CEH*AyRLafOMG2%EP-G6_gw=oQSTb~ zip=`D-Zd?Pyc|x;B1ycGsf*c;d0i`+Wr-Lsn8C_Y6jhfo7+)({@_AZctm1HX3#UUE z`ZWE=Ak52>S7`tEKgDhwdA{~>tdg5{0ZQ601ab07b+3Tpgvl!j(J^gRu!5G0fL2pm zHGK-RBT6S61loV0y^6737IO)6m+<~qE(KMGU-(<2XNNU~rS)o;#wn@85sPCgB5)+5 znQOJ(amt7>>(BsLm&$tNSvC-dm4TcRrXae;EE}1-R0H-p=DeKk*cPkUrdtq5la7sw zAR6x!M9;WGSIQJb&X{@?bxJ3*AW){GZXq_Sn0@QtwfdR^!#+=s2F@EyGHA_eIh-<#RtZinbryIbnV z6f?_T1cZdaZioBLY>XU(NQYmAqfH{I!pEN1wTh{5v$>eTwdQqzc7k`!65v-023_QR zS7Tq30D`y*gqUIEK9D3@;YmkUQMHi62;#AlIDBbNya+KA;W4UkqN%&M1D%{`&|Xbf z?0&e-ysP0X^S-nRvn0iCAZcu?MPE{^hNdVsIA<`cL$KznWOcQ>j;YC_NV=03sh!4) zM9$b)IL46$^B{yda(hKD1aHM=?jf z?A-{Zqr#h}9?B@>j<=SuIx0C0J~Q=RjGMe#-hbmnXJmRbmrEJphu<724Y5VwDI!cv zg(p1^#jS9oBZt!hpIXF}7*-fNgVH6Z7x)y{iT zv5kwZ3(J77%^daIO~x=Iq(tIWwW*26DpvBCC>!uqHWU73f9y<%b<%(cydZemn!5V$L+U zi+M1^c9zzett9#3es``|%+wC~*(pEgh)>&GA@vd6F%Oi~E)n#w%?AP^?-2;+06|%R zKgkOXSR6@`BYMYjE>({L&BK_o2zbuLqH_x@OJt6Z#lfK#jSu883*2emD+ngQm1d6f z_z0eA>6Q(JN`lAC;@~HXLg-2hd}Lmzl*Pdz4)rA5VJ@o_PKPVZQZF)Kna#RVu@8@h z@2gK_$7;?b*#d`Gx60t^vJ5|$Mn0k3@N7u}EdjuU^&GP{7~EJV#d5tA%MIGto~X?H z%XA)0*$8?zaznW#60!tS$QNxpkgHf`V_E)jn_sbL=4+#WJkYCD7*f;9M1-AG%#^O4 z#U8fqGrLZcjP3@A58W84+ktjzHsnb`g__hxT&|3FIv!;w z(qqoYw8{6t%(cK2)m0QMP?ikqldC9*HmXq5HFx0>=sM?90!>$bwJR6)-~i4Z9sQz{6n7B6B?( zHYnHj+}E^mS137t7_YozV3A^*1DhK|xfWqun1am?rv6u2AlTaAoM1Gu5pS_jkWG(c zuUU>$Mma^3S@vPk1(@~gR&}i?44l7oUe|m?gy#iTtXeW>+2Ts3z_?gqt}iU0!AbT*0Eu@@2?bF6}7y)p&n7fXF#8*!zQk_gj_Hv=;a))!0vPEp^9X>k`iY0cko>Rf%D)p>R6sgiPSbVh(zle$`HK>1Ftx38NMINTq0pgq@ zs*I_$aDHI1Z`p-i-E%u>1En6hmIf0<6urKl#h!w%Ja!OZ>Zzi6YLobMPBm%geWfIs z1I*E^4gL{r&uS6%5WUsd)zj0}-P(?=t7)=hS`TbdlGL~qIJ3N82=QS0cIAWU1AR)Y z$?k9t39`(ooHtL)zEye8z_#6{q+!MQc-!qt8m$-~A2jS%<{6W0ZE*bB+Gk^-rWg*D zIJoLgr9wTIYb^y@i>c62-1dT+A}PWSEFUTCz)}@jI=feN(FOqaxvH1V>tc?#!e$gs zn)P(^Xc9CQOM*_)*RpJI!GCSnEeX`Aj-@?+ujamx@vqz|+w?!!6* z-NWS@OEa83mLxsB*)7e>Yr5tW9et~nR1gJJa*9|yR1m3y*)2dQVsF?4bskIOzXWLHHPZp zH7=(eSBR0m$!CFvsu5Dph5&ira+4@6)J?nN)C8(5b_Nx7A=I4+Y%TP$b&&_?&5|x&kPoxK#p8{K zH3Bb>+2G<0jbOO0ksmlR zLwox{CAS4GAWO|8Gmx(-x}PErXEN0#G&Gz+sVbP7Ewr&c#}GNaDx20ZN3Pa~Af5~!t~_ny0VUHgT5~>A`~Jk1l&GW zOFXF965X=ekkfGhkMw9|2bEldS3CWnk`)CnkL4F@YYr--jU}+g`{CGfr;hA&$XFTj zv!zofUa5#iphz^zXw%>`zg`|hvI{-Y}YamDoVoeMu=u9 z&N(2+QN)~6wXYvik`2w8^01PZ)B^4*96H_s@adSdO`H6%l3d>|Yg~@l2D~_$rm-`0 z80P;>DmaTe1R@OAjU}88Hbr2ANCv49g`79%ncB^uR&bW20M|{-S9D$n@-6V)^p1f0 z#!}~M??0@hB*9(dv(W2dBjBxZD}$tIqC$RJ>Vgv_Rva2;>Ov{8=Y}M9k&xJw#oC#V zD9+R+hJx+7cgGJ=@YTV~(wAyiKZ4DeW!hbjC@JRUK+~T3LrKa|&I3yaoHlA22|e)= z%SQGJA)%%wz0pO`?Xk=G0Wi1kpb>!Mp zBXqij6r){#BB}YLtT#wfI2RA!k78;TIDWdCzMQDT-=nC#?FwpM0uMll{%NRTt}C^I zM-gS*48)|XNW8;2vzV(%Uy}Jp`;0;>^RA(7#^ADu>35AT2`us2A=A%t9rENXx}F-i z?L@K2Xkw}+WJ2984ayzTpxkNDJdY~L3I=Y(4VvLGCBtzeA1In*!G@&W%+Z|2^joy4 zk3m5Cv~wR*QvLtnR5##6LV$-)5_XApc4Ahnn%k-!@~^liK1Zl##=qqPjrr{{;dm zPiwb4p*Wq-=+PAHF+5Av#u`z|9MAE1$s+Z6G?;=)hvesg{Cq)t+Ful{=VDP^-QLtP z28!_|!N>kGO^~@_07NF1>{tG_ijG%_q1``d2#+KPdd}Cel!$Xwk+G-$$of{m+?6c< z`!_5tZ_0kfZIb^Jric2L?90RGinzCFsp38F$MX0NKuPaXEmwwBoh7{|Ml#e?W_@3@ zMS|dH#eM)*H1|q9Z1{&Xy@fZ_&0;^2l|ZB_>Ejcrf5NHz!#O5?D#-IdLN%zXp9x8@ zevZ#M!H%VzFGQSQ`4W{^zM?;0;2~{iRTiun6`HmC=_YS@nb3fbogF)N& zwBmC9NUT@MxuffK=T8Rhm8W4R|14F;FS6LL2I_)P>}W$+IH{TrJ;wfq3dqQ_@;ho~ z{euK2!pr|N5&|#(uSf`{?Qs-!z*s+|*vkz@A*~epGa50BCZllJb3Jt|!EEIEQVtDv zIe?KGWyDf~;Gn}DEej(=qEgvmM(MZ0AhyIHlPZf$3l)pi5(W@fiK8-bQvn_ha7w)P z!HYN?l@J0a0_?C-^mF z3aQBO&OKW&!c*qmMCc(K*4g zH46K?6(ACbB9_o*3|G7?p*^x^EYpE1jf&Gyt+W|5(%h=m_viHZn002TXf@;++jN#$ z)F@cMSP*B2aw$_pFHZ+a^$c__+(PwCAsNb9#OG`wX=xoOMv~SkGv^>PV{VvS&65aS z0zsWGM=) zON7W>8Up(Pw)g%`Z7l)G552JlNa|Wtme%=o@^iiX+#o+M6Q9aPAX_e%B3jI1Hc9DZ z30DY-Yx9#UP+iTeS4x0LlbCLfgrI}3iiAK)uMSpB-6ExQD?ma@E!W_yl)`IKlbGv* z`sR92q7CM4QGlmuQfjuN*GX!2$lRUC%(x*WHK{jBgqs9{db5xk_btY4S3zp}^xB}G z{(-XAU6B%jM%@|-L8ESqgg|O;N71<5`jC3D19%4r(~v^hD|b@v9zEBC+`W2>Nh{oi z?1Z}^DV}XS9-ZsKS^s^+BTSs`0aEI{L2>Gr^@cd@C+hd<)M_z@!YPn{Gs<55Wp*AJA(DEDza7tOFdfm|9mFnSW1mZwlZ+$>np z4HFdUgr_4$ADc!IX_A;{ji*KEI`r;ykw_4k=c$IYWH8Gie3kMs01h!P1m)vJF~G`8 z0H?hy#p4z9B8kVVGIJ1_8Lx$j$LkW|-vUAXk5npe7`2-|Q^xq-lrzDz?$oYT7cT3b zhuhIC<}DhBn}ovL#UQtsqzrPQfB*2*dW17 zNQ3e_SlItC!dS;SvGrj+uKsECi?!n~F;Gd&G}N_DHSmp&^@sok7Io20d3hlB^fO22 z7iKf{VPVXsJi^bvw&OymI99*GUDXySD`m-#8uV+SR}zR{MaD(4$GP$A=PTV6l!2RE zPjHyNzHJoH#3yy=H3YzYxPJ)%ZQSZ5pALd(Wz3@9{Zchcc*!6x4_zf{3}=lDhy{9A zaEfT&tJ?doa%YX_iW^t5NYd-P2)_d)+m!w95S2DwSt=HGVV{lqPY9!ZR!eOg@AIKp z_WyFM#)hf2Q>Qmw<@Td_PzY~wXk}AF4IdV`m~Wv;?I+aQ&L?P~v1=)0Dc)#`2(OqR z96UPAqb(M*++IR}Rl)vHVw8#7(jxdtq8JUR->#Yvtr;qd!w45HS&aC!SU^kaq*#=S ziKD$HI_Rhlms@Y~V(U#!5SvD}M7jG`*`#m2F{jnU%|hxE{eW;3gqWo#X>YHHN;|~{ zF2Y7o?Q)aP0T9h^9JWiAoScGFOo~ZAubZlG<)sPc_H^y!-=b})86kdj%TwP>WEms0 zrN2d|&&v$)b4h+#A%5ACUyk6X&2APi1ndV8Rqfgv#WGVUD(chw-=Sa23tk{wl zW28eY*y+-jEZUQ&tA|5(C5B;t9_j~YY-xi&8XNS!^(^MF*pkM+*xO=XY~(xIKVMgJ z6S1SEn_9G^p7B1NjZGhk9d%~IewI9?qi^jq3pTc-ZDRkQ=KZ%~Gki>EiS3mR>|;fq zn1$lu=N7q{LL2Jo*igrn9J<*ygW^;;m@5v4VGT+Cf|IGsz)n^qnd!^tb@p^stpXBl zYH@0`sTG55c6r|kds^J9KPK9i`K>nYD`kWWTUv6RRIsrnx6Ju6GvX)Oh18zfs-#3= z2Mc|=`#*}AAGCF=*U|}8EEm_wrou6~9>tTlF$lki=!!GCbjn?e|5I^xShkBTa1y;8 zvW`2cjyLFajJYv50yl|TI&S7ilW|bIxf_uLc}&iB%ZZ&nu}^cnrA#pF(pukAs_Sm$ z6~^+vzH)Il?%1sgV0V#DRWRi?@T8m3`q2^4o!YqsclvhgT><;*4j{Si)ZTte8Bt~5 zE4CZOroTKF?6^w^9@b7#D>~E6)Vt*l>pt1Qdq5}sUacZVNmKe!qHEh><-f)e!?ly% zRx%Rfb&f18k%qK=+Xsrxp;%EUK8d$6c%|0HZ@;$NgC!IPwIi7qM~0Y>BIbD^=Hg@zZ`f$bJU^0o2tz4A z;g~T&DJm3FWE;z+h~L7zg1ej^=5U9NUlW~q7Xvs>P7{wPn(gI9=`x;vMS`Z}f)Gzj z5$evZcBT}AeR2uEEfH0U@2O?l^7j!WDwinOvGWm11xG0wkMHRd!cGTq(xJYzOqAtd zbe%-yCQ&)NF=Mj!>-$Q2?8!14S1u~GtPd2YpAJ07RO|C;SPgH?I;Pf&)}+?)*3h-a zE6L9d8dA(Qg}NgQg7wVafPN00PZ2K`I;f2G?o@DdHgY6!%wBuw1MCDhaUBtr z+06CF5sQ-QXaN#eX<;m#)3k&mN^){*Se}&8084GtCLK{y^4h_Tj6ZUTrh}b&nw(%W zES(j!XN(iwTu zM}#EiP!;BiQv;THvipmfI$xglIY%7!k6B2AN+!1RT%kKg&))r^66KdGnm7}(QG|gj z;S{xDm853kuuHpsa)lpuaZVRG-0WEqI!h8aLr3dG@tL9GvvfE=h2Wem7FBt|B`tKq z<#a5*mNSAUT(J4r_h)qSu(QP4n{&4I z==v_Oh?}oxQ$5cK6aExg?8@AL`u${by+-2IT zW6?Ra%RvK4jhoG%;7)C&Yyy-+kXb)|OXSaeG4s!+`? zI`D<^mO;y2XUIF9qF{7#LyQ!TFIjMMgU@!rf79V~E)GXHbhnnye>wjo2_VY^3xt$v zzIlXW99%Ar^JAB%SQuj9T)>%PKpZ>HXwXAw&`~Ceb)P`OEVnBZxm^)uz`dVnEgq*C z(}$@jg6$PHY#7hQ+?QvbPGkXovGSjdz8bk z;;u*%$$;eci~tj@J0 z9K1I(sDJl2oj#Zlj}hwbPj5A=T;S zwm7q6&6I*2yxu2PPql>DKpe1q(tf#8hGNO3SU`v3BUr%~Q?){>W2~n7Iy`}9;6&}b z$DKEp*l&f8IzG6buv~b#O_g5ZV`BknX`&YBoD6+!Vqhz;Z(dzE!&AhgVYAB zl%#cH>VsxRgIHb1ox*Q5w)HIQ?z%#I?9!;5u~;FcR)}c|R%5C(F`7j&1&bpt3a}lp zlA6whO`RiW0CpyG#iUS2ib-M_C9@D@9ZiRCsEcqeh)3y9IFp(%CVUdsF=ru7x#V+j zPzdEVRG!pQ9cWv4QCIL$1=TB~9YuVWgf6gbkhgxU#o-kBv@XF6w3X_bgL|UYv@Pi@ zY1$wFT!C!>&BDOF&V z+;gOd7I5#=RU@Z2-O!|C*{C=@_(7M2sJ50`VkRyy)sgC$qE{SRI%v|7p0?Ht)f;M% z+CU8$2hlu5w&c`MOBzAU*(6#LLF(Y~=}|UY+*U4QIQf?x#dd10bD{Wla&Jr(GGH6V zNRe<0bqlW{6ln}ZF2TZf@-~S5UL3Ro&=v>Tl~35{kFTi1*-n0`E1vD71x8I^JGrJ# zWIJ_DZADp25)oV(@RO>x0bsRD4nmWWR&@XzlZ*vyJHOlIWIOrf3qCKTrVwrLNR>R& zB#$DNl)-k&W2i)r%=Y9F97KKyf#%encRqYoKXxR+1`dVjh%C_&cshXBgI;VQby?fp zy$ONT6u1@Wr!k`Abep*i_Z1H;j{3u}duf#URNb1XAZsT5VqtY* zU5D~pwx}=w(+1y`F6&{+YO+Tz3ECr{p4?e-V%Rs8`d$2!!Bp%4+$7G=}Vm?Hq9+th}ZVtZn1ZR_;oWt$+o%(9=FHFMp zr~hWEH~Y`;Aw^?*W=LjrD^s3DDcf^2@pTo!l`K7xhR!-fbDBRukT?*g28o*T0=t35 zyhvIQ;X`J9=>#qKGTTXQNqoi6cG4zBj;`_4SJ_T}lyDFv)YqVxAtbm2KSYhQkSG!k0TEa-m;h-wezDKelsrBtj93eJc#%@K6HK@Ncsl zndKdD_K2YKyHv+jOnpx@Nqt{xL!n=aD^&ADqC=5oprNDkW*AT11R+&A%80g=oX#;|rCw^aSc>x>A><;E~wor2*!w6HFtPFF5qd6c8O#A4$UP-E<<6 z^Zi_moelpVgiZk=`>7bs@JI>`LrS9#q=+%Jh};c#ik+(2D^yFO+O@G|hM{I8E#7HVq|!^M;rVDF4LYm46cDM?9sM z$8$Cj<>{@;VK{%NtzR9LY0P|9%ey3MMEP^V#9hujH$LLsP*qLpI_yoiNl-1mT(5>Pw=aPjK7aDbh zuK;8SRcg;(8kH9JA@amVdg}l9^;&*Y-GO6-x(>&qnYfz?YX+|sqM`{nI!IDSyNUh;VdDu{iLsIx>425l7BX6S-sd#{sjnmzZ$fYdZR|B z;-ny7KYy1r|By8QG-#`P@sizNoT&de$|N#zv?X{uz+#{cWFA}MM*uW zuNMabZTvtWjbh07w?>O?>99dmX)hYa0vvU+x9NPKpR^~#Nhye zu#$!gM}K&{k>*&-6!COKf;>!+C~{M*BDX#y#4Y0Kh$MM%AZU$SY~aR)1U9uDyWw#T zfs&Jp^~0JxwC0KvIM$SiP9WbXRdxfkZ5l9a>2zR$x*@F58RBrFI)Y9GV7 zTqdk(IIt$l6X$TA!LFmXadW)h!km)A+}vzmQFr&j+N-0!OmH|03_~)@cxGSJ!$}7o zh`J>@F;PXl!-4$@{x-iQ;X%z{F}56dG|KqHK|_D^c4KTDGqu#VTFkuUZTCgbHkkHC z#~d_05Iw^{nN_Wg7BlhGx*m+)sZIMt$vl{GAo?TILD&1HzYIaZ5!3D`qmB9WHg0kF zJyV>Gw#Eu|L?X*y&t(~L1}$?l#$mWYo48qVCdbPQomAY*i{ourd&2e8R2w}#$!#jc z=E(ZO4JvIbuDTj*l$(dh9OVu}SPr>sm_0d2s<;Rm4s#bF8z zc^T-F+U8c2;U2VYg!b(Z(Me|_Ku0gLgiRL2>BwOWK~%))xHFoKh6?9jMU;a(4Y`uV zNSy^<8wj$<<1AW6M??bnwIZ6K!-H76$@aYwP&I zfhszqVSiiee=gcK@tx3N0Xljhk2qL`qMm^xJv;!2k$a!3Zo1SULxPSY+n$fM`#L;=rPJf0ESp$ij_H5V@2HV|#auL%eP z9ntg-6j3{cf$y9nT^8b`7o!K5<_gaxWu7pPgQ|aBd+YRCR63&z1i96*fxlL;oB}n0 z&czpYu3EvA`Cu{boUmqSTL+?Z{0l-&SQu);xj>FyME(_adGI}E33)=ix0lM^UPj>M zWRLUJ|2*lHbc?YnSTSU*xEmOdolZk8Vom-omeM1t9h3v|VED5Q=YvJk1;Hv40{3h# zL;><_&~Yn)qOPKj!E%3*=)lB_hx&Z0rO$T>yM-lR8j3UpM*D+HwF;Tw>t?SEoCQR+ zXxUr?F1EGQ9o%f?HLZrTXJ3cPk;PvXz7Ve$gzOvsCKFGKp-mfuZQ`$7QKQt$QE58R zyGb<0eueOPLq9kH)tY>z82S)UJh8SJP7+1K{CItghwMgfiR^xK=Q>&%q}msUxGh^l z{df(kWw}J74eH;VQt zHxY-M^*#+f8b{5%CA^uTm*o0nGe@ZZ5X~I6i%Z)&=DIc1-YvWth++RyjSB@I*JJpZMkv8EO*OpfCktH zKC$;mGKef&?>!;D&<_;Le#n7%-!A5UnqXYpJs@U~?Lp2^K5Mk3Ycu)N>H+erA?gR| zvy&w~9F(Ns?05vTfL?Tf+4xUcC0v(%Y)Jfts@=Sl;b|G+j-z*;K$RR%62aZCM7y&2 zsc;CniU1j(`9lI^qi)gmeG@%0r_WHZ#uFG%=kx-jefccm9{_j(s9W55&WiCYW0ygj zdRR#{j<{7zj8Zf3sOY#THQRqX(0o9Hr+xu~&Vh!Sz-Z6dNqAWXXLWW9vmogXzItF+ zPhwrcR7B2rbr3D%{k2zG5O;A@u~Q(iapp%NBV5Lj?-9re_Zm*6|!#B&q$i;iGS0P0&)#C2Y)ghcM z5~spn)Cr`m5(%S!O$g~)9mz{L*GU|BL)V9Jw&^&F)ma6)?|P8GB4l{h6V{w|5*tLY?ecS|HZthXk*q#9=F*V_+L`*o}ePU=31h3CHS$5-kAyq)xbMDo>ja;yg>7NqAu2WnY!$frWYuA|>nk zS_tQLiQ_Awy8c_@K%o8;!g)jDRB)XC3LHCC_D#x}S`&1VJlsj5m;Hi|74a=tYtac# z683MolZ0Jc-AO8y8m3GrBWt-!W;$9>+W^wh6C177R%rvI)6$Iq2VE%{Cxbj583OY1AWwUx2muwz++vwqB690QZmG;Iley(0w?X9k zWNwAb9nW*8xAEK7V#?0Sd3)EwPx#*6RNaSR%w~6((+K1&I3vDiXb9@sL~3mQV(LyH@~Jhy3QB8 z)C+`&^S35qR#Hv*>bpux{zXzSF4hHue)30MEuoj_Q2go-Q!ka`;+JK6`N~~sa^7On z?)^U65#J{_H*-BT%=r(KHV(j3cVR!%daHh+fD9-2_{?@2c0S>4k(I0O;3>BQ)wX*G zDr_j_4rFBBY0|cQADv;`Bf0Gj=XRIiR(&@x)qTjJt+;!{Cb4oafXV&()-CN8x)Ib} z&jokC+(^Dp?5?`+H)&)4iZ1j&fD03FC=wnNHzlMsj^9y=NaH#RvmT_o1HqsW*?bRy zDCVv8;Rs}Mc^-*?(1m|o`}$`j0Vs<{{gT3C5%`Fx*&mO9h$jQAPef9{xy>gffu|zS z5e>EfD*{3Uu#`L<+`3ktL2p_7&x#8XFv8NGqo>xwuH>%8MW2)Ke?bYeKF`_co)ILMKS*ySrU*pBTJ%N|8HnXbZgdIChebQHPd>S z`t)tNmA{^;@0iHwP4$yGgO&OJ&(;^_w^2r#gYU@V zz`~;YE>dz~elLXczQifxi|z*k$M3<)d?bYQp+qX@NFNC#TA2SQaZuNfB~AsetK}1k z1XJ(R5YlHlk}x$srv`*I=nGSOC7DJ3$^gFTE&5WHhpF+EERS5Y=xd~;;dNBv!0`G; z;#5|`m^nri!#I44w6JCHsWbRa76yawd13ldi%K$Pen3)k_)#K(!%rLuKdFMB>`3^T zD28$P#nfJnfEp5ShW(f73JM;QW)s)z>z#Ig5 z@k?N7vkcmwhZSd1!t5bF=;^u-dItHR>Fro4dGy?I7WeP;ODz2GX(da*x`~L=27a0e z_jYWZp$$F+O`X6p2^gl{!d2cECqt$t`u3fUO^985ub97ghGuf!+8r9NK zmPMd4S8RY`HDxv|pmYEb6a!VAa~HuYX3nMZcpa8dregi%XUMR4Qba)MrbQGhCy)HY zw8Q$zpKjuIkJv`TJXWxZGTVj0BXyy$*Gh4aM#Xx{!(SKCCmu$*SYM~t<6IQ+7=1~I z2^LbOVil!>2}&;#F#)prXvfI8hSzqqx>iikym#VIwUmgN<3x7SH;buXO*h}9f1d!Dm3jLlbX`F1qgge+$y{N8qxI$*Yd6x zqtuBQ+cp7D)xw;6J}T?E-3l$bokZ6kw?kGm7hZkB&Iqw&)*JLR`S+#dJpDy8Dr|-w zM_T;$C_eQW#>_#rXTVn~j9knxBT{mPnK+K0M-a>s$x9p*Gp7`cAVitlYpTT@uE8s! z!vBlrrMaK2%EG_`hha!b4lxo3bJ!wr%85ZN5tL2v<41Aqapv~cD#4+(O6M?KmWGyy zm!*LP4hcws1Nnc6Asnm3@p7%4Byp;k#U^o}mF+r?SV0_M>j%GJOOws*ZL%J1!Fo7l zdDJ6CmIoHM=s%pdOhzPIafBoJ<$-4XZ~M(z3_ff<2xs z%cCASvOKU*k6ff=kB^i%=%^>xc&H|_jtZ64GsmeG;+aV3{sLq zp~L})u@a|>mKHZr4C64)+&)u;o$#qM@W{eo;FX2_$OeZZBqfJpi3AQM63Is#N{M0^ zhccw4;Li*Sez`0R4nA2JSYS|rlw>eo;();fvtP`P3gR%4D28!332C`J&I~S(lR_LO z2RYE{cyfqCWr#zS#HpZRs3wA83~J2n{#mk@W(9kxR+dH^>SSrWhX)Q*kdkevmpEuc zgT$$z_4gDrP{TN!inJJLeCor{C<}u@lV6rbHaJX0N^)qHNZ`;SajK{d(}-dihgS29 z$_74n@u_oYlZC;dT^2?z7)(b>GB}On2>mldB2^QInM5&+!z}ZRnkK=arb*{8o0sPL z=X6;ZSm1C5Qj)`&5(iBe15(_x-jC58c?I)_eK8f};(OCuK?<{~9I z%#%3a&?RwdsR{FmU|18*G0&{Vy?vNL_|zFJpu*Edta70&jcjl@7b(eM5g`Ew92QHQ zI^wW|D7tGm5FS)$>{3zWNizZ>%ghI1H)oQY|HSTCHOgN|)rKd}DU~xTTzI}Fw6zqD z?(ED*CY*VmiKrp$5)cQl44PmTI>Q31MlS{1CYVLa5upJ$cOcL**fzmD zGB;u7=*3{^1hZ%aVDac#uzP}8_+dB;j2}G<;e%in^#=?fo`u1>P-a!)SJwRWe5D*H z*g)b)#YOl5xYpV!4Q=hUt!=mhG%bL*JPaaE0B1a54{_SmE3k@my0D5knD;+*tP~Cy zNF2^PKM;bi!B;=6|Gej6FX{AQGVub`e=wVL@CFH{zJm#+gEvYrbsNkm9Slo~6QM4H z{iK7hlwj&E7*Zl?k2b-W;^@>@S4(vC)fNe^BBrpbI2pjNk?zv!BT zF(um#b|Zo_MRZN106opOiA&eh@3bzPA87BKv$(4;(9^vtuoQ>v11lCU>v6l?jGLDQ zs4RIM;>n10OF9>I1?X*wz}QJBOH2X_mj+s!@et=N_$@!O!PE8(WxAHCgjYyd`u)O# zSV8w87WM?@;}p)~Wy_WmJM}SP87t4jel2({?1miiL)F*L#gq4)-CfwbU(A1lcm;l0 z7|(jcvB1V2HPJ8IXrU8@wB?WcNZ$ymj$gUGy#YlQch2hxEJHR9G~i$zM}#X7hwx_> zj-F9}=?`SA#Y?dGt%5*PXV2UPSy@^5(Kq>!6wY+ZjdKrv=o+Cf;#1^H8U2GJlycN$@!J)%_rvT9j{eGCH*b7`<(ZDKbiH6 zG42@inv30F_>o}vFZD=pIg7Pt3C&0#=;eB7WCmzJ?fVz+ZwaIK5dj#FZ^E)oaj?i% zKL6+SX;Pqap1v*{i$;J%;c9D_BrgU63@rzAJ$k@X`!EQ#zc;4`+HU- z3ENWqL`LjQBG^9wobMfBWrq}@co~G578(gj)+SPyl`ZP9@ouWP$8EBfLZHYZhF^CL zoba{G!L?gG5+$&=;h<^s6n#N_0|g~k1Olw6$LB>n$iuveM?G|8Vr|cc)hWmCxwfQRzNqL+{?{ zOvc;r;TD(zp87*h`lo7kY8<><;$AuK#6;Aj4BtO4FW;Wo-M5OYlCCbjyUp0y*mDEo zM6%m{Wvwb5Juy5K%%yy@ZK*slya{QRB3panqU$@my*-_O^6+`MUTibCBQLqO05G3+ zYC>)<@Q<#X6u-_r?#(%#0A7M^ZE~UGAt~KkF#v|E)5V>~^F>PYhRyS>)XM$G<`t3X z+HNxPhl8~9X`)$L(|X=WnaS5+~J43V;a5 z9|o@4?}Iy!Ez2ZEPfi}KKDdNf+hx8hfOpHo$=N;781mNW?Kv>lOawAZ8NDwN@v|R~ znT!5uRT`aYpVQu={7TBfDtZ%z`w>`M- z=D&SU+Me~ce=e>YqdrCxbz*alLf2QXro2z%S&_^0{cqZK!-B{AU$YWiw{D@D+|6y} z@7!eGZ@)(7P>weWA%E}rO-DhLuzq^um@dibB=vr+V0m?6xrQk3~)dE zG!-&+b|0kdo-&VnXY*;rc5ObN1b}xa5mL=ZWt;2uon8TxYvv&M$uO$X{`;$pI zalKjU46X~^boE1_$mq`8Cl|kJU89ZQj~?WySH&J-B=Eh>1Nh+CZO+3EH54SvmaAL4 z!&d_>uZHl!?K$ILV3pVpub1nNqETgt1u3i79ZpgvvW+?RxiaV{DDc$ym4{QC@GFKtjz?}#i^yK)8*2+garhs zabpd7OA&Mz_aE-P7gcgLpM-Pa#sU|L5%JN^lqoaHdQ-jHv89e*wWaDWzlb(An`>rcNs;{4fSUfJ>tp-nFqQbK3#)GBUM3dz7wR;Y(hYQoti zgu`7@%c)~lj#J0q45b=ma8c*d@vWD`A8>|Izuud7tY>ZE36m97iZ`dkA|t!&iI%j@ zqy${;5<(#xWoRj-q88(1;|D^Aux(W1xG_UFuR@$8MA@1^g_Z@C+OxE{NV|e@!V573 zy!q3)gbA{vw3>B-F|<`W*aRR~zu&T=sWNcfjdkC?^Vlee?sz61)$kG!$c|wW;SI5H zC(}0S6iEMJlt!ce2&Yb$L8~JXTu)g41~`K@pe|#~c@|ZkUwSoA)i^Frln@K&IyOmn zvf4}yEB28pw>VlZ8KMY^1&k=!@@GgLVyOZMkFfXq3aOwCHZk$+wUj@qQZfj7zL#J8 zLSfM!4*%n16RrVl3x4uYn^}zwFqE1gA{7bfg2pV0ca%>O%pG=336~euD3EsmHak*G z>PUXxZXB3*@?OeTwwuSxiX(x|Y#67Am^%V#0tQM@u)wrv;lCZ^E%+fk1=)JmMetp6 z!c5|^Hrl9Q{8S@G3iTNxFRkLivr}V0-v!0nD_|_uDp7}R+7-#o7ORr}OdzfWC%h$~ zPV~`_;3luIZ7fSggn*@w6AQeIeu;$Vx3Xb;0(Dq}0Rwj9 zA~i3x(83};@7Dxb$}mP7Y6UN~0&uJ(V%|CUWdM zBt=oBI>}Co91dA}tr?Y+bNzJcel>1}?NW4ffwD3#Eb7u`3g)C*6w5?j4AdCog z8$H`7kOPcYz-II({W`wX=0cDRAth#ovtu&w3Cl|H$z!UnkYYyUR+$80^B8%*sfy4c zHf_l7b7L!OmLNKuXI<+YAr{9NE}J}9Rd$JZi6aNoMfC-xP$8zdc#`ae5|;^4Q~(wj zErpTF%C7toT4)fk2R&zfP}%$- zw0m}F2f3MRP!WARt#y;gwB6N=o;ew(C{1a3l3Gy6C!G$Ao=k~1?1;PNB$se`d1{j_ z?-TA&OcIrp4IW31*Gs=F2~on9g6~R~G}BmYfocy2yE)?aKdl+#*Pn3238 ziKNf}5I`ftSjg>?4Me#QiO)_H7t=+%_F>}&_^9vaWML;Qd@9D2KH+DtnFBQwB4CTv zDuRvmMzLu!^qLY)udF07d(%l(qMB4Ft#TX$YyWU&hvq9N87DOr( z!|_suj8yEbQ|kQB!A^T$;|nMYH0ruD$35)(+!s0me-|DRFzRfh5?Ih5U3_;%QXA%! z;kQi89jMlMKBO$D4pY@LpQcspNgm?>_aKdKwumVrNuDHJ*mjC`Ta~T= zsWGfnD2xUvibx7xC4&pIf?tjvjIGleukFkxLvqDqLFwlvoyedjKf{}hF+*)vL74{` z%XaHakVQML;KP#VAY7%hvaRnBFx97%M*>Ux5(8rrU<6u*J&LXuf$QH5`&U_yJRHCliAPUpn-Qq_CEGB&B@5LCd}Q%TU|o#dB8eDO5of z7wuteoIjq#PQ7v@8exlpVe<$Tn zc3MKLHb-&;rLD`1z9tXT*rE|+H^_2b5h%jIRVoMD?m`iQ5K!1mhdPT-Rffg=G4b?*n>lZQLzUs zj5$-;Nb+F(F~>+OOn}q_88(q9%U{7h^vb|pFPvDA7eSA1>X#F#XrA1PBsnou!;Z#)^7hus%d~EynWUWZ@&9V}!nY0weYp3AJk?t{fK2h|X zZO~?*{g1kPXvW5E#GJOg6f7YM2PNe}?$2gZROO&#N-45N8|)S;|0vpNT8=R4+H~`N zfgPJ~v>li(B5Q-nUxz44EvqzCmK30)2_9;f2^d?-U93L_*iJv$d}Hari~&T3=`e}w z&cFeKT38Oc@4vBkVCIOJg}3(b7=qYL2qPROWE_ShN1iwsqDh#z4n9+{aiqSotsrT3 zYpQ_t@-UPRvd&D4k__97{Wd?S02hDv)td))VV2rs}DEi(_m$ zU_6NYuEf~oT429lsR=fO8AH3SU7hVq7Bab^cTQqw926_q_Ug6hY47+6(uj0BbI9-* zdn&P_X0j#Ew;%F3MHhH87CI|y)WjIYq`y#;-6ilooS!7PsGA8ymc9q*XPp{w3_5sr z==$9Grd6%|zY8X7Ua2CqWUs2DhQb=s_wk#D{bpww*VPR#Cu z7&Fd(VYYuY!W+F3RRIArq*z0yHt)pwGH$+y>}W)t^&oNHzLz@OQJCbHn)>MG>~};p z>)eMO@iy&qyml0S?dxo3*zwxC;w6RoW4NH#{H9ip@hd8uQ<^_(46lGr7rN$xPXCJx zUO5*0`F*~#f_sZh!;rSORB*$53z;UR(it#|-;bhsCke)Dcj<0{9u{=03Q+Qdl&}MG zr~Dpu4zG?S6`V>Ge^C?PudQf|L$yFUu{g|tQrbbUY^99;Wp+ESL6?5zeMG&CPX@{J zsii%BT%p~#xSEEG`QzK*6e&(Y-DDvtf`k;A)N(nV{+7z1PoI?hyvF{VQ!$RX&7_=^ zA3b1=9j=DmSkcP^C{nZpIK`~50?L*;aE9xNg}*6YOBcgQT?>Tf>FW#V)}qh8hgDYjCn= zw}956Jb+FpX4Io@OR8*zOS1@fa>$b7WNk`PCH<$WsF}v#k)D*a!fV%GT8b@y{ zXEco@w-@>mAlbu~9J)=dJ89>O>vJl#cs7g|q@mkeV?TRMYWRU%Ud3e~g@v$k(l1`h zg0uPNG_y=e@jSAM-&XD2puDi}=JMFKW=$yiG96Rp+5}wVq~D#QMSb4Q>G@VfH|Dp{ zeM7@<3gvZt!`>cG)(b(7{`_KyK#BQwQ(pV|ur9)y$7c_Rr&zlLvJgh#{qt^S>D+N% zwSDp#sbLSTZz4VHSe6bOdkbR@0%L~7_Yfsc3vRNpz*^%jb)9z_onM8FWWvTX8XvVU zHhch#W|DiEH;!5QQyH%VM>$wU{@9F_($Nzru+0>(9a;5-){b+fhmvHPC+5XhwdM(9 zswddD;u17gNrw(+oJme}qN=tmA?TJ&9M2{mSlHiESD+AIjcB3~6+RYRkS<#91ehas z_a#^(t$~z3&{hJjooXjJJO-wCj$H}6SZM%Xf-&W#E-q^I(QRW`>USMy=~ShBaN#oMjI|=#LRW30 z0Atv%DLfJ7NJ-2-LQ)kh`HJYyd7*X=Ofp`J@OX(PY)`hTV1)6ePYe@S$!dXdAk2%1 zVWD_%V>3bL7Of7x0$0f^DuHmp)F!~NS*LSLQ^0sX!OYk!NHVbv_D$w&uqKVJ>T$=> zCNj_OvZqWszU%jd71K^xu0a$?aK#IC7V#El{)`mf@=pFSD6g2=eYS&Uw!c<~Zs;;A zJ8813io*Z&YcsRBP`x?T4>14PLlyR^6ZUglJZNOv`Ptkji&Cp;U6J@_B11CZpc-oZ zW%E+BbGj*$T+zk^*$ZUMTtW{WujWuerCqp_0=->oDQ|`Qk&yY;^GHh3VHnA>G`VW0 z!a0%(KEm4zzdiRSuC_$o7t;I9>+?A1e8!^zIb6X}1O)9E-?|bu4$;J47EYt;*{~T( zx_*7o^Z`mEe6X+q@pf)p2u~V?;n>LdK?lsob$6Yy8idx!Y0EUjxDm9;69u zct^+ILfzZGBL|yw7dTxrJCc=nZPa6GmW7Z9;LeLezpl)D2$%9J6g94MtZ;+T3!*_V zn;6aS0@LB!S#p`q&kH$i#4BU(-X7II*sp9=#R`(N`H%nrUt7pClfuodM+>o0 zzlg8HjnYxseS+)p&c+!B=aU$|s}o@Jc6G%ux*H!niBY#Va90AAz}AL~wl1+l*Ng)N)ffKXxAYW85oq`XL@EqG&Q=w6>5Ux= zUW+Ie9O!tozAw4IYcZM1#@+qdxBPZOst&DL!!s@BT4m1_w*qbOIRE77?*4x1u=x@4 zdhYjYe00=QcnJ_B$w4PuJ>CJHPmG?{x9cw~ZqB}Y3-}$L>;Q-37wy6i7b44#yxD$2 z?Z-DM1Ui3sSI3Rsri=q`o11q^<{s~leOw-X0Y8-tqf2gmQrdm*d9&S(R(IQgcNNQObw|K6)kr&8wqpOq*_N}! z_Ip?r&+&|~qT3;6cGy*~+Q-g>xRJH8eD_%6`geX;%5IKW}l zl*Qhy^icBTH2=(N^_*=Go%7rZwAkM`I>LHQjay0hw2{WNqs_Mqt&YFx);eCjR{C6G zDR6ht?AwE0Yz3(osu6Dcj{8G?h``gwyGbJ-^{|JzWzTk@w#V_c+jDSti1hfylf}*B z(Rofk*>jzUkN1zCGc%*k?=m z5jy;q-|~5nMqlZ$5@pDI%9u133Wq5p0ADZi1b$a@cbi9lsjXkkFQV=ArIAIwM<%=aH-t=`M=*4 z_Rg4nfKob3!2hAi?zGnAQUD&A8)p&zVAcuvne?vB-O zL^h(fkbu?PZLR)~e>dxDoh9L0;ZYU;Zk_;6i6Bqu)P2==XWu?QQ}?kDJrUs?dm(yV z;z&T%F|m?;qJ(NW6h9hl0)LC(}z{- z!>>-GkxMGXXMp!Fg7^t4^8)vd#-IufqjWj+Z+fvhdsw+9M=3oTW@$#4m%m+M0lC>G zXFVEL>GFRDd|>6=r_Kw&#*yj>9V!9lNQlXqHrBZ+VUaRQvt$eub&;@g?-w8otRvN5 z{gy$3anldb*F22)eypy$F;PTzZ;$ueViVKfe2hHS;ZLm^i>{zoe1U%aKqhqZVKIBj zkve!|B7wMQ@@2rfh<6MS8q%(CEl$9KF=Y|su=1yAw!c*=;xvfGaGw4u4o{pghH?+p z-Re#xBK@mB#^RuF(uz^xjOpp#bFBYot1pU-JO%@!5LXz*2}T zqV$(sXt6q(S>24no1AM4;6LK_Izcm*i!d1vV=*^XL6I+3! zuV2qo@lq>#YoHtyZrLdB7g@^S2o9ofJm-CD&8mU5F>y7oe-38#;LNABro`d%mG6%) z23sVHiGm$j`2?sG7&ysyCp4Wni)rTN?uHKDgpjg)AH2h`LF19*6X${+GQSrsdbjr; zhYPVGcW{Bg20Uc)~QgIRESb2 z6t^SYp;1UeW{E>)vBM27Wk{C|(*4#VmxS!uFmS0GL}?3z)2impBJ_ca#7PaN^qt&; zYY9}axiE*!zZpsW)MCUv-;FQkc0-U-9#gHRf*Rm9D2vb4h>a2)b-3Q7^y2WRC z@+Cnr7nK47BrwKSUbI90uXOdEK; zB0i=vZ2tndK^7R}Fyg_aO4mZLlcpHW&|P;k*@ZM1=|dr03pbN}r&CotQB3s#x*i%a z75!V5cq*tCZmqib0SpzaS%WX&0v z1Uz_26;$|$npZ)GM3gh=Zh!VC_a_qC5{m!>VPeE&d%M~R^rTy_=-g;IC2*_{?{Rzc zcfAZD8Tx`M)j-2pCkzLq_$d$LL0#5C8kr=@%erXTXe{cIvyS-X2HtX-HLHc|L4by)-V}76kI$58mk%h0kun&y8 z_s-{rVi5{1KT5^E!n_QNh{+A^OCmXj15{m97E1*d1pk83k7Pn&J;B&rpPM@3hw+e# z$RKAC!Gfdv&Jt;yB~81hfSmzMe|s}ayT2can^(s^WBDSvjOjsQ{GXvvZMj&xBYd5t zSr5poav}F&KE552vs_!8vtW5cAIzuN4faoc@T7P6LM{DqZj1(JyUw4CdtL5d4b5t7 z;NrP2dA04TQ?5^NQ?$**)?p@MgX=h$`3$Jov%rjy{E3x)m)Fi-iHb6^m8%3p#sph0 z-xxD!KvkaRGjv%peYcOO!2hF2gGVZ~Cr6D(JQSPR5$_;W?!;Jox(kXHMXZ~{p_GBz zCxY)O>**?>fr4zFwco6R`;bVU#tC7=2TTY&iI%XEk`Te0k3q>*H-2tY*^87(*}(jRxrb6B zQMv|!Vug(Hv2-#mN*zG#fD{iz{ztpNP)?Xpfk3zG08wv&RAVHjkYR+*V68#7X}gdp z$sb}EMYRhL0$5;}uE5EpOl2kk4cpo{@t;WNsd@(qY@;3iSmfDAuMSiRPxayzm-Ggl% zmAM41$6DbGIgIjClXs7rEh>IaN3vbx$P|Hnc`ID&8KzPhx3f3Dk6VlI2c0F&t(S${ zem$HvqhB*{(2kivjeL94h*!H-oau?207#QVaZi;e@BNh=;i@U{n274Sxg_=Yn;W0y zM*uY@et{uPHk2mC3`oI#xddk*88^NR2eTm#Dstj5sRRaBEoex4m*0?if@0L35z|nEQZ=>V)uTl`cC%%trvV5IT;^AJUV+ViQWaLrl^Ot6-j%Qg=^FwXhUHl zDqZo1KFx>7Wc4)7=fsJ|Wke6qkmW%Hen)LVHeXaq@Y;0!W>T;CoPkma%PlU5lrMwE z!!$vX(ky`$)xMRMh{MNs=@m&i^}KS2wiQ+u)UXSSAIDql>EZcwiCbad<{;$VZWf*H z_thNhqRAXj27~p*30?4Av>SYha{EPxiXM*=2^O9DHs23HTe<$Xp6w|sc3B!SYT5?M z5?M8==b<3^Ps9UZudLHb6etz<7zteEqUH|_*|+>@ij7U6Q^7dwTebS^B*;yacdGE# zS}{3Mbj2V9k3XMEBy-V$oYeEv>eT&Nvf85`mo`mbLGndt-)WK|CFEuYLRL`@S^ZMjuMA>8wK03-mJ~vlj?UB$S-Xiq7qrl|DJ;E#0 z{hDz!Y9;&lOmq85ns!2mx`{A^-NKTiPA5+C+PM5rO~6cCtp-m{h4ifQT1^V-Pofoyk}X$a)v_XbsDPhEEbEH=T2{`ZE}a-z zd6Wcum8IsiL>*~UDGY{F_h+f2-lK=~Tp8K#qHM}+S-JA09=rwTh0OwpmuRi}<9T;r z=lX9$1BrIW3VU}~sMhr|f?I^}g5B^<`q$CwTjP1hMk2y0B|k=}{No*@5pwlij(6`* zaRop7{~SGQ^17yHpS`PX$w{*M&PA`(glXidP$F1c)n=}SyDzh79ln@V3rhLJlUzmW zu=q3)#4#WP0yAg#w>?IxPiA#WjT#^t90(n~0&X+L7k=s*G7G7g{m49m#i`oTyKiho z@L9wZm+yVR;oAR9h^pL^NJlgCg=oKx5-C7lT{vmun2}pd;j#F3DE%Zsiw#v=g?$UP zDzQdZXJ=g~I$G}ZXllthy3@>uQC^JmJ$oZHEi2cmpETFLHI zE$}Hv4#ku)DJ1ngwcel0hEb8oQS#l2RF?4t1&gd8G?E&YZ`ix!_yxuNGYa^8>_Ye?{i$iqDb;= zs3aC-vq#B(Xy)_{wG!FfD6+G}aJc04j^yDnaCu*eVvOj=_EDeM_2|pe^jG$%bu;|q z6VKuvQU>86@XGq0VXr+Xg|M!$=bd#FHJ_&UR0JiA!|5ievXM&87*tpV$n)0Arl>wN zK>gTMYuTTG!cPr?!6C2%MpGWcpu;0mbYauL_#W$UicI8Yf@;h@i+j=duTGtZ&{8Q= z<-Gza%><t3it6YewgCA|t-W;$7(TTOk`Iac>6+pjiuQNLtW^efYQ+~aQ1rp( z$}s`zP3oY+_&#%>aFT|Vv-nC_p+ta`ykmI6s(xdNvaI$j2NadUp}O#vl(~E7{;cLk z-G240VA3P_K$4L`Mw-!pkY}>K9)QVMx;XFyZvVayLEb_E1NW52!v>%w=Xu(9!Tqvv z4RL9s|6pzLMSMa(*Hbl5+=;NBc)DXh_UL`#L`;QoK@vtettB>$aQiWtD-sMND~$g zINgBnln710;}w8;Oi57Viqh6DkMZE z?}DbqQ^@z$<6iD+MMGihnd5r`7atwaEGU$9{215DHzd-YBi+vB>vFsLM{~{hcx7dG zD&+FH|J|coX}Rmu#hEjIX3o>j+1i~#a7<)&$W+QkOi2aS+{tW)M&qyVk4I!XImefO zu9h?mYyIf%J_xRgJS=3h-l%Hq9e$hXn_9`q*<{%Q9J9$DPZP_DpYfj_307(WKdqT> z4r+2#DF-=i$`wl)<0Zpab26)UN28B1!&eW2*KeB$boKgXH&^Euw++-B<|3+2?3`TA z`y_TYSDUvrmuBh+enw<0^=(5|WD-}LiT2F~W1hLYx^GM@RHt7}R~&cck(B=;t?5@H zGaMIj+{C$*Si7Id`8ngwcXI?x$|`)ndE-cm)A*wXUq+KiI*&JDgrFW7;fpo~zfvRzE($I`v*WalCLcu{fmDcD6bH&T(Hp8++LDIxPL) zPG_C$@!e6i$iuQZHb+vFakOX&BEfLXAX4~}z{rW6-UYLnj1v3>wVHQqnhR6v5x;;b zgcwuFJef`g3bRC}BOhtUY*TZkA1m9BB40{$Oh`V3Q_?;Nbe#iWd%=v?o3SqGq zYD(~D6WdemhKWK^&>qN1cTmSyIaSEI0)uHY;4;}OnW%Jjg-wGP$^K1dr-Q4FZjya4 z^=v}F4Nb|_Clg_Z&^Oo(_T{{U>cJI2`R~O=>6BvB8ac2MX-QJ%EMmxQ1BIph&$=)w zaf?(QHpj+^!~uR)FV##o*G9vKH))~aG+e!*| z`7e;I5*DepnIQ!XPOgQQg}hapmBPv+R;XuY0Z9tPB#hk+1+54PmQqDA04hz)6nJd^ zbFa@Vh6JtlLJwxXshXMzUSx64MBHS;dP98uoj-dHcTXIWCXZ8M>HKtMX?16zj>?{y z#30qMdcdX@ruh}E)s>i;uw5t(cBMVXIa~%I3W)=*d{_Zh5nZnhjhO+SMM-CtRS662 zWW_{&Ip@s)FD%_yN^a$>fi zA`@Rl4E_ZZ9t>y<`CazC1)IW`y0T91cAlpH0yCR0*?_`FYZcV^!d+mLCFqRT_T z*U2`+)&CDbM)?o~YOBLJCVuxFAW^45%9m-JT3+5obhfMdDS zkEkH$L#@t2I`)Du_O?7U*<|GV443O;YR2X%g7|Wd;9LW^0D~YqxQT00)=i@C= z-~P+lMtXt4ZX|uJfsK3XbYt#1$WN{z?(^iJd1_hb@ z1weKm`c$FK*_9{@|ARY8;Nh=W3UdKyx50Lgm{0tM2Oh1XV)Kc z9-bmOrU?QnP1pb9hEeZMj%g+?gdAsxwRYW-ay%3NlROJD$pHqxD<6vH%QQqn$(z$|+`>|IZ6&^G_Sr^c#ASTpd#*_rmlRt4`J8 z{+E?AR&fgxHr=1BzJ0bmL`~ohZ((qPJXP5<)w=!FO@q|hAOOsTjp^*Jt*{Xkr0SL1 zl`{vG%)96RgNjZ>*Ho}eoQe()WKQ85?g~^-v)q3~QK3Y2A;Z3tU;c^o_?&Wp3wHLs1K0mZLePOvkf72YSZB9yY$!xT&zI{q&D?*H zWH(+=3Cj*8Y_dWoPE~Sp0|pV~zQ>jQ*NN;^ycV6kDCa+0lz*^kjjEnL_*Y~F^luy_ zSmR++@W!?N5QuUiIdiPNdxAJ6e%{ev3t|ta9Ot2qCWbv8K%2n9V<5`J*)Rgs90mUA zE$2^fdQ(}fVp#l^K0peJZ}#nUlGGrb$CZLX$P?0f7W47zA^$q4HJrN@Xj*yPTYUMm zlJNnfBC5LQUp%Mq;L}+8(LX0Ed~daCP@j?jLpUn2^cTwdJ99RH0ee0_{7U=v8KHtA zuh;MFAq4^cHHpYZR%DLP0_YqgwyC@gI>m(RMnnqXNq?=ek$zx0Qw73qCu>gKa;IXu zm;Mk<@lStn={#iD_r5VVSm?lkI;(7m z6v8V1TE7)bIKLYZN_D4d0%UI9Nd6jJ+nt!PqOwB-`j-z>?B3O z7FL;*jwybn@HZvt2^@?3PE>Tg-#a=GyHpzrKMpToaBKaWQ$KyUKsTvMDD>I|h5{eL zSmZ<@JN;lR#3B3X!5pX|i7aDQ-reesP#eZ+wrq_Pg#1YzvG#z_$c=m{$cDC+%VAgiZ@C(TNk-bHY^XB$suv6aNo|@Q=hj;$9ovQTvZ+4@(NT>Ck4*EWKPLvj4eA;1!jSl+YDa2Zy;I za_Gi;v*^diumY%ZXJIdGv{H$xzGR(*jtX{`ZOvT+v4Ey!E&#D_X?OBV-q~MynM6!Z zjlGf<3`fO2E^=biwPh3h|J>@bVPiz?t+C<)5iOO6Q$zl4TJRZtgf6GawD$in?vKKy^7IBeiF#f&lS?r~wy zFlVKm|2_Go^s-jzKUR_z7lA*^c6QlAn-*b0Q4n>KBKr3>$)SClY&MugH_tB8nby>- z--lzdv@2I!pg>Viox$i8`?Y-v_;QhZSYAq<%ZjWz(*;(Qclc^u&aq^B8ZOYvVF^|{ z#IoFi+0GZ$V2S+FU~Jhfbv9vJ(P{V9J}V5V+zhlI$!emB#r9^a+}6aL_VdDriD`>Q z8kaYQ{xW)+*2r3iSk_xGyZJtl?T6-~M6BTnntB`|oiy@{B!t7cD)C^TfchsGvLaW5 zrLqv-DsRLrc$l{U^^M;c)ktR&dja(bJyLzV|H4kn!bjAZE?LlPOCJ3`g3)S(x87aE z`UITduE=;cCZyB|Q>{ie%A`*FuaTVT2B;2M+-+uk#D=NVCeonPB)AdbF`Vf%H8lq| zBG!#SORf68ajbHw4>W*iT8j#nWn!QXs6#)o%RJ8M>)mjWXq8*Xd@@NUpD6)bXJThA zH5)xrWV~~#39xeuAGstp4EKznwstfk##4$P+gP#E0k7+Btw~@VDn%k!C&Srlo?uA> z9TkHQ&n3;Q+d(7dUSyeqOQ1j` z7mUfw11dw!@WDe6K#y#St+cAr%F~SVF#; zDnXlO%EPTsoU^_?siTLway`^%-5odha?n5JIFyivb{U}75sBg_VvSbndzwMDd|y@% z7TcIlsFuaEueVv&tl#9Xz~SQfFH9kkFx#;pR}s5{vEYb}gvOjJ!eri}F-G_(2#ye} zDyfaHbqf9YBvTk5TpHG{GYI+a=7(w>sgI?O^{jL=`fQbicUYJl@&>k^8di#VeJj!Re<2Zx3lQ-SJJXn@ZzV%-=m zq)MF7OVUX*w=N=T$u%)hc>(qBxEtoRv+IX7uC-5+)LTeAqY_Gj(Z$nO(KLBT3N$G{ z>5;5`$mvTJ2hdyjx&8RGj02c_-0WUkC1!Fk1_F^%VjwnUEEF2(;P$>Z#(}c2Cci70 z@jG$Zk|Xn+dqY3P8|PBvgJDpB1=fyNTAoo9X0NMDyD;s&><3%K-%$J*z8EMl?IA}( z2X{e&IZN4u$^HP3Nb2Du=@FoC@w2>qrOz`^<<>Y*3}l=L$M&SF2ZhZ#+M03&V_RRd z4j_!AMPS!$+5Y}I;91nwF%TJ#gF*8mzk53eXqT^CR$K`6YwLl;&33a6++Ra!Mi@~d z|1EoArsq7rr?AT}8=ae}()z9SK>M$RUgqD!tGPOdl{m}TF#CBx=Ns}v@FNy+-SZ<0 zrrQIZ==WOEdZ^jZ=XUD&e1QZ2&8-mQKg?jhknfz2CVG1?7?z5z;c8J}0=p+={h)Uc z!x)4>lP3nUPK4t+Pp08o$+o)mg614*3nyZ;;?gEE;aRk`9u;@oCLULh59Dh|>T7z@ zt}iJ)ubPCh9+OI>vHfs|bx7$ac+i~cwY zJU)f#CO*bDcr#Z|6u)aJ$8kZ^(p?VI7yO6VgGmy0%z99hxr6mX&QvhW%`nSC zO)Ta64rNi2^Iial`>3|*=;HSn9R`L%D+g|?S*q4${ob#y*QlT{v3ED z)#^1eKmK&nIuR5?d!OWt0LoRtvZjG*1dL%X>j#$% zFKDR+EvJuG1~><2B)~9jj^!pnD~aB4sl>Z@YLT(1cx5J}(jq&WX$a9NA{IZa(G{qg zcK4#&?vWI1?8(Hlg)~i?V7&OU5(<10k7~4vO?Pk1Nsmxok)4h7;$#T6*UoO7PEn?l z>tA2a!H4*A$H1Kpq)iz<)n6C)9euE{uaM=0SS;Z7Zzp5JVGHqL@3sH>p;M-h=NqB^ zd(7?M-~vrrv;V5)I|Q8dUWi!Mw?xcJ_ALj^^x)HL$NuZVo)Cn4AP9H&4g*g8*2|io zWu*5jVMZaI@{a{WIs_{u61<7WhHZzJknshyfOkY~nq`+Sq5MN8=WP=rt6rby4QC;d zXD>fr;URm*H`gNNS7%R;-8n~l&VU!D!%5w_id)O-U06}tiD%TwQk7r3C z)I~OWq|P8M@7d0 z?ynQ|Y=~NY|4lE44SAm!CX6fP9Bo!Q6*Yyu3H8n6?~!@U|L@N5->>n?^q>M|ZhENO zY-RtCsjm#GqY2tY0)!CU-6g?;26uON3GR~MvN*wAg2O?AySqbhcXxL`94_y7rS6ZF z+UlB}?VaiA-G2J%+Hj$r|GQMdn7=oaDoQr>TK3;6X<}Pj+O2;O^u0+%dC&X**(SyR zY*VbIxrgm1oHH}W+A%FE4!vnS?@gxJuOFh4B&7c;V_3i2f(g8LTM#d&@-9Hb(a?o+ z{7au0Em)^NCyszRR|1g!oAhB;zzj<%ROy@a7^g`t`?(f!R5!>_q!>U}VRsAgsU z6^Fip4paxv3Ufj1J;>?RD%MT;^NEBY`RoO%J8WsD1iu!BnEt4uy_)O_?Z;mSx#3Jc zw#i`W==DCH`B_7kQUncDF!Y7n;0LtA_!65(Td=y4Ql~>7cxs3CBs39 zEF%J<%DH;V@uTSri-ZS|ge(K-0npZj@ZG?F67>Hgk)L6{NaZOYzpBbpON)9i@ek{ckFG;(bvP>xJ@9-a2@g(@dj$k zzFK&_ex0O!I$6^#%@*($^yPnDxw<>q8QI%Ex;4`pPDr@9yEwb`#95 ztU=+1EHutsZQb&ey{@f$f6uPm0UjS7J$zs7pq_34nE&AHwJj=eFaUBXx}>KH6cp4c z6cqaV!QGbG^@p*NvxBvn$uFiKR`#dfNLp%|$>#z^DB{X~I3KmX`kVOc5HKK#i3e)+ z(;Ep{3iSs`?WV2w)r7{CF{5u3zT-ohA1$HDw~qlfTaKwWm$27@GjEb4k8HLsxf=p7N>GSU z$2Xt-;9@^i!Nfy<@l)&K>+<$Pj)j*(V1P=6`s2sYCE`b1^%1DTtNIX&+yom7I|TRF zuK)^x3^f*23tkIF3)&Gz14aY-`~wyg-TcRY!mZFGLg+?}Ie1klRU}o=N-&Eb7e6#1 zDScY}Ob2TVT?X$CjqRr+M4j_V3Au?-33l<*UxZcIzp%Ej?63q-QGPT~OEWOuq(;0( z2sy};pBCYoaD~1BuwAH7uCU9{0zwEzs7A~=m{pins8ytsaEI_pOJt6yn`Ak-Xi&G% zDA3Q)zEH#Ht1{LBg-}S}uo*Al&Mi!^kC?qPGUqr*B1n&etxk5JWtdNJB*|&(x zG9J1^vD%Fr;Mn2~I-OyQ>LX1ZAe#d`dD-dik7ouRept)dmpjM!Hp?IOo{q+63pdX-@ zp&nrWKkfP*U&3C%p5iX7!H`0oLleDoA;7@+33QPQ2^vA6eISA-g7(H@`RI=3h;@m! zX$&=YMR&<6^ik>K#r{^~$E<{Dr>PJlFqg%$n7EC{*@;r_ZEjip;l)XQg$Z`Amy=3= zDp940%RsHnB;3OH95hz!K`Ke?em0~j7^!1BN2DVA-zlITo1H(kJOHBx^X(Ad7CoC&aYJbM4&`w6z1N0WI8r=0*e6VKqm1-IQuL-bm(!tWg>@*Nl(y>5`gfB(CX6g3yQ)VCVNG21l|->H4#1gh-hK?aYh%} zs3;E!u49x@A2sT(MFMC{dPjZ63#a-z6LIz)@E^T4e?|)}!7`Jo`Jb=(PuU4EuTRJ?a#2L}&4kz@*jtD!Gcr&*LM>qd z7WJJO9v0&q{?$dQ4yMnaG7DnA(^{fha>t+K*X-PY*e^aTHXyr>qZv*XiR&JBb&8mE z)20)O8-7@_SZod^$hK_c{|fvx%aTY?TotjP)}T=zN)P}Hn_Q7iz4X#pjO6)C#p*ZioZ8K1i71U}R#+4y9ys1W z*6?BSh~QoRcOafbpFY~JPehh8rYh5{{{}e(w4`m7Cch2LS_UOArAh!rqaZpqr`Io3 znA4AcZAj9?heju6fqN{^9uU6pV*f%xf;MjRcId6Ti&L)tHME{iI%&HUcxPsBt}=B zU~E$?d5MFR?oUtZ7CV7vUiOY(OPxsR**e$)fH_XXKi8h@f6TB$Qki4FZ275!KysD&W6&h-!^($lg( zz#o|YhP~DJ;pRnR)yIm+?Xx<0)0CcmxFhR)r{p7<#+PS+N*8{aB=05GaWUjMa~Ek< zG(nN>>N6NkKH=t@kh4y;cQD@QZm(kGXMMx0iN!e^=<&wdPP@@Ts)pE&z>Y49%5zK| zABi=wQZ+m)#Pn{4_di?Y7XF2ZS)e4cX{~#dp?Lj4N%cRE`9AOqznB%+hqU)pr{_oN z0;EQF1EnH?&-#i5TgB?!T{1?l+dw)uI;X~$8?m3Yawy(Lw9}bR{JnCKc zK-g!ic|lpV!7QvP0gFEU_Er#}2D+T(>}b-A<`U%FNcwZqO;cES&G)c&FLs~u{VuM9 znOv_KJt&}6`7>BxNT|~~sPD`AC2%RMeljyD_;%d^;Lq3I52!f9TzPMF%9*&o47(h@ zSiN4u8J#Zs4DCNriLz63m5nrclJ!hwKSd)}8Q6G^r3`;|#w z0^O2$sGk^%>Qj(q%xjm|?GT1Lq&cfdn+S5?T>VfYVF}N+K&*y5F}*xHOfX$whg&5$ zI-rgd^RBr^PN1s7WIOp(}M7k zlj)6hy#lKn0qMnFzLWS`(+QmS0MPcc&dJ8804AF@5R$2V>F&_KhoKP}Z@njv%+3(5 ziXBQOrHel&w}wbDx$C%}y=#hMd}D_iaUw~3cIXrziK-;4(+bfo6!DLpM6;Hi;GR$k zZCvM>#r%wBw zkc_J0B`DLRiKaD%LU93LgI+IadS>#Md?xiLqORfSd(p4;`lnQh{FFj2>nYXo!BJd~ z6hYoq+2eSFRy(?E&MRc_=({qrm^($YMj~}tILGLhDnfTWlUpjPsJ*W3>vR@D@0FaG z$6274PV%N)pz`>PL?I&6f%&&*@tGP^A_?zByuWEmmiG_6!v!9IL@qjM=7}dOdL%o% zb$)L@ytu=u>toJHV((xSa`N#B>T1BNWb|+-7^Ea~B_WXN7bwvUx|WEL9(OYxf@-^Z8 zy#bdM8sjp{Y)cM&mr-@>qI*umILc>L;12TcnH3o-eSKcd=dTun@uS|Z6dh1iJQ6co3EXePNGF_ z88Qwpd-s}x>7A`&M-b}kLn>Os+4b376-ovRH&H5jJlhs{EI5(B<}w2bge#VGx)_|g z&yKuIy4{eAhW%S0JOAiKHc{#C^77I(`7(v#vF(;yh3d_(;KE-*C&<9U-%jaM1V@6M z>Q0($n~L;zt#+6r&zJYt-C97$((NJNx#3}&gpcQY{(SL+Zi%{j7^z6R%jU`1xu)#M zuO}5)LvadVEq#~s`)_US1(P3XSziuW$Fmus>%(p%mw&v-F0i@73Ql^9-mNl34Gc$u z?Dc)|?v8%(72<^*jJf&{Jg;67F9FccmfnYu+$J)}_e-D66Q}$e8zqFN?^yu;wS?fw z_2>Wg-n@@+wX-Mif?hZRT3d;PmyDZ)XU0|8(||e|;h2ru7mO zhKq!dL%1JZHU}Sgk1GC%XFXCwf%vXyY;|6a>cT6SKYB}VTKO|0@xjX7t>A8U+*zxU z0<(@Cgy5Ol_nxCp^c3+Z22?gGF0g%k+GMz<+;ki7>HOdu;jehZyYwB}x4^&hBpbTZ z9YM94zOQR= zZJ*Ibmbq76pt*cIDH<+$)G&%Ja15+|1O6t-54hXwoH@13JU@HU^>&Fy^QMyf-T$4S zd-E{o(KfcUS;sP?U;l!*5>UpN9)6yb;2k25h#Jq4sUjrj$z(KQBM|J3J}{_b(S_bn z;QSj<_>s_k2d?VgiA9VKynXP=M|Fm*>|4SlIP^cG>}wgJ3-BqLRhhM zLFDWhlfB+X38ea0pOPS|VTT`1ShaP4l6@R6nC~ci(h{luBw$mul}Y^Ym#g;VY=x|B zo$DGByHs7(lZ;qGqv`SEewCHt_ z9f7>X0{Q4?PkoaB8bUtpO~SjJGD27vcbs&Ow|EG*hc6T3alud9A_;nYyu%cyqG(TS zw%+oRc?oJH@Jw1{@DIOeY4U^`LuuM*2uik&FiWGGhA%t_lg{=jsSBb<_?&QjD1YLR?`wOO@ejPmy>0zmWgCXx7TK_q@UPhe1|?Z}87baM|n`@R0Cpn$m;`iUwEsXVlNX zo?eCP*EhVh7%vVrmuNij>654%5|c*uvSgGd=&7|rb0w#;B;y2RprPX42$$1M;|>3P zHN#zjwi*L;uy0A@2ot$#e6GnI&$wa{2$>nFSR8(~m%`1C+3AL~P-&bu<8m+t*9EVg zUEDpmeRwquOE` z$6eGeW5lHE>Ymr%VPah7yomEjex7oy9U-|J^lclcMf9a^9Y*OPw;v3!Y;E3|Jw7K9 zWZ0nW+~vQejt6D&28z%x4(?Xwoy{6rK+!LXYxl;z?q_m*DOJgK!CempI zHZ}0HO*Rf*SHfKY)?Yg-jYkGr*IwNPPL85p+=*t zxt-tJ-H18&OHhGO))uzjVjwc;XS(TMFNmQ^evZhO?EeaTnfd*pJC|oz(Wopw@Vv4D z-cbefE~p=hSFILhQ_Y>;0pXEdA8{6CeV6?=MBOo0Cib4YXgzu?&~9`f-t(tx$^|uR zG*J)Y_o9*H@$cW|IHwthX|D3sXH+rDNZKm2RHs8lkJZo#;P3v-VfPBql4sv&mo|{o zrO#m=tE<({i{ma)y3vz&?=r-Q(*&jg(X0aQLgmsFH|N%hcLHTko7l?wGsZ}Sw(nBK z>#b)Ly6tHLsQoji-q+bd#QJrw54EJCxCy>RdI7;0F%JTdnFA>#f-{OzVY{IOQ=}xD zmaoHQ{c~zATmjW3#rWR*(I%jjeSOV2$ys$i9cmI zyR9A`Z^sYQp$W|<(z>gkIIo@M*0d5L1TiK)dU2#}E4l=;+W|chlhU3E`11AEQJtWf zjS0(oWp#Z4YalV)E-Vhfc|fm_VTK20Vc7}j)AJxxXDvgXZ$&E-cMdZNzgB2faoetP1 zi_}o<;5Jtu()|y?J_NwqlW1!!(G2XQ;*GQ+!BPF6A#1E1Z)4sq`zWZ{^?fG~*9{a4Nhafd#{{MTGo~1-X|suFVwy3GUs}cg{apc={;w!e1K7XRdzQ?mvlGMn^FWF*vTY67?$7 zS{Xk#_*%JydlJ(Yq6OSuU;hfte_%fPnIJ$_)Ma1yr=oZ*i1r&h(Z76W8jZRNymjJk z-`fni6PhV13tYgNO*;)-*G2BH$UHm1x?UVJ+8Qk%jB>l2QE1p<|DDcR?{22bu3UJ6 z2z!>-UC`#nu{yWSq#}Xa+*e2Y?lDGx!E&wWb)tMcaLRc~8LMEQC(DvgNiec!Lz!3Z zm*B^2D;uo-#qyP+AB8g`_z&N8d`b=%z7~d4JvSZ}o|FKv58+x0#V>>e4?i3}!K9#Y zZk{sdxN_&FR4E>IwnJ#j&7xXfl`AER)1MY-=GzYoLkA79_bo72#}0n>m>!S~AQ-<; zAg6rP3-nG(GB(KL&uu`RKg+$sS`mEiP~NDte3kGVJj=J>&)&%Mw5MHXe=*Nt=6PEm zZ;^NE@Z$hD)zudoN^IK1k;lp_uFvXSl72US&}c0ei8@|bIdW}{SnXGa=#3CQ#4sYS zlKwErrQQ6+-!A5WdPdvO_S{$5>Gu1Pg91R~=3%lgZ_f|?hiNYcD8Sk~KqeurTCL2i z#x%LDP1KPZK$@l$K))>PE-_nVf~{yACYTD^LIWHsIhjCHLY*=ut(fTPwHE_Lt_{+7d|5Hq86c=W$>BM&8sM5L!7kJ31M9}- zzZmfa7eAwwQnbRJ`KF%cK7WjXJ#oUcGfNDglVhuGSeSGrT=O>wZ3(%R%OS3-uzvZr zN(*f3=yKipBarDbY=QuOz0OaS5Hx}G!DYRQhU;ZJJMdK^J@TFMI=Y{B-YfcZ z%@Q`z=V=m0{_Lc`{Ecesr70UeUVDVM*MM1G+q$BKv9x|*<2Q3xt-$%R;WKm7f-bc$!tyav*sYDhG$1Ff+3QcKidc2o@tjmbh9O8+&z5w+o!+? zpystku8yC7N(-LN6$YiE?q0+VNb4^!_EQd@f9XQDUyGJ1D-|0H24#JV#9Z} z;ydN9u3*9^tl)}eyFIR-Qf-2dw*4>qHiKt(y>|12Gxpf8tjLt--%d3T8aB#rOFYs) zX;$j!9|{2pw8Jq6Rnf5>p9(3)oGK+sEK4;GE?hJoy*w=w3M=%RuI!LeM#0J{&Q$m7Ek~uNc-?!$c1=55 zdn;#4*J3_Mod?x&79BPr0PaX?8<1Sa=flrw)-i^AdTI()t|pnT-{(JTc9NP~*7l)) z#aI$0$e8v80R7BAvABkd`TS4m=}o3Gtq%RlqClySiDZP^zzZ+XQZ0-0J^`RkT9tM@9Y*!=@!LPaxgRVlu+`enb~j`ghd z%>8nna69YvrH@ZUixlA)V7w5mev70RI@Wtzxi{s*m!;SN zG22Ime`$RnLiyI&0&4To9TY}OS4Tk6{NvkV&ATa8uao`t0xx#AXchH}w|F4FC#v+% zm|cw;Rn6z``v2ToBNha|B|cxS@%!@=^H0O+{xlpkI9fW6CNb;E2Bx*AE-pUm@Z8^; z?sMM1@JfQcym(TZ8xq>ht&RjUbPPBaas7-WTqE9$3{iVM91A)-Uw%s~01e%#ew`4E1T2c9lgM?MJBG0j@f|&Qd9gW$8sMLKpGnm??KsP2>or_SO|6Y4 zV59sy&N>9x|&ww_`W`y{lsS8mC@5JDi6u(?wX2dH!QoQ=f(IPf=Xu(+o|d z-Esd^bIqtP?d%{7<&IwGhs+yXtPj>C_?~{!*7X%A_%}S(jj+c|TT$w1bfok{-Jyu5 zj(skzwXQ22IQ8(TVSN3$2l27a*)W{KO#7wrm$=>Ql|P|(&;Lj$rW4fICg6R1J}()q zuEnU$d;u_D^g)Kz+atUw&&G%)LnZa1h*c~Z_kCKltESchn5X8pooC{GVq_WlwhdSL zmUeg`xFl@$I80mi#=q7M5(vj>V;X$m1#gHeLNw!n_Jahj48>%F6RpEnTjzC3dEcao zDojPJa<)oMSsF$6ldDt-e8;q1H5*m&&D*W@oWXtG-Ez|8M72`b2z}!cm#y8OUOI{u z6&+XEL$%7D#d%O~v3Nk(%wb^QrN8NV?wEjwDxZz3VXg_sC4mwX8?A_@YRA zr}W! ze}?UL#i>Zy<|H#M-~HNl=2(cQ{%$)~f-ooqENXbOPQ|qsU;e4lly8o$_}bmPEIZ6h z{ig1${+issE#8~kq1E{JgdC#~8 zN{_=d)fAxtZ(qhM<-z2FvzwXx``!E9hTY|CJ9=R7CE9HXS8sjrt?*$gqzDrPKu`k+ zqum9Q-5K~}vzXQ_oVBPzZbn}6%`fJN)o;pgQ%rrN_N%PDn z@h(yi2kP$-`WW_hzpx8>yj8-bk1_*$q`C=NG(P>VL*SI)2+tVy{6ei4+UWNX8NO0u z?Yfa$Ot{ad8P}L#`iB@PW(VNuX8jrQ-lLe#VS86Hf7!=c>MP?*OC`r`Mz+rjr3(29 z596DG&uNOSV*SPJ?gqk!07K?R+@tOjYE0*=+n<_VBCY4$$_B{%H#_?y&mO>AYy2Cn z?@@pu&u9sJYv3_bl7A(woXq;f!nv1}r`vRmdb=v}9(d~4@1$8cm}5n@0;TDOuDKin zIa?{7CvUUklz7zC-s~ia>1>mVzHH8D3(}Q1dj5w-7mrG~V{_l5a$vs#AECi9$_}#` zgv3O&R8CX6-})$bDoeVL!F~Y$4c0gyI_}>ii=pa2B^~|Vj>09@*;=E{A1l; zph?YU6#IS0U8(A~i(N@N=93@8FeD>RW|5eh=|Gpx%SjDeO@qnM_-T=wFnx5u6*RTf5yn^;h+6fkF-3to$Ru)Z&6=y5p=({fBoKqnF>;Jp3L;)Dm54; zNYliXDZV%-`Y{hv-zvmdmtVur%j4O?OWzXh_L*_;AKRv&GM%*SWOF_23Oz$=*pjEk znv6?f!N^8Bx1mg}+8+S(w5e4};kko&WD;!rl7A*iE9%DGI#-iFDKp1=yDMb&069H3 z;(oY`2S(R$K6d<_FM|y4BObV2e0@)37+AqAp&R zbl`suw8KW!kma=3o(&t+4B`K?p-z~ActcZgTyWFK7so#KUWO%Mqs+6sFh)>`H+vqX z9*`wd)_*Kd@{q+ff5t`T?iJMB(5>NoJ@}XJ`NQyT$048ARjN5=PC%hHC@taP9KHC3 z_lQ|AZ1d6ZsSlI%!r)Tmf}1aMpYc{&udViS z$g34b26iE5c0lVzEmh-2dQQXH@)ChBTaj;Qn(xKEnOj5SslB}e&;0uA`fdBM<8h_x z*xU8f^4`|e@&))XWj?0zxdPmez2-dCLr+{hzbsiO;5qu7Ac-TR%gHx=IawX*oFC>d z_=h;TZYXuVyQGirUtLk1&A+Qi4KFm%G_Og8=pN&v7LdPa&*GVG=%LbT+qD5BrX)s}>ec&nK{>8;oU!S^n_?yL2%VXMi=wp1dZkM^zky?>QhlIcbt zFIMX=V|TI;A8+P~XcV#z)1>%`?*-Dsew0lhKf&y~u)1w6eLS@IV0&F)L4%6jo-85> z^Cc4!2CM~-r#6GiEb{U$ce0ZV43@q&r>Qd>dj)0}mwuL0BfffoM^Kp4qzUr5Q>1g_ z-@H0c88jR|`!fDk^d~Xl+A^-x+UZ#9xT0E+nBIU4E@|v7UMCK$`^9#AO{K2dvc+Qh zS^|U9vJ<#La!6NqG4Af`UM%g2IlSiLANc*W z*{t8t1yY*s66iPze!MJQZ#I> z0Up8CnrD^El4pM?vl0gmlIO95YO{*v-8D))&9!)_?34&GdXd98!kDQ$@AO)yE7-qI zkbdlR_^$Sa@lvDxw$y@(%d5>RtrMDEbtOgBOYyO@(w5Gq1Ke*2hk0LhLH(IZPyIx~ z_g+H8NHlpie)DmxI;oKH&D+{G7T# z@PZEn!eovSZGR`7iGkMAFSs|2I*NJ5N(t28tVH_u>LWZL`Wf97pFEj+K7%jhMoHbv zDa?oTWmt{=EHMq0_g-rLxVOEGXhlXKVv;IgMKDdG%~psJb&_*HYq@isJ*a8oMm}4dI}WotbJKlGD|@Oofj<9c>MlCC4pQDg+J5+hCGKO>R7c861P+O*UMgtvhotkfN{O#2(pt z%E8G8J+1QT9-iM_cc6!P;l_~gE$L8`Ajs|sygUl5I1+zO*COo-eOs!8O@zUCDzb|B zp6o~?zFtrA;Ei-qS?>J}p5uDW(JW>x{ej+$Wd;Al; zd>6Px!1T-79VFT-y6B|@cuEWb9Qv)knwz=U%XcF%o#dAe2Ug&yri;ScoPX$Enu}Li ze=lPHIyhG+XHz}4emL*?dB1ppS~>T@;bO?_biTe`ijAEYI7_s8OyOq|QGv5n`8eg4%rKqD zom=TF{bf5egTn((4xpf)`uv<2Bi>@dB;J zzh0NfDJ1$(I64HR&($aEi>TRF9H3yo{!tdLC1S7-6pN0Y@nI<-QV4snO-bFV=; zq0oY`N_iTc2F;Fr1;29lk>L|pZ6m(FiHF>M&m z(m%%ZDzK}XkGZ7rTD(EF-%KuKH$h)(+Dmye`Tc3c;NrW{DAJ`5&l#vbrYf|EQV?TE zq2UFf#Yc;Y02m25!rCz3K85o#aYt_7lTe&Tj~u3lt~i7|{F*Id3*WinK)r_}$k1Flg<%F7xu%E$%!) z(hTo&3X2J6lj$aL|mH z85|6#Oe~UZy{LH&hPjvC;>rMlx1_O<^y!!w+#|_%$RCq>gp-IABW+=BJgfZEnVScc2DZDHqbpXp*1}M+tf(bE|F|k2U2w~v7>S?x zr>&Q*X(XqRJ`9WS@pZDYIEt=fa5EoBm&n=@li4ewpRF~h>!dbnr{yWZbA4{RtZrcI zHuL+Cn>HEEuI#+#N3;t1ZC60`IHXnQijJq`lvl2gKD~@hrC@2tNrbX$gp!y} zeL@zJNfjQe3I70ka$s4*Rzn??qb~ls_@S-B(MmaGk*Z0k?3vb-Z(YMcQ4OSgPQ zX86D?qBHag=E)8t=0CiYACnxN3e@iC=q>IT=I>BmtLw7wkx)e02qTM%lai;!v?>tu z93qsn+79w|th=}*VODMAZDZJv?Pkl&G?%%%!ymkVM8QXSYKw^a{0H4^SD6OiY*teI z>aVCl9KB40jDoD+A-r#;ENXm;P+>a7i5j zv8N(5f~Y9G)P%N4_V_j+D?LGm#?%XWGM5F*Nb_on!2{YrF7hOVzbQ;HT!QnCIqP%7 zqvg8nUvc3CW*-ltT5FFe*!?qJa0kLtmDBADNvxE+%yen>6XIbG;hJ{v@jTaiG0%<9}#tab-iP9iR%HTMlfDD-he{srAz6;uR z3RKhwGj)>%V&*dLyt(~KL=kipgySb&RpF&ZfoOA||nxTNk1f;Td6fXmN|N2t}yR_oO+pk~k5M=@8t{S7bB!zU7I7 zY4n6(uG~Q}hytT-=X3Xt-DEBB8l{xmSq%T6_zZDR)Kts?A-rdqDE&s`&F82g+T7h`6j=6; zX09oWD9fT;S_kc%kh#Jwt>3aD!fxUJkWryn{xgL6+$t%Vmv`==M0=dF%W-z)nF36^ z(F+V;BEfQt-GN9{6!}eM13E&LTeQ>D`y~Ir@JmHR;LOtQGRVx=kDOLO% z+Lchb0yTs>n`P4X^+4yTJM1Y$GRBL!?ajTvu1QT=vMZxztX5GY%-@$sAzYQ zgSg5lxlYFA(aPWKj{0|^b4I@-T{w}#i?EjMJ>WK~?p0w!2AqAw3;E*>e4_7pWXZu% zqOFIT&|hB}c1Cc;fx=1e8gmmbw0MpVh6XVjVv;iEwkeTiv6^&w6;5o3bD^3YJP4kI zCoWmeY+~athnbgg82tEZp%!m1p}iv>I``!(Ed6(%(Ci~vkJD+$0XurFIuok0L+Q^{%-gs2qXL)G8G};ku*2sR?QJ~(>QdxnUcv9}ep%|~V5cS>Rfe1628D2qf zjpO=xMW>xU8xM}^n}?iBLq1tZ zVw8$EB(HqL$f)hkKS?>jC^5s(TO$|gX>*dE=GNKP?pmK(tCwuI4$<&4;PJ^^W`L=b zjg)0vjV)W!Yhss~>@2^_1CZ}4DwLkSIwe!KteR0ym{4al$c1qqW66n(Jng$Z8J6*W zyd&MCUY-;NCyTK{Fu#k3n)Gq&DvlNFB-=;6>Oi(@d4eNT6g;f0!fvx(;v=?gEJR8L zKbD$}o@S_(Esh$^t)kw!UVhYv4nT8}IVuQE6QIS3Tl?wIXLaRHGV<@iAD~}-M`DVh zJQVv~bicCYSV1#8alaKj0Ea%=@`o7mQmP0Cej@=Z;kP!MI$OtEdX6)j(_8mY2PoVK zmwUYhJ;GC5wlEsF#sl=Cp<07x0_JxaSwVrweCsrZKS&-u{_gSz%tEVB0^||v?n~w( zR~#L`c(W2nuayNL1PSONN6JA=VP>$EHHf$vzayg{5u@#Dbo$4crSHt3L?R=zJ0Nj> zL>$HA`k!Mpk6F}p5swN=5)S^8{K~~y0#ofWid85umk}iBzhw)kv&o*TT2MnCpp=A# zJd*q#elc<|?Xeeorzu)=0l4J-P%qpMEX#vSQJH_Lk0=^a|M4!-eu-#->mU)v$_qX9 ztm~{y`L|XoqoY&quFAfZprR_8Qk@Po)Ge5QsnK@U3i)C$h2NnggKNl$zfe+{Jb-7Q zVaV9O#i4LD7vHSwQ=d4M-=RY=K%(}3)wq=RY7WCD>HSpi$7noY$Vgp(S((fYLb$^` zdV!ttzMTSTOei-}eL6Im-eC6GHVABsF)6w__@WYLyW5Z@1kRhmW_Ym`vE=c2@y&vOkOG7oEjjRRWn85#W{xnAF zAsF;T`NwS1J+E~ZTum+uH3z=(`#e*1KOqQE5t~3JD**zR)v()p_j$uwKfcxH(l65@ zE=Nio-I13^j@A^@;-T&3@a(@{UHD1`bsh+oSb$AR6T|$y3E^e>J@$$N0CqF5Jv#KQ z&!YdWI~g})-2rgS@gf!~!?)I)zV+ulz%~KueD_HW#m>v z5IIytl`RU!H;R1qdqtnuVJ6;1q&rkRVv6BToUrJjpy%r%vH!;Dl^`5T{JhjjC$tsz zTklAx_K*CFXGD5^sA>%E;-*JIH=4&QL*Li#;3J(Q)U8fnJVQpEuK{xD3*03fM6J35 z!f}b(&5#D?(eL&n24DH|;}K;N6gjwpx%OXO1goj|bClaA4l8^MDmZH?CEF$A_LZ z1UEsVy*JIr)=iSwErhL$xChi;;KR9c)8Hmas29w+bdzBZKOFGDPPFxO#p6N5o%KN4 z_a|BmmLMpp>(3#)rtV}q77WkghVUV(cBNRMU>V8F@-Yat*#( z@9Pa{@x6hUj1(CTEPwk!w&WAil|SM2;{ns}GPDtho~8=C8(dWt0oZfoDQZQr->V|K z{^fJUUm#64_NQ2@eoCAsMFKuP@37b_;reKRfv>MlTioax&pYt=h3nw8lbAq!wrEL! zTOy()W?(6I(Jx8OHODl70LHe2&c#_|o zfJigm6T5!dp<&zayd&<=5ov0In1fii(_s!C?i*a^v^1*tvMea9`J0I_8cnWcj0@l9 zdj^u_u?Mvp442gnTU%^=CGNmI;Agr<#uS%ECPLjaXLFX9uS5cVCUDc$(5EV=oTp{> z_O5m8_Rbo$`v&vZoHnb6ZIy0|#O)!-fP(qYMBMKTPi727wdF@BMSuDqevkzoc}pL~ z%M$RUsBtcU=SF{26}i8ZG!()Tjxl^^@&Da4Z!&<1lV!fKL@t%K?B;7`PLnm&wIA@< zdZ7IL%~ovX>B`0&haU8ASy!{%_T-qZkfPr>^rQpvi;9@Hb2Warx`oRac4fLY3?R8# zL@;TmE1I)N`Vi=h6(U#KQoRAOs{IHXE%&D$#p+KPYtP+5>QbyxB;UyWk{H*TrjNzm-w=$3 zlL;bB$Trb_U{>h1)b<$JA@{bkzi1o6R_SR|iBA>eMDIOQPqLFgC-GAMXlNGM8_je5 z`Vy1V4${UZF4u<{X8HEeZ^1;Rl(u$Sg;nqx>i#*H6HP$@fx5@n>V?^4P1TFajdY{-zjBg` zdzjWGch1ezLyd=G>UARfbPZrxbMwXW_}uDJe*7tm%NaD_J9!}YskD(;}dC8;xmEE`1FYDxBG&d&nr>hm=u9Ui7(-4rfRmrrHK8ruT zsTs4?GJ@8*&Fw%Vv5u218C11nx1H03@dBDkIzLoc&aWA4ork@!?1OS+`HTj}mj>24`W$(8O->2B$gPAQQR zkS=MZJKsg0^PKa0|KqbeGrJSlH8*$cwLczqHnFdggW6Xe_SbSX0d$NW0TX+nxC~3sof2uNXK(GXT9F0V0$^`uEM!Cx@T3cMJxwMO&A| z6-9Hp>FWDVxaWMUO^e>6r``pCeMVMCb3HOYk(Q+~mHA;+6r!MHFqZeh-q-R=9^yeg zqnW86^D8qkoCch>sjpmHb<};`JUuNwChD9WRM=Lyyrk5xs(tgZx^%c^+v=w-M|rCK zd)~grW($HWlL1Rk@$HG|qyD;eq5?x_d}#-txE$Y!Z+vf<-Z~nwCsIf40xu2c?%0&B zO^J4{gl57wEmD7T|8iJ;i+|B3vFIJX=dEt+T1v;QZKUsObOZGZ!yqCyzRrl)MRS?* zW6?XSR6?oFmZtWgV)TQrc+(@HzYRWo`f^p(Sf{FqQ`RR$e+E+iF?hnsrRbRvy3@38AoMUztC=Q#?ieX-=LWd@j0i; z-&sR#Y^?7hrMN=ICY(%8#ishzo!6oxjz>Slwg^WRa(5<3q;xDe5fuO??87h^OOS~? zA(O2`Lyuo*)}uN;{&JQw%F{N6sxe0W*)KG8YvoN5<1Q5QlQFrrI}Z8nqINq9KQ3=^ zKFlPRWiOKDp!HkmLX^uIhI>tMjahOx!9zw!YJQzhK?nyr9S!-4qJ#mjPXg>&+|69q z9hu0e#43*0Dv~Y8njrH4+%z^06?hpUAO3@GBgRmkwV-I+?!`DcpomE9Mg^vP*T?$P z<${5^Pffr#`rH>40>Y$xs<*+p6_5pxlQM0aZK8^B8QosTNGjwRL!P2;xeW$RW3DU+ z*Hcl#0d4VOq;&zC7i*(XNgFV4oNqd?inLD7{oiNOg*st$(OIzoyOh61e@K4dIjz$l zYK~H$4bJc<6W#GS4%@FtS?(7e zS&b%S7SF2afuyxH57ls`e3CoXZEWqNxq0Mi7EK@N@rJh*t#;Yz#?V3vd+A}`+(eNC zy#uiVrK8MmDob(-oY1cEG~pIuQNtd?uWnmp&L&?E(6jOl=VrfPKy9qyJB{-8@sWR>!<|&Y=jb5*WhIfAimk_1!3cD@(<;ri4;HkF>c!pxX)8l-0mF8w zn9-Z_o74Pr0EY>#{3PKGDQ5YVim2U1?xrSWwecBi9O{hP(nb{Q3QS%;4t}Rg-*Ux#|%F|bJf!4_h=fQm-%PIEgQU^VTyQ>)( z_=S#hUuLAJa9e1vKf{VgVAy#*+-ya6&Dh1jr|*1|Vx&uO=__n@mV2AO1dez{>w6E?@U>568j9`zeh4u9HZ1#Gkh zOv!D07xuN+yI4FH6mOlRJU_VQK~6JA&>kK8We}xkrOF{;HC2ZR6c%Ke_^}VmymGHF zPIJ*ni@`OY*vU^kPJ0O;0j8Oea;!C_?e@RBA6$eFKRYNbpaJ*#ujqW2Vxzz57GB9{ z=q%^n%Q82);2?OX56H*`XC>C|++XiZ-eg5RETE@#5o@jNQdub%v^8GYrRgOc6R&5) z8WVGjIoj0YcGf7ZY|op{jV|`ylPpPnEGHz)c~2}Qi&cj$#H9tSD0s*0csKw`UJF23+qmYyZE8-MT5<+J&Db zgv@IGz%z%boS$m2G|2rpZ-?A?*Pb)g!8W?ZI<*FSvEaLIx-^*XM0QxN)$BIU_Ty5) zJS9JP83~^%@qrxRBl`S%2jDR4(n>Z)RJz@Qe=r?Q7+lS(8@aaWGFq-;`VB`joyIX6<-TY?fk_1$)Se|E6oN zFk|Mhic=WEir2ks5?N(*Jh=$3aDqPWkilb-0sD^nbYK%$>qvfipS!L1WE~=cxWMr8 zsSZEBJ$*^wDj@X-#kk|)b(ZGGH{ry7EL_Ly);pRn5#dasFgG-I>c7zG=aHGJix5%8)Q;`)&BPVLw!W`4mo}Cay;eihKa#oEN z&p>Rlp>-Yt-*Itpsklop(y#*@FZBun44eqDz*bRZVO<&;9cI9NCVPYlqnGKe?x>MW?MsIJ9s-3eJ>ujz#+2FQ3(F$rOl}z;t9;tk)wa65lhp_=OrVxNr&}2v?#EQ zNK{h6i$14A_9$P0q2BioucnYBbn4ErWPdGoF9&M}S4t!FZOctR>cX66Q2Ddua1dim z3HUT8&inSSQgS^5$8Im)eZ(ZK$xZnvp`&s9jR^*Mr2`h#fr_vnI^%%G z?_!&P0rS3G#iRpMV(X-&M1*af4t0#wf<8-5QLb=iVL4%9Atp)kXs|c`^^#*%>6+tX zsb$Y9tXgFF>;#<#vs{sC_CS~CP7~)_X9h1}mZy0aao-)xU-^qwT~6C6>E(jVS5o%Y zod;H>XI=>$MAfkgQ!5V+h+gpL{ z`8nLh->-ZxY|gC?9-Sh~MR|yhQpfeRe>N;2-B96A(Llz$8)}?qwWIp6={8*PS;mq* z5={&C(#q)_EMmL&w>9$pYzd8H##k6+KV~(o1f-v1BG7neCQ!c=sMtql!sDelP^lP# z0cyL5`pGhEtRXTfY_(?9m+Hv;1Vyk-7ZR(Uc!bq=M>wy(E?9izq2xQ;_6bo`u&KSzR%Lmtx&#M$^c}2t+Cat08~DAg^3(jCT&>r867Z>=)?!vZhlxFp(BL|Jr zO18u+kdUhfY`@N5EOM}2F30&iE8|i`p@mvz?mZ`dfvl#2w?f6JiNyFLp8(LHo+yT1 z5J7n(bn4nI0LwH1iHu#N0?(w;ZThkXUqqh(BH1Nx$?xefl;vgJs9UIwtNlr@+~E1w zQD(^^*rF8r%giYOD^;aV=4!e@2gZy9vkWyuJ4U7^>=fMO>H)p-n9DDkjLY`R(-)1| z0#&^ftOiJis0R@5njkk$;lhv^5u(~WXQ_y1QvDOda=8HODOXu@&!OF}NVv<#$6YFQ zxgU`NBY9D7xuL>}u%G-$x31S+t9z5Qfa=~Jwt$0|BkN{!HgrVw7%X1slKObaG_~km z4UVy~hQP}7=*s6`#V?*%33j9@hy>jPA*A0h8EFpGF;=7XpKYWJdG z>M}jNnw9{{gRz31=!;cbOJC;TH1ky!LsU}C_mT|bkm`9@Q7+|cS%bvaXpoYrLaYYRHb^O6TGR+Xk=Jq zkLxjcq(D;-s@Onc^f=cBpTa6Lf=WW(s?Ez@qJul%5T_@DqL)U8RiK@ALtkavb&C)0 zbvQK8@9w}#-P<(O8_otzih2AwCrGCZs)g^m`j)(xNmPL%?L}=jhV%4jdpZE5v z={Kv7U)?ze;a$`}%!R`|9Lu+xi#x8gw7Gr-Hmm1Lo9({K^RT2+sIeu7`G{gtgkewJ zmj&6!_4)?p$KFZ&^cIblt6AoM+Dtzf_g!jSPZ;-mwH=wc2SY?avpmH!dg%Q{ufjpW z=zOsC>ggW8Nd6rH+;1G}ZW z>_ozV=9q@8uk&V|1O6`AE-shAiZ`g4s21B zV)_%xn7#Ufl8~|XQV;>R{U9`7lnDO(Qm;-LsRFf#(gwZZ$T*CO8-w%6QrCO&F-h1#;0|4>O1Y33Y}0@A$~L>7uiRGrtPk=AG|6o^Q_{a>_gI-u?Qt zYQVP13Zu8V@_E0hR65f`kQ?ZE$j%sw8luP467*_?&{6&hBMCiU-fyj}FAnhMqQ7Q8 zqE|2slpMPy38i^Sh&o&9bjrwj`Kpp+Ht}PSj4Xm6^VF%jr@2NkE%k;c zLd%D99z(3c%{o=d+fP2(WNe0sK0&kDuXFMkyM*Z}=!tNWSX9(-g5POlMF^Mg**$R* zj9r#g)_Z-!+2FM#ac}!~Y%EgY< z9HrxofZMzUI&mUKF%>|53W@Qqj)mck0WI>5@o{P0FsVXkV)CWL$QxYxPA_JZ22|L7 z9QgjRFVb4moV5W-M;YBMn(Gd~b4$*yFXN+3aX)yO)yuNBqlFGwwQ1Jj&JLgx&*4} z#<%IdHvddY#?y1IoUJ>}q_FZcj2SR(PQuaqge&jw`DF-zxI3;IT~?>6{A>VL49uU#SJ51I@)kxn)0|n`rmI(1A1~kgcqU@zppS=+lKM_A zW~2_Hclr1VX1nL)1m9iR0-fH(wpUMir>voa(tEXD;c<>pYPY=iX%PYX`wJQeSD%Go!ht$x;oO1LkU4;k62dyXXA5X6gecf&+PWV0uO^tv#V*w_jQ#oR&(3v z;WRj+gVC9O&fVV}`2mhMq(9r67bOY%y@Av1$M>W0Lh?tq!0%~OP2ct>MZT|Q=atOk zTvv8X<4ji#Jy>xM8IG#EDo%1OczS95uzAo6b+D<35n^re^7DG7UY2bbksB z(G^j~l$>wBP5M=~qv%J)6JiA^U4cq65GEE1I%;3&9()7}UKyF0D+?My7Od--^8ew? z#DQne>^yLCcV&NU4)o5(O`cwbl!Txy|2_RjJ5zP|Pu0*D7H03YoQVGrY_89ITa+8( zf0`9BvQSo6d?w&PM-A70Hp#s`lz0t97(bz^4zjaIKgxdGK>l{y`sD?EI_6+XQVjMplr|U&p11pRK0nz+SGDbr zwG|nh@Q0FOHx+n`@bV1^A|=`k6c_huU@L~3$%FT&zi?$xyvks8@$R_<>7Np|1I3n7 z|KH^!PJl}Cpg+x5;bahI`Y&3`5$mb({UzL3!CPm`*GWy&oWse8svTyV`Cj!0RNH9# zYq6Pqc|VIGR|S$X_#i2RR4r9u{GaL^vo@;E`^%or8}8f+0z3pXpU;v?hIrDk8R=jC zrMeu_ovApqf%$&3MAfp7CT-xj6)j2p<>BHdecuzBM&93KzX*53Qfw{Q%qrsX;)127 zc$RFiPc31wS00=y==YIa9=OuDf+zXszpG3|Cv(01!9@Shz_Wj8)<&S>Wz-M;RMO)L zP5`PQYGbV$#bUx#{2wgtnk}0<2#C(aIduMXm$7y;Vct*?ABOAneL-8czPtge%J`4B zavR2;o$T@z^K8#+geIGpSzWOJZ9B()iD}Z~k5?xdZau>L#n>WovdYeuK>_jPH|G3* zrcXNR`JH!`rNc}t8-^vnNGLwZyv3wN|X9RS5Tn=K#^}3f1Hp)?i-SKOE#fN zr~^D>-&*^fuM{De8Lp) z?7CPb8!#AOIG6|PxgY4T8JJk8i^s;B7D+Tw7g2&L9_SBAa8hC_=5g~(avkJ6YO&EZ zo@jPF>S5Ix)>HP>IT{}himm1NJO0)OMIW|$4Ej@5D9ctw zu3*dDdB)E2D^eFZX_68k+2<)zZJ5bC+~;XMXyzVWpJ z+I51#AnFwbZ6caY@=y>ZL&0Bc$tsqNozBi|qI3TGS`oj&ZX=XfswkZ$G@m}+UUp9g zXK;+S;+F?xg@@7aKD!MR9;<0vxccWQ`xAc8 zo>P+iHo4f4UwOt`r<6~q&kZQdzzFxuN~MMrVTeEXtBJ1vt2hw$8ETZqZ^T5y_V`TC zp37!dh5zpgp3N`hM9-tL3ZAyJPm7|Tbr=1_(~7zH&(dn5!y6NK|11XDM07j~+%U^L zomrNs8DQ7`YEs$TTJHm9!QnD{zafWefHo-ipVb6XQ(4=Y5h;w;(Tyf`fERlzCf;{j zGiF7iCUCidHx}itik|1RoAIsxX_c<)9DX$}WM%F5P! zte=sq_kR(@>7m`@?*KWO<6OBvfAHJB=a8zgZCAx$w9!IR{-Z8gXfPXJ99Dq3R&EQM z0`UH@`B{jwl->KQ8wHoZhSC-o%$uK^@Ni$d)~M}X>tj+1~+l`*CsICQ7Y1}e{P*gtVl0yj)=HK#=JP^hiMP<*Fvk3!_}pdslnX8BXb(Px zp;>{uKa3}xixKwabL;?>LcCEV?^4LEcG)Mb-|(FLbkrz6S#ku&G-TnQF}eK-W~!f2 zjNp(B1H;9|0VGpW>i_03@WRxCSm22DCw75}w1-*=T*KV|1W#ro-7XVv-?L?wAt6-+ z*99^6f2#u2t5oI3vXcvJ1ZG%o%89}h>?{9wI*RhPFkICPIko4_qZC7If`{ol4gPy+ z>Jn;N+Q4MRFF*QeZqRtLYI=%HRQERiWy4Z3!%?((2m-M(%ISS$$U2Rn=Kp5jo>|G^ zGLJqh8sIp*mnQxEJ6FM-*JL4^%%8Ajj}TLR$r1i_c5ef*8uHa-lG$1srXpeBDcW;j zqL7kFS$#+^_=+hV&}dSQ_o22{fjjLFwdwIUV=sXjTRj3)1WD9wdAM=5e>^9$bDVgz@sH+{?E2Io8LaodKqsNIfJ$92`*rLi$#`9f&%&J z5H2v?J_o+N>PA^~X74lnb!cBHcW#mg8ioJX?pG(`4c0-$3i%$bX%kLPmd^FIH0y80 z3T2E)zL~R;X+mRX;i}-7VfUp4a|RcQ#(}#TQTQ&PnIGIJ`-jv7NZ(=g#`2Uz!=bU z)gDoLjysdcI`zK!aPL}+UdKcS6!k#Jif4RNXZ{ZxR)J1gAYBrIr7Y;rZULqnUNGJ8 z*nquO^Lh?!CB3BV`v{$5go#{k1uk5@WWsxqcmF3>oqxxF70_aVI!#R4kDr{5XjzhI z+<*vB#ZOoFl)d`%%lP#j`8Z!BlN*aH1ewtpjeQ|4jj z*PGI)kc5M(9=Hw^Mi>9jE~d=LDD(mx-jm@{s!c4VI*=Eo7kb_RLzyG~oU1g+ue8=k zI6*8f;c4Y!+M<09(HhAc8;dR4*WWD+2Bl3L}i9}vC8tFy-CNHkiZwUX5*_mCwLkwMRAPy@JHjx z@BhArd4?mA;-%pb+{lrA-(x8{TXtlmQDDp6wMXmy-NQ=gN7iNrzp%|92w^E>pUEsb#E=B%D8I3-lO1*aeBQq9Gr&(>^3ATEdhf)6 zz*U|Fzg?bQpnjd@X^vEeAMX|v3gbd|Tu0Rg;TXqGDG36*zHziJs?Z>bKB7vRrZquI z_@B-x?m&w`);F64S%A*XbbGC~Yv5c~9h-aUvnwZW_E+2?g#i5)!y#R#-mf8%B{wZ~ z^;I)#wg7i8v8@Hj-27vfLFR%Y5-feH*C!B|d!POaH`ak|7pY#3uJ6AR%-@#c9L{#W zIv2yeaW}_xf)};cxWs=RgumuU$t6^J98BFqW_K7xLE?IE(+kVKnTg<3!xK+qG@{}d zrhk;C9pD2@w0x+D16QeWHkHN zn~B8*y_s-*Gf>Lg?XQCK4s397`cG#HGd~+Sc?!7b=kStdt@*5IOcuqW2uk295K2`) z5}U!uo?@W@gh19UPBOA_R7+r&HYHo&D7=os@88GHA$iWSxzR+mP0^j@Y(FqJ zQmFILyi@eWK2hafist5?h&jYLA5C{ij>0kFr(Q*|6PppgTbIL z$5O=(;#I2*v012=tqRk~0)r|dAho2s$A<7s1^!PN@Od#gA3t`c3xUlvxcp#~{Ra#5 z)u%H?+uI-n@hW}`P5lv_Ioo435jeACs}T1;qX;}ZLb1r;FdFPWG<>siKk=YsFq5^h zAtq_n%q4i%t&(7LWg&i_i9x!C;mzgF53I6RY%F}!`9%M)(Q7PkpySny#M{>pnIOVQ zAA0ykmjwMKp5-_9q#ee-fz_Z-C~x{wT_%e@$|kJ7Q#&aYMmZH%dUpB5z}09OPY*o# zHkFsS67BgC*pPA`yW8vS73G=%)Us?E0))?TClrKj9P~B8tQ+Txl#(8OI(z~FGaqM5 zoz&l`OOR5xv+j}A*bb=P?M#JKl8+AWa zknrI%6TA+x+GfFmo5kn%DD{9oBhrmm*8Y!gREYK9_X(cY6?|NPJDMl8qgBfkE{GSd zZq@3K1%0#XFudrl6jNsfQ^nLba0=%iFfAR&DUIzL>eqWY-P8wg$}UdcI?c4ZJ6ZVh zCn^62*vipDT9_YF`oS~xEo5|e5i}j!No=XRndQOELk*<HxYm|T2RJwBG_I0W$QGLV}e%oJz(m;)R)b30U`pDDQ-BwQt@x! z*QTT`M{WxzOY5fD`0}VgiH$Hv9LZYDZrJ)5EocJf4fw#kSi3@d4H!aem4v3h zpdV;&O$3P~Om|=8fF-2*NL441mC(5uj!^6a6DH{BOZMj6NR+Ws{l8hW)jELu8kch@ zy59?Hr@b?&YL1sSG6|$!kJIhb)l2#!SYi@VfumEPykx=Uv!ldH_;N9X>So3kN6#x2 zq~R6-Dfi0Ul7XU37sBh~po!~zzr!n!TBn(O_xHSSlWHUrV4$gcRMfg#gn`8)*58M9 zcjqTQ0tS!o<_#|Yd2h3r^p?37Ib-u+dfoYTXJ^-SA}cLv+EqqR#lc>vo6p5#$9_qy zV_Wqdu$t9LVmSV4{En3aP3h2Fgzsgisvps#FK_2X`B$!-*woPzi|f_qheI3IoUb`c zvP1wc32Pryunr?_1wnzdRpR2Ye0zV&$&O zrNlfnf_ll$Jt(wkg=2d$d7`PDeCitv|-Jv6OR7>P$C{B^T zH7g7cUk+Q2jBHzOv(n9H`XZAbQ#i=^HSid?}HEcK$^$Xor|r3y>?&krB31%_Z-%zA6YC<&cGch z(0b$d`q9g4<@Yx{^4`V0v#qn6`^UZ8+wV^-zR&4Wu~KLNiEp$<}y z#lhhbzZa`reYwO#IN2l83&-oxZ;2x#dM(Sl&a!rk(Dm^L9X3ang`< zJIH&P1bDc7+zIVF@J2YXc&ZbA0$v0f8iG$1yIq`X?t6E)McsaNRJAIkP`ioFaDVW) z{{HpL>~C+#m)t1IS3O-iKBL7uIGU0?9=v~%&~Z2Z`|bNLtZj~Wsq3e=m)q}1R~+mT zA~z4gE+`*PcNkr6cJ)79blojqVcne#+#Ek%El=P0U7d2|KkT2H+U2l@_5szDmyWSH ztazK09;|Jl?CN-n$BT~qy|H<$N>4c?lH;Er-%tEjd^|g!Ffy%xJ2h%5S$i<`cQt!0N=20sC~NqxY7INexZ-1B*#^;+dC z_LzFZF~6jqp*?Qkcx~%^Effa_a+iALV>uCDmwSrS+@7zQ7qF7u-27^=?<#WQsxLBg zrFm1vIj5J>sZ%Up>X$O*^_j(oV3bU;(MR{TrIw6?RWUO`jBPX%pK#!_blM@b%ga*V zV`TcwaYvXz_uI7{pBSRmbrzFr{?}deJ^}&(hulM~bEIhj#$jAJYX}Z66u>`sQb^I2 zVZak-^4KtgsyuDM(oT`ay$At;WTm2h+CIie0h^_1icT=i2sBY-Ew}IAkL1GLkY8;D ztQnKP4$y*yXOW(~I|=mm_+lN{JGx@Q9pj5Ke~azAB@ zB%6s`fY4R7gi7H31mGGNu=YjlpQh99-cW#7{CH<0>V*CIhCXT+R}u;_=5r)<48&Hb zz=C`N54S7_hGhh)!kp6E;Deuqe&{NGr500E^bRpVF@}sehX?lvl}a*s>@7zkc}m`A zY1jJCSTb=*y&GI3=$=1QsRm{JE^FLnF$u@AL;}nY9g<|DPb&m&0vP@GJZ!{ZeNqK0L1$%lkOS<5BjEb=(Ak8MQp-{m%am=kHr-if@$ zy#X#ZH;)kdWvjfn9U6WFwbn^ZQN2Rj8{-Qtrh;T2=2V%CTPdV|!AzT)jGy2Ubo(v= zf{v<8jq`nCnnZ&sz1P&sJVGY_pVx|h>l~>x+$S=MTAx3vg;Ht+7HK8MjgC<5idWun zx=D=cSGic5@_u1@6X>O_LifnvZ;{O~sviSP-`WYD$inniSNdpgP4uhD!y1=~`dg4} zP|GLg_Di;Y-C_u(NzrA5kVi#gIK3j%o&J01$9(+K(6!~?W}V3$64(TSK^FTIEmCjI^qVqml#JO+4` z3P%)a;i_1vfujxtjt5qn+f3yM*@RfX8&G4EH~xsI5(%xbIujE6r+x$tCK(SArs9Y4 z-yg4NAS0}~hj8S{m|MRVYPSy?tZr#bX0R$kVNWWi2QeV*a$x1cX>BDlDc;rx-6mpT z5S_)SP|Hh^ar25@7#wy{D<(cN2Yr(6+_SK_1bMQDfKh+t|zEk*5>>oJN)cp~=XZN^=vw%Ol_NIGjjc3q#jD^pFoO z1R$mUJX9s$EaK2RR;3YrFy+rQaroCA$BPcbly;8KsDD%dFK)Gmo+rU!ksPk#Yor6o z3_RcZZ#xP|(>u{5#Si606D|kGDbwP5w~1mq zQ1$~wt0I<68|xFusuAUDjR9%d?kR3SpM=2si|h+>Q*{+Sj8h^>ZPgWk0~ti9}e6;lP|b&u@LLbq8le(?u}mxsMizWYKSad%BN(;!K;_ykEiKu|>dQPB zdH+`U(wRDrp*PPX?9LK@&t@U3rc@i@Q8so^@gbcRtZ&KtCF!(p)~Fvd*UR0##LhYK zzA~YPD+E0+g6kw9)Vf$3w)}{ZfxwaKt6{Mu7=ki@MzU-z%$VFm6UUyiM6(UWgKEY{ z?8xa~+E2`jOF*G8W3DhM4qo&-G9jOYJUIAL2i4I+SsyJCA1diWf&BNP9*q>ppp^9ut(m}df5(Z?XQ5vBqr#?NTg97fInMQhJHl+tsW>Y8v$v6-0jYDF)s zNz@n-DOX8Ze+j)E64guTX;Z5#^TG)UUd!C6pQj& zyARsfPkns81X8{bL5WEFr#CZulT@4sOG98h!k|&YUHXCr3*d#(XwU~t)ee%qRL6=RZb{;-^drpn(@dI z`f(j$6uxhLBqq+i&!?ElzatbL0|EuA=Iw?H8t=iFa64cY%9Okt1(37dGimx>ed zaZ^DFUsPR582?KZG-5W*C&ZlMFZ9RKST{j01d7N^@!Lo!-lw0_5VK_VaGJmXM+3 zLoPhuk!z<14KgPf-=|SyCJT|iNYl2}{{1swFRhTaM~^wiJh;flw9KSYP_~&ZTsfdw zo5I9oM};Ww`4VUId_83SCoT=7+;M`%pzSA_H3zZeO=+A|e66<8 z&8T9S?qmoy*bwL{b!tnmrplDJ6&!e|P?nDAwC_1fy4}dwGz(t#+P>P*KgVQan~@80 zMrx!}j`}X27c2T(sVq_p60SJ!2$5M#0jvC1N%^kAc*-ZE4u_YY;86gD#IWO!BekTAn^?tyhIwkF4)bgxNU<*HEahT=^epp-lPU zlF!PjWa+g}#C+X^qJ`i?GNFQ@KIN#u!m1=D42nS>yxo!OTc^@fva-SE5ZrZDj4=Mi zm5;Adpn$K&C!aqgmN$e$C-M&dst4gA`4F(^jVk{sn_N7^o@Ygy0W+g2iy}L73X_O*A=^IL0o{aHWks6_ zqnROOvIEmYe*T@xY%6Vws2E}P4Gx1BK7L&}4w5AfQ*3t(J%@^MiLIoXeI%V-UT$Ip zm)x5Y>`z0!Mw-*Rk;XFRhLSyn6vgi&wYg;!c~hDUl2{rpT%t2gNxeeIysu}>|06m zG_D|o`IFb@RQBPezX!Ph+z!6 zu(kQ|w+w8G2oxG)w(qI?7NBlu$jZ$$KBJf-y;7B|*}q}Ta0?J$0n4i4xv{^E&~p7y zHJ%e3iDs;hXP*0^l)_DQMGZ}d&UNO@baJ?R;!O_+f~w>wnT6T@hiI|gipJ<7Yb)d2 zbO85to^O33crUY}BjzLItie^5!khU;{{9RJ{Um|QXTlZ&s1GL0yVu!vMcYRAzZ zyI7w8ii4@o#Dbw-s9`s5Y>H$&qYlFZ1j2?Afr_*E~lV%pWR%Em9O=e9-}$F&EHhiJZu3QE-f33Y8_M(c>&jq{h43sd5K+bsQ@e@sx{{ zU}!Rnn3N^N8Y&bZ_1GFU?AWoOAhK9$ir}O(?aJZwhUh*xa+)~}MMJ6vt5LU}KVU1K z&n%RWY!9!+(L?7WNrW8{s>A162iSgkY}Vm?{d4R?X-G+hW^$DVeR^(sn=3sePbgd{ z6Cs9XuGo^j_XtCPW>*Bjs>}K{r!r0*Y~hXOJ{7qnW8HrF4ws!DDjER~S-H%oA6D^P;VvRJBlKvuL%tlgKq@Jk6CA{EI(Ts3$8{#Cb!H&`&WKR8SOz?hh|ne>NW4 z6DaGILi5yD=7m+8sgIQ(*>3jaeQmxCkq}Wy_&qP?j-HTIz4I1WPZ*o(Ay_`~^Um|-ibj9CQa+Db^=Y@7zU z9gf2jG<0#wRSi|UZ~H2&ee4BAPe$Sl4C8bWORR~uz1f&_YUsR<;xU0nye_-FvQAe1 z$tyyJ%~k0Pc<4zgF_WpU8T+jz#ih>3=~+Z+VYadr%s{#CxhES2s^h9wIkx7DIv zhx=P&=Fq4Xs_rA{y#9^Bk2$_um#&&9cC$;Dmu~0^J`i?VCQl4q^T91)avlP6|VF5{&UyfkUp z$LA6{g>(`=P+OY2MKSQ_@l_l=ugJUbTtZC1Jddx}N=30IAh7Ax@nn@)yQr`;M`*`<#R_SEy7tA4L!!s%gZt_z&jl8uwI#9V2rMk1(EjVS&ZK;b~2SjflhlgU?MJqN1^VjH4HN6ak=8 z??$1>+#VjL$VbqcR?n~sg3o>tN2e>bhl!IWRYR$;Wp2wEeca6jg*kIj5I5Pr$7Z3? z=R6CmoFN1`9k#ZX0MDSP+>J)j9)L;SrAr3>eX zY0}-DNEh@+yh<97l~(Z3;2tOuzb;-6-93{;@(8|234{zNS1kPC3-gshg|s7bpI%q> z<>fDgx3V+ar0c_{dC8hTQ6L#KU=AwIy2eC$p`j6zG|f@-UbYllQ&f1KhXa){w(LM1 z6dGn+`7D`J&R22d@C9lE$ND&#<4g14ujCD@J>Uk)phpr>7~^FkvyYI|!_@-=ORY5| z9`FebRy{*2{y^MCh5XZ$B*ST(F{v>^F;5xAVknJzu4t~DBn_sWJ-B}*Mfi}WEBM3M zj6=ujQ~emk179?jeu#u22JS`tMY1BmAF{2)J*QV=$`@#-zS5doq;FGdd2R_vQRBmQ@`pHk$ z@{}WyK*#)0e*By+3NPxPnTqa5Lmo4e^bN79_G`)l``!^7VhC9I^`z|Hkm zGwJGM_0vr%@VVXh?Dy6~s?iGI1%APNa}f~o^}eeXei#Ivj{AhOyB;qVkCc^J9o3MH zIv=htuToRN9}8X`E{3k=2z5POE}xMq8%=fH-`-!G-KCxzbv<4lE|MDMtUReb<_G~^ z%`3}UM_|G0E#}7Khq;eD*}%iaW@w49FmS!NQ^LyneQ2&k`N#3eq5clC6+n7UJa1Ux1^9s)*}SERO!TU}|} z!odCiqv|cds_43aQMyDrl}-Wal192yT0#z;BAr7>NP|*`ZjkOSDUmMeZb7;Zc?aL` zzxVzR59iETvuF0|S!?aRXCGg{7S1ZzSMs-)an*16jaLj;@)P}TvU}D#e0{$L-k%$< z04g0PNrfH0cP_2ISCim$ekH*0FrMEy)9?P|Z)V~V<pnjhpPk;`w#|<$N-@Ct8N#~E%K+?kzeb&n3>8O~P?`>=|Z`Hj6OThK<9n-zV z%_x7S*!D?E^VWSw(jyG}X5jXy+&zEKk^OP#zP7{n>};|jsl#W;9z0xgTrtWJ)A17U zZ>ZOHV7VVPFi6^3d=yJnq-LM`wEJcLqUEBMVj=z!_-K*zaN_EAw2RHw^#}_0O6(qF zFQwzekQ^}BAhx{YS?`mn-vzG-81_9d1u4GLz-iC-d%RWccZIDjt!V1_nG7NRD1C7z zmwfJ=lm0ivGpT6lI{($k+2AVWNs6lhU7BP(Z9mT+c)f#N#`$|Q!mqSyDakjR2rnzCFH zjYxADE|R>QSp=#3Arj?r(hx-nWI@5)P;zA|X(wB;Q8M&z4>cG4*_krcou zy4dx`t0qtC*mV!S*zII|mnK~M8}CodffvPhoHJZ0E6zC#qT^`xd(x{=zEYgM*gv=_PE!;@jbPtWBvLuRbuiuPw}bS@TJq4D`|? z_zfgYt46|*gWlZ@{R)3e(AleZX-^&ZYF8bOG;iRdCh)D4!^O{FGgHZy7(Z0E6Hi_X+*p= zMwAyAN~4b5-W^Vf&9mc<|3O7A%WYXiKRlko?JcK=p&!$KVFmc6U}0m%lg;o=SpDLV z(Ri;~SaKEHPH-MeGaGVnU%Q=1*S~dG{v3_h@-lV=1m{hs?9cgSAj(rIpIUJ%epRZ3 zAvIZ^$I=CuSvF8w0^vyVkDiLP`{RA37RfI#I6yKb5#&RzT1aD%;>nKMRB63ct|qxO z$0Vb*EtF&p;`DkMUy=95{yd<2&Lt2iZ!cZoN_|z6kUTBazbJ{PIo3@Qk2T;&Wb0cX zM>02D;vU%)N>Gp$N)YfPdCnBsLL?Qs?}vpxR}|E~ zOuOm?quLS<%IE#;?1rJYXqv=CPq#2d0hr z)2I>C1}w&JCeu|$p(=BAZJ({jjW?IT%OecD(MR7*AtkI3ZeECC~ftRrWkzIkW1>- zMi!qG^zH*rH@fFq2v0AOHF-~LD_I@}VmSWkkLT9m%b&3Z20v^epPzkh{U`}FHLxps zmGNX~<1QAlX|LCHqqn)A97w2Z$Yy?)cep)8d@Bbar@?djgGBmdU7C}QoiUzR(n-ds zk$!-4AVT(u64Q2kYpw=rc`8y_7WTz>2Bs0@a}OnZWI2oT${KV!c{iYcLmo%o>CBDY z@^_#$*c)|sRqIC~Xm-ta5y?}HG070f6Fri$Iis$MdHN}4vsW!Gj@Uu|lhWz>&vW0v;ho+Vx`LL34AQMmO9(GWM?*4Uu_11ra!6Qjt712RS=v`mNY|7du7YWiyA=d%Vn0dSbPdW61fo7?^Em7%@dHiPWx z572ck&m<}S4&2)`9FvT1l2@*Fg^*&$EJo)w8m3Q=(A#ziOVY73ME=8nU~qb_!04&_ zZj$J&;DvEc7j40$|DOnQ_zfgZKLONRa`zs$ogPL?{Hi~n@za60M9cj{yZe<22*_g+ zr15<|p!hcC6_?z$1IY1l0`j=_|5S)oGq#oEe;TCz=HoX6qkkd~=LWHrj~-0F&MB5> znDsGgwfJZ7WPcBX4JPQ?Mki)Uk^d6|;QX}$RPQGijM(hFcoIJs**|@+zsnaAq+{37 zz)B|_{!IEG3^WXoeewb*n2ejjFDD4dqyAwMx+MI6G>G>}VtM})P?(GUfy_2{mnO404*($yH1E>R6ShS|GKH!RH~XkQ_*FF{?cEcD>X;vE?<+2PK(P<_3R)I{`+HU z%GDF>JA%>`7KsV}@{vs;)`bVch2(P=whaPk9}g4g^i5^_08ZvJl#o{Z8+_aJnc<|Me3p|sO+}g}kT57NHJ;d<|pY6)@ zLF^UWn;SIQx9?$Af#2a%kF$?wk<1YfuL?NQVjNr%_1{zghpUm(*t+8I0pP~rS{d4* zII0o@9!5*!q$Sjq{xk?Xb0P1xQv6yLo>OOho)9)LSUQd@##wlrJ|@UaVpc7Y2xH9V zQFZsR7(k00#XNG6d%s>HjGo}JDEf}}>4JqCcjb+K?6QMLyj2#pp~$oGd+jZc|^G*F22z7^lH?nOJH`?kGT_EmuzJd-7} zPNcSFJ^c?jHzgi3s_PqoOh|$g68qzY6b!Ud z)1xV5X3JX`mNX#}oH7fK4&?NGBP;1hEwFaN1z~!ILj{HY<)RH|%r+D5YI>nSx^|A*1FtCzKUL3*?$$1C}%bQqy7|0Vj}}Pkr_U~D*nyS zp0MU63}yW0UlYHEyqr)iM=szKwJmTIskj>y`V#U9Q?wS}?#oz=oP%I997Pr`VEwB{ zzBR8!n+Md;=K&t74Z%?^;as=NpQy#F33ucXgjV4pKKssT!p+FcHMZWv^EM&iob{gX z>4Ys>)(Bh}!z&(>QPj8j0a`w}dscleXj3nAE9~8Vi_et}{b>qLT;Iv0bjynEWG=_n zL80SdX7twaNYagi3v?II^AG}<5wkGXQ$os#KiOdQ_;WS=s-NM*VL_DGBjLIDL$X=> z(gg0bt+CRW)c^hAQm;XHs%R(N<|j^4mLO=MbGqskt(DUNs^2`ruJr5kU#eoS?b?zQ zQClopVQ1W8S1zr(n}~OI7Bb*JN`&<4h-yS{!Mqni?;Y$J$1Y+{XEuOM6w#TRsnXxJ zM&Z22-dPY6HeYe}_sUZoA@hMIUY2-7_Y;bWZ_k7Sp1!+wB40q}dO&2f`AFSaF23#s zuA;)~r%SoAGGTOj%|)7?tc?`zPyUSbA5+Y5RVCyz{+4D}(?weBk;n97-ah+yK$}R1 z9G`FadRggKfEcDJ%s>EiR>WM#sag5C9qJ0`y;qM(xg=hlmlgi|yR+OPz!AXxv-td1 zDSg0O0eTU;L7g?8lDSm(4mpS-Jb6bc9=xCCM0bWyuWISDKegHQ=d~wTKTUt%BYRxE zb*DY^dnBb1DVAAzM>l$YlU~Cb2D>6QS(OzoJI;F<&W}Q-y1Hfz9JTVi8#+I`$9jJk zR+vE1;`^5G!FCYY%cIZk?%DAPEwq@W<%nwUvJ@TE%?5UzqxU@9o5ubLV&<>9sg$#N zG&$cap~urxjb-PNXx!I}qU`zNmawcMk+ErPL%&_Y*eF^p|H$7BP(|&;tDc4LwzDQTe~7Pf zSdA&9V|~2V^sxT}NA)dDP5#yqNeD$?AB99M{x_!GHF`cN&%1uA#Jsq_OXaBn#UkkS z#6i08DD{`h@AH`3jw?A77!qtVe@%+l$=@&+xDXf5-cWcf;HswP@jK<`M>B!nR}%6= zD;Y$}=HGt;oWUORbZz!!vMgYWhBEY`Xv?9^3^3OBKuEo1z}iS=n7VAKvmitCv);09waYKULY~L#Y^aT#ioK96HYMBF|?u z>AndAuTU)nPu*x=XwsMj$w~#_EfKVgP?ktW+?EMjd{%ww@HGZUu|(2B2)p#Su<*~G z2O}3Sh%O2hA*2^tqVUxDc5ps;4ZYsP-CT~IeQrYHRYLxf$?<51CPS_|)dly90 zxzRemo?-z8^FL-S}tsK#D6o#bwi#zS3_oWJLmP0dOk&L_TzU7r5RyY0bv{U$@( zZU|oAUSa(FaJQ7RU#KgTCvKxnY}L2ae!P*ufPZO)v*Pv_$^{RjV?$9x8~yW)n$A8y zAY9p@p9*|3Od;4EEG*Z{B?K&Gr7fd)MZqS~%Z04D-49t5u)a=uCpkxvj-oGfbDU8m z|5A1OIB_ANQWId5RrV#+6VLQMx|p5P4%~2Y9&{k7Ew*V(902nt>%S0zEt?T z%Hb-UF19*;v>nn>Ip$PNJ>++G{9d2$33ye%J6H;EXxO9ZohL2H-G;?3-wO&$c{fQGw2>8_=mjsjg5 z*TT}q38e8J^Nw@ili5YM?Szy&sp98*wvkb0)s_x%;j+)~+qhGBw^@uR4sejhXXTZcEJY1~0)E@r)-FnO@T8^0{t@^=y(_?+LE zYxjSb@%hCt?bBuSD*fE`N8*>K4=%hHEz`79N7^yvrqoj>k@jMpxn~9)XY#^j6x11R zw@w$Lo8`Sb)HC#y&Izo%v1cD22Y5cOAWz5xQ)!1Y~u`YCMOwURVWFquFvpo&yY8B@iH>9MvzQVetxvx2F3niYrD$OOtgBDh?9o8^JGm}x zl{Qg3h;6-XM9{kw#jy1j-F8S<1TBM>z6wj#xD!i|2%z z6QA_Y;{mi*&wfW6DY^Kswcf6UCUC}QG!_kt_&TR1DQYPj3AyQ!Zllt8lq^>dD*t)j zJN;fzKcN4PCen_4@Vrn)*W%iW3QwkYY-lfCe5%{wCRz^|)H&8@i^?V>bxJn!P8J_3 zuN&`W+qo9U{z}&>DpJ~rcBX2sQ0rK~F~V?U z58jOqzM`habb_+2U`V4buhO==8bXYZd(7thlAHcDQ;jYzZebIzo0cR-3j-(AaEPrP zp{4Ny>d-nc(;bHD647EQ)>|vG(%Z@AU_GBcc(JP%!}2kr@aU@p4}=-vV4XYwq?H*F z0eVTrI)3hk%&7{)5Z+2;i2a(Ik5BP+G5$R#9W>)lZ{!Y(7|Y|IRtqp$8DvSYAS9@Y zZE+s;{RKG*s7gVLHj7!woZ&GDEaoZBXM+wejRV8y$v^x<|JWBI2ID%u)q!f6n(;_W z>{|8Zp|ol{S|b$i4aViC7}d#)+eNwZK%m_+moxngV`=ToS~+^svg3B#Wx1sIl*cx{ z&&2mezQy`qr?E~>sZ{)-wQfd*@%V9Y@<>>{oT)P{&UXbf7j(chts z)dDNd6I;;*#m$oupfa`#pIByki>KbMx)Jh#Y~FN(In zFJXgn5=uOHNa7d8?hY&97stNw1f#`w0NUKfbLA|F9DWw%Jc+|`RnB760vzl9eaPhZ zv90#9F=piwiKN>^>}HcbDYRC5M=3PjP3aGh3KKT5I@&-K=_b19n-;iB4|TRo0UCE7 zd`+9oBV)WwgxlCBkgoY4?Z$bX6X_i10Ia zTGxuqvNrN4r++-VW@cHgkSL=RN(Ad`O18hzlCX>PQ8XHNJ-cEMK@jp)WajnPvc?c_ z&)Jls++9Hr{!rL9B*4l8zPp7ONcx~;7z->9;o@NpjCsWC9M`s82~NH^8^QPCc!+gg z#uPV8yBaAsZHE!Uwr}5X$@Hq1nm1MiG>A?IHP+?hyAK&;+DIkl-PyE%h_vv$g(=|u zRD4-S-k-LyvxDieysTu^_bQtBYs2{2Wzui$elA|34=HQ8obn_C8gCM80XlT470E@~ zU$c{!#f=9@LO<5sR)%=JvXVOo0gC%?4bQ|x;Q z)~CSt!p)N~cRa@a8cp;V)D1t4O90=acJ-~n;|7s4O^t*NpK8vqYyhd%5n$&tGsJ{2 zBVxeKlISPkV#809;DuQ#0;wmUIf5_1TIl$Is`U6nzw5vuc-ukzS9_^Vdbug&4`NQJcto_AVLI@uRa!3%wo6imI{jZ z<6p67y;(ods!#UJT+Q`k*i*Y-^lN`2$ocYe02?>LFeGLcSEkBV%yknYd1!(pnNxK-l)g4#g3t1X&36c2 z>Z(_#PG-Bkd=9l3s_3Y~7mISc(su3rNoCZFi+9D-Fg1SO2N|mCfS>W}s4pXtuW{E9 z(Z;!QRRs18aUkCj$nF!fYv+cVqdn7xKM^OMCfUvWNS zrW>9Sm7s|q|ctA|DD1>(UGSGdd&vA~NTzYT#$hKsByRomBTUj|9{x^mdhfJz*)_$k>Tbqo-x_h-$hA# zT#uHT+2Ax^YgjeLHh0i)ZY{SbG?bKoHMgO2GM5D*U8sRu=!l|IBdNJ+5n(p2248;Q zc3_HuIP?JWn8bOic4;}D{on;G+ED4kERgu4XA^%8#di1@61gJhDF@33QJ{7!_J zgPAs;Rb6Tm5=&rd>IQ$TyizzVwpD|B7_IPRWF{9S%bzrwep9ZNr{I4A+uahw1c`&Swet@fu*GUBTs*ND>tn!J(N7?=FjQD-)E<15%-KS3Nix^Dndbt<;`jg z@9DmgipohHOzC73=p-{M+sIG4oS6@eb|_!NSY#$@Z;2h`stEuE;$O+9mFi;mVlYa^ zxRjOm`HLj<@H5#x_w0Rj<>U3`<0IrU z1UGtyZeAK37!OAPouvS$d8CbE{Fc_YoPFH_F#)R2_(u0poLq@I>hbM;T#uEam2KhG zC**_4eM-6){C#qX)@>$U!jF{}O&(jO4W@0G#AHPm7k+Ia5sDn zX-P%T1xX=PTstUvT{2tyt|{!s6THv%@xs}sOS|=3dvn0VovqMYr=DaRu}xkgi`0cN zGv;N>@z$bnZ%~9F3TLSAjrTB(EB*@c8* zOOIYwwg>6boOv%GzJs2-N?{LQ_U~qsp0zu6-bP!+d;Mf19!yrimy4WLbK#jZ#{Zet z`4DZjEz^<@*&k8(DlQ0BW*36)sYZ_t9e)Vyz)_H{!7buJ&$DVq)!?MPLy0`Le(U`b zxJrQEi#7Y`H9BL@`BkXPHEZIshof@B$&2r{tZ{h9nVh4t5Yc6LHQ>cG?H}fPQeF+W z6o4=_z(KCJ7O~9&+e81aT>r^Uh3BGwiU@h=iW>Q7+7HB&+WCNW>pDnH!{8hVSVq}4 z+K8T;=QpuM6%MEpA*WrT>Am-Ju5b7Y7z*aJfq60nJD-h0;C;dI*t=$zoMUE=JtScr3pA1tIasG)-UTH|$`X`ru?gHx0o@fvK=T(k{ zj*E$8w}l*H?!u54VC^ETa5`4H6vIXsJ>Ro_Jlo@tlUAs!WjbQ-DqQ29txxo%^wsd> zc&vzp1><#c;WW;zhpWBfnG3*U7Be@8F1l^a+aqdZxG*7{I^lAkVR9zm$S!)FVQJfP zzAGtR?8krBmcuhzzyoskXCe275!neOqe;EY)vbM|oZQBX)SoyJ?Q(nh9G#O-+QegH85XEA9zBzP)C~;I zc9CSRmu~Osf&NIad=;%?7Cgf;1qR)bTZg_m3y=_xz!<%P4|CRWDSKO?7omHGtxQW7 z9z;qWo~BN{TDsD!lT|(mURHWhc&%+Tc{^l;mb~wN zlnlf)?V~Rj<~nGdsHuO#fG0F1Zcmfk^9Oo_a=+*uxSLd=o**%JXGuiHOI7@!$h#G> zs9BjKuds}JzWW(t$>-7(?~5Yl%}x9c7bx*(wk<2Zc#H>LW1WMQc379VR72sJ}Jzk^XB1^j%7H zy2V(H6oI13-Lv)@0y6m~%xxkIV38P0WY?cEycy+sc_~R!^Vp#l&)`dND%Tg+rq}ZU(85Kx7xuZ zc~;@wBFfy`bE*bn9Klc=Ze^JPCM1w?mtQeFSLVWf{fwpq>?wQjNw0>}$H@h*DwM~Y z{rld9J-_nunDD=?QJW}5Pn{2;iIp7%{~Q!yk3nRaX^kNrv8 zf@&@RdRzLBU~{yYDkU)zFf;b`Y7kL*H8AlV=lJl*$Ue39Hf)^EmlWAqCU|ze;^zGn z+1owr9`?))7lA`;F$;^$*=69XZE8 zDW6jc-1OgdrH1`Wq-SO-CWolG__MbQ8u4dB5T3yT1oFYxBxjoB$+x)R$oubKm$wP@ zA9w~6c?1(V2@$sadOrP+`5Dm`O2Pguluw4@09L6NRlQHvQGuJZbLj~4XK$6|xS4yj z;CPgetO`gj5qaV;^q?RlAAv{N@h=4nLS;>xF<8!d7quOU5ugCy@@hE0-=b7QTpwqC z)4`g{CQBkKLtKN}vCydX@oWhT10&6U_Zvn)HKLBXaQ-tZP{_Zqs50=Gdsz3z_hh)c z5McMB-9lvm(m36>1Gij>#dwQ3HPB{AkZ#gyAsnINFoax*$nn>0nlTy@RGsnX<~{Lh zT#0V%Na4f>ITqH$L&+Ec^TQ^phnHXW%&;68{2Ffm%n$hG)~r2nF}Pj zJTNO~F9CiLlJE>|dGw5-r&J+S`Te`9+i?Sxx^u0ZVH zhV#lO_TA4xxvD?NLPbXYVJgKq4fKf3i=NX>m%8@|f!IPBC1gMIrud>3k0RrE+$*+s zjQ-#bjnb&K!v%BSyEP13E$Qju$<){Ziu{5Qt{zu(mq7lnXz$oLxOzy2C%&Eox+j6; z1nlBfX>tGa5rL%O`%`c}2yzL`?}N_C;-ESwU@e1$mJ^bz{v_ich&ctDZS3};xriQk$L zCk|zZ4Z9kKHYSlOk}3P*QI>colW3L}$~Qw^L{(PE(M;ix1t7D|1a3@)@_7QYRZIH7 z{)RmHM)h`%s8uMF;-Jl3g%>M2*)VfeKYXT)I3_&aR;oszh>Enx)tL`fcBoACm{YlB z8->T-&9LnUkL*zC6Ttfza>Y^iG`Jq>6n~8C9g+b~RN3oqk>;mSD2leyymash`Mrc% zxu1KI@)DR%)$t~5t|x3FDu2AKltTy*nCf>TkuHsIj(mq=-$g4 zB90QzUUC0UG!vc~Hc!F#q)K!98}-9_W;romD|WhOoUGTE(!`n&sj61-s34m+QQKU* zB5M)1<`2Hl;>IQSXLEUy>5ZIKXofgv;RAfy4Hb~sS-isayg!~ZJYQ$>I&AJ7T{MzD z6}H9G@H!65^Se>&>DdNe@;R6oVtp}}eqOMIQEKp##4xEa8I?ic_GpWSV0&#&a#LppKoBy?V?R=F`|B|22UFlRhDxZRWSV>xY$TyAR8TQH-3H~CwoBe9T<~q z4P01S+hz*#{k=51u;C`-%oOeF=P84Ohl&RXFHEUNUInORYEZeIm8dwfoV`${dpkm$ zp4+&E$n$p%8@i_*I5YrvU)`?T;dNO~yFJP1F|$`M=7@E7rxg9BeY}ZdyH(8p%#X1D zd43E9vw~aR(i+^x{hzkaE-^CIi4Snc7mJ9xE^mbc0`C1T*x)EQZ$-NL?;U#cSqYgH zvsjmr<8nP#cP24EuH@?K+jEw1o3tCZVTZ{M`KTT{y$-0Y-TLsHA(rSWGD~+Au?s+& zK;Xj5-o&ilM+Y0u5S=+Zm=U6lfnX~HYtDbbB_9Ir6-v8g)u6}iJ_O0H>da7?qJS$u zLV7;1BLDjqQTIsaJOj`jKGe<}M5&1g7=VCknJU zg5c>}1?QfjW27U<*w!r&8Xh0i%ZB3r(r>A)nAqFv0nXvNF7xh70U>fF37p$?Es53r za?~F%rw~yfHC7dUsao=E^&=L^`lM+mjLxZtA_okzHp+NhfFX#z{BzZckU3oL9(=-Zlygz-#bWYx)j(n=-KkK%{WZtLQWwhMsuT(sj(Mvx43FZ*_z`X8Bj|$2 zg9;Q4F+V9h=g7h=g^a0SFe9cG^1IuTaz|2ja`>Tjz;VNA9}h3_^`)(%ehQ2z$w>&f zFRg46`e9m^afG~iLbRgFg4n{dvN&v1rH)E6+v6Dq*cc_iXK%{AKhh7Jq2;_Rx(T4r zBBm6176b}L;uQlVKjlH7*gvnyL)tsWG!6?XTq7rq<5`ycD|!6a!vR7G|e7; zrz~Fjpk_6M_)C^v1P8m22mosJ!z#|%kWghZ85*asOYSw$RD>Bu7(Px z-}RfoaceV_$ou0x+=Cr~@~<5(s6D)PuAZ}>Xyn!5cM48pzOIWOsAGujb~UbzP1Vmt z9EDywLnu3=qITyN)Z9klhGzebuJ?(KDek-3a4Wb~FLA^1U#|NFvlZ;Z-k~qfzJlg4 zgXt}SrOJ_GxkIG`((yjxQv5~k)mJ24(XHix09Ti7Xd!MbC8_&fLxHz z+_P-t0mC;q78px$oJkh}?|=IjXc1SsAhGS&y4bBm2<3S0aAaD?4!^*u3l&*?M@&*y zv7UYj4h9E;v$e3AUJ1d2v&Q`R6=h9oRc6Z5Q&)SB$V}v+9H#PMB&S3HLWvti5(Rou zb>nFwb@3Fcd04ntYgF9JMS#RA<>#k*K@_O-6M|ng`HuAp7ZxanlWYBn*T*}NCMM9{ zLACWJd~IVal=M3BPrwL+s74%7bl=5!AvdLgC?H#2C~kbL*82sEf2U=-gMknaM06h! zR@$p9uT8yLQ_qZK_j_+5N0$3ppAS#m;ES;|4eH%$BHLH#OAxmGAfRo$dBLE*jS)qFTQ?~?a!?derk!Lbl3-ZP?V7xSRWIyX9g8(9dzx))> zc5-$1SJ8blvwnv*TRN%i5o4|t3^MEdIuZju)wLPpXdIj~SFMK#26P}cp^a@5!)IXh z)W1D`2c)P|o79N*q{zy07f9G;Q7C7D$%)Z{OTg0Jc{1^>xRISxcUlcYF6be_h<0JG zui&X+XQeL!RFk>KexNqxQi2UE523-D;m4T>*X!xV_j~_dfiYXH<{?+Gw!hE+?9zQBOP2wHXKl;%_z1@|+eq3GUxQI+B0e(`xI8j!wU?_v z;-@v13>a`aX$mH1gm52v9or3AM)%wI07e^D0PUaWzWZ(d3xxmjF?(vj)1@#vJy3W`5Kph z{KqSfO_zia3eHJX=n01V^}Poh^na2Fw$X$Z(C%4!x{2Z_S^13&mL znNxO0Fz-Th5zxpc3KX0K5_Rb)!`vSHdoKX8fE-WZPE^_5n7I1XZU# zmht741YZ7*uooVkA7R_8wva zs#|;sMwti^RA41K_;-<{Tj-)#R?ru;gr;4VQqjzKf5is^t%X0kX=>D~ z@v4b*j#waql|$eY9-V$iO_6tt-BY;h5YseD}&M8 zQ|90SB#A@8ley@RV zkMI@a@nY_iSvTZq{WMQOhWOzDRVhZwG-H23rMH8H>c}5O&Yhx*W=Mj+m0$yCJIdL~ z7+w5-{{9407?S~22Z5NUe0im)0aW@YDTF!lFA2vKEU2gF)GnOhEhRXsj= z%6dR4R4)mnQ*du(c76kv8@^(UKs&!VYM~{cVvpK5^(g@`q@!HxCmDETix$Tw@k8qG z@Mlleg$v)IU7uDgM~GSuC4qsHI3ws=PGhID#IPKSf)=5*0AnCfJ^^U8Uxuq3obSxy()ewMnE%CsBYt*eA!aAx6Mcb(#{IO zjjT-`s?3|pIl8bwmr=WLFzs|Qh~J$q@ptPBC&PJPg7fDJoe&{riczQ#Ov1dW)zILp zK)pGZ^ZjHAcs-SAj%FL(0^U(SnS?muXUSHIsVBY%(4d8ZIuq~$E!1Nw@2Qxfh6w+Z zK0k5Q!poNe1R(t%0GE~5Q_gQgMfE?5_*6nIN{N095MoYYR)d{B>-s)cMiO|A%WW&VNwordhctQk$`NNbl{nSX^Y-uO z&DK^Xvdo{H%)^%phV&&8MQ(vDE-}+8a;i-8R{vDqma)ZZGG3Ew;S0jUlj2P`## z_gEb507+71^T^^O&3f`q(<&c3uzPG6)3V%t&?t$PN^sZV+h{H$G{X4h~xG zG?~<>jmmzXLJWt}s8Ar2D+a>(K{V<(qW>|a%L0&(k^=r>ik}6ZQy*+}OpU8LOoJg7iMSQ(?^9SQ6 z5h4aaATwIYb)=fa8Cc@Pb>KJ7Li_Q@FD$&x9teDa7RG=>=yVo-OFAX4d$^cdmQU1m z=Ya%BXFxP)vLjOfYcVX*UynkJa1fQdA1cKS^*MEE9G2F{Hq{G$;@kJr7IB4Zp zykYr-#8iPJB6x@edVMqWGssx3*!g&K_*?Ujs@UDhLqp-? z>BGJwWrvUV+1}m^!FtQl1k%mTNyEziGJGsaPVyB=Bdxe!O5Asl?lr8 z{j)R0d-g9~Er2j^Zwr(3y8-^~<%aI{y6AT{E*u;|5-AD1DiDE{QIq8N39kK(2f7Aa zSS#!%DMqaQdbE3|5Id7%#ps(cAu&4zMaSd$L-$6mj}LHnGP%*c z5b-D~Z0K`;*wEp5c7A>*VNRL3Y;<>gcQ;9C+~Ifg5E=^Z?p7gse|}s9z;+5pDPu-& z&dxfF#O}{wz}of0UTA3O4%lgVxarBw#!2@^Z^V(<{oVE5rRnnT;ogW$O0m1!olp;% zEgwC@R`0X(wWZV@_oY(rvm4k_>P(^7s8#BxYO+s(5=*Z)U-&x^IX@TY-r%t2KusmrJU4i8Nr*wiP^d8n;HcO8vrgnwG~+dQieeYs&Kd-y zqw5m0{w?+eW(W9TrxrxQHgEg>L&snyPpVMtZQ6l5w>EFB zOy9i5sl1T*cio!teTP zDEirkEWd*y%3@J(9o5D&V3RaCM7VWDMbc8NG&Z>7`rViq7|mpS_pkB?%``0)kWXEl zEz7@Z?0r^>`o_#?Cd@QVu$)SQO1#nb#pxsG@+jYxr#3cQpZCU`iRl5pap;X11r+OJQ$#zi#PNpQoSa?&M_*a- zvm&0Yu^Hd>g}pa|qz*+S82#ak&-WC%nY&|6nV-4$zR+}wtHCYCm9(Z|Q|`(C(#V6G z#IQag^&T3gC{>!6J=&w~IoPA$9Sc9P#(*dJ`%89zF9+427{0Of`Ub?vT-gU8Z9N}n`}Fl$U;{`v|A^4 zAHIp6zd3v&vM$m8d*I##f!8Bh{oo%84>7FYlSn?s|hxkzuLhox-hu{X@ zyIO4#F8;~(Y-;ib61<`DlS`8PBuj)eiaPwja0N~atITGVn0UxX+6nfY7JMFbCLX4%q zbnwg_e0J6?L)T}Kih`24{y^cikr>BN&t*7E`xx+o7v2I0E^=}XqQm`>UcJVxh*rJe z_%Zht*40;wFSk2%n4ZKeA2>rYKYN79S=mZ8?_0)~;UX4&uJ7Z8yq|r+8M(_!#QUpC z*=X%=067&o^pm#Lot``(HbO0Ld1pZKNYvNS8wmTclHVM1664&QQGv_5sTzB7Q{8N7H*{10#kY}5ykT-y5@~o==cIHqPTn+TPhl0c9r@ybpDK9lx(< zUOei9XbKY4Jb|2)rOcy%_r!4{*Zp;IByYH>Ebh2F4iI)w-vPg``vnm8B7ro`9YEO2 z87F-lqLWyyXeSwBU&4t3#uq!ruZf56U;aBx`+vH+@^GlTw?AJ(*)lOkcBZk+WQz&e zjU{`8A?p}=EZLG!GKIzxCRv8bUP;OJD2B2#_M%9ZtRcHeGHS|io}Typz0docKhAZZ zb3WHO=X0HN-RE5QS?;5lXlje^7h=W-M8Fp%;e!&I5}C1p5B?;i!Nu&41+~Td|03qg zZZkRE1&>qvq!hd>&G814FrC`E&#I#OYnR$GO&=3ExVGRC%vejs=MA2VmO0P}gy++U zKcEj)_x~!(z~{Ln-KsV9qPDcryLTO!L&x)5%oQJHj>u~;lUrPGOBqknA_&NGtfr% zSESdsp~acn$d~H z(5@kz7iF85@Zvw!(Rba$#Lq^q&=8_qqX-@|D# z9vQ@6N%3*3k5&>59$rr`-aINayvK2+c4h@N#235|?&R zr}&`{p!RydG)>bN#-=jWr>YwQ@`o1Z|M(m)rPW{0s^^^&?V&o&=%w)DZG zqO@Z}>ylay_FV#;rKiYpV3g6VvJ=9I7fRS{jRlgHjKpjWM))fd1!;#n1bNOG+n+2W zvl9re`1ZAWcKk3*_79zXSTgE(-N6tH2~Yi5%}6`ZSO5YCtqgze}#)Hz5Q zC-)0%+dZ~`r`blVTy^X!iLH$nN{qecF$74{aPIxmgM!A-A6Ub~!ah!~41|}*?uKvi zsP2Z#G&lGKW9Q3Lg0N1(Ue3AS9ZT2yo=5oM``#0>N}Ipxdob5B<;Lv-pEz2T%9z3+ z%Kw3j@adejy3%H!e!73hJ!~qrO=ZEt?@AoD0@t<7u#)8CF+$l&Ba(zm;KM87@%Oh^ zlX-2-E1~`oZaK#=J;B(WuhR9pN<;9Z)E-lHZ;I=<{g8M#^x!D3`M4Gw z+Yrli${yK|vCofbzOqlx^nZ?H9&?F(y`ny=ZpCTBA#hz%N8!uac5dGy1bEk|vFpp~ z?sUcjqpZym*GC+|Y47b<9D5zEJ?ODjJK89aYaWO7vvyOvr2I^McjXVR1=U8U^Q3{F z>O$Fw>{J2|VYjq-`g6(f%DeO#RhKuJd#zAj_2%und-Kq=<4=@CYc)JHF6KAmw|A>X zh`pL0W9!_$Uv9gslXY?|;z;&d6t1E9Otq`cv3`%B&59qgt5b!PQ2adgXOhUCY|wub zE_*fy_x9fR-sra3)QY)0L(BM)RyY;G_|&|B_Sf9zmM6gWZ1Po}0yHkbZ~gHAV~hmRIw&DC`B9RpEe6D=ibA=HSsp*eYCz$5n1_%$DBRvc2XXPz^u z>-?#(FL7~pe^N5z<#Qp#HUoKQSM&DFNREV>{u`4OHQo&_Gw2tO{JFCBZ&W&`jVnds z+{hkLNNcH(>w$)h>zhx8VJs`dWF%fOCs>BM<=hb>hPkCYZQ zjH~sM_ex^~mdm6#jo|4q8q47?jHFUNpiek18FwK%{5zHiD8ihN-B_!5J|CsqY@oAS z$NFt9ohhE-m)O%VOQ0vu(KUlz{G5E80zXVuQ>$-dEjknzCz8P6M;~lj{iUUUE9u_~{I}{x(wCf$IT)da^U9?Jz&o-t#AU$i2}B8*H>OHW}EAq&8c@z~7#$$M+THA#N;yivO^ zQA^cV11{lBCIg*A?!@AV$ju~P26?0PMp(l2SGPqsw*@bESIzP=KB=ol1+aEa=}+Jg zZBS6!;f8_M2P=`=BE%a3d{RW`1*rgSuL^^B*NNG=VC@U39OX1QRdH|Tvx~^Y<3#0! z%bY~a!kmwGV@kqpTA4ClT!4yek31_x3W>aVoXD{-=c%1`Cn1z3rzqapg`-eT48YnW zK~Un%{4I?3W^#fXtqduCrOU@xyuAxnIRIcNVS{rzLM{X5%Byoyr?uM&0iD{Vw~-y% zf5sg<4RcYk+GQl8mY&&8pqok_{48_5IOh7ipHJ!cm6O{IjT8#ioTT=^~9LV z9&B7vP<}7n_jPQ(fos=iCrn$c&T#T)jUU~5U#>!5J^Y4PLSzlts_MlK^0?UREg54D zi0*6n@aVk^#bzk!y8*yg|5YAH;XOn!L3P!~YXI^9zC%*r_KX$dD9@I{qx{D7I*XA3 zC`l+kl*Tg`1QVP9O>E3qOjf-2js8^7(XFZOz0-tc_Vs5ON@C}ICgJ-cG^W#=zeJu`T3o>9sXDD(|X|!=qHnIq58%$8jI{X<(4&0 z{Kqn!38uAI@$lN96--mQWaZH=SQ$D*c7}1rOqJpCt+P^}$OfjLeXbN@=l=Hot11Y9C<8vv4`|KAnWKl|s<_BYzi z0Dy@8{WBy8RNV&ri^kyi->?TvnAm@LAUs0A51oZ|iymT*m!(@(ClYyu8$GoCw4=wN|K96ncOV%}G$=uK z%W`iKAw+*kKXub_^|(QQ#iUnIo5hWi(h+&NPsCkP4aT*DFOmlZnRA&F~IfQ*~U6WPtTSX zV(8y8wu@Z?J2M70VW^bITg=s*eE%CD> z%=7~M#muz(w8bJ17ZLUIi^t9D7JzemyIuWR^5W6m?nw*q;0m0zKb#G}T}ocuZSeqB z+bC9@u3iC03at^?UYx(;2boc1!{M_cMPH2Tf_|x%^ zg1M-h;o39*f zHyYel()=EFm+#lPwB6jA`o1h*{#?F_Xcr`374GSYzuUjR1bASt zRA0Tpt^Xn%R=57P<97Le*O`}Gf2`iIl2>lYz+&(-1OkMf)XNBT#$p7!ZHo`g+bdw`3pyQ6-lo3jJ=pFgiwhFz-NJP2#v zmb`zbcjL}S<_YXqv?D58C!JRdSUuQoj z-WnBSUcXqLe(&IX*MEO~E8i4xp0>R*e0j5f-Ht1AZ&Uy9>yY)q$%Vx|Yg}09;ri_8 zoQ{pb_q|_U%jEQ$`p6JqW_wfiIC#4r=t&oGEnb`R`#24taoF=eEOPgKe|Kr>#Q#ur zT=I1!tu1{lpgyYGpt^qRk<|}}in%X5kH7LijB7@3OTGVbW^|aoT}M_jINq6LYx~(& zLtgr5=ox`Y4xPv&+V`H#+P^vt-)LK)vA|E#*u3MWNo7=tO3#ieoNw4C6}hZUuirlG z-d!`qQ(rfALz=FSaf@qj+^?F?zvLsH4Y0TM zSQEXo>->UI`&eXGasF(-11z!~|E&3xoC4!rBNR6x0~-}Aiz>bTr&!8@ObhMsjfn5wgc0QVE#_dVeSz&rmZhujJt4?#^^i{zRZaoPOK zgAP?JJp8!bMGpqL1p2TXpSKJwZ`>-76;F+c;*-i5WJEzZjgOiSwk-Y0or9^n8)i4x zgv62T4{wo}-5cuI|2bcH=jwxkuOh+r^J5aw`k2-=m>TtZDTpBUVh)xoucU;*ZX|#L z_?ePd5}T*C=-G1x1=AWSJ&Kk_!j%0$r)`-!MVboHpmD9LResr3)rjTaCJvH4e0`P; z(IjI=vamw&GlkW9)A}qONDkHU1cex9DfzWZquK9c)*3Rl%ap5Babbjtn^L8jP^B9U ze}npK=Q%NHC7JYP3jS1|U7^4jFy!w8lr5ZNS>;vMm1xjqQTXHr1DUpUheDun^o@1} z_`YBtb~f6$F6e2_D<%brcn)+vP~_Q1t`()3*FiC>IlOp6gD@rqsP(T*aaKS2L6ym+ zzec>?9v7L(K&C``^Fx~{vIzUvOQd35oI=0{uvlZHk>svy^q%t!W)}z$ODMvVrDhx= zdL~q+;6&?M)tbm9RwgAkh#AUbFITj#%pAyo=i5k9NierGz+n;IF6DrZ?!b*?si!ht zC7ndjpPleTga&RgD%3Og3#C@Ou9dwIkdcU|z+C)w+!0kMgGq!ejZ(WP zSbClfk$fsar5h_H!ujME9$PJo>GM{uLb$J|b(L<;6)j?v7PBPx;EEqZJUwC14kWIC zhA2HK_el&#Gna*ogrdDfX6H{i)Ps0H#K&>2*I?@p}s;TmJ-$G*|(x$qdy%V`JY`4v*|i;J4__ zOlrItlMs4I@iMvBpvl;1_q$tZpVtfkUZmWk7{g{x42VQLtN7);hb-q4$5%*}$y}yP zLKYwx;bb7|FI+MVbUvDb**c{+^yEQ1LlNwT&0bB>Agymq9>!Dtgcvtk<2wR;h-_5} zD#EJ-7jNh?Zs=UQ*QJ#Nsj?(~#4^F89S@KAW$+_yV`u0odYW9lpJxsCzgqMK>~^Av z00>M*4g*TMoX6hUwE)$m3A8qSq6*_>^r`3c+YL-R2m4!m8KR2w*&6I47={lr9RE@* zA8jk+N)EptfoXJuTPTI(H;A&Vep1A|VN5a7=XBDcQzi_b6JVpY1jxY!a|u2!5{gwl z5X8knsyyFZ&K6SDod|f}013)(6Bv~QHA0zvIk@m~vYQE5+$74xN|}L)=bIG7!VI|R zxqo-qT3<<)96klR@5L=;b_S{udJOZ{iCc3}3R@U*(T@DNcvyA)UojGvhly%j>WPS{agbFl#N;8RzAtU8U9D3JBX(J|c zf!j)iwLKZ)$>=l*?oJs9YiTXMPA6O)^c>{0IwzJ2cuQ)TprqgEM=|6ayudy(R`^O2 zt~n3~q#*!OjTVkhQyP|WL6h5O%KHkUAj)lLQzcl#;}b}U!N_Q{l0?UlHB1o%r@)(i zVn(Z|u82~|2O3uxEhbJKcQxI`8+UE1QI?cDORG)?Yzkv3|$Km;W?OQ+7VR_q<-4O`z{UfG5V9Tn)3 zj~O+#%1+L(z1Kh<&W93GJk>GNkZ)jF)o->Oy7<2apAmjY!6AvCjFAmgEOXXfp;@u zne3V>F&gfBTl8zYYtw?tuIvOHI)Rm4rF z561N62!b2@laiC^3IY_Ltgx$nlFL93dH;SYo-q6FldJn^(l zOvjd$JWc54Ud}*@b4-S>MQuZS6u#-nl2vUWpGNrG)+N?{stz97U`Dx$gi;LwQ|dGG z=q*^VV;??1=wr=%G5-9fIVR0Kbw;yU0XmjkqJy0plcC7~uBg?(2Z!kJ#2cNPN#OM+ zQ7D(zDbbjv+X&jBbve@n^9Xrg=T>pE1ccbgDT7~sB5|~Km53s&x?fah(6eMPFtxWa z4z}z{pb0L4U5u9{3?(7F4Uapc<;jy!3{=EmULFA`UJEl>;9B+($_`_D6Kwjr5GZpV zOt0lcF@7$j{;IL?{Bgn*f~f;u9#*XU8Cn+BEF-?gE+{X~jBt112a2S|=r6PQ7i>Zt z5SzsK1y9Ks+;wdB##qPXLk&JO%uUp@k5 zR)7ZeTgrKC`l2?rTR@{%!I{$(y2Q&Pl(pod%~0U{Y0uz3lTXyF6kH1$ zlCTFE$u^l|4uX|+)LUt5Pjc3XF&#iYpsb~oK@H7gO`%)CQG5T&9;F3wVrXi>LhwF$ zVGyn_gKF-c4bO;1GHO%Nn#~zl<>@%!oV6NB0ky7OoN51Vp$b+Z8F%JXhYHy$DH;{4 zKa)0Yyt%-OQR_!mv`{7hr+V3tNI<`WM@!vLc8IJKa~rdZ6P5~^$t!tRzp>2VWhO~D zk&U4A6>uhKWPh_Jybx+3co`4&&#n*rX3n6cTLQe|4GHXNfnw!q;q}7MF{gp>GJYLH z58M|Xgpo`vNeoVooC$LNF&Jf~4XaT}&V4b5*tsTd=5Fs~^*92-XY=(!#T5(`k{o!7 z>Ut7Kji&4$hkG^N9X|)3FB&p;#SB7{j3Ow&jNIS|?sK#VP$rciUT>T}z8>2yw+>6MEH43wxz!cY_i0I@4!q7)3?-2^z57o=$57A^&jhskzJjMrTok- z%cB^T>0#SZ$>=>7Ov6R)ma6nBmoLZ z`Y9(jP30dDh;HU6JOi*Iq=@kta-R~V%NzV!UUu|VJ9vyLgGJ1mycVZlp?)c#9g)uV z2uGiaom*|O=#sp!7I*P`hYSJ(IIIcTpm@NkB-B$MHy+z>aLvTj@*PWk{J9ptEur4l z1-U{OqT!ylGpj|rr(gx<&jYW!1)Oz)Ow!<`R&4vv?G}u%u1^5pjEaR<5pzhV^}X&8IJmGR;H$a$1B;i01~VBK(RBdN>`$m!qj(m6y9kqEWFk(N0Kt zu@O}jox42U>bvSM;YS^rdZ-I_xITHhkCc&w<^RS($x8NP#{%X!dEqnMGiW0v6LZMY zXYoX~Ln%frWG-yLT7`4W*{DnIvNW)iXW6hIsVyXW{t8ghhFed-e2kT|MYY(Kd0#pl zLffwG@#_S{)_lWbs_V6m3vMkQ@04V;9;K5ul4GOkDk<9aA~}4{XIBc~JHU)A`dG!= ztyCI^&<}Dz9_L8eW(yX?z>F7^`RJA`pI!X)(5TUy!5{G4QuOIk1?69S$O@4kC8t|dI*`hU*i!v=v{2u?30(=3J9Q6P~I^PONvGwS}7-J z51O&i#{*BraD17>qAqaW$zeLj&TzzidMmO60XL)+H7`(jKVLB|OigHFjWzbu>6aw6nm?k4wJr1Pts|g*`@&^4z#>&mz zg1bD}aHfU*)@{_cgxr_1v%i=W8pOR1rGSfj?wq8`cN>%+#@%;=8A>-z-10%slMod~L2JuMjl(^HM^%<^Gr6LTlS0B+)4$AN*Nn zO}Xq&61DqFVwVZ>CG4w)UN0AA6c;+c%btgV+W4|IH+3Pdq;E?ZG!i6@CpqQ|U)Rk8 zG^3&{5ud#0CoYNd6QwaQhwO4ijP_0PHCWR0oOw=q%*Wsl0Vx<~2lzWx2&EU3&jm>n zjJV9?eqZ3C^78p+gGHU@bx<4X@U8(IiKUjU8|#1M%g38{@-i}t8*a%dm414s{HuO6y?}QlJrD*Sr(0W> zp<#itX#1JEopTHS=gUb^W-4jDWDn(~h~RKWq(M9`!3 z8CZ_yl)DE^f;odp6>FL9iL&wHuJ=%W-8Tj1TtB8AFyPIRo`n*q7_F@?XETkVp*)(P zPxz&<@}St9P*vvx!&mlrzswpQZX6A)qie(4U>`QiXvLU-gK0flWKeA_?Tb|n#g*=1 zXR<18zbM2pgpKEq!MY23IYLY}IE%u|9bOq5+T>y0@KZ^qK}+f#OU`Jj&jM_6M}K5K z!t&SR0DkQF;>{k0m~RiamTEKx#0!b83g^16S99pPT|DtE#nQr*Tst%+rbE4sLh>GL zDxJfy#*3RpxjE2SkLo58Xr>>?`(#QK__29@{|VDstV|5jkDu0|iS}+Km%r)^WF9+P zc}H=G`cPZ1t){jee%k)~@^5<0`F`2OZFLj~Z;ro7PQT4J&w1$GI=OEVImSJXe|tY8 zv=)AUISIIudAd1O{yMofzWI1Xxx7AqcVltcwtK!cZ z0-&v(@BY^Tf0vU8PXE{MZX&ns2=U*ZArfLL1@^8b5FjA*1^<3g9!*V42<(5J>A39G z4v~Bwi6RDbJ1eQ&tgNWplu1fm0n#l!9ivLR56fCcq;Cown+A%+HJm1$fV;apo6F@j zcfK^?qwTGC9O>l3X$s^05w=(Gx8heX0K2or&87$E)%H|joU1btocQavl=s)^N1iET z?fUw-0sEn>?z%_Y<@5X7K>YIZ@bvzg2hhmpK_R@u@FM^0<{tVW1T?q0r{Uh1J!D?h zFTbx`_|kS9L3w7dHtZ4{Ha$Fix_ffAyL)r9woVe7f@^!ja@yWPA@X8y>1LY1;rQmP z{Hw^r4@cJrI{dd0w*C8*t2^$O_vf3oUxgL6@8(}M9e*9Qe2B5W0UprLj{Eb^cI{mo zS0fvjMb%c%Ea ze9=6XaL;hB`oK%!sapk%m*tNGLM^&Z0rmA1tt%sDcR$^QQaukV0k^jF7r)8{TgcN~ z6-O`6=WPQ5kLAao0}rHa%%0lKi*!&cORW!iu7;qv&d5>35^HD z-(A)318JVO!)reeFQ9MUyt4YCd))D29JqCF5S$#q%S_&EYR}G}CQZy0F+1+}#Dr~M zwcoS@D8-k33x|DLoc4|Plem4B!Z)rBx&Yth+;(;Tas2)+AY>QF^SSo9c6NJq?Zv2N zE7!_H{{kmTcKaja;n6AwW{3JU|5gB4eS3xLv^^a`EPwC$MEJ^sG4aJY;ez2tA;pa< zZd*EjsP2c%%)3LwR&J;I_DORg$r0KvNASA<_F+T^*%>s?jqcrB*AIi^j>Vm2?E%tW znUzdp0_aAv0IIlszoWm9b0~EOYh9fYx@aGJfq~3RC;n~ig!OsVi;Qse|E<1Q$p>nfCz15i}g)n?tQ-q2bD%xpocGJ+c6s;;X zNN|)2f+jRlZm|QVS1(uyBBY%~_z**tSmzCHUi+f-l$;DIdrY57Ms)F4z1twY(z1oKf`iiLTGa!{yr#1;~G{$I}Rm*)z zb*fBZ(h>GCP+GVlD;4-&amm=Po%=F1<_Y{p7zZ+Iqv>!2bLy^-Z)CPVIrFy!$Gmdn z^P45TTOvD3;kZLm+4MrL-s7Lg+kBMbHLliqC9 z(clha2|^SE`9fidYHnopp()hKf)=e(ZAx0p&^!XFtVJjysB>bbly3cYc{AWllrD=Yif2 z%Y&N6iHSmOpY!q4$}_z*n8+^u{!UJ|BK|yf`c(i0Tg%`ivBf2iEZ=J`#37T98B@uQ zuP~E@v?n%pLb(9sqLXMj8Cfq*Exv-BLRfNc45=|EID{*DwW5j27AZ#SOz?$8ky;>h zwIi7YU$#?iY-fdoDj~J`BT=b{Z3MW8?6IB|Sfy}ok z<}`#uMU!CpSWLDkOg1aR-~x^$89(jWFS$@ZL2U_Fp!78!w-kP%Qn6R1N@1k*Jl7kt zRKxdDGwAZZoLU#fMCY+^$EF+$d2%tlnCh4tbOd|(H$`;jgeJ7O4#`4|foWJ7c;TRp zBu_fk=?k;jY%7tuXigs~UNl+0fl@xL7~@hgv zqK*i#f@L(&y}(waENih)XTc`jBZP1jWfMOH%`8sa;V9-sEl42(9T?rW4u!AVs@T3l zJ*gVTkH`y+WW_^}-H;hG0YhTHm-s+qt^;gE`odyB-()cCtuz*V*0sT}DD<`|>&SSe zl+v{6q!H|`;NH@)b0wSM{qJJ)29)1B0|?R>_M~%jFyr(y1`#vuM}7nSNfcuzoa`{H z0z7GGBuB{8Vc~s6v&HP<$)g2(I%3g#d2+zCUg3@N+e(fw-v$jxi1Zs@&f%!Yn5XPD zpbeg|l`d^CD}|oJh8!s$jbWi^vw2VppW1`&zPeW}R8z3&`@-ppbT~xQ-`?aFSeR-z zoOYFI&?pR$o|ofIm7<3bB|w_G$};B@KD3&Y!Z0JsFlM!QeSYwz_jI?r_YhZ(;4uqn zA`3kMFQE@54s3jFlsdOj8~mERzlpAcV~i?lU>Kk*c;vUtQ*?ejxry+;Du6mFcjVyP znlo4IBdQ<|@f;oxinJ7MA=jCBfU|ZuV7&uoW5dJa@h)u)Z^LdEf&s(rzq^WavU(-x zFf^&b)FriL$G!xji$Cpv)uzB?!rz;d7>JP`EznJ5rldN{IdnR@RkP!Q9bJ^bN|$uwi0 zOd%~}l(<@UxPiL;`lK-{qKrQe(xU%@X6%CVIioZa52v*kIG)WWgxEq|Wqp!cy6uL2 z2?0flG@GQDyck3pL17xy;~(#Fuki_7^44ed>$hoT3Q$;+Lo zh`v)8IGhJWx&}xiRFzh01ck-&Bf;w-kT3{pqCFArDC+6Umc9$@@xS05gEOQwI7;2( zw{A&jcRvp%0}rrWOAQ@lPmw%Ec|F#TLc-&`V|>0r5mBPL8!R19io%k%EUi>mPEuA; zu{?JsnGeE)ck#5;KQb&MMF|$`IlN2ay{o3OHVJI{F3pfrL?EE#AZ7F75vmRq=5%Gt z0*G-c%pEu{l4uT;j54n>8hX^L)Pnq?nJD0D-`I^DXT7f+wjX_IQhBm7d5Xp#*_!tR zgkE8ygKL=rWfgRdp#_C#Pr%1Y=cNkxsY0GJ`y7Z46ny{ty7&$e*;3BrJ>x4OL=(-02?`DW6DyCZ`U zfv#QweS_rMu6T+h;`tpqZx*Jede{mgoDUP-rBDla64`1b#4kHwR_YV=%&Dh4%Hc9* zykxI&9>wWi-YfA@s`FRJ0wAP5es6zCs-K$uIkLjc^1C`+`;T}aRVW}#Wv*R-QZJZr5Mn?gM^~{zt1-Q-L$aZ4bt>ra zvCjHPWfmX<$|A8sc5N!sGC_4F&V(_%9^~>-D!Y*AVpYq+`^F6@UWySp_`Vj*MQWUD zT4Gh}!utkROcpv(5X^P-vccq(DktLJ%GWB`pzY{Sgd!s95SoNuGKXc=Y86P(!A&&8 zg~k|5maU#fPnoGPPVCYkP~I0q0V)$3b1N!4AzB3}^d0>!P_ZakcJpEGe!X0QchrO^ zy&zFjAtvg8*MN@B7-g)8y1mI$QAyDeWVN}&C}(JlReux|#`ykj$cM(2k&y~OQky&a zZ6DT;d45-T(EEt(+>7D0=^aHBgJ`2QvC`>`1!yO${&Ct(8Y1_GY^_)$MVGeiozi>t zLcyIJX}Mfx??CA~viO(G5qn%1+|$SrQ28n?w>Kn!OOXBJxzEA*3Q^OMiHfngyK>)uJ%go5?8B*ROQ*YzY-p>An3udQ^+BHRq9a%B-$c z=c@t5k4|gI9r&3!pO9j7>gH&g+B~!!kkbx66G70y+wdA=o9w@QY`sCN`LgV{5kye< z`Y@6$-n8*|#-htY-{Sd=kON>mEI5_E!Mr}jHU6x#ONSm`{cr;#4#I$y)!H=ENRsJxSw%#K%-c? zXQ$~3+1>F#%vhL_j%vpLnNzNa2makTAExV(w+J3I82dG!KI}5(n%k^ z)I)EhuZbgebD!6^ekp&BsUju}*sIV{mKX%7t0ac1H}#C=Qq;s{?U0;e@AbS56!t}7 z@mDC4bBHLiYJQb*y!!4YWTz-IJ57&UcQ1e1A6zc?wCTu|-)8Vw=0{YumKOt$#aCD* zB;J)rFB+RT?Op|syohdLLeMA`;)ujbS@CmFaCcJhyZzfuI=!t&t!EM;Ksp11Hq(Wf zJ~ZChwZ0D4X6)fQ(2I8E{;hy5IUXknA9_ZnPf?q_9^dj+_PBPeC>vbzUP(NyJmE(u zM9!ORzz^L#F`ovn+^pDc&W78l+^sj}5i18O>EjNytU#vm&0vf43c~Cc>Rv^zw+9>? z@|+#hxHF+*l)@aFyLudlz{@%xrbx7C^)YZUPbk$0p=0$Kmw-`Q#(=iw#wr`5vyI z!Su0d^O6yj(lux9YY z`{OFkx7Fo6~COqDdQ;dppclNH%E>=`Cbkc1d(2c;K(O- zcd_Wb5hM?b4wY}(aLShF;h#iEJ*U4R1OV}3+Qn04A}uHh z4^7;vR{ly~PP9gcAsCCN8U#C(Ky%z#ww_mZQOgGxR8xcsDFv0BQCnhEm?jhAe`PB_ z$ydYJPz*w{vYjZf)wy$)nlGgMmb*ujrULfb@~#8o*@TDe<}db0Cya2Nkq9OPz3fMq zV#?lr_2O~s0q_q^m`%S>>pXXpF8X1Xew9D2IAif*9X&%-i#$Wu;jmzT=~d-o+r-v^ zveC$exU4YC_=mzbX(dnIy2$4XR*JQobQkCL&@(Ca(d&IbZ?e8HSG|^g6(c4LRWUjf zJU=wcfgPPcx6~kaf81hZgc>xkIH9j^9i?pCs(Xk`&u<<*C>OGR6B9S4F&0iqy=(h(#9*m(1`obpO-CL{#|CNd$Q6Q^rU=%iGe^_$ z;osKbv;}g#)kdZlqThylUJl=YrdKT+w-AacX1>`b>3HY`h>SXIOb_WqVDNx$DhAsd zMbB0)r)imawzq|AALISX@T0V$e!}`7pEN8G4kXN^}ad)eos~_0tG0 zbiQQHB66bQ??^`g>$of30R7*Uf6QDwkWSR$-UcaES)(aEZ%z(2E2o;i3(~&Ig==fw zPlOn&yEN2nfk#vwERBQu7C~9QNw>KZv@><;b5p6P2BMGKQ3X{c*~ZgnEr9^*Mo9-x zV2?*EBaHGiMu4J%@KK%aCk+*Dk&mt@O*b}MpSg}?B8vPFuJJRpxA}g(MK2Gc&EWE4 z5H&^p0KDo^&C-1u9Se6R7=Oa}RNRX}DT#HRE)BFiPPLo%S&C3=CKq9NJ`IOl5qteGa~c=F8@2UQMF4o&EFRAt}$!fA5O zC*SZBvQ|L2Rtb67#wj)|=|!JpQ$=Dk_@ilA#Qkq$looZY-GjgxRbjiC`Z0uvl{zoW3QSw9;Bw%uVz>gd1 zys*7D`2wO;9jL1MG&#ErsFLpnO-hW0n6-O@piWKBzM^f&d8aVB+?A&7EfhHO=~wf2-7A}pZTIsZ0iltxfcTqh#g%wH>9?ew6#^cDl;!=?{U_b&zbL1! z&MAvMe=T^pgo?D1mk59HIBF-qw*l@y-8PJ@;=Gs%sc6V#0)#!?BAARyljCN_< ztXBi{W?5rSg@5JYPX9@Uo!wX}_>?nISlIXHI%=nQWVAZ30MdPaG>n&r*)V%Mzj@QB zveivkxb2Jo)7ADdi}l;h;q|*hp|20l!mYaEwB`Bv>*|-$KX_&M45brYoa&pS z=g-a>fXdN{CC)nfhrT(U<0Q*wee1inr>__&1rJhgZ|1&OHf!@ByV^M{FQnX(CPy1{ zQw%IDP0+4}kG&VBw`=Bt`c{K&fiC&qI|Uho%;RnlxV zcj4?;+o7}esmIH!Co+Zh)16#mvNr5YPy$zi6c8tLH}H(9)g^f9g!L1*5=mYWk}9cO zFvyPrHQzT>#r2mv)HW^4M6nfkk1%y&T>G?Zh=EkfEVXK zC)1yqU8&oq8Nq|DioP{fRAsYQBozdL@&4wdTvl^N3{N;p=)yJm_`zFf6my?Q@ZVo2 z%^I&GKf47_vCmpHaFJoDe(ui-qeq286@#atV|$;w4it3!G^wBE)X!9Z5v{%SFZ?cJ z(465^KbdQxUPTPkRHVp{O__V-e_cbZFE>$D_}yHIxU9&>3a}#8OX9JPk4Y0$VBssp z#W}MOA4$kC#h3OSVz()%>Wmp^YS2<~RY}pX*Px`@s`hW-=p3RC$BZ>x>iSp^mM1Y6 zH5L8623GxxiX)x7CmchA$IhexOEql$1DC~I1^nm_-qMW{VWkl>>{DZ(Sa~vX=I)y8 zCNx!={qOG}z%Im2dr-@xB4M1wXaI#JnfJAtwI%b2&t%L1|G#0`;bD0>e}@GTCMOZj zawl-HFnd@tx|k$EBX3!KMpoeg%;5nlP2d5f(hO8TXJ(iBFxffgoagYCnv@J@9dI?A z9n|#tw!M-Q4HfOU3KvFofxTnQmj3`%Wp{dt}r!Q-Kub_gZlHp|DW98zs_ZSsll?4 z#yQft&u^m0f?V#6`d0hfoaYD~$o#3B$sOoP_$nYyHA(Q%&xxa3!dYJ<+sU5Bl5w{$ zj*A6M^A|fucxiZGGe6StyD4-5u1SLgl9ns0Y)G|{)ZXAa9Q&u>EYkrFK9-Tg!)(Zv zLUx%H%~FT5m9cCRw{_g#))q(Lp6!!#`S&dt39r0ZH2D&gT*kIq4oJQ++W(u3g~vgH z;xMEy!!$YyA4^r<*qYr!L+uxsS%HOkzlaeZ`^f#9p+=&c0}=j+fs_|9h% zVuDYHlrp=v-$*pBU`4=<`4o}Vqs{XfYddY$x*V_))@2 zG-Ml0D6=5*P<_hJi{bES1V#h!P8$zAA3e6WI_~2X9pRTyWkl91UVnRWA0QPy72R(E z{tEDEquv~^G(3D5BAe^X8LqH4z_Q3@I&}PtVYo5@-y9i19WQFbE4jYI^g|yYZBZ&d zFLy%hw?5P{I+pM`64j(Igg&aQWYW_P8Y8OAlAZRS`+I#pTQIp54gnfl@=vjnNfmij zp8uQDxvYswoJ{ffZUa+%kw9+kqI$jK#%;}%jm{e^E8dg*VF>r*&?UA5W@+5(z zrGbBYD>s+I@O#MU{3lgfY3C+j?<8|0u)%N=^))d;=|7Vm?`LiwV&gL?bl2#J^}&n! zXSz2^B$?jf!}=b8HWK=@Zgx3NU3^yhPuza1)coOem0gS9iNBY9Qk){Y?R%XG*5%f7kk7jCsp)} zorC{R@}BzTV{d1%;bgdVn_ww^nR+kzu{UF;w+`Rqk1T5wr1GQ$*9jE#t+H#iCM1KN zjS2MCfpq@%LScV;;9wa59`qRa&4S|OrC#vJajWzEk+v_nf5a!GYueu-N?D7CxHUn( znQ#@MCqkC&-^>+h&-RBJ3oH$AuSj`_KXS`)U#uBS!M}){PQ&0-FkXRY*O~g(DPfk~ zPN_!D`KW#}hW8&yoy$6@**r0UHv_n-n4N-AC`rfr_wvsE=1>3|rlQW6BpPcnFOxPK z+b;R?&QwL_Y0mlYlng_rrsJmZJ1JOIC8M_|V=tR%a~XOqz}t*NlFLtAHac?iKe4!@ zJ(2fGkt4-An#|#Nz5I7Fj0q&uYzCa%E`CN7%=zrTSkJf0_bG%ouSWEPuFphX`!_8sV3GdrmNd{P`%*7MH} zntqC!$kvbhIZj@%*{nwGjGL+^M@xCvSp4s3ny|N5k%{~!*}>`@`y>k#4hZlU5ze_L z#sRD&!2$MS!|xOC5-kOdvmuqRzncwyYtqYL`1--Ik&@*OceH`8harx?>*0CAN`TdI zS_yn{)ey6BpI@AqPnBdI{!LoTgFSJ4ZzUSOSw=Lf3$;2+ElA~qO8!D-#um)~F%Y1w zO1EX|+v&FcJ}8u(i`K5PDi!@MS5V^jJk~PeVq5ucd@Mb2*;-{MHdd9<_*F2Ajnc#O zU&(oDnx9l14NuS5no~|-B#OUo8p9iX^?Od2IFz%k~HW4qq3aAdGKZM z-gyxz-Hbd7g3H9x#1j5rmHa|H7x)^>S^Gm^9vQN9A6&d*O#X-$0XWfLeCTO^b*o{~ zD=)hWIqn3qB{*Jxf36;iN)^%MT1|a>3h$BnFt{Z;Re~7Un&pD)4ZlIn%)~OsfAxlS z`UBFU5%;D4puuJwNfJvS8@w%?e+Q>Z&Y13ryM?oDLZ}PXv}C!?e@D7h@lo}Q6Ku1{ zB#T9p5oBU{b;35bC8_@`LIe0Br0&V!mixI1bYybK5v*iEs{Kdde1H##85{H^c0Tdv zS@t!XnNCZKkgM4LrNud?r@Te{vs4r;h>5FV}ch* zeKDP=D2!Zp#bwwS!dAO=bxBJd`A_ph-t!|(PJoE`0*YR&=vVym1 zwS{xOu+ODoG8Q2h2-u4L7o>ntA83Fa&1h?CY3*b?_OsFS;hQb@+nuK$9KoW7{{aKQ zB}N^gj+WyfeIBkj*EEZ*AU4!x-W>(;>I#Li!$F54y`$vBOc19}G~&@EOAoy$CT*FF ztklWPusisd7uEm0#IDj}MWcFyznFlF^M6f)7q%4%Irr4wu3r28)1=g_HP217mFr?a zq{L^E3gacTtAx(rQY*)cPa>WP&Yx+`D%}8gM^9|?P+gGj;`Kkd3?&A{W^BLg_sia# zitjrll*@@Wg-`?YzGLj{Gni=o#cZSSPjGq!ts9O9fpi#QP!-YjY)LU?_`kxqK!J<{ z{k@qVf!GeHw@f*;kOqUh=!L*@g@r_atw<@My&R zy|b$fP~LQCa{3Pu(xO3qykQ2680@#E%?Px*o812AYzMx-4OGsNEEXK^?BiW0=_k6x&+42KOHbI?; zDDfYz^PN>V{&3%Fn5tS;%pQvi!LM4g+=)G9ZkkGXAu^iNC2_D!HyH7f=^1;xB#tqM zSx6%7qxOTh#5UZ{Xf@d^#u`z^Ccxjh;~XP6KWsRuqDTq6R+4-(M|!fY;nxS$c&afG zN(6Y6@6bi^LcZxJttb-I*42n1e@LGE*jwlsK z#D~`NzLQ9g;r=b$;_?}z$%XBw zQ%)jvU14p?_9O<;bDC*d2XkTLzl{@SCz#>ec-)%)^kwr;mhIR|(U0=`7olY+jO~?0 zug5+R<=Fls1VnHlXiG49_YAnurI1riw>Xh{Gqw$U8~Wc4I6xLhbQpN1I&$#6d|llR zvRhILR#e%G&F>#8RT5vC;6>1g4l$>Ku{&pBwD_(fn0PnOCLHaXH_7)-Fz3Ph$ zIDN~GNADX1ChVp%;`%PB( zRukqRU=Q$$I?V61ZgBoJuANL0-yPdlN;5j}M;n1ZLj6yFcyxK!Xg!kkuob|V4j*#E zzf{tV?EGHf)#H!heAoZ2_G$Moq_iZ8O*RtIxV^KrYkA5?lxGcLp;pXFo(97P{KfA1or z(u6WV%C>8Xd$aq}e`&mM1v5(GSR>4KbwHo^IfWJ5e0ZMl1!%I8hwfsXA#ZZf6pka{ ztK30`G)PdrmQ~)Y(f4a=6sc$_yi8&mUOF=)wzSl546AOyBdg(y7^@^v{G`vxlyk(Z ziaY(%{P-F;|H&PGldrPU_7j;LzSz_Zo-XT#&^DWfokwPUb(~ujhOj>c{&D&z+IKR% zXE|_~fP`*1PDo+faFpO^{+6aLxHNf79Giu0XUxFm>32WM_!&kzV}qIhe_k(#tyI}9 zUQAfOq=#U~b4i`axZ>?sPBA~jhACy!%|MYX(>X8;#OV@q-X(L$Te5ny&FnVupU9M| zW04dpgDhhJUu}r!p{f+(M|5!X4E!4CdhqN7QOhsz3^RHdoR8el*Z+^LuMEl~Xu8FM zThIW32Y0swcemi~?h@P7Sg*f+8!TcxP-(6JX&7QPRpSU2x^`6ndZ|C%RrS&EFnr}$qxR-yQ#7hP_T zxbt2zo_op3>Wf;z+!=H^3djex#3k; zPYAf0c7p<^nBvu{gujL3Mmb@S;b{uvwH;$E$0;@g1AZ@ehX1*@O@mT~7id zhj_p`(D+p;%rcP|ca zRSzHsKVDq9`7`uFTDn%#nGSDV-q1eq1SYGyP>ACVJMrpIls-WLtn%A~K1vV}5N8k& zpZ_)PHcYNYhCiI_txS!b8I3IM&b(1nRMe9$TACQe6#a1EHE8{<{k8DvkwnD;H2U?s z{Zyc7DMgVAu}GER3+2Y5gYZSTk5Q$lJSI-t17$z1_0kbiv;LfC&kGmx$a%gDlJoH| zdh#)uyqJ$Uci{prmyz+N+Lx<`S=uh;qWM)GY^RkRA&u6TZIk@3XZ4f(0*_gvd>^wB z$Lf0ePy8~H*kgTF;z}vY-N}NOS0=`?utE+T7-0@XzJl)(FCRnDKyW4F-6|CXA~AxX zPu8az2qg$LL0V#z7}ON#6r>tRR)|zT4+uE^!2LcBQ2hs`B4dMpk83McJ z%N7I{1R|sigbd^;gnYMCH)40DA1OpXz7U1Qw{B=^$O_m5s0D}xs0GLoh*L-c2sg+P zNCHS~KRLfd2&NpwA7~}8a}ZXLZqO$X>5!3rUJxjL41PF*nB8y|urYj<-H(uep#DIv zK-&5d`gsA6|6S8Q1P6!B{J{<+87`=}S9O`-A>RMtCx3F*9R>VF2 z9mMLe`}2t{POr;}kmfO(z`dhWObaYttLEO4j?xWW3N`o$y!?8r-K2IB@g#|-CxbIr%V*FbekuEY_oMYW8)me5$4lIFZ&uIlPEeWHf5fl-0rgyj8)F~*Ni{}1DPzj27Ne8}d{ zHDWriFm|wZkPT4(0r(H}3Iq?tBh&?S1M~&l8O#~f6C|PEx*s1z85u-7ZjFMD8&W$6 zXohoum_mYwdVzq01p7e>!aKk_e7Tb9Cf*Y2{@g9%7Xqm=nBOe{X(-6juI;yp;VwVY zC&u&Z`h#nhl2&WnT0a1>Fxs?I9MC6oK`F=Dkcpw~-*ZPPXNy%0W^5jS><=x4q2wwf zkJXI85md4d(EjeCoA(u4FLNkk{<*vs@XVDsfS0huf2?~Xzf0wuO>jDS0IJ@97{%>< zsWa$E=HS@Iiuz3Y8Mc!k_JkxV7WC)JLulVSvd9siDxeP0EKZ0O=S~YoRb#5pZIV>q z|A=3Pb)fYJClXtfT*7!CJW+1lmNqk6q-8@K5*`wV;ZWXp!9~lP@K5z~%`vfxz)pp@ zaVM=gW)AjIEX%ECsC~&IOTvJUk<6BIN4stxoZNXUwZ%?|&?)zMU-WG0a|@Hdf+wfJ z!k2O3;GFrhFMM*|0Yo@|uAbe}TBWDR4q)((Y7GXmNbrOfV}S_I?xwuN!+3T)0<(*H z&PdAl{)jJKlil`gLvEjK=McE#0gYh<@43V<-|NpH_It(e68S#`-4J{U%~;d(uFHiK zVp)eCYm{wdhpL0xL%5M$R3XOcr;em^9G$qIi1JY0{yIl0oOTELKR6gGKeaMbJ12=8 zROImGY)e+fk3>yIPPbzktl}(jiFgZTW7t|ke6S{d%C>n3>x8eJtgpZ20Qjfvykrk< zzD81JHwR5vRmhgbP=SJN(~$2KHS<0caffvA$Pq32~S_(GT~Ne_J$`$ zg|p1cIJbLCc<+>TT1*`T54(TzN2;;1K%*jYqwoZ8{RLI?I?Nh399xXD+@955VIEuK#LdREr+ zi!$2{fg7c*p&lOvw6cU{K_ekdZrGzTwcI62sLSl-{n>aEvRwrU7Nc&NU_QD_+zfZi z=*yzHpiDw8N6@a*)Dq))yuj__tHcG~&t!FSE`IISZef07n_nL{>xtrF`bsxJmj@JG z_dM3)zX2596TWbV8~T>tapcn}q*mxlaT@fsR<;5g8u@gBcy^>f35DWvtP&PS_a&shPjR zkRn&hn^#Tn&JV`ya3z6orNjKW$-KFK>ib)2 z4#GlTyh28?(oONwROKF^O!4k~(b=ZHtj47y zVRGAxexN@rx;ZObBI}@ z8-2y{6oaWWB6zorQY66u?u6N4o z@2B6`rhBO+8DXh!%@IjdX)`ctTKXmew<46-Er4r(-`G>S;bsv5`kh3| zoCK#1%sHH`KOwGR6TuzU7KSS-+YlL^bn-MB&Rn_fUwNHXNIQsYSQ=3JXFh??%C8t6 zVT(jZ*?xp#c#Uh-Qe%4(KlArPi`b(G6}eXIMP4m!N~JNzq>R)>Ur(b)7w>)()Xg!e z6ay?(48hInxdd`%#x;o;!@-Jne|#z5ZC)aFC9%1~Sy$xd4iVX&|F#zKDO+j*sCnme z*)8EcueTqe4`0qR<+>ztHKBYUw%*ZQ(7Wu2viAJ4p*qT9qu){Xb=^6W{{f77x~u}ilX=)%VfiEQk@X-fJPcn)eD7�$myiJ0dy z1g7$MjtKjwY3yISx_o5bvgkebwi#J@okRSyyyw694XFcL#q7}f@e&5ant!RdusQR- zKk5@Y>vv-OM*D}ogzMC-fvz3QVscgA+p(w~$Xz9C&&IE&`2y25YCG)=;Qz&|I|<{C zi+R!pPjn2xI3)}%FkiZjU(kqjaPH~Sa&@M0+ciU|lMoeSIuqQ$P9<}d&yW$Jr-%Hf87>al7Q$hsTAVA-_6V1a@;75dWY6CbECF$gqiR#I>aDg2a{K6k> zV(W)@RRobh%}5;!e9aVCzLHra*t;AO_rc0m0ai1fu1k_1^DhsCgE11TMbgP^j1>A7>HDVi1 zuNbxn&H=-k>=|rgf^lmV8jNdi(sx)zw8nZmw$9AeYf_luX^kwCTdiJTetfi1DC=n# zDjU05wv{VedHJ-EzxI#vdy0Q&*4yCqY9OlBCQU;%;irgqlK1aVC|gtyJjYe(Vp&h7 z5c#~cCVPsx75u83 z6c*XwpQq?=$hO=jdb;3!%l#E@d6u~#eH;C&PqQJroN@S(SqHP`eV=AmWNe7x6!BrWrNFDm*J2WRMm~60LP8(<;?rb$Ig@VNNNt8ebBEExah> z0mOdISG{&&L4eLd1%MeeI2Cj=;5i)tp)d@E%bQLLavwSU?9I)TN7dBYZWY6XdE@-vJ8~jtq=tZmJAT}l4PRiCJ?c=eK^t;Yp0ngv(N9kEgrrp6{Q3&|L{0V; zahH})0P4f12Cv{Odfx>q3+~g~yjp($Y-DU62yC)J!OH^c-p?D+{P$=EbA&YHCOffcZ2IX61!wW&9jqA{H_TrAQ6x> zs$N_5%;HI}oqtU4sWmj~anD@Jb^_CZUO^LKH6wCUY=bXnzGygx?5S(~i;{qsYm4AM zr-A_5#T_Tz<0I}J#>1D9;iT}VO|dwg=9i;nhvFztY_{IYvITJpxHsjrh#(`sC@GTo zKalob<7>IoZ)+MuQy%W=IZa!(0KFSga&1Fxd_@F4iWk10_fnW3+GRe!p$O`R8o{)U zpl)e}>>Xi08lM=kM;)M156Sv7MY*1h0oVRdq@{O6ES6evVx!`+;$JEr1%y}2cAc4% zee+{7VXEN>W!-^#yQjc6%z+5`cLX-ER`QiPJo@*#YKujhzf^xhLB2^u0FEuW7i<`6 z@xP2rY8l7xmCJsQMt^FnJah(PX#zQW;hxd>5J@Eb5hw(|8NjsTXToS?t42^pa)M~5=73Dxlu z#arODL)go?ry(0a@+tYHfG-aT=z64c44I>AuLgi$;aZ{mV^+Q#@fE1K-j&+~vkFyRlna$Vzwhd@711b?;6oycSPnY$c ziJKozhXNv#apF>%3a950PX_)l2v;l!x35Oxj?;xec=&?tm_+g9eweUC$OQ^ zX72mWD8lFLgXhZ?Kr+2D&nKw2M2LLhmV2Y7`*}i{XWy|smvdsDWy}3Fv%AUMoa0fs z?Tzy^)~*vSsXL!_2dKyMrDz*Q?J=|)Y}aUO*_~Ut$bz8XWav8Mds2+C}S$zW%;I}=h^-2Vv6U5@FIyu!Jv<` zpH%yqD~vC>^y-^-X%<)~e2;M`=#20#WyDl(?JwhEcuwq zidi|ax>4m&1r{4%U{!uxpR0JZDAxpxxEVfB@uJFh4)t=KSSJ1I{Ej3rSQ2qMV@`Z- zRbp?cnr>yS3ES3v--yt`KUPD_E7+N*HpMjmjqHX&!~6bwp1T87i4SKtvEK?F2vkcg z`HMfCeciXm5Q|s`jyJL{^-4y;fjH;E898tW@9%`*S3uvm6lEQngw_r50i#GWLm!Ek zjQQ86hq&xN+mFN>eW(sC{8v(0SC)P4Ndn1@?oIP0HpNoAK0#o3Yg;e4T}XRWC96BTmTtAGf@_g$cAo4vDnkie z>ksf5)l`4MCLOL}Zr^SPns4!S;o#&QrV{IOuKZmH+;=sA*4l+*aV^rI!qN^>KYI7+ zsL*3k^%lY9Q?y#x;4m+ryq509$%&ad84Bp>9bh9#Vnrc19E`61j(2lwdI4wqmLc?E zU%DI>8}oNp1EjtOce$`Y+1OC>1mzsJp(3tasfBxVgnY-QWvil^9K8(6-_bVO%l_*V zSibW6FX$E`a^U131#oU-tl9Y3{-X#cNU82>`&NL1gt9@riqP80=5<+h(YyUZ)|XdrVMaskpk zDnH}h;a{p^(hCQg=Qh4+d(3qeMddc(fUU~96?KVzd`4$*QLTAPM*L_gfu(AW(h9e% z_5HC0|8wHN326dJ3)@tE#IkGyZXfdG`GKIgnBHW9WR(*)Sr$lpLMz$b-T=euA zDe1O`=KyXd9*&Q;08vOPhQ=g_659oxDPYl6KQZZ2@ZIvjP>rNocQb@b$S}JX0N$q< z$tUk1?qD}KEt*eMQ_Reb+g zyjMOY%g>pfgF!WIqsFRCZxJYOWAbM0!SB1%Fud=e^jJOdct4 z-QL*Wfm8ML$D$zL)Rn24ez}Le7`cj)Cg&u-Sg%ZF(JXX!v?Y&NKlH!m=g@3`I@)>H zNshrXFKY#8!!$Jnwnf%f-?qc(Q9|lVGr|%pXoB1%YCwE+v#nC>!^=UynrsxM0E2_Z zmhx?*V}#pD{%w`9NG)`StMV+9e$!VhuBrjcr9Ue~P zbtl)LuBz!)h1YEDOgQ6xqkL-d^)vUpYe6tGA~1NyJPjuHE~-?-GH$ZV4o{V5GG9Bi zxG&Aqw@#srJKBsRs453g*XWG63NP$$&i`&;!7viqbT8|Ro;wIL8FozpUSK&bI`396 zOZ7kr!Sf>JLdQ~3$e=#TfjQ>d_~FkUlSASGIKx*clw^c|)Q&_|1HC-H+&^dw=egHd zn*uMLiksCIU~$jE^8#~*>`fz2JE{%#SF;=@&X0|WRyjxh=w~3!+Uj(5PIV)$D2Y>j zf8izAs!toEd{e1#;O_L?xow@|V&=<-*(kwdbfw%H$Ve}jYRj3gL((4YoQkvKq0ipe zA?C@26hQyZ$!J&EQ4lhM`H(A64B7Mzu=voeSWix2j-S$9BNZ9 zs=hrB`fL7Eqiu9vPqV8qQy|O9G>3nL2bo!>K|omlPqT0U$qIDUemzFxVbX}#{SA+O zwRgN;Cm@`#_?Z)tI(HaL!2K9#0A&#|gz$slcmmRAsDw#@p($EjzpA3bt^bX!)& zm&`n&2C`ScTo&O}e$x^D=v#3+y_Ljcs;(|xO#d)oZ+d+gaO3~kxUeyF{H65Tx2yX! z|3SC!4MRKIZdAYhgZ=gX{`k+G`|xGLP}S%&3(#hi&oAB|4UlE$O{sPj-iV`0-N9v7 zx_4QhH+T3pk9+Q}ROSg38TRQ0gHOiK-O4+x{s!+P(y8I_Ol|H--Rx>skQG#imr8zX zQ72PvP_>4?<1UBd<7<#oR%g>awS( zzK(UpSAeysQqxd0B)KqDsR(hd^ic4!`>NLHy09VLCHgoOEHCu!I+A^*Vp5rf&Uh~J ztk9gmnOl%^q@u)+zKCaIDT<<#W1zjy6?pFNG?nhtrL)hbjSKCX|JJS^8r-&Bl*?6v zhE@d)&TDiiZ)I=Q>(j!^-&wWZ8+=S0Pgqjtit?D-YkXAYmNhypqDl`5Mr%gYo*g-QWP{X zXBq0(Dps)b{GZKOyGDN1WftOLg%;3`n^I@p&OKe~xNV&ZMLj*2GOcr)%pyN)!u!=a z-`{mYz8Mc~8T~<`koB2(fRU_o;pbOf)-?fPhP`1%5!N#@VTM>wXlIjq;z@Boso zuE0A(5@x1r;&8~R4%y~y=APR0St2***Y>a=#^RmocnDRprM(V1-_~jDcd}@DSz>ET zdx5g5{^`-0cz%3p%(w2vExhEwrmlL`?H{!vj~3Mrml2hF23Z73#3kwh>Qx4BYASIz z_YfbDo7*!p!|{#M1Hgn}G+F}Aw1oog@-{SPj^?whoN)5UUyVR^2*|+;4S|`cor5NffJ+V)I%3n}M3)zP z=r^x*B|DjB`rYxo$TBy;wz2+RXaRSr=DJ(3=EUJj1MD{X+gArTdoR#Q?kLN*cPf5# z$*=@?ra->Lye}O+xi66i3%TQMGnK1tBD(Phi<*cVVrFOOvZ@bH&yY^v+gJ-rD;L`i zYu6I8n|l@(^kqw(tYnUao~?(it%x*u6Le-*OHmo*n+}*5Z;Aj*TK&P`hiu8pm04JMnrO25(IaQ?_XG)IAh1|!mgem84>(Y-C4EBaZQ zf1W)!sS{FuJg)*=w#>O4DitpB9C&rbXkAJE6x?S!>%*k59)G=ds%9+Z%j|=c}`;(3cPv)C^)kE+OrWC(h)28EW>M9WBrr zQ|8{}*-&5bL8<=yJT2$msOuz(W_&nzA?-`suPoHm%<%d4gR^PDPOm2XTw}jzH7eQd z>uRhWSX}pSc;d57Eo*G!8JyW{71SlGZAbR(TbiZ%1L?3oSz*nRAcJ1b?(trsRVRgn z(~_17T9iLfQ~4xaN9HDS&4yi_dPAbC9Q?B}Sy^8m%v8z|nT{WK`}5}T@UTKUw_RY> zsa<_ch)e&)=Rr2O+Ih2xM{hKXiHnv}Cx4kjLVtY2WFmQO`H*#D`KB-tn{NKBxKcqo zq!KTvlCj7>f5ckrCNcRNV}dlRwyyH`4+CtD@t=vn;@oa^Sr+O0)0@>zew5sAxAE~9 z*TM^FTZPJx=cJLx_Dhds@8x};w`xz2ttU6v1MU-b!$r-b@~{M3Kx*oY4`qm$(f6)) z1*>blWi_@%X@F)SWGK2;)KBW0$eYth}C~qPBVehP# zIN*R_lCPCP-}Aki08tN(bL?$o^=V9(4b^7b`yBH*|8@ej%zpWv))0W)(Znb5@(Oer zmM!JTPIcdM?jR4C_ZC!YeHm!et=vpsi&5IBw!13<*Ay(NY#1QGzRIS4fv267KSd#& zu+o~TY#?UMP`V+EOOK*i7Fc3HP0kjeRTSS#GSFxT`$g9s7aMgMtSYTsskA}pTg$x5 zrsmJPIHfw@9FLLM zM(8Lz!57af!;Nj$z0jH4x(c>l_A|W0lxXg5zK?>pZXoa~gQ}F845OXqT-ac;1n;ta zncPGHSG>~sPD^^0Wa>fe4nSk0*r7IC3@XW%u?|`3qDiTk__(AEQ)46}t%z?pU>RF-=Z<9UW_#eeQR=nT(P2mni z4>GL14bMgeq5`H3&}Han=6mkY%C|1mosfXqitCAanTfm}V*hB0Z; z2**O(!FIr{u^b)^h=rfS<~OxG1YZ3S%?ZM>T}-Nrg7)t`i;a9F=V13))m~2!1@5I7 z9?8$s|C;}Eg|ut9=?0%uDf`u3_Ub9vql@Qly0l}-)B0ymRy$MUXlG?0*zRz;eUz@2 z(GJZeKaSWsYOZ{KmX~uBl38EYm*Qrp@@m|8;u9hixWG~Zt~flq2T|c!VP!iCfRLxD zNBW+XVr|JKf=_2I_jkpg-=_%hzb|WKVqJgZ&t1Fs8*Eb`0yw&g8?Hd+Gx9_E6jf@J?#>;DEo1qa# zw3n}Ge%m|)xTsa%SdM!OT1pQ$Ja-LE%NyHPnhcKf;?$!$u4jIkcb#;nrg&)v-zacw zdb`{<^mfp%w(sSrT-bWQBwX492FdXqk#Oc2&=cc-7e5TZJ~s!GjBHJkWhd@A+)oX6 zlb`}X-*>alV|^9!_|)E9l1adDC7wJp-P_6X;A9^tJdElz1IGESCW)>Kp0o7VeqarI z6!9NxmL8-U9_KX3+e$~VQ!G++W&F!eXiLxySMV?d_C!YiWzl3c9qj81khLuDuFt-q zI;(nbv6)cbs+D9{fvwbQ4xyn{p z5 z;6zym)itY&ap>;x6CN6i=n_Ss#*K!|$(IQc)$@Le2az+X*~$iGE3UmI22E?U`#NbG zx@UUfczC-H3;gRk$VOJlRq~(JDk<&o1cxg?6tfv&#P5!Z9~)%rnz>aBsvR^H%RI!B z4C;%x#tWy21r0SWm0*vMC9Px0nQrBD=Kl62!jY1gS$=K3){EhrH4fA0L@I`{j&cr- zL8yR4v|y--B(x|(ON5}!wQq9{tPDC6X>E@#K^dq`?9LObixS$KiYu?J!5d#^gglWz;SfO)s9)DuE&i+f$_Ai?6t((r}J3sMwHKm zyhaRz&wMpq?#y*%e04g1%^6=vt;e4LrnX>74;Z)QavOK3fQ64+2zJ!spa)DOQ_nG+Aixte}eY^oow}d|C3{ld^gJ1JMJiFcm z=~W_Z%v7Un+U4>0jJmEUkEGMD_q4@!HWGBF$E_co?xfS>F>%7(uZpeT%@OmvJ!MxMx+&ue*z*j8N?#@%3cSML&1S(noQTkzg5FC z-YX4-J}+1j9AQC>!ww{QbSpAnkZ*XgR>}H$bBGT|#zUp=bET@oPY+gM9jMHG&n1cL zu@R!F&WS-musolYUk(PAcS*@r`zV9ZeaweR86?qPV3QN_e227EfAj(5br|D03eOq^ zJa$E)>R7qec$x+M2x1$gKFm6gt{b%94yVjhqcL32I=5%?HAuZi$YhcV|FH$dVky+A z2uRswlc>)>k3|r0lMyV(9X;X_FcW|=S~yjFVeXi>4k4uHPQH~LMl0g}FIDC)M)nkT zJw~$NE3dcCZci&yI?#!2<@pk#54Pw(fCh?Zczh)>-`pN9ne5*4PxH^+Ky8P&mzg@X zB<_n&1SX|UX&CX4p6KM>086cg(YzM##@Hk7J>omK26H??bM(XXQf4ckwyvX`Co={+ z4INt&RwUGhGPkWhhS-36oe<+VM(|fRdSHw*IYwLx3<@ne5Tp2G&GD}xR@1l8W*)|T zdm_6oyw2i$U+(10iCY>W}P=q3_jiUmilS#9!3hXm%b)YVfH#$u<8r0?k*4lt>@G*hEx4 zs|wh@!ev+4$=iy>VGdz;1O;6R6J5V8mU=kU4!m}eKg)lic_Wbj+-ca3JbL$A{6Ax~ zO0JIBT;`NTiJaZS2G3l^K%BnH10 zegxlPVu-#d))Atx!|t>Kc~@Nt?@LmoIy7MLj6S<&_oCzn0XDyKH>OkICMnpyzT0uh*F-Ui6FS1Ekri{P8*>EERBI5it7gLif#5j>+Sbl*Luk``=1 zmLC1^Li@MRI@Q*I2B)O9lJETvpA%?l4_nym7QQkn2wGz*xlLc14v_z7fa?3omvVl| zlW~wevkO|6Lpr0hx!bz~q0M{`n{y_ORg*3V@y<=4l%t1`ctyRuW^rlj9o#|1YiC=c zDPjk*9d)8VVzy&8oBD=g@D#;~Oyd;AeN>R6x%V(zj2cQ3?nL1;0SjJaOaT3*#a;Pas@^XHOTxVn4)QEy(nxb%~^Im#j%cWs}dQEv&fKQB|lB8r!uAZ%Xb zPq?Y3uO_ceFO0X1FDE`{qRAHT3mEo1Mcl!|xvS>Cz~+9fle-BiYg_$y5J-~JvpvmQ z4|2u1)c0-Hp^BR$Nto;C`M}3EMG;^fCPd$P2qK3&JlQwUin1r|!4raVv=a3nx~c_m z!c?c@zx2%{+zHDC5^fuRgGIc3e)oYF=Iq=&4jUrio>>4je z#E`nXYR6b(M7TZW%O;AK17q|J(cN2<;6^c$|??;c8EAl%(-{stdzY}M_FkEzaZ z_vo>mIQA;ROvU&Xb4m!ak4`UXX;H`T_=1axz{<1VdSzQg3a`U`6)v}vepXL^lVQl4 zU10Z(gg#f1GLkR=3lL8VXYV#KkZ9t7gsbU~O67(L&AKJ>DMfhAG*{V1CozH3@<08& zx4b>Ozr1bi2IHs%6J_pO0(X1rBYJ0Ulo7~FzuhBI6X4Z8`_irne|e>3d;^P*x1d?{ z%IfXa&eL8(G0&!{z^29II_Bd@<(-B%h=7KUZD_PhC?aK`3cz%7^{a&cnNZuYaLQ9Q zQ7$GfT{}VAQkYXsGnGBNHHzDimZL~Bmx$kN%A0@9UaEpKph47o$V#SgRJ^J4Wa5eZ zT|Iohe^&IBYvW$Z=1kNR0*bjV_kKFfoS7|P)9rgN5{a5p@>&0GfM_*MuQib+{-heE zC))#6PP-=*A;2do93&jLL{b^M4@E^~KRk`<>>bM?VscM3d`+171Fn{C?AkO!?koJ{ z59K+*=4-OTz-^`V{Q~)0eaIL7 z$Fgh6m6l{m&#?|C8^TI`VU$3lPW+mNV;&4dejmEH9n|TaK!r_l@LlC6W*=)v*-ct> zP9KFCY=EiQzTn*LMQ!K9d9!wM?^RioWgrBy(T}_Ill$e}x_A;#O+9)IN!XgVx{YV+ z#KDi_lBT8B8XZ=W+4C&e<_u6~(3_ zlvz&7*?O}ZbBArK%ea!uz-#B>ep&alZC&hZ`(c=P)&Nb-g_yq*f z+*&5C!=GHzEr{vwODJ)wHAYdlD=5tVb4`$%bc`bQ$5o4HEV+$APX?mbNqEXkgIhDh zj8Tp`y1Nma`CdBv%K?g}QGcEp^FA63@eBw>7Q11d^(Ed3w^e!;O;Joon8t#7oEzwPq3OZ>e7|W+65MUWb#c~D=O#JOWK>%^VVVRj2nUy93UAPNbd`#NJr>jd}2Fum-ih~cz&{$75`Esf!S)3 z1l>MDsUu3v$_dNJ`lyuaTxhersn6D=Uq`(s{8$^%f}bGwRS`F48^@X>W(#gC`GHJG{Rk}R zv)#2`3y?XQtJy%&?AvVuSrpv? zyZ7Z8z4XE*vtiTJqNiL`@5Tg@PA%3dRegp?j`o61t?)tXjGc0dpG_}TH1$$GjqDkG zIsfTZbNko3K-;Ai-ZliJFm!22+imMJIEtg4P^~<|89g86OH^j%+eu+eNfdVJzw~v$ zdVnV7wc6C@2GdlwlFFop^AUk29hd96U;Tfb4BdYti_606CjK1bftct7 zzkaQ}w)nek_nENoRV0Znl!N3F&GK?{IVud<^CQYEFxR1P)glJSh!Tz6=*s8kT^b!5 zq^wlUA6DStBgH(~?4k>!{3(e~n@zMjI|@C$kZtDA8YZy|bOs_%Kz~4E;MhL*nfC*0 zWi}_^+QI7Vr?*`N#xl28m^Qi6@OoATXSUI{)Lw5_iRXUZ{jjYKSQYZ33YBE4LB(e_~jHPt>24Ub+V|ce}D*L=g0pCk9pKyfKc^{(yeH$N1^~ z`^Y~wZ zb}(`0hH93icM3iNuPSsoc(C6N0&8ri1H<;wW$msP9)K6%+TA&d?5#Kk%3T)z{qFew zeR)&h!u~zw$tO(!u_iS}1K>0OYyQGBIgw%q_CC-LqOp>scEc`|ZU=*HAXRP$!K|{q zD_!qzv2P5wA->?*l3n?Rrop=RG?cyA1%0_`oHCh^8x*rZg&<|jSVrz0TaKLV(`sW) z@O0}UFcb_8!h6kQ02pWVNQxc#J_sN{XD;+_RQz=BXn)$L?jTq=Tg>A;o#3Z7`@o9Un={mivuAuQAaTLjK+u9L3^u>#OXSNibx4x{-3?n8`$MUboi`QR;BiDrM%r>OzTa6V=w(}Kiy z-E!Bvnb_UvH(Zg7>Q-*N2y>8 z?Qb*~@d(XM_TMl1&^PeU1@^L?f3hcNm#PAe6D5(4i5o0txgV!(_T!P_fcw!h?4kMP z++=65Ltmc#AMh(Fn-x~jJNo~{Z4pEyg!wi4-9X4UZZ#}WqFBP2nBGYA^ap+`eA)45 zu1%^}5q@p5`%v$%fvbM61M!mo;*07FWTesA+b_N7YGtL#O`k4ko6EQV^yosnF|4^bEcUKLxRI^NIjnBk z1(WGC^>m@wwIP4#yma{iaBG>7=wj(fN5SHAeGS2_j20ov~j)O(@ylGT8Av>_U8 zLbO|aj$t)WWy`6+?YJ_h1tng?GAy3&{jYmaVX0MLB#*an&jj7OSYLA+GeJJua z1IO!*aj(Q*AkbF91w5SDDlf*< zXhOi(36zsOU@~04P=FDisYe=EBkr9`@A3jWG8+%n9-$p{ABi{82l4!U2{^3P<4z4{ zcN{gzzkY#Ph{aL=+{=ltISUz&02_nsYM0tkR1b!lJQ%pp@I-5zOK}y-U~vp)aSW}U zjllsCB&Qk3?ys8@$Z%${t*5xtvN=Dvx_mQSoKO9yCocQdczME8`x?UuQTy)%bJm~{ z<>F)y%clm7&?}O})5vH&Gy7!INtvDuX zOw_a`(br2i8g8dIj`Mcvl=amE+tA@NLI|r~xho_;Utb5AQLAOnb0l|}0cK%eh5W1pn`}`6jDWSrQN=H;l@|lP zuf=1O$lqB_AGixl>jvN#vrWxJL|AUfg3^|+b z9Zx~cd{8(o{oXd~*gQM7V;XsEa>!|e?fv(+87KYs>hP0xtzBI?kw?T;Pm@ClVPy*i z4qym=qB*C&a5X*)fhg@s2u63D#*i25-}a`HoFB5MU<*N_X2rX^ebLMKHC=adFxd07 zvbN#j&r=cjY)Z}oSdj*=mea1L@$x;CF?Wu9DJFE;dwm26c@5Ula%;6=#TlApAt~q! z`;NC4PlzOvZ#s}m{FIs8whk@)E->vx1x!Zs)uSya?zG<2C&%fVW{1mCiWg&6z6!uN zsun`){*uZJpWSCg54veT_?(DeZB4*i2A9?TA;In;AGurkXBL}Vmk!Ki`vJBa`e4%E@>!d>E;{J!Ky9}!$+8zcj z2*{zkr9rw|q`SMMJERm45E#0&%`xd)8iS&3TwP z{El=@7)*^qSy8Z&dud6J`*ts1LBDkfHM(oD0iM5oF(<-2Ug1}Hh=CzD6N12Lbhtje zEQ3Uc71g1xD9e%ZkyIIxM?T5x-gYIr<9>8mVD>~aH+WYv^!?fT+ZUP~iV-Ksc@DVG zC7s0lelJCDMX?zjl{^}Mp(B84=CJBjdR*vJyijjO80g<`(vYpJXJ{DQrrUFFHEX!! zLjc@OY}52w8>&xV6Ka?lQ<`R042Hbv7LRk^-5xBt;3DdMYcMrF`*U$Bl3kD8Mw7$I zT}#!|+0E^9S9clgxqAJS+i;BCWh4Di(u}DNBHJlL%G3aNOZ@iYd`2v!ny`2hsjy24aodzA99`-qYk4+=A8R4JX^})1%wZ3kjC>&ZAcwhvk>(>6H-_ zzM&oO6`VhTCDkekDk*d?d9eVrE0eqmlMi|-j= z>;w7c%NdP$E47=oAHp+t&68TG)&nIh2jN=OIJpW$LR?iZTkd(j1YKJEMfY>|Wxd
!KZf#s^?7Ndp8-VS9Hwk^*SD zVJp^-KjF%h|K9dHZd5{9A@x!QhWSS&XOn6XpV_XNHO1KT7S>=!;hxWYK&PT6;fV}V z?w}`ZENSpk>Gc!FzKtmIUGP%hJ^O|pwG@$oCbFo(h^XU>GD06m>+9d@tf^C zMwwSK2rH;EHS;+H^#s5! zQ)lTcH<*M zcP3^8oz%r7)H{^}+AFp$H+#3}wV>57DJum?)edugXLp2qOGWfMj<|8|9)6LQAozqX zLUK!Av8js_C0NT3x$a*oLPXSI)PA}G{8BUL1SMvT-S~(tkWO)W9r!T`D~MyATdw?z z>3|i=CVZO57fToPz>%s+$KT*6tZQ5~2h9HeS5P zc8|8TBzP8HA0PX9NocO(+B4(t9Oth}3>djuoK`UHht!Ue06mY!v+?#p@M$2R$2}sz zb{Xs|2u+;obC@_QXv#U0uRzMDcgFN(^?mk)laPg%66t%*j zKEbq-a1|t46URA3O;9QZgU!Wv&_-VP{51B z@}1=uoJ%LK*kNQwHMZ{>sDjs~);5|pJ-_3)d41?TEbniTSv&fWecd-4p12$HQmw`NIEnL_R`>-YKPanZ?)n`Mi>GQT^JepWH! zT740b6n()ui$uBeL!1-EmU^}zqmK*->uee-&rEvF(X13pDn&kfPNR-xA@+%n%s2?M zXt|`~bYSk2U54a%q-fEIf3yg{W-3`JR)uPD=?vUT0w>zmeA{@cRvolp=xI1F0U=K| zJ?l#}ejLXJC+8WV&Q<({7_|6GG3Voa-R&B%#`@n*L-4fq_LnQI=iP5YBabQ96_ts)dtY@qr-jQF(zQ9GcrYG_4`<`h)f0@p*dZO zLfB_gnR-G6u`X=giPh|~gg)CTEi%J(JxV|9KsFL*I+`?&@QqW%FAt-y9?tZ2VAQ~L z!}C9tI+gZSe)h4xuIoqi?GHR3AgmyO*aV}rV^T=dG9BXhl8p(4M7`fFYquDA$EI_~%#t}_444#6y&BOWYb0{)A3 zF%)t%Ns&Avq9zC;i!6cQvq)#{^9Jt0Lzf@X@jQlK#qOB#MK7q^Lb0sA`3R*_EyEwvBUhzlr(W?y z%{W4)K8$A+z9;9MRtmR^5 zT-BJk5B8Tov^N=xv|s0?26*90F;eS@<|)t_bhZAfI;-M#b*Kc6_nnB4z^P%(gf;zIoS{@25>F4= z<%V}vjG^KvRpkbG$`Ave7WQn`k8W(rqXXW!prTJ0$~l$%7z}6iQu0!C(cGBGx}8Cg zD)x}?G>Wez2!3!x7hwU3!L-9-g(`BSet61K3lh$9jC;oMIJ483o}20REf?(?`huU5 z%x(r}%hC|wT@DdV0{3p&#?F^t7Rog>jS9Z7irA`>6F^5S_pQOqZOk8Q>EoZZ^f#UH zGn>OJs}FqXAF`MOPm#9G6E4!_W5(C366DAk7xkN~&x~=;)9o9e6Vc8mq&`AsGucFD za?i3%v&>^jOoP~^5>`XRN90bxNj;a+nK#71QD`}d?D5#$+ zT-Ee788i5!X?F!oR3|SNi34{J^6$MtD4x=BJj z`J7}7(WP7UbqFUee3D|Q14RnIN&(E!u~@^~g-Lfc`uF8A8#3Z$1S&r+DuQ;ve|g7L zBdt+_rBNl$4iw2`%fG1e!K?6C+x5Oi&?ixp28#@9~bBTDRKOY4e#tFN-$$5_>@+eC$B#$PBwFNly62`OP!Q8ML+%f=;IPeu`>5 z?dPn}xX2cc@7fI^>{6B?XG>DJKrxOy*2Al0iS1k$4~btpRjIyJp=tn%EH(+*6t_`v zEJZ#6lTFza1*=GjrwJ?@qq?rY+69a527EEj4>f9Y>|E;YN&e%qw}_=*aejQl)_8E? zu+K;Qas2C9*H&mElUcb)jD$7bn2Ddrmuw|3r6N)qH9Raj-|zTVonuJuGyv~LHl)~L zf4#koC?2nHnYi-B%wTCI=SbVI#$i!KNl9kyu#SQHcCw~k7~wK0H@09!v6}*S#IyvK zP@l5IZ{*ldlqS?tOwvc}7+e}yeTh&7dSU~?(N=im7U_YMVzL%r-EGBMIdk=~JJQHI zskNE;TVDOv*>Y$))kW0%4h0y$Zb-r~dTY8Omh6KHx%#EpS*8Z1`|?in9?d8bjT(J0 zSW?O9&-A3G^ZW=DCAHe#mg|>q*lQrBl`x_*YhtQTHbaYMM0*HCh{Fk>Dx(L_|} zKa_d}!(8r4zJF}vS-3j{jJ~Fv-n$il+ZFNkx}wsr$>Fx=*W=p&j%VIYzUA7XB5Zhz zPMa(vCcq3q7elam5bNZUT4~cC>-8i*S%{XPnmx#P+}+t7_8M$m$yEddFHV2q!w_PV zE!1!g9DIGHRchC#bywJY`EWw>S=Jc=ZXcT}!S90v0iODdFabqfj!^QvZ-=c(Dsd6} zq6(a~Osfx?lxnOIutEc?tDFDJY*pjU&wXE=l@5|tGG*9yWK^^6PoxVqON+zf=>+)I<&5ExoY!#jl+NQ$P?4o=By@JPbF9uwtcl-I??mCu*QroM zh_~=UC%%e+ffKgS!=pBf*;2g6xTvEmvdG~Oifz=(N9U@W0$iE>z0@g6SE$t%$^)9e zVor_*+z?15I13dY2;Bx>+65yXidn{uPOQ`FZS|&P(@>wOxyx|#`_ha{N#^!N9Fxuq z)m*RM`0>pO+TBC7opwVP&rtGB()c80jlCZyT@~qC<|XgMTdeX`N>rutF>TAivi=+r zPezZNK;e3y1H4C93lT!juO>8s`ysy-NeqJsV&`Mo5zpOk8Glj)Oa+d|)V-Mh$RZX? z5S;Rny}WhpUSmA}^N=#bEqSG2;%E`^hx4kYdGME%n~lY#ciwI{xVX=Il49!d_AP(N z*uM+7==xAh((guG`%wkmGJBm&TQ&nv_=H8HMBJEKA`Tc8{C%Y>^eS$Skrum0poX`U zm5M_%-{D9^10sgwfT;%?Td{0rL&*;_QKXWm4>&_dq5M6*<7m(HFYOmsr#_Yh!YT$Wn3UK6JlFFqJG8cT5q}VBccC(R9c;w|P#DXeFUn zIp>>+ByM6dc?lOAtrjoV6Xcftico{p2<^-x~MyII(71^Z@^@XD{YV>ld%P zhf4S_dl$Hc3)e{!mtFSL{BA#}E=l5E7f=G^CrPBg#q_}UnG~>wJC>Er;sldDUeWCz z$5%3_c%18Cy(2^jrH6#3`9+nPn;OB=E^+%fmUd3e2`g?M?^ED+Gd#=K-QMf`3avHh zv^+4mUY_YOA6|AB>yb7D7lw<$Q(`{USA#phe{siF>RGZF(^OXQPC_H4bWmAitBw!I zSf75Mb|bpS&>PNR;ZxhEtuHW@VDH$7(3db_*Fzf;MnCtpnO*PA0M{J>0fP> zRIG+pwZn}Z?L12x40Kg%eEqTvO&XfA@HUD6^;Sql*)n zViW!nIf9sUavsWD3lZ@>TQ_RWrYVj#Wf^aZpu99zpbx$`%)?6z>oEACD0s$jc*an8 zMo7Q~z4ar-vp?1tWJJm5Yd+4iXE<>=g-n1djx&Y2az#jD5jCIjM-vl^kDJMjZ){^9t0bvmtVwzcVPNGb0+09W*BcG@+a5e^i%S|L)D7IXGeN7X zE9blS`;T{*BY=&a{czI4?&`tyRdaj$y$R5Ef4kZKus#vBx;=B+aR2b0f~qAGe2u$Z zkIA0o@L(8q>4N#|Y0&EYgSvnlU#7kb?)BmJ?!xtc-O`&2!dCtz`B!o43j+s7?u0T| zt^}$*$J^USRrXP+O9mH}NlJZIk1b#Oj!!(NEeW4w`O|yXmUw@d*$|cf26yx==pHwipFO^#a(zmalfxPC8^0+@DS%!`uJuK zNS|uAXX>Z13&Awo5I50_WWKu)Jjh;T4CrJzX?3?vexJ-)*ep1t}j36 zblWd&T+nmL63mDcv|GE0!*ubQzb67h}bvhEl^TjwL(41%HcFlAj4)FK0e$FT4HHB!bPFtX;H{Yn8dnomX1k=SEA?WPJ z1|6AfZTteNvp!o6sv1Vxmrq7iX%zA*pe@?h*x64_%unB?W)g)Z|3~49d;-=$g=J2$ABlFNTGQ&hI0Xa1MDVry!t659wFBYZ|4p<{A-kX{v}BrWx*u(B$GoOrEhbk$zfgApY+}P+x+f6#JpQ0zaclu{Y|JZDft(unsazeP8}0oM~BY zuGr*P$3Y*W+Q@>(c70^k+V4#xmMUd`^RY}kq)#xv``8&lvN42usJX0aK7=|#*eK{} zp#nMTkB2^NENGcI`0s+m&aZvE0`m~=*|B_WpbLufGey)BzZRtSZD*kmLDgkfn?=va zOjR^0##s7qMuEF^utLlwC{smj-{o3O3*MJ!t6HxX-dxdcM1w0L_>h}SVL+PQoGRk6 zAQo3ae_a6mi(5RdCmTi-Tu`DsnvOw{{66*Fq}+7N0zSMT>r}XxdBvd^dMlN|ceCPz?R>z_!19jgui2fA{ zcv*lB7Vicb&erZAXpt?U;8RU;>Q4Vbo2dRMJNH6@H=!9pS`GmT^87KD@oJU9obgXk zl(Fz1D5~EPx61u;iffR1*zf~axjDhdQ z9o$>c;Yk!hdb%`VHW!T@Rd^MFA?=D5oV(xBlJygbH%|7nCOYv>8)WfoWezFj$Z@RS z=a|w{LYd@cn}VNK)mE7)HE8dB0LX!9zDu?a$8`Mof6c>2*){d;N{BVM^YVK^pk2`F z0mje7_{b->uAYW(h!>ktQ;E=~3oxxWW|Gl$jl&)oufxiG3fLG^Xs7C7kIxG$WXuNR z0ku_Z!YBXm-=X}BJh+ZS1k`rjfyKqk?v_uJb^YV_?M(D-9Zj~QMbFqweUn?6W5GYS zrYs|vcVPJM5V}@tsM3!HW@eZ#x&w?lZQ3IM>LCIyuxb4*d+_A^_~&3dL9Q+CDD<=a zpE3gFD%f6fKhT84iil0c*Q-p9|KeCHw)Ce}fjh%uHbmeBVgBE&yAAR)-Mm-@jvb;K)@8Wyi~m zYV)1`2~(r*;rVDQLG6<5hPtb+a}Dcb(!YKLp5cepj~_n_&Em$mtECq^sAreQtBRbC zlb=92(1lZw9To#!xbWc+`KkC!-tK#<=)bo;{C9fs>F(Sf7SLHV?{WcYgK75EnK0@A z;XiQ()xl6u4Kl4$;8t`+Z43cbu-o`273||vYMNXY>sRMLM?Its2z$;xnEC*9BHcVC_>m``gFafg~(cDHs)*pCM2E zm$wv^BJWdSPp~-Vmg;oi4?GijT+hna%^ZxM|6pQu;@dwelVPQ+&2_rOJge1J{0wL! z|G${N;p`DZt0gGl6$oaPv8Il$d}0&-o27e`c-6t$$e5Si?_v)iA{K;~g+^U2f4ei# z1=52(ed0(q$&H_kQXVV*k=YZ*Z5WJ-%Y_p4|~0EG2$9xGtH)~!6Ann7{`^0MgRA!myNQA zj`neg7BYZ&t|%e>{zs?xf<#i_=c^~*4Akrd%FC|Asscl5a+PDh{a(;>veN4R!>$>H zolNxiD>`J9Gh*shCqlAZ>z*q5&C~Z15PMUkr@)gB2KxZ^KmlA(71zNZ7wyltmVbL$ zK{Sv)Q68D`8TwN(0sn5_0ukYDFSHytic`RYVFwD#BUfnuzk?AJOVQl&{gk+CMgzIW zC^e_QhR})#Zznf6mi|2jmUywHnHYY{?JQn_JJh`cq5O7s!{1$a;*J(({_&7so@F3g zc_4>d&W{U9zC^Y)y zA9<(@^hWNQs(iSbsPnsK%ZeGNvHgC~%Avill1)xPO!myiTgcMDr1*t57#ODFqpjgL zVdh6~g3|p;dgm_>gS(z>Z466hHy;=_?xU{Z*C1TOX9>{IL&?nlmoP%bgFPQ)E#TVu z>7XoAM7?KSpgYo$!1^Bpsfh+x9W#<02ZT}T3=^gXkM+$R%m#C=DF5TR_~d10@=j}k zQz+0afqW$(NOD_y7>Qi8d{43TwUT3q8W?fPp=v?xj_|)T@)1^E-rAaRInFMIQ9;v zD@&r#OMD$VIa>0}X!hhO=|n{gtgwMWCF5ac8o@PfS*KwB0N#qiuzLJk@}E(dCW62f3k*f06YPlPSV~92m_KqH zWrA<4T4=1dgp0Pw>i*F%g;#^9r7yjl>3FJCS1;HL86Xlglue**<-Kw$BK+Ckm8|>o z7fv4;ZOua)A$nYeYs4~T98W=82Py(14SWmBU>e{r!x#X)fyP_`mVp|REit7K^#U~C%0K4i( zHwI1ioL%io%{MHiqm#1Wg-wD^r8deFy=LUnQDo5-pt(~JO0TkeIGvo%yFdg#qZbu-UgEdY+%D zo=z|a_OE}D)B)3FW#g$C%yE4s&~*_9~< zzrwvpI3Xi&5%LGnlQ#Y~^+>}p(Nm~@o_S7k5e4AsQ(_30O(r%{18MGJW z(#s_;mx%8lIRb$zsG@+Mo|gw9Rfm$wx5n4N{2}xHLCx*mwgK0kKgB{EnNy!(Oss(p2z+fQ+3B5L<)d*M@YmSF3C+rgvf#~XLoH%t77S@|UC z9?>YbZn@t*WFFCM-&fr1IzFGMzpZL)n`;*!B0;S*5YT&E zdVDxZIzOMfuKDEo>kWy)Wmd)GY*yu?`{kzKf|p>X7w`sHIAqo&>)gGbIG-6<-(MXX zx?b6RF#Gu6;4$AG_;}*P-@3=N5>?2|z1c9~b&2;lK5!agzz~?0w6Jo{Cw}Fcin)4q z=Hxp2=;3j7Q3JH*lNR2e9$;K$wG%H6tJWn2@vi8*6dJU*-mkA*o#2Rg2=J3I0pGJ{ zG?`IJfX9pVbJsafFOnRrju5U_Y!MIq+phDJG$k{gNjyS#tYO+XRU@urnck zxOKvgZGEgrq9p9!2QmR^}EWE-qp(0rTe!+j1maPhv88_ z-{_*W??VIyc6RO#&n(>!hHm{1?M~YjDZN6gT(1d|{TI)k{?`4e z?QZ1w7T7~IXw&a}oCmZ5XS696wx2K|(KZLeK8;F*UR<4LT10P%6dBgSC>b@TU zmscZ)v^zU~Z*2q5>0o#b9`FcXjo!Bi8hG)Bk5yI@w`DN*CWSojmK)<2M|{k;96(L$ zUj9&K=Thb!KG-QptMQma$k*bZPuC|tcPWx?qTOJ8zX?R!tsMd8pU zk}I*NNO3jc>>YrVE;{hw((tQsK5a<^FnNP!9>$Vsa)4g&srMI*_2Hce!saPqM|H;H zHE-5x&&OG6xoeb)x4}t`Go`WpSt$ojk8*Kk;YyhzxqFE0X}Xx-BVGin*9!yg^ygA0Z*@`-5F?Q)NZ z!~cGi!A8t58&Bx3J1hyOFmfN5-t_G6=-GEH%M5&Jsg(He?A@pZyLhoSk-K*%_t#=7 z^r0lyDIC(FKw2Ix3`WYJGa{=ti>A^QHBF5qXkDlcvOpQTlY2oe=d)r&9I|jp0E%#_EB` z!_d|CpVGXtipA%aw1B6S+Mq<2F6S7wKm#`syr=`rV+;fZykxUu*Ge?mqj3l~x!pQ9 zDdpd+2g`o5r@=~wDTOk_ z?}7Abi`@`K@HasXG$b0l9MzL5IWL$4=nSZ;2FLrfQ(>r>#?6>CpLXa#JsqV@xJoU4 zETydBW{4rnh~xbk4mQz^^h7t6((ISv_`OQ?q%}A$`gHe~8;@*UfonFc7o-12*`k^O zs6-{T6Q>UCRI%>o%G)?}Njhqyc0h=PbH(`Y4CzngI^o1au}Wr4dW8*gJ+Dp^zAw|~ z6|!3?iZy6MYj5i&Ch+te(BgbbYNMIgiu*`?9J0kFpG}`JK;dTzt+q5Z$KNt^=hjL% zW}6D>KA9|jgB9AX@;j13~UT;g8EOPTABV8}-7=$gg%p7PYLzsj9wsIT%K`G^(PDqB9N zo4WS2rK7*#om@YaP?Jc$Yh2oy1+Ods-#tF*Hs*PVUUK314qSj>yH}VrvOE=(ZL=y2 z7fknThq1?|ssDz;Z_#1q+?eUNe@LZ<(gCQgAkXv5eu~@JLAtF<{_M)s#vkgS6hdEk z=OhA2xn%WZKlB%N zT8$rUL@XX8X6a@^Lo-=MVx>5m445Sz0yONjIHK37&ye%9264b-m=J@`?+4^UyDf33 z*cA(;y#px;jN?m9!F)0UW6p5PBr8y8g^>+~5S^Q%6zyJ- zjbb22KUB$~_47VTq32kn34{K06Ie2N{3B@c5p>*`Zfx>5>_XsrW6D$wdPZtlt8KCc zSL>#LflSLw2Uo`q7z7i)WGPpZ`Wmb!Sw;p!?l|Lw!wZc>^ab;fHA(dptWwi$ z>(d*$?2VCKa2s#Wn!%|ElUagvHQqqm2q(^AGWE4Km66q;IGC7D2_Z_JSq6dNdMmcH zj}B9hlc<}4>%iuj#cj?jANNpZzKxoL2i2MaQCbI*kcY?f1?jT|53x1VO}@aaV5x_M zDA&uSez$=AF8O{k6&gVf;ro)QcG{%;crEle1+TR@)H)R`2GMED$eg<^MrJV1b4dqE zc)(qGn{vvh$ZT4Bc#QJ(E+x%7lvtvijWuU3N3o(g<+FNeC-UYP$s(Tj$5KA7Uu+uQ zPeXA52Jgw6I~bP)#QvL z0wvD|aYt{swAHkb)mpMN+QXT#t-J|bjy1Q_G<8PEmIQIfiMYMCO7@Ao#z4#WWh;&! zgUyWWFtjAZGIhEh$^<@mQz-Kl@^qME5exu%g0ea#tTA&e|5rlEy#hm;oVv~Ohy?ly8eQboZF?fCG(sGUp5j+6-WC*EhC=l>n7RH_4TNDmgY#*@JxT6Lq~JBo>@5xv~(n#*TR`_h9Z<}ldJ=?FtG zfjz^-BN^BCF4or{C9C~+@OxiU-@HlTU^*rQmPk9J*c6yY$Eb7`--2{6ma;|^O4rmn zY7hAc)#a!fUL%+hU6Vp;pttI`d+@T=c$!0_1Ds+$Pr#x)KYCds6RB(9OeQm*9c$;? z{Y)1AEKi*HkitN0W(uvy)5kqjUb~pJ-@WCTEWV$00r?ymtt?^R&(f~H$RRyZ+T_aH zwMBAGlT~eOrC$T2-7#9GeoJW}Kx4`xmu6HtA;w)%x`t6pDa0luqrS-&m>Cz}Oh zUoAGSl5b^PY*gRnVrPVr4YSGc^>>RTWmSgK`ZsuPx3j%S9I^VSrIqMf=X+R{ zuc|x0is0c2C|Z6hngB}D!q^$xKQT1k9yMFOF*GbWhhN8{RbeeE^a?esf1AdngNT=G zEiWQh|H~hp3UT!8UBX1ax%<_uh0Q%B^=EhT0-g8Js+_XI_I~meH%-HmaZsWPaoKgr z);}R~>evX#DAwFzdQJo^Eb_VI#wDM7oyE7g&Q)PbZNE2dNgD!&C7RMj=P_4XGVyn4 zGPG}JN?xT+`8?Nu;r*(Hbt{xDjt#+ZSBE5`2j=t?@(Tq`F<-Hn0JZ?WenB3$9D>Au z&IdUb+sWTmHVjjmy0tViT;`Qc>%-02b2Xw|hrGAG#47U#e{R8tiswqrY8CEkYB9Q< ziuJ8zci7Uza2WzPNiQzXQ9l=@C>;Lu*YYW2=!C87L-!$)A z@6t1ecnVkvNX5r`KEXMHzXM~K zwo~I7uw4~wUY{BroAuK=h$tEOe4#BOQf{Ue4}<`o`xlOQHe3aU`}rS2Jy3+?Lk&Hh z-&miD!jn*Rg@s^43_~ZSXY#S+>b%OCLcl28(jJKkm6H%x2#|sol1i?&IkEM@&=3I& zVT~h4t42s(h8PiPdPV`idCS?*kWV(RPsm4k%LzPhy=)c}9~NHla4e1CC$vyZDrI;L zz$0$+)Qf|k1uf&V(poMlTL~6IM=0~DZ;^bqw>UI`f>gGXHUd9}@5t2wJcg)* z#Wtps^r$nf|CIlvdEBzMB6J_kd!c6mw7%HA_O23ndL4J+#)ba;^1TFJgmg$O2{;Kv z2{+HCr=IJ|74$Qey`=1vNM&`$TsQ%7lT%TH z3|ZSu2ud2YWr!E{7bgOGDu0@8eWlg zfUnvL9i4rMoS#frV5gZdOFd(5Re-M@&=}f}{F)WEs*UeblKHu)$~heZHFhx&&!p%R zBjWZ!mU5~XuVr>d7&t5@xGISnHof(4R`YX=N2}>K6_VemI zU-M8WnWVyRsz&NxyZ3TseSNn1Gsq8N1r)b$usS^U=?cO$AaErs+r}M1fmrw~@Fg-u zXl2ZcQgx3sv~VTvFJX(xbH+IVIySAXuna@tDQdM@6XB2Qlxoc~pDh`#J&z@POXQ2H zMtloOuxY{pDz$G`9n5SpEcFoV6P=@O3zSrbm+Jy;f#pF=WXX5p^(pi`dllI!99Y#zg-riPb;2QVG;F6vZQC!SM-63PnPLGAk) zPC)eDT1YKM2U~ng4EtMW&TT*U(bhLZJdM-IP>+|c130e;sY`?=t=lEBWkgl0ecur( zsl;e)>tMTb`udDr{O|yErHryhAH%hO#_$aOnFEwT@*KT|=6jTdgpTno)ilqH=g}sOxZ`UuQ=9R2!Oob zFX&*^=bI7m1xQQ4e=5leA4**`9p7($yBvM^JQo3=ESd;%qD{@#!SuGAHry(e_7k~H ze49}6>^lycTf0XpRCKO-d#Tt6-18|noq!C|6R4yRIvp?d2Jc*2KcAfzXY4w68W%b0 z5~&I6CH0mebn=e0xG9*`3?)6{uBx38T8X5fpW5erSR$yU5b7cslIJj`DK6KRr-r1d ziLw!Za6)dsJz95@&*UAv#(c@q*k*?i2W4@&8f^)A>PHvqTw0x!tGOM)WLj-X&JK$+ zm3-Ci6rYfyz$u(bf#x@OY^iI7KhLB-PvQrkIb>eV5pWXmRGB$VThocJBYlIw!<6!} zxu=PX`IZ*oW-#CFal{g<=NW6+1`zDLd#jKGh**_KxyM%vyEn>t+J@}dnxjJmC`zT= z)gt)B=_S2*Aer3o+AEv3cIc4B0t8Rer|p<(wS4d;1?Tavf?lxRsK4-*K-(!gz>-%Q zs!z%03mv`-LAVn4&k&Ty-oGm*m{R2s=9I)#dp<1U>_4*g4AR51m1ateFMHPQ=ne=w z$0LYp#MN-T7V?FZ)JU9P6~JAH}to3W~_}ZrJes&%;_0(Y0SXcaK6XyUVDGTdVI0D(67!Qk)c|EnCHvY_YKB zoyVwcVA6B2Z;Du@_te!7%m~SjocvzI68dXv#1H zr_b%((=7a7WTIPcys$&_u~e8AQ#(Y)$(n~zP7{k65w&51bwMiiwi$S$);DcBvvLuLQ}K75%WTof4Ub2AS_UX z`ayv=h}^!T9BFLn_zI;QPchGenh-2r0ghtmb0}=OvnZO#s*|$0I|}}zD0mlD>l%?^ zv^j5^(4J-|10o}AHQQ3!6TrjL3|m{&@&!|rp{FB@kW#%gwnMbHwsyQX}$2^AjAX(i~2Udi$x3LVSG_t%E&3)f_&d&!EHT4VnY7t_L?r`elxw=qYl2o}E zjcsylHz_R4R72}Hx*AVQHgT2DrD~?+%2yDC0GOF`7C`D)E_;s6sy_qtZcB(>T% zacC4TO0^xf6iF_O?#MJl>j~QTUWU90?VeTVvn1s9G0NvJ4BIv?4{W(GAWYP>@>tiR zTl8wGRqrEDI43^Z*TzU4aVfV-A$)8-agSs}(53Vkx?Y&qrNn5F$AYLSe^LhRjRRxrIw{a6T#W*;cN8t=ky)L;BL%<~9K;r5kP0zqS{2&Nx;#p_j zxtSN_N0uk;LXby{FX|*d#cQT!lU(^S{kT;=oxCMOIbU9Uh!=gT>SUZQOET}JKRDJ& z2VZ<#O)VW$8JV8>3L%%v3S4L$<$O8uR&XSX!Rz3yJX`=AX=OD@9*U#1gJEVk;t}yiR8?gW*s*y%#m>Kv=<4q}nbL@{Vnc=Y0J>we_! z8{1r6fA5j;2w_$6erI-GIq{ z$>WpT<9gC%R%Sc@{nbU5;?m;7uHxe{Ab58awOI+=U))Yi45V>%CfN(N-QTaTM;$J; z-d?O+7LFXYdtTo4P9&Y#dwD+GT!Wler2+8iazCn4@erVWR0N;!yanIZ|CqG|+?^y! zTs`a#;*GRF+#GjJWMv87?VnC0;S#LvP841;LmnHJ1RsveBQLJI1|7fy?t$He z!*Pd0_@eu?%EOAoaVf!jrMsi0wl?Wuubaw4!NR4hfr%vnFTTaL+wC9&fr56XptYfy zLIc3#ws8Hn_x3B*W7^3ha1K6VxL-~Ce@uM^SX9sVHz^>sfP#QD(&5t0(&19lA)QiE z(%c2$Vg18{vHi z@sn}<-TQL!s}#aXw7ZS-<&Tuv;$jFsT)?0 z`>z4c`%C<*zU%#RcZ*F&&ietUIZ$JlTl4)!V(V4l0pL=o(ftI2WaIwww*NZ&LANpd%-qg_cOKct zc9&vN0Tju1jds_?b_NDe>ir)L#JOzkC!SdTUe=4a!7r$D0XcI zMc?UknLKv<7u{t?EdCG(RmW|X_j_L3cYrhNvYS&!#^a;r^XfxPs#$p--*5HrZIn#Wby%|P z@(PTJqW@mVIum1;Pa`yLA2y0Ud70=?rkdtu5Ij*(eWD(1&(pbXv)ctRW8+S2m;D(r zDDlLqZWNMb^Nqd)mQ!>3a>TrV+F^Htl#a9zsPlL}DBlFdefmAM39b+TyBFouwL(ht#?#GLH)^HjYT}a9^yJYxZvIx1?(AK8&x5}Y9#GP!93JQ};bgd5M z$yU44!fZng!`-q+MFDxfcr}O5io7980=;E#zhHd}wl37c&m}mQw|<}7MW=AT=nXZL zYJOcB@+{1UzWd{)$Mg3_F6Hlyu29!^ex;X%jP%M&+G72TdqEVuuGGjQiKJIYDM?3% zRaW>yiVkekXsP!7Xc=QF8u(}?Uof~z^QdS>s@oFnvriDEUlRd zzMWdn>n1jha0_OikvFj|47im=h4NW7)dkPw>EN5aoi&BBvQjczblO|EaTwMG_nKzW zVDr7c<7Q>$qs8DDs&>SVldhr^jE&;?CdN7w`n`M!qi`G^<^8zTJ>F6^T-0X8Y&6|^9eJ7nMj!6719ie*~GP8cvGdfb{$PjhQ zI!Mryom{Fgt0&z*%(=j>*z;?tq%^7rRk6rzBC~3!fLVF76K4i#X7Z{o>M6u)yaaBr zZ!bZjyhQup*E90hqpLVk1yCWunvm2&ot?{Xl)Dw2TL<4|3IEJlk`?02%ZKi41$yBh zzN(^>M4OLoQL&{|Fg4iQn+sG0KjTrPgD;`?vEk$H#%!EF+kjm`_0pmK zb@VmiDynl4H1V@xWadt23ib*`L9u`Am@Q9-py!Y-P+w*dZ0B^tUZ0_ZtE=tnQ7=7ycM*x5hPk;5-ER?_|8`de$}Sh+E3d@HC^K@|!$C>%YhLacp}+9Gq?)gM3~%c;pR zLAD7>a%JNs7)t!8ih(YyvY3QYj?NAUmVK6&@?#7e^CZ>K<9XCbgmb7No@tOjKI3K( z#uy}{_$!gri8F*1hgx|A8^3_Y3yb0(edsY-%K?ncRNsz?^COKH^Hjd8C0!cZica)V znmv!SRft>gW}(hGM4u8MYvtS|w=^f^!&s3F+lijg zkt&Gmfvr69P8>NKW@M~LT>AJD@o{Lgg4c)iL|9J8?>Vj318l&1Nd=mD{dVG!w9@o` zxC=f(^j1*MC?-X?nA8bq#+d){K5sBW1eN9l81qv2xU{pdyng%hNQV>*Lt4P`Bl(Yp zBEA)W6akl)oh%*60ZKJX5Ila0HE4gWQQJ_0R}* z3_X;=%gOpm>|qd0MNiBqUjrhQCmnP)u`6&*i&I~Ylku)55SE1Qy~gf9*nRIG`Opma zu9Jt3y+Yr?IYpvnH~$vy|Hp05Nyi_iKrqcmIB4^o(9{wIaLEHkH}Bq+KZWLCbYCYJ=9nDqsCIw36v zBfkM1GxR(XzIX_3OJ!8xw`EU5oY8*t(FlJ5Db5ExZFYSYN-^il9`9x4#{>6av?McgN&d|Nog_*Rrl7rNTSpl{Pq!u4+Lg>@MXYkq( z8=^4zVBpbYP){YCckJaG$6yc320FaaFf)^(mr4|`R^T&PnqAH*f7km3(#}olVaGXh z*~#|XI%J>Rd#oF<4{_6C{G&;Wqv=idB5F|d_P8YAHMD6lYU>hTg~@YA>Pn&u)anM) zShoA$gu3_oL^=|A69~Ga}v<;#9sbpSR4yZv`3I+>emje5Fwk zWBn=WjY)ix`Kwn_u;R9ga(*)&w8X383qDm7Y{+*`Cziws8CQFZwS1bfhL4fvi;QOcN8 z9lV&k*D(T#O0IboW5yx^#Sny}u`+^EQv8R0^9Q?<6B9uqvQn_F7)dcHDOh(~1Tj6u zz%yKA3h@At2-e%?%^sGV8k;>3a*7GaU+y|=GOVXaoXd+cY#SlI+&8;QU#OND7SmAg zEb}{tJsCgcgw4blE02ySEi5mjy_Z_*__ler;X=`SLomWjwo+xTq{y?HG{nm-i^N0_ zSwusAfknn5zQ7(et+nJ@-LrZ>0q*^wjc^VK{+57nUEgE=s9y0Ym6HHhH<1Y7akWJs zSzq7dZPyR?6NflSOA)W(;5uy}tqlxjr9#P2c9B&d4URxI@hcrEKa=G8eb117nSYa( zo2wszMCw{W*`faOp7(l z_;l}-qv{OVyj(l6WYk@YHLdS#0hb4&)3x;3MWg)e7+Hn_4GI2=N1N`*z=LgTsP^|E zim%qWmm9vS zdNHMh80cXl7_AMm@4^c07Nuf)gMzX|@BA!ikx+@QF??)nfMt*09Xk9~3^6u_lb(sN zbjXTiReZ&@Q+Ufx@zY=nm5IHNIx*S2lkxEJxbuM5p+FO`d4u|ry@xtA*{pL`G02A5 z^69d5Ex#H2BjO3O?^?Uv>yJ^VHmJMuw4oc43$j*MB6Zf5^9A6tv`sEh}qZS$CQibmw+kTomfpz(` zNTt8}Sd(dc0Q?ICOM~nPgQ*NmYYro-rO`9C-vJ5v)A>q=pA6F526qxi=C@Z!D60K3 zTv}*fl{dt)_vA+M;_+{4*+(LDHj}dbbacEpQ}EsodW>&a`Rr|Z#Pwnv%>Il7e{hH+ zU|HG35tQRyCzsfeP1GD%E3jCAO#&7t^(V{i#2HcUNIeQlH6g`G>!nkE`=%c@+hc;B2=$rgYkl-WRw z@$NM;6^OpDno+on0v}nSy9g!GUrtz6OtXRpwc1?Qic)R1ZCv&WG!MzkH!vnt@=r~J z8L(yUq#(*LXM}i5^}ALdwJ9CF@pf%)rXeFS?G;LbbGVmIa>as;Rt^8IUv9S+ijYs* z3zUnZPa(XQkF#}1CTer5T<1J6%%NL%D7Dwr3-Zm&K(I%tnL_5)sj!tkWPG0!_C4mE z-iiYM6GzOMY}k*sB~Ob_p<5e&@HB2Pi-AJQCSM7zJKGX;FRyO%8|;xox>V-GMfX@& z@2PJIrRFm!CGH$#>PMWgpV=&l60w9#zcnzG`6al}(NGj4X=WXFd}EhLs+v)hWBm>8 zX_W_?Lc1@}G0#$JWO9{#zdt{B2w$hAI!Ig$Ef7WsY4xdtd;VQapEBxx4sGOzM*u=% z2qIOC@8DyCcs@LT!5Vh_B&aIFI#LqBLv@+ZEAjhDNZMp}vZS)wGv1+85dith2SJ2& z(lr$wOa-Sg)yYBM2*i*)JnHavv(+6nsAM~ilB?C9iwR~l-m6b_sEYq#hMPY9vPBaT# zH$EFMqgGYRko~Te@gmaJ+s>?(@p9oz>^#}E8P#|#GsGM?PP}DrOHd|Y9|tVe$%4x& zG|pQ{FRdNRd@n;F(kv6U*=lc?6w16vLhXieho*OK&)qMX^M0AnQTJIwQ?c*gMDblA zfQYkB1DFeF3p;mi{I)SCk}U^2ioQ`mH(I~0tN)_!6rWTYnX$>V{Cgls1d*_PM;)Ux zUhb4Gg7Wix(EEdBn8I>jGr%~KoZqF6KdHDA}P1{PQ?Nf^z zd+|MvU$w26&XIrAOd1XC0Fy~A66NhufV`E_3(~btxZF~?oEaR=9DKt#kKAnfrb?vt z+wxB{$&1?Ge5aVXwIb$q$1w2mvZWuR-D!1|*Yp2=*5cYc>uu8O19GyL+`C+xiGC<- z$XAo;I*#S&*)6!JevM-y*c zT(RZG_GsqrD@(F1{8D+{3}HiihD46^b5|%a+9d;*VxYMTvr{l526PO5rfZe3!nsyL zb{7Of1gzM}E}>%mfZ%5nm^R&x4o+o5gNt#f?G$Yc6>6DACn&v(H#tZ$x#?!ri&3uE*n}1Yspo%&AKhS-eFajK?(a0*`%s52_s<9?q^Q zr5@oTHzVDgkIqMDlkeyCM}K9abDgQ%ISZpT%nhdyQ=2WH0d+E8F#kSUJK-C#g=Z_T z3(03RxEPhK@(7A1&5;p(Oz@2PzA6ey%3k?Z5FyD?W1{_1fEXqx7 zFah=;gBI>I-XeC!A)rYcJ>VDUA!3({%|Q`D)H@OHoMkjw&#e0 z_U!OV&(qNU3U9hHJgfB-q#?Vd>l$C=&jNjK6XhO0mn=XrG}HP)=JytfLw|9N_!}js zdY`}*hs#YCVoC6=GgJ|wwo~Ek!0{u34x4%^?R}{|J=Z1wZ~9_F-jf;~f?aYjQ@>NO ze4rc}CYW}9JkFM)BPy1jogBje-MSJMmyB%|l!;B3HZJWh7`(!oquIu7UThVU*EPm59%X8)es4n7z zHV7@YxfZCrs*_IEn1_06In6qblO|UxuHx-^ewG`GPQ_i2OXyd=2M-2AMcY`!7caG= z`yCe1_x46nUs(T^rN>N6lE|oKLW`*Jc}!JI;NUSYufmz zqujo&tVpH~hs$V%e(i(TEvLCJ08*z^Lpr!@WO>x+CCBu|yDCCx@IMi|5Br4!*4}<7C=K6& zY}`4f^J}~e{Pje9vt3(A%?PMT_K`$N?ebZu5jOZ^gm6b~$arD}Ox?i*MMzLj@V8(e zUEfowfUPP+xJN6>?}i|(i&bm4!j96wvFKQk{G3p|xZ+oo7d8>WE7q4!EiH)AYd#A% z!egK~9-o#v&~WIM0?L7xtX=Y{dDNh1rGaW#QvVWp@?^L7b&+#s$X^#o1vuiZd$xeQX5f&A<>X~(Ta8Z>$ z&t+@XBnu9+I5d3RWq!yS-?bw;A@x;lZSi9fweC$;(^%Bjigp1I!T&PMh-W|xQo>Jw z-N5*CjrsEO35w%PMnfMTnPr4!kb*&>uKaWB%_l6weHg}s?#=02Hbkm)WZVr1SI!HRR}&~1cl?g<=8O0OjIs({nN zHR_78J2Il)giC}!Ld3AP-zw6MKEcP{{LAe#qMi!*=gTUJb#crN=6P<^K~*vZKc3Aa z)0i~3V}6tKi7bf@To``~U~u0;{ojTK9zL?9tQJ-!0xT*!=vlY=PjKSueIgz-@}Lk+ z^UlGg%$_*vH-XSOWR->bG!283N|ebP&COR|=)yATyGx4Ejm#<*5-ypV*mqKK=XTSs zozeaz^~|82v%Xq0vT&d-c9ba;s^n5a-e6GVI61~aU8<`;e6bhHUD;3+P;;|F8(D=t zHVkAuulj4s)5q#Dx8$8=6J@i}=kxMD)_DmIqt84aDmQJse7d#nEe=jDC$v*s&uw$c zRBovq%(glx#;1xY;|iJD(%J0t)t3BP1JF*!EbT*}pb=#v56@?0nve$_nr`!XE!~ZE zZ>tuy(>~ata(t9DbK=j*1as+SsRcUV=gj!o=Z3#%|MK^@wrDYK^j)lbBo%pm_|oYD ze@1mYj;p5IBg=I*som0KOo?UU=dNnFm9gv9g=i^bb)jFye zy`{%uNU}+}mO08&eH#gR^-u^j85tL;6ra66Gz9Slzb~|=(4twEB;mFKb_O_(Adj}i zYQ1dCSQoFLS-_r$R^31Dyd%!K^`J8+dHSXW{mqCc>r84VfKCWR4DIOz@)YNlM7|#J z>=BarES^mPn{gbq@EQXj$v6Lbvp00(jlPcSF~{@~k`T*n#X1M!G5>~!D-R_9Sc?Zr z0K9D%S%=icx$QeIYZX1Ei~{HdS7~P&k4-q%kg1g4VZJ(fs#t~hiHSYLU?IzzU>rmB z^%fvHmMn#)pb?uSHMf;_O~Y{(>q|HZ?<9X2wmGOJ5uX%!KG}l#G~s|TZ3<1XpI*CZ z=n>&viE8y1-LzWWI9-MHiVD1vsLZ6I2sm$i`AK>&y@d`A-Dtb5OFR%f@%8X69&t$$ zn&+u9ae^0HaJ=@ujy8Z z`O)<`s1@i>kO`A2P+U*83E+LYu?>^7D2fDZY zNNrvCbIH`|LV?BHejW&n-!i$Z7HLGS^^7w{REw2#-SyL=<%eL>@g2v~PL-P5qK)m8 z+DTcVLzd`RfiaQS7~>f+(8;?;8rQ@{i(gL^zw`(}dK!~Xhr*zM7IL^>#8CCmNuSEO z?=>gqhQ$%=MOTc+63WN6$6OOD9BNUn;Z7{of2FLZo4bTiVlOe@bWA#BH^GL-zY_5MsP6J9K{BWI?6I>)aOS8l;7^)y@{0h zj(SX-wU}*yCaSR!m&7(qGFqUVAIXb610zEE5N}_A&zVO+o{z?k#9_Eo&OU{L7{GY? zhzIFqW1Uc}YvDJe2~VzWdf1(#C|0Vp2wX>0L5t8_kJn=y;3~RX)|tM4t5_3-bD?Qq z`uQbmO6X}%7S$n-Ud|EHVcV%Cr@k*me?ZKm7OW%8EV|ygij}!rTHV&&XmbLnF7Wc+J?^0N{I$%=g`dx^nd$il?qmYb}xu=70@T80%B zr6EQi>)9@Y_;OUIR*&oTR1a}p?QEFUtc*{11pxz{?DWcrj6gT*+SbMBO--|@!}}t< zz30EUfcs`&7kFtlS!;|hM(5Rfhdf27?{wYdoI5nm@9iUT|DlhbX)5Y&)Uq4984+53 zt<`Yr6ZQP7Ba%-)$F@0#g$qYX%oi^?Qf`nM?Zi^uOIuSmIRYqIM937hk|UHz{lE@ z0QswuC~2GG7pf;sHPxZ}8#^O`9|0*ZzpDFHkD8=`tXgjCwJ$Cf)!2#sSfcmcd_R*` z9;*kX0XqBYDZ=%J-6uEmfgjuEhL|^k^L)kZ7*48WS@wEJ9q$dCT#ScNVNpa3U5pN(9(UI7SQ8;-M^9( z{dFV?xP6;{GgCx1ES)p$USbL_Yg77kS`yXyZRQ7*QsI#|L6^FAW~vU50ol?HWszRbw)-o%W2 ztH0K8L@Q=eF%RzQTeGd&Enq46xveTnl6mgCmeE{7wM_3mXpf77fvSKRc~o^*bzZ4C zorlE9@D4~D!h7Lt=&*8aFJlb2a};}P*I}-2Xjj8Nw1q8t$r!u8DE4@#c1>MWsRB6m z39q3Z`uYtw7h0N-(X#f~wZLPS!q0g)44Xs*?f;+8RoHmL;@| zuUcA|ZI66vLv1Jb@;HYgZH652OXA|B!*t%>9cJc6){Gg0c#WHU*BqWOOy;ioc|TAW zgGcL&{}2UoAs3r}IvpjYLf4z;U)-3?3dt~+2ozZ+3D;UY_zN1xiBG)GFA92xQEj9P z^^(=WFp*xlMh>a*e4-j?@5@U)@HK0>LV6L~TEA*+gtF%ScIQ`aBmrt{E28I$;P8J?BImeYaeMl!qRe|ZNQeV{S_Xr})`EjUo z%yE&O<9rj~D(zjf{jU206?1_=Iq#9K;@IU5F7h=|izTN+$a?{^d33vKqN{tO~p~PmIPhhw{sNytS^&_q1)`zmH_D#71MEMoX z7Hs$zm_r{Q%s1FW6$qnvqU_{Z?iF4N3T-P<{S=V#LPD1u z+aY?JNyN_Xg^R1M{$$z5>gUek{i9%9eTN!4L!jJGbGCaD)$^L*>B*zp9TeJG7^ntP_*ieZ_?Le~Og=A`2F`0rRz21~|c=)=bkx4}%r>{KKR zu;&dQ0`oSR$x?s+OuDf})lfLQ3na|?49-X3wf4+*a}fA`(qh?TST0HGo=q+Kk%oQg zYO&zo>AS<3!I0^xI_Sm+zSXfs!M*F=uz>N7Q25hhym#?QN5y^aS2o~D*(Z9Ud(h9q zX)%A4;rUKyJ{mn^cXpYhU80?G!XBjnWmi_J?4Q5Wk#1~3>H{lyR-rNP4|GI3!Y)2( zX6lLeDgJT2@CCgbm35)LVgaJkONYze=d9DY^aF2dB}eum!W)q3w6UXFS4?4!X?bn7O%hD@F*=vA?uY zFUkMdX^lOh6NhJvtLpYq;x4}Dm^DLG6Bbf6aul@V2*WBy!H)WwPH<)P)JY-r4 z4U6RNlNTOmJ%9$?;5|9QWT2)M=H6|!KA)TZ`zkUd9ITrmLU3>oRexsW4JxIqgQc8w z&s8Ou6niG`Fgy0{%DfT=cP+p==kIa%WchV!(2QcTd%vVzLGu;`(jN3wB<)*N>_gzyuqQax@wvx39gY6Qdzw|1*34;W)dP>I2PJtHOVP#?}O7nhSz$S7SV5nCs? zLN%R-&ryz#BwPyo-KuZ8T2hMY5sH_cEp1G->cG5+zp!|6j75w>23#%Lsy35o`XIef z>%n}X+XpA%7yL$DMQJ2lqxN=VU;rICRhoh>m7C3Ve6-$Rp=Bz{JMJqOhqgr;4^@sR zsdAb$t}%5>!n9+?e1d}z)qW&K{*5_3I+*f1Du-pw$>(V;5XkiY67-%@eLBI@D7sOz zOX_PpGn!7Q<^@*>FoqoFE=!a!vkx=Hzrm6|Lx6ffX$j}IbXzdvy3U$B6pAR7V$|6z zsv%LJZ@mSV2r42b1(-uja~j4ZqhXZMw|6KFw|ie>=gi)Eb5W@bVG)}ywnkoXw5q&s z9SDRP@$1rwhkHLSEg3AeM^-^p7xQC>D{1X${Xf_2<^v_0eQGs)6!suv?T0QR9OnWcXH`(k3smV$gZs1y8H{Oi=2X~LeXSz;&fKZzG49M+(I*y2%ghu) z3@gf<-SDESAhCN7C`2o`Zh~<98?aBAu!b1bb&1ofmKV?(NDRGi1GbZT2p2j75Wtmj z9yG43TpbT}tSV*dlg)i1`3=t^G6>;Hb?N*t9Ju59-A{9GMRZYi#RC2lYHQsSBnYKy z&orT-RQz!w(DDiNTj~8q+02XAaj=m^?>QVGt}dV=U?ad|8Y%XDZcyK2Z4v8eWR~#p zHdG~X1eV4vXjed~cvURPS!$(tS%rkm+mPnJDs}NsvHk|d)-9{s>_VNy)6SE|S2B%h zsP~q;(i}+65^epj@H%gq{(6oeV;{;3O_F1~GI5g*6bnJl=@L6%a;@*4Ka5>e_0y<- za(tu8{f|w%gyIjR^VrC4U>*8lYy!4EX9H(>UHF}u7cwd5>jCK-+-#k1ka*xR;}OQ< zNJLe!K_KA*3k;M{bPA2S48wl%N!*vEf8Dh|DQh@ch;(~ABTBznkv*o)QFmX3&HI;Fe;gC!0U>UW0RatUB~D|erAY4DmkS%1I5%B#dV%Rp z>H1w#1e_q=!?QTe*gB)IG-Obi7zYe33UpZzZb^_FSNK8_5+>niFMw+RsVzuKG z^ZhR?KkT7nZa%?2)ZyCe&zjD%jXC9{J~sK)(C?4qGtc9^Fe}@!(DE_c#^re)%}6U! z12?`<4$Vl5v3o^J3MGnv4pI9iieo&cE9c7$uwSrQrW;5n`J3a3d<53q4^@R$9UgUA zf8vpTrZPZE_F(ui6K3|{)`et2{_x8O3Np(Kvh)eFH;^+^v9tAmEk$zMDKl{f(CL@s zQEn8)OS!ixiLSV77yJ8M@D_35p$hypEd#4>^B-99`31_-t z6<*Sd9L*aChV^(d80@KzO#4aYQiXY;4|U_T8>Z=tRHJX&n4KZHWaupIkK0$`V1I+I zDR?jix-e?P5noDVe^D@YE>$f1pWHtIsK{7RSTe~Z^l9AGLMNrEx5am@^bhuYyedhi zJLwpv8C~8aO_vQGt$YZ1`(irO(nU3@Ab+Zi;wQ5l1@tSJ6ET_N}>NE37VSv za#8)L#Snjwuig{C2lswfj7e2xwb|!`!*lCVzbOmr`+_=`+Jf!FQ8J!%gyifC@Q8ob z>c6xR7n!W$Q5_BchMHdDh{W{NR#%lkwVDk1MG4*~7bf3Mv}Vc6USX(iqZ$Dyg?=SGe_z$9F|~Z`miwFJ#YJ@$-*v+^$gbEaMOi{p zc5~B=M(YcPg;J)vYFRe*C?(S$FGD|{^!fZ9c+wjkgaqe$4LzS|l7kn4Rk76M4{)jx zA<(u@MFheR#2_ckk5E)*P*al;S}M`5ovv5rVrNBbRO98fc!e#qgg_aq925mb$Tv|k z8G!`<4*O`g&D3!X8!3JkI(bt~na0k4TisgK>M%6guQqW6F2>Jo^cG#$dNYy(KyrzX z%{!r6CwQNcXh&K5POz9iukEdECNeY@Qf=-L^0ZocH* z`~KD5i+!|6@9L{b9LCn;Y*U!CDHLm;68|xs-d0RY~9Gy}wF6x>n;a zbnhn@BA@Q=M*XrsllAUv@1y)8NLU8Fi@i?ru`EkmtcmjycZpRdvWrVD)TK(f*4l+J z`TVP~k3MBf7$A;X8IP+tk-yfinFL{d>Jp`6BD_FwBY#BzIMo^CO&^g~uHEd#_Kz4z zE|B0bb|i+JIq2bSd%&fHs3~h2EE(DV%{UbxeP~ql8W!x_ALY<>cO?|J2H6< z!kIDR4S<8hd(-IsnZ(=c4(aX1SCu=o@pu#+93(*>J)GKa?lnRw8!+k5={u`6ceTw( z$^`z@V(gDHRS4z&(tuFGSb8mW-Xk&}l}Z7X5CQjJ=~=#vK+Xv0J~4h5F@e3?pQdLXBW1>-+jOb;>#tN)wSlpu;y>YS!Hk-LJCnofUAY za~_xajwnB7LD4Vfcef=aill*^*5lzl2d z9Uq@^SyifzU#e{|wDl8~`%h~*dU145%wVXR(RrNl zB`$I!LC;qFSYyJf@RL>X8R+!;sOLpdRn0i}v^QtecOK{*35%Ihqh1Sq^?&Z|B)(6q zdE)aENsuof4e9+d7O@jn#R>rKWtr=reXPkZ$gP0(j<7f~Pg(0{)`~~o%EQhpdzerr zbOkxwZdK)#NQ6MnLzMZ)uJFqbQaZ|$l|~Eall4Y{yiWqir=c0cV0_4c+}sd-WAnL( z@*S$u*-A^pyH1{2^YT~MUel7A6fbnUZnsj0u3$p8qXFM;PC!2#NHa-^hFSVzLWA(k z;TFEsNxTS~S#&lE|DQta6!YgRc-BjT1KMQtHIHC6!nC(o%5MH6S1e05`|m6{FnsFLp-HFhbJi4RTDxDw zi*+zJODha3s_2Sp7>+*%v4IW~3V3^`C2a5Xt+-07W2jaZ~@+bV6 zf1kn)SGb_ALLwLg4G1j;o~w52VD^%bPT#vh=D7#msUEM)>Y%^?=dXl5x&&yb>B>eG!x3qAL$aK1njz+ zje~lip`H;#9$+R$;T$Y(iN${K?NkQoa@5(-CYH4;wk8PF0WjEFp8wL+?HdquF?wb0 zIgdpzfgm}9KRZ695aIb3Ke;;txY)=~FKPDTx572hmXQ-fgGxCWbugI*6P|xR2EFju zvF8ONIAD+MI>!7ns!bSE-PKSi~f^5_5O0lpMf<|I4$J^?!7 z*z`Mtpj_MM1(M%gjw3&VTU{z-%V^bkzi3rdtMa~HI>BokO1;Vg26{koKSjTAVjyqY9Sq zU47HA=n#ZesvE^2#|{IMld}1)&u$|>FM+FcKNj&9DVtP#vuUM)5yMTiiivDV79H#{ zH0;S-9snLPKAgIj1#WT4G|m-D4BmQoMM~6~aQSTg;r%D@{l^2O>a7W$_%)j5ctWbJ z*ko+7F>YW`a%>5|^2;OH4mzmr$TX#)1o!K*t!fseUfrT?pA#WvJv5=T7&fOrNodQy zsckl-pZ!+6Oj!l-C=lDS&g6X!zx6vB2af0x!BC0vv&z_WdM{a6st-!Lh7{0^-Ue|L(w{GZVTCCRcXAu%`-U=so`DB=zie zHDpZ=i|2>ruc#~fZl`rHY3En{<;@Q}qVRrU{gzgeMy%DY(a2&!VKYF;lWYHjgNbq# z1oD%S_~?r_oEfNY^j#eWv88xfGEH<7iM0l5aRiL3`&txfor+&^Mn>rPwzi@s-7q)S zP0k8}mE#xL^a_S}79E&51M6l+@ks-@T5my@UgJmsdoA}N+U}z>0x{!}FBX;|k_shW z`Tq<8Acqb|#+DtJU=U>S5Pu`!Vpv5YscA1!z{0rfgDo_x0Uq%++zbhf#~dH_=9@P} zFt|LMNKm*gr4n)8M4NJ567{aCAi0DGAyUCKNeL#!2nceM5S0mi0F=w4;Lht%iwZh; zi^X%^oBNO+u_1O=18?b(Z~@4?Ai%sv!$#J<`|UO+Y*y{VMYW-N#oFj=k>LW)8;RM0 z@02B2IxY~LAUCaGUQZG}T|^r+ogF|JVz4Rt!j=YpqL}8R4X{u4_4}@ zTI26 zebs3?y(Jk?-Mc=$tGIu2(ow}ygqRgPMP=Q0trjl}3`qOM@f@v46V|d1;=I7!#bC@y zdh*AbEk|9HVNv|j;!^?muwtFio_JV=Ax7;9 zI{)o~PxS}6Z9r||IOpn2mp_PVE5ywa)ljQGR5BQfPFk zTDlBwJ}ezBpQ@<$gJ2|qQq7bTmt5VFIanx?;KZ7P(LqDWyY-OW$iYB)2(ljxVDM!7 zAx7MJt%n4VM?NK(J^&u%jCT@xY1RT!-ZRcQT5#ZkaiaB0)>~pmeZi{` z?;+g@gRQ^GLj}hrFr9Xx!HCQB4T18=)89y-K$x{M-@Ga$v#aVb&BfktqG9`&WA?Pv ztH-#>2uNt7tqwi}+fT3;y+iibK3{l(Cs$7Vv-hP>;(IN=7B)@*1O~1gKmWrB)p^uw zUhJ-=Pbw;Bx{4SN7A%q&-B4c>*`k(hNSN^;Q4Upy(|(*qDV3y`v;AYx>cP=Wn42)k z)!7duI#HJY**`zyGts5xOkt&qd8+&_Wv^-pi*YjxVGNqW->hGLpE+=G)?Fx0lwlA2 z1EZ%^(s*;_0x#vHVClYb0iVPd_EG<1u@W;5zh(r!A1E|&R>u>3to5$YrQK%_QG|uL z{N(m~5!T+*0-f^-T;OC7r#6<9wazzyxoK-mox)^1*PKtt8gBdg5r&SAcWE z2Lh2-B6Z7V6s)(*^&eKfN3C=!X3g-&vwxX*t;)UZC9VLVy;H5 zJx3dMR>n39lH<#qS|WgNsY4J}?jo3!ztY#U!($?!8R^5^M+bc%->vF}FsZ0XYZRNl z$};`7zi<4J5=M;}-gAP8edj3S(7ul&xE>bn4CR%QS1C>vefAwAohEh4(~YTnx?1j< z^UUrRtC6~N1>lx+8U+2Yh<8nwC`u5mb199#_SZxwFxX4v&!HLG&#gvKO&VMr>$=ma zGZz|RTJ~rY@!|Fc+CA}_!c|5kuipIPCK_PG+x&n)=y}E9~wJuaGtUqqftP`k8L zNHDQCAQ7TvLCQ^u-IoTs#C|FDb72-+q$PJxW+YjV0h$$i;fzz5ztN%~5XDz~_CuP9 zNw)MqEhjIzWCe5ZQ6#aLdQ@pQKU6jv4Vd~ulpPFT{&f8=!uNsYSl&@61aV#^215U5 z&f6E*&DP%}B`RdOMz!}NW_?TXQ67>5yHUUUz-4uob9%}5`gUt;ZFt{k>Hg$L{YC}n z{hu}9wtqaMLZ$8Q;!I`dJjN4pMG`Y?-ba>eh{(~^{eZTv`vRLV|_(7YmJNaob z)t$%v{5arwe_9`7)Y@`+a$>9ZVc9o@aC4_;*{|aMy#JVL4Nw~2+So`**%&?r|Fihy zE~U8QvaL{ja~f>CG9nCczxTMinm!7-IYGHc`|k+2AXc5qJOc0txRg5*WECJ|MbLYT z5#v|HhZJgbL~lj~aCz}3;$FnZ@9JRda98G1)9G;K_u;k5zPQNU&Tbcf$Z!0T2ojay zo}Tj_DsfS<=9Y{7ALCuq`+nCM{3;=E@IexaW_63GwjH@Zog>I zd;y-#(+2p3T4@ZWOm;Q#t57Yqc=}BbHu6_wFSmI5UL8l)F*EklFfvUHN|qyUT0*Ch zT)7P?NIn!~oO%wvGN6oisFP(zLsQ_N&wGLrP>|7L;AoUfZR*UDgbNT@V9(IL+0JFn z#r}J2+!)jHbVksB2xE?HQ@1i$I+#!HNahhashxSHiw*(l-SaS_*&M+m`sy&30-4&V zk963C_VRYFrujtVSu>rTk6r|4+f|B6*^Wqwe%K|o8#X3CQ5`;bczMLTnfp=6ZbYiZ z-t_~e-gq!Cd5dNKG+=jD$SJ($rcH;!If=qO@*@F~ldr@|NzWXH7Q> zH4Uf@!+n1IW|~sA=B4Z}I6Gw?(fuVb7^8^NI{jOJyO%^X1$Yi{sz}QEc86B|*d1G@ z>Exi{Z46w}%l-1yA?NAbS5wh%Gmkwh?;kzgO%rFQeM_Jg5w0gaL7PWRP{uoulateL zG9L4~5zgmUq(QC1@4ha?oTS`4A^+J~vOD3m((jfqr+3h$jAs}me{StuvaL>knKtu( z#AWCfJ9PV`vW^U73ap;ngk`GvYEtHGbK9BB5VF}H%>@fFit-|-^BBeQM$-WN&toSC zEDYc9OFe%pXr5n&TW`isevJKzuh+o=+FMZ{(!9F<=-deRH6oGvsrQd92s| zo9iXV$xO5Dk5Ar%e@##~Ql^$;BnuVzF8mhM%&j;h=mk%UC+l*!5?||PqT3K8uiqr6 zK@*Qoj7ofpX||Bwh)`6=^Ic?Y&5F)X_#GUc`>wQiF}9qilnaNssQR6DDb#{QkUWkI zn#iy+CT)-&`ijWOhL%IAvoP$Nbmv>rE4W=!J-n0`hm@Maffj0>^~}ov2etZ!`!IVy8g(VVlwsN;}NpnbZ)J8Hy-nKl-Aunu#3KjTt;-<*Q9*OdZ@}Pp>O^#ni&J;vGhWbv?|5jA~fIFH2@)=gA-^)u$q*frC4hNS@aw9I405IZ6%$55IO(_frE&_7fOuZ8{?ncdh%0#S!C z$E1zHhZWGfLqk>FKUC9i3b^l_G-ZVTETaquKNDC8OS}2=Jl?gtE$GnEl4X1Gu8ZY%6-D?D_x`Pa}b4mgm9`VU(|UGAOz z)P!8_Iii49|6W=DEdRWy=ejG41pd#ZfpDma#gU`M%^#KzKgsUDjZtSE#+2YfYrET~ zE~d%u_5K*y-q=O~Wrr2KcTR;;P;#(|YFb)j>Y~SuU@HOcsZSRoidIFeU}FtSfygbevrKbCiEvejR!N6 zUR5^%$YhEw&p*#(i{&88Ivj@6qTOvrwBL;3j$V)q-jYWFqYG{SF8eRvby?j+Fx(4- zl~ps`=l#E~t~?s*@BPo9B)hSUA!V(;*0BwRu}7B3z9n0PY?D1ELzZMl!pvBUQBsJ) zAUi{4CtAiflZjE5vS#~E{eHjC?{j+oxc5HyzRq**>z?;{z0Y~h`~BQCMaW^v8~6dz z7@hDE;7Mxp-ZT6EhITMtkVH{AkbZ!Cv)wBY{GM@?cY`?!;5;f+_u##y3}nk%HSA!6 z)Hc1{`;nu^_XlRoepL97h}1jx;LqAlZ(|NvBUb9#o(O}qyPXqt=IZZZ!J>5>3BD|P ze{dUy`#ph7TnoO9j8*XHvBEp#y(M`2$W`7ebFCd$yH}P|^9ohAJ3{PyZ|v4d?ElU& z+W70?--3X`YAqS6@f!ZBUC5{F?FK2ZCS9m&_oz{mJp~=r-uhJv=gUVRnCx zOFMgey0OutgR3xt2n*M%YXqJncPw;?Igl%@_t9-N5dq}u&GN(0$R&-g4YUeNjJKx4 zaQ3a}TRrqE6|2v*var39VBh@h-Qu>oThvx>uM#%1jU7_{bT|~w42}VJ`Q*@^gA0GB9@MPAJ zfRe0m=?XqOPCI71go<`65kWbp7mxi-RbN~U;7L68{F$_~luW#j>P=-HiH$)4beH;j)#`G>6JSA6mDX%y7XCh% z;-Y`0`AaFE=$3iLzkWuG^l>4Z$M$JXiG-@@SeNS7rm&6a{1|Dq4Z7qtq3Y3g4OuAl z{do;nlV7PkTZgj`vs-NVa%C6%OYSz@GJ3!G)eMWd^wjwLWA0I;-la(9_%XuOo4Pfu zqhk^nss7tXEBLH05nSY|udHZmUbJ0QcxxB4O7pYG3CeLTgWVN1`uWi8{!>+OE^XYX z>u6VuO>um^l1^#5TKF3>GSTCy=SRN}R~>`tOBoDN)H$)E{c^)Rn)}vV2$h{XS}}eO zcmG!>H2votuZcx=r2FB;eO$qqyx-?CMIpIKn>S%`*sG02u-v6*%1uxM*FC;iTz?fVmfdl4@4vN zsceQLL}m%J7;x?!1o@zC2oelpvD2gx=)~yHrNnt z+rs%x%r;kigH*w`ESeozS$I#pLJvXH3zSgcHEQlJGmwN=_5HSV?f=He|8=mc*ZlCW zM4bmexMv!?BhW6rVMF+7dbz_=a!m(X+(5M9Fj8v}m;71$Q;jJXg%emaESkMlyo|7D zQ0gYgmCI$%^~28HA*>-0|L6oc3YsA=syGN1w8T++7`%JaO$XLII~h|S#&3|=3z1!G z`k6;LgI*6Ky|52z!!qznQV-agG8Yl1+CDNSZ_RnUWsWI>SlkROWC(<(1AEdYnUxL^ z)|x~LO0Fu?QA=9oG+g71bh?=-F3V{RESjCwQzm7e78wF=CO1q$%yOGIv~67~>aFlI zU-Ra1`zY8%*-lOag`cTmQl`7@YY{|SB2%ogk%C0+T)VugL}6|B;I^%;8g;FG_*|(L zGN78Md*gUszQu#*(ZTc!p=d~nt}WkU3gU``8GmQ0wxOrb72D^^&(#Bi9KFC-WWqVW zjj$!e7Id56B2%Rb(=f3G1eLW=%!8Y$)nIh-&-$EXyX5!D1DpXIF5r6AKp)2dM_)%J zIS4YBV~JCQL!`R#r47fvZ1Nt+;P9^aVfS#GXCEB8zB2tsrGJYw=(m9Xk?vMhFk0H$ z$QCq^1}QmmKl-|p^?SZ>!-O+@(j`THr#>OrX^~ShZHbX_7rbq~s?PlY!o-x^Z|=(v zN;Dn_=HnukG9B7nyto1yNY{|BrgD!ooYB}MQi>MZt3Ps)VUfgMm%cf!VDZSkds-ccIdm*2g5U9rNqxp*|bpyc4I<;$^Wf-uzn_r9n) zufSmStTfu(^NOKjM7@%^8FOR*Ys%!UrAhr8SCn{i44JLXRB_m=+GA13-GvU*@+VRr zrIQNXx10@+jvM4#UAm~ReVJ{8EHiG9XBEtp6tl;t;Ad?_ID&m`^XgYoDZ2a=J_`d@%jV;N{me%X1?fm{p?4&rdLz?pY=`<<#SF z<{iyhQAm+PHz+FVF~=p-xyF-wY;R9K^eTiQ3leAF$8@KwAtTWIvg2bYrQQoNJAHB| z%}4_(4j054v6^RqD#$?vc-6dcjld^|N8tjW>>v5;6*cQ83Bfe6a+Bm|7| z&54Iij^iv~tTVA7*qG#CqeuJn&J=gCGjt(6t#@l>BW49OnBSPpk zVN;d%pPYVc6*y|(5YsYoxU{{DofZNLHT0bY7I3kg7;utp@Y4XE1tJrb`0vbE>~RxT ztd8do9(63a*6QcUPo=orSW%adCsZmQv>Z|Q9@+j7YuQ$W81rt#mTevR#GiAKmregm z0ww3nxVn+z&Rh3WLynJGWMz+>_3wD-6A_=gn)l;kS80|1a6N#knWdaPdG?c&i_JaG znFMc{5U%-di!$k#%jFG7;ymf0UKYo z8jgkKFiPI<`oZgAS-?H1+4{xU2 zt~CsKtES|#7+5DGCFQ_Y)Zet9)Bl0Bfo=h$Gz}E#)=#^wEl-%@XJ=|9H;){ZJ2qrg zVvtb!12RLV$z~gg<9DUjO$#32j8!1+t>M+hw!+2Ze_N6`7u2#){|yMX>X5penEm z3(*Ctizr*p^~POhg#88pFp_HjvKnlFE>KEz$SR?kz}Sx<`tQ(gPyU2%*99s9Vc1n& z;3?66F0-om8+@vR0fu0W^?*Dg|5H>F0C>>>{EJf)HdGHNEBa50FAM-+aQ~Mvfw4qA zpe}F%yTj<1ij}aL`amhHyFOzNP%K6tcuwT^qk0(#0C+L|%_t1}MIWdG+{H>7040wu JYBNB9{{q;WknI2f diff --git a/BIN/ASM.S.DIR.txt b/BIN/ASM.S.DIR.txt index 08acc4de..1a8a096a 100644 --- a/BIN/ASM.S.DIR.txt +++ b/BIN/ASM.S.DIR.txt @@ -180,7 +180,7 @@ DIR.DA jsr SRC.GetNextCharNB *--------------------------------------- DIR.DO >LDA.G ASM.DO.StackPtr inc - cmp #SRC.DO.MAXDEPTH + cmp #DO.MAXDEPTH bcs .98 jsr SRC.GetNextCharNB @@ -202,7 +202,7 @@ DIR.DO >LDA.G ASM.DO.StackPtr lda #$ff -.1 sta (pData),y +.1 sta (pData),y lda #$FF >STA.G ASM.DO.ON clc @@ -212,10 +212,36 @@ DIR.DO >LDA.G ASM.DO.StackPtr sec .99 rts *--------------------------------------- -DIR.DU clc +DIR.DU >LDA.G ASM.DU.ON + bmi DIR.DU.ERR + + lda #$80 + sta (pData),y + + ldy #ASM.PC.DU + jsr DIR.SavePC + + clc + rts + +DIR.DU.ERR lda #ERR.INV.DIR + sec rts *--------------------------------------- -DIR.ED clc +DIR.ED >LDA.G ASM.DU.ON + bpl DIR.DU.ERR + + lda #0 + sta (pData),y + + ldy #ASM.PC.DU + jsr DIR.RestorePC + + clc + rts + +.9 lda #ERR.INV.DIR + sec rts *--------------------------------------- DIR.EL >LDA.G ASM.DO.StackPtr @@ -231,7 +257,6 @@ DIR.EL >LDA.G ASM.DO.StackPtr clc rts - .9 lda #ERR.ELSE.WITHOUT.DO sec rts @@ -243,30 +268,15 @@ DIR.EM lda #ERR.MACRO.INV.DEF DIR.EN clc rts *--------------------------------------- -DIR.EP ldy #ASM.PH.ON - lda (pData),y +DIR.EP >LDA.G ASM.PH.ON bpl .9 lda #0 sta (pData),y - ldy #ASM.PC.PH+3 - ldx #3 - -.1 lda (pData),y - pha - dey - dex - bpl .1 - - ldy #ASM.PC - ldx #3 - -.2 pla - sta (pData),y - iny - dex - bpl .2 + ldy #ASM.PC.PH + + jsr DIR.RestorePC clc rts @@ -274,12 +284,15 @@ DIR.EP ldy #ASM.PH.ON sec rts *--------------------------------------- -DIR.SE lda #SYMG.F.RW - sta SRC.ACC.F +DIR.SE sec + .HS 90 BCC *--------------------------------------- -DIR.EQ lda (ZPGlobalBuf) +DIR.EQ clc + + lda (ZPGlobalBuf) beq .99 + ror >STA.G OUT.bEquate jsr SRC.GetNextCharNB @@ -291,11 +304,7 @@ DIR.EQ lda (ZPGlobalBuf) jsr SYM.Acc2Global bcs .9 - jsr SYM.FlushGlobal - bcs .9 - lda #0 - sta (ZPGlobalBuf) - rts + jmp SYM.FlushGlobal .99 lda #ERR.SYNTAX.ERROR sec @@ -407,7 +416,6 @@ DIR.IN jsr SRC.GetNextCharNB plp rts - .9 lda #ERR.SYNTAX.ERROR sec rts @@ -475,7 +483,7 @@ DIR.LI.APPLY lda #0 sta (pData),y rts *--------------------------------------- -DIR.MA >LDA.G MAC.bAdd +DIR.MA >LDA.G ASM.MA.ON bmi .9 >LDA.G ASM.PASS If Pass#2, ignore @@ -484,17 +492,20 @@ DIR.MA >LDA.G MAC.bAdd jsr SRC.GetNextCharNB beq DIR.OP.SYNERR + jsr SYM.GetName + bcs .99 + jsr MAC.New .8 lda #$ff - >STA.G MAC.bAdd + >STA.G ASM.MA.ON clc rts .9 lda #ERR.MACRO.INV.DEF sec - rts +.99 rts *--------------------------------------- DIR.OP jsr SRC.GetNextCharNB bcs DIR.OP.SYNERR @@ -527,30 +538,14 @@ DIR.OR jsr SRC.GetNextCharNB DIR.PG clc rts *--------------------------------------- -DIR.PH ldy #ASM.PH.ON - lda (pData),y +DIR.PH >LDA.G ASM.PH.ON bmi .9 lda #$80 sta (pData),y - ldy #ASM.PC+3 - ldx #3 - -.1 lda (pData),y - pha - dey - dex - bpl .1 - ldy #ASM.PC.PH - ldx #3 - -.2 pla - sta (pData),y - iny - dex - bpl .2 + jsr DIR.SavePC jmp DIR.OR @@ -570,6 +565,46 @@ DIR.TI clc DIR.US clc rts *--------------------------------------- +DIR.SavePC ldx #3 + phy + ldy #ASM.PC+3 + +.1 lda (pData),y + pha + dey + dex + bpl .1 + + ply + ldx #3 + +.2 pla + sta (pData),y + iny + dex + bpl .2 + + rts +*--------------------------------------- +DIR.RestorePC ldx #3 + +.1 lda (pData),y + pha + iny + dex + bpl .1 + + ldy #ASM.PC+3 + ldx #3 + +.2 pla + sta (pData),y + dey + dex + bpl .2 + + rts +*--------------------------------------- MAN SAVE /A2OSX.BUILD/BIN/DEV/ASM.S.DIR LOAD /A2OSX.BUILD/BIN/DEV/ASM.S diff --git a/BIN/ASM.S.EXP.txt b/BIN/ASM.S.EXP.txt index 0bf4b30f..c5d32800 100644 --- a/BIN/ASM.S.EXP.txt +++ b/BIN/ASM.S.EXP.txt @@ -51,7 +51,7 @@ EXP.Eval.Next lda #2 cmp #'.' Local Label? bne .12 - jsr EXP.GetLSymIDInAcc + jsr EXP.GetSymL bcs .14 jmp EXP.EvalOperator @@ -176,17 +176,16 @@ EXP.EvalOperator txa >STA.G EXP.Operator - ldx #5 + ldx #4 -.8 lda SRC.ACC.F,x - sta SRC.ARG.F,x +.8 lda SRC.ACC.SIZE,x + sta SRC.ARG.SIZE,x dex bpl .8 jmp EXP.Eval.Next0 *--------------------------------------- -EXP.GetLSymIDInAcc - jsr SRC.GetNextChar +EXP.GetSymL jsr SRC.GetNextChar bcs .9 jsr SRC.GetDecimal @@ -199,12 +198,18 @@ EXP.GetLSymIDInAcc beq EXP.EvalExitSYN .0 is not allowed jsr SYM.LookupLocal - bcs EXP.EvalExitUND + bcc .8 + + >LDA.G ASM.PASS pass#2? + bne EXP.EvalExitUND + lda #0 Mark ACC as pending + sta SRC.ACC.SIZE + clc rts .9 lda #ERR.SYNTAX.ERROR sec - rts +.8 rts *--------------------------------------- EXP.GetSymG jsr SYM.LookupGlobal bcs .2 @@ -214,10 +219,10 @@ EXP.GetSymG jsr SYM.LookupGlobal clc rts -.2 >LDA.G ASM.PASS pass#2? - bne .99 yes, undefined symbol +.2 >LDA.G ASM.PASS pass#2? + bne EXP.EvalExitUND yes, undefined symbol - lda #0 Mark ACC as pending + lda #0 Mark ACC as pending sta SRC.ACC.SIZE .3 jsr SRC.GetNextChar @@ -237,33 +242,6 @@ EXP.GetSymG jsr SYM.LookupGlobal sec .9 rts *--------------------------------------- -EXP.SetAccA sta SRC.ACC - stz SRC.ACC+1 - stz SRC.ACC+2 - stz SRC.ACC+3 - lda #1 - sta SRC.ACC.SIZE - rts -*--------------------------------------- -EXP.Compute lda SRC.ACC.F - and SRC.ARG.F - and #SYMG.F.RESOLVED - beq .1 - - asl - tax - jmp (J.EXP.OP-2,x) - -.1 >LDA.G ASM.PASS - beq .8 - - lda #ERR.UNDEF.SYMBOL - sec - rts - -.8 clc - rts -*--------------------------------------- EXP.MOD.8.3 lda SRC.ACC+2 bra EXP.MOD.8 EXP.MOD.8.2 lda SRC.ACC+1 @@ -281,6 +259,25 @@ EXP.MOD.32 lda #4 clc rts *--------------------------------------- +EXP.Compute ldx SRC.ACC.SIZE + beq .1 + ldx SRC.ARG.SIZE + beq .1 + + asl + tax + jmp (J.EXP.OP-2,x) + +.1 >LDA.G ASM.PASS + beq .8 + + lda #ERR.UNDEF.SYMBOL + sec + rts + +.8 clc + rts +*--------------------------------------- EXP.OP.EOR ldx #3 .1 lda SRC.ARG,x @@ -308,8 +305,12 @@ EXP.OP.AND ldx #3 bpl .1 rts *--------------------------------------- -EXP.OP.LOW clc - rts +EXP.OP.LOW jsr EXP.OP.SUB + + lda #0 + rol + + jmp EXP.SetAccA *--------------------------------------- EXP.OP.EQU ldx #0 ARG-ACC->ACC ldy #4 @@ -334,13 +335,17 @@ EXP.OP.EQU ldx #0 ARG-ACC->ACC .9 rts *--------------------------------------- -EXP.OP.GRT - clc - rts +EXP.OP.GRT jsr EXP.OP.SUB + + lda #0 + rol + eor #1 + + jmp EXP.SetAccA *--------------------------------------- EXP.OP.ADD ldx #0 ARG-ACC->ACC ldy #4 - + clc .1 lda SRC.ARG,x @@ -357,7 +362,7 @@ EXP.OP.ADD ldx #0 ARG-ACC->ACC *--------------------------------------- EXP.OP.SUB ldx #0 ARG-ACC->ACC ldy #4 - + sec .1 lda SRC.ARG,x @@ -382,6 +387,15 @@ EXP.OP.DIV clc rts *--------------------------------------- +EXP.SetAccA sta SRC.ACC + stz SRC.ACC+1 + stz SRC.ACC+2 + stz SRC.ACC+3 + lda #1 + sta SRC.ACC.SIZE + clc + rts +*--------------------------------------- MAN SAVE /A2OSX.BUILD/BIN/DEV/ASM.S.EXP LOAD /A2OSX.BUILD/BIN/DEV/ASM.S diff --git a/BIN/ASM.S.FIO.txt b/BIN/ASM.S.FIO.txt index 90e9b6e3..c97718d3 100644 --- a/BIN/ASM.S.FIO.txt +++ b/BIN/ASM.S.FIO.txt @@ -111,7 +111,7 @@ FIO.OpenFile >STYA ZPPtr1 ldy #SRC.COUNT lda (pData),y - cmp #SRC.IN.MAXDEPTH + cmp #IN.MAXDEPTH bne .1 lda #ERR.SRC.TOO.MANY.IN diff --git a/BIN/ASM.S.MAC.txt b/BIN/ASM.S.MAC.txt index 539be58d..1a9c07a5 100644 --- a/BIN/ASM.S.MAC.txt +++ b/BIN/ASM.S.MAC.txt @@ -5,7 +5,7 @@ AUTO 4,1 MAC.Init >SYSCALL SListNew bcs .9 - >STA.G MAC.hMacros + >STA.G MAC.hMacroList >LDYAI 256 >SYSCALL GetMem.YA @@ -13,16 +13,43 @@ MAC.Init >SYSCALL SListNew >STYA ZPMacroBuf txa - >STA.G MAC.hBuf + >STA.G MAC.hMacroBuf + + >SYSCALL SListNew + bcs .9 + + >STA.G MAC.hCtxList + + >LDYAI 256 + >SYSCALL GetMem.YA + bcs .9 + + >STYA ZPCtxBuf + txa + >STA.G MAC.hCtxBuf .9 rts *--------------------------------------- -MAC.Quit >LDA.G MAC.hBuf +MAC.Reset >STZ.G MAC.CtxID + iny + sta (pData),y + rts +*--------------------------------------- +MAC.Quit >LDA.G MAC.hCtxBuf beq .1 >SYSCALL FreeMem.A -.1 >LDA.G MAC.hMacros +.1 >LDA.G MAC.hCtxList + beq .2 + >SYSCALL SListFree.A + +.2 >LDA.G MAC.hMacroBuf + beq .3 + + >SYSCALL FreeMem.A + +.3 >LDA.G MAC.hMacroList beq .8 >SYSCALL SListFree.A @@ -43,8 +70,8 @@ MAC.AddChar >LDA.G ASM.PASS If Pass#2, ignore tax lda (ZPMacroBuf) -* cmp #254 -* beq .9 CS if EQ + cmp #254 + beq .9 CS if EQ inc sta (ZPMacroBuf) @@ -74,11 +101,36 @@ MAC.AddChar >LDA.G ASM.PASS If Pass#2, ignore MAC.Store >LDA.G ASM.PASS If Pass#2, ignore bne .8 + lda (ZPMacroBuf) + beq .8 + + >PUSHB.G MAC.hMacroList + >PUSHW ZPNameBuf + >PUSHW ZPMacroBuf + >SYSCALL SListAdd + rts + .8 clc rts *--------------------------------------- +MAC.Lookup >PUSHB.G MAC.hMacroList + >PUSHW ZPLinePtr + >PUSHW ZPMacroBuf + >SYSCALL SListLookup + bcs .9 + adc ZPLinePtr + sta ZPLinePtr + bcc .8 + inc ZPLinePtr+1 + clc +.8 +.9 rts +*--------------------------------------- +MAC.NewOrGetCtx +*--------------------------------------- +*--------------------------------------- MAN SAVE /A2OSX.BUILD/BIN/DEV/ASM.S.MAC LOAD /A2OSX.BUILD/BIN/DEV/ASM.S diff --git a/BIN/ASM.S.OUT.txt b/BIN/ASM.S.OUT.txt index 5110c20d..b16d999f 100644 --- a/BIN/ASM.S.OUT.txt +++ b/BIN/ASM.S.OUT.txt @@ -85,7 +85,7 @@ OUT.PrintLineOn clc >LDA.G ASM.LI.ON bpl .9 - >LDA.G MAC.bAdd MACRO Mode ? + >LDA.G ASM.MA.ON MACRO Mode ? bpl .1 >LDA.G ASM.LI.MON @@ -177,7 +177,8 @@ OUT.Print1 >DEC.G OUT.LineCnt lda #20 sta (pData),y - >DEBUG + lda #$80 + >STA.G bPause .8 rts *--------------------------------------- diff --git a/BIN/ASM.S.SRC.txt b/BIN/ASM.S.SRC.txt index dcf710a6..086e108a 100644 --- a/BIN/ASM.S.SRC.txt +++ b/BIN/ASM.S.SRC.txt @@ -21,7 +21,7 @@ SRC.ParseLine jsr OUT.Reset lda (pData),y beq .8 -.1 >LDA.G MAC.bAdd +.1 >LDA.G ASM.MA.ON bmi SRC.ParseLine.MACRO jmp SRC.ParseLine.LABEL @@ -80,7 +80,7 @@ SRC.ParseLine.Macro .22 jsr MAC.Store bcs SRC.ParseLine.RTS - >STZ.G MAC.bAdd + >STZ.G ASM.MA.ON .8 clc rts @@ -208,10 +208,37 @@ SRC.ParseLine.Dir jmp (J.DIRECTIVES,x) *--------------------------------------- SRC.ParseLine.ExecMacro + jsr SRC.GetNextChar skip '>' + bcs .90 + + jsr MAC.Lookup + bcs .90 + + + + + >LDA.G ASM.MA.StackPtr + cmp #MA.MAXDEPTH + beq .91 + clc + adc #ASM.MA.StackPtr + tay + + + + >INC.G ASM.MA.StackPtr clc rts + +.90 lda #ERR.MACRO.INV + sec + rts + +.91 lda #ERR.MACRO.INV + sec + rts *--------------------------------------- SRC.ParseLine.OpCode stz SRC.AM.StrBuf @@ -366,7 +393,7 @@ SRC.ParseLine.AMCheck * >PUSHW L.SRC.AM.StrBuf * >LDYA L.MSG.PSTRCRLF * >SYSCALL PrintF.YA - +* >DEBUG lda SRC.AM.StrBuf beq SRC.ParseLine.OPAMCheckFF @@ -424,7 +451,7 @@ SRC.ParseLine.OPAMCheck .10 lda (ZPOpDefPtr) .1 >CMP.G SRC.AMID - beq .3 + beq SRC.ParseLine.Emit ldy #1 lda (ZPOpDefPtr),y @@ -440,8 +467,9 @@ SRC.ParseLine.OPAMCheck .99 lda #ERR.INV.AM.4.OC sec rts - -.3 stz SRC.ACCTMP index in ACC +*--------------------------------------- +SRC.ParseLine.Emit + stz SRC.ACCTMP index in ACC ldy #1 lda (ZPOpDefPtr),y @@ -450,7 +478,8 @@ SRC.ParseLine.OPAMCheck .4 lda (ZPOpDefPtr),y cmp #'a - bcs .5 + bcs .5 lowercase, go compute address + jsr SRC.IsDigit16 asl asl @@ -469,10 +498,11 @@ SRC.ParseLine.OPAMCheck iny dec SRC.ACCTMP+1 bne .4 + clc rts -.5 bne .6 +.5 bne .6 cmp #'a'.... ldx SRC.ACCTMP inc SRC.ACCTMP @@ -480,28 +510,137 @@ SRC.ParseLine.OPAMCheck bra .50 .6 cmp #'r - bne .99 + bne .7 + + lda SRC.ACC.SIZE + beq .50 Emit 00 if pending symbol (pass #1) + + jsr SRC.ComputeRel8 + bcs .91 + bra .50 + +.7 cmp #'l + bne .90 - ldx SRC.ACCTMP - inc SRC.ACCTMP - lda ASM.PC,x - sec - sbc SRC.ACC,x - pha + lda SRC.ACC.SIZE + bne .71 + jsr OUT.EmitByte Emit 00 00 if pending symbol (pass #1) + bcs .9 + lda #0 + bra .50 - pla +.71 jsr SRC.ComputeRel16 + bcs .91 + + lda SRC.ACC + jsr OUT.EmitByte + bcs .9 + + lda SRC.ACC+1 bra .50 .8 clc .9 rts + +.90 lda #ERR.INV.T.FILE + sec + rts + +.91 lda #ERR.RANGE + sec + rts *--------------------------------------- SRC.AddToBuf inc SRC.AM.StrBuf ldy SRC.AM.StrBuf sta SRC.AM.StrBuf,y rts *--------------------------------------- +SRC.ComputeRel8 jsr SRC.ComputeRel + + bcc .1 + +* positive : check 0255) +* 1-4 : 32 bits Value (PC) +*--------------------------------------- SYM.Init >SYSCALL SListNew bcs .9 @@ -119,8 +123,7 @@ SYM.Dump stz ZPLinePtr >LDYA L.MSG.PSTR >SYSCALL PrintF.YA bcs .9 - >DEBUG - ldy #SYMG.SIZE-1 + ldy #SYMG.SIZE .4 tya @@ -142,7 +145,7 @@ SYM.Dump stz ZPLinePtr pla >PUSHA phy - >LDYA L.MSG.PSTR + >LDYA L.MSG.LSYMBOL >SYSCALL PrintF.YA ply bne .4 @@ -167,7 +170,7 @@ SYM.NewGlobal jsr SYM.LookupGlobal Pass #1; try to add global... jsr SYM.PC2Global A=PC Size - lda #SYMG.SIZE-1 + lda #SYMG.SIZE sta (ZPGlobalBuf) clc rts @@ -212,8 +215,7 @@ SYM.LookupGlobal bcc .8 inc ZPLinePtr+1 - clc - + clc .8 .9 rts *--------------------------------------- @@ -228,15 +230,19 @@ SYM.FlushGlobal lda (ZPGlobalBuf) >PUSHW ZPNameBuf >PUSHW ZPGlobalBuf >SYSCALL SListAdd - rts + bra .3 .2 >PUSHW.G SYM.GlobalID >PUSHW ZPGlobalBuf >SYSCALL SListUpdateByID + +.3 bcs .9 + lda #0 + sta (ZPGlobalBuf) rts .8 clc - rts +.9 rts *--------------------------------------- SYM.GetName jsr SRC.GetChar bcs .9 @@ -295,7 +301,6 @@ SYM.PC2Global ldx #4 .4 txa - ora #SYMG.F.RESOLVED ldy #SYMG.F sta (ZPGlobalBuf),y @@ -303,112 +308,132 @@ SYM.PC2Global ldx #4 rts *--------------------------------------- -SYM.Acc2Global ldx #3 - ldy #SYMG.V+3 +SYM.Acc2Global ldx #4 + ldy #SYMG.F+4 -.1 lda SRC.ACC,x +.1 lda SRC.ACC.SIZE,x sta (ZPGlobalBuf),y dey dex bpl .1 - lda SRC.ACC.SIZE - - ora SRC.ACC.F - - sta (ZPGlobalBuf),y - clc rts *--------------------------------------- -SYM.Lookup2Acc ldy #SYMG.V+3 - ldx #3 +SYM.Lookup2Acc ldy #SYMG.F+4 + ldx #4 .1 lda (ZPLookupBuf),y - sta SRC.ACC,x + sta SRC.ACC.SIZE,x dey dex - bpl .1 + bne .1 lda (ZPLookupBuf),y and #SYMG.F.SIZE sta SRC.ACC.SIZE - lda (ZPLookupBuf),y - and #SYMG.F.SIZE^$ff - sta SRC.ACC.F - clc rts *--------------------------------------- -* Local Symbol Record: (6 bytes) +* Local Symbol Record: (5 bytes) * 0 : Local ID (1->255) -* 1 : Flags: -* b7=0=pending,1=resolved -* 2-5 : 32 bits Value (PC) +* 1-4 : 32 bits Value (PC) *--------------------------------------- SYM.NewOrGetLocalA tax Save ID - - >LDA.G ASM.PASS - bne SYM.GetLocal Pass #2: should be already defined... - + + lda (ZPGlobalBuf) not in a global label + bne .1 + + lda #ERR.INV.LABEL clc rts -*--------------------------------------- -SYM.AddLocal lda (ZPGlobalBuf) + +.1 >LDA.G ASM.PASS + bne SYM.LookupLocal.1 Pass #2: should be already defined... + +SYM.NewLocal jsr SYM.LookupLocal.1 Pass #1; try to add + bcc .9 Already Defined + + lda (ZPGlobalBuf) tay clc adc #SYML.SIZE - bcs .9 + bcs .90 + + ldy #ASM.PC+4 + +.1 dey + lda (pData),y + pha + cpy #ASM.PC + bne .1 + + lda (ZPGlobalBuf) + tay + iny txa Get Back ID + sta (ZPGlobalBuf),y - iny - phy - ldx #4 - ldy #ASM.PC+3 - -.1 lda (pData),y - pha - dey - dex - bne .1 - - ldx #4 - - ply - .2 pla - sta (ZPGlobalBuf),y iny + sta (ZPGlobalBuf),y dex bne .2 - lda #0 - sta (ZPGlobalBuf),y tya sta (ZPGlobalBuf) -* clc + clc rts -.9 lda #ERR.TOO.MANY.LOCAL +.90 lda #ERR.TOO.MANY.LOCAL * sec rts -*--------------------------------------- -SYM.GetLocal - +.9 lda #ERR.SYMBOL.REDEF + sec + rts *--------------------------------------- -SYM.LookupLocal lda #2 - sta SRC.ACC.SIZE - lda #$FF - sta SRC.ACC - sta SRC.ACC+1 +SYM.LookupLocal tax +SYM.LookupLocal.1 + ldy #SYMG.SIZE + + tya + +.1 cmp (ZPGlobalBuf) + beq .9 CS if = + + txa + iny + cmp (ZPGlobalBuf),y + beq .2 + + tya + clc + adc #SYML.SIZE-1 + tay + bcc .1 + +.9 lda #ERR.UNDEF.SYMBOL +* sec + rts + +.2 ldx #0 + +.3 iny + + lda (ZPGlobalBuf),y + sta SRC.ACC,x + inx + cpx #4 + bne .3 + clc rts *--------------------------------------- @@ -428,14 +453,6 @@ SYM.LookupPrivate clc rts *--------------------------------------- -SYM.AddMacro - clc - rts -*--------------------------------------- -SYM.LookupMacro - clc - rts -*--------------------------------------- MAN SAVE /A2OSX.BUILD/BIN/DEV/ASM.S.SYM LOAD /A2OSX.BUILD/BIN/DEV/ASM.S diff --git a/BIN/ASM.S.txt b/BIN/ASM.S.txt index 8902fea3..fa9f0ca7 100644 --- a/BIN/ASM.S.txt +++ b/BIN/ASM.S.txt @@ -17,37 +17,45 @@ ZPLinePtr .EQ ZPBIN+6 ZPNameBuf .EQ ZPBIN+8 ZPGlobalBuf .EQ ZPBIN+10 ZPLookupBuf .EQ ZPBIN+12 -ZPMacroBuf .EQ ZPBIN+14 -ZPTmpBuf .EQ ZPBIN+16 +ZPMacroBuf .EQ ZPBIN+14 +ZPCtxBuf .EQ ZPBIN+16 ZPAMPtr .EQ ZPBIN+18 ZPRPtr .EQ ZPBIN+20 ZPOpsPtr .EQ ZPBIN+22 ZPOpDefPtr .EQ ZPBIN+24 + +ZPTmpBuf .EQ ZPBIN+16 *--------------------------------------- SYMG.LEN .EQ 0 SYMG.F .EQ 1 -SYMG.F.RESOLVED .EQ %10000000 -SYMG.F.RW .EQ %01000000 +SYMG.F.RW .EQ %10000000 SYMG.F.SIZE .EQ %00000111 SYMG.V .EQ 2 * -SYMG.SIZE .EQ 6 +SYMG.SIZE .EQ 5 *--------------------------------------- SYML.ID .EQ 0 SYML.V .EQ 1 * SYML.SIZE .EQ 5 *--------------------------------------- +MCTX.LEN .EQ 0 +MCTX.PTR .EQ 1 +MCTX.ID .EQ 2 +MCTX.ARGS .EQ 4 +*--------------------------------------- ASM.T.AM .EQ 0 ASM.T.R .EQ 2 ASM.T.O .EQ 4 *--------------------------------------- -SRC.DO.MAXDEPTH .EQ 8 -SRC.IN.MAXDEPTH .EQ 7 +DO.MAXDEPTH .EQ 8 +IN.MAXDEPTH .EQ 7 +MA.MAXDEPTH .EQ 8 *--------------------------------------- ERR.INV.ARGS .EQ $80 +ERR.INV.T.FILE .EQ $81 ERR.SRC.INV.TYPE .EQ $90 ERR.SRC.TOO.MANY.IN .EQ $91 ERR.SRC.UNEXP.EOF .EQ $92 @@ -71,6 +79,8 @@ ERR.MISSING.EXP .EQ $B0 ERR.EXP.SYN.ERROR .EQ $B1 ERR.MACRO.INV.DEF .EQ $E0 ERR.MACRO.TOO.BIG .EQ $E1 +ERR.MACRO.INV .EQ $E2 +ERR.MACRO.TOO.MANY .EQ $E3 *-------------------------------------- * File Header (16 Bytes) *-------------------------------------- @@ -235,25 +245,28 @@ CS.INIT ldy #S.PS.ARGC .9 rts *-------------------------------------- CS.RUN >SYSCALL GetChar - bcs .11 no char + bcs .13 no char - cmp #$03 Ctrl-C - beq .99 Abort....beq=CS - - cmp #$13 Ctrl-S + cmp #$03 Ctrl-C + beq .99 Abort....beq=CS + + cmp #$13 Ctrl-S bne .11 - ldy #bPause - lda (pData),y + >LDA.G bPause eor #$ff sta (pData),y -.11 ldy #bPause - lda (pData),y - bne .8 + bpl .12 + clc + rts - ldy #SRC.COUNT root file is already opened? - lda (pData),y +.13 >LDA.G bPause + bmi .8 + +.11 >STZ.G bPause + +.12 >LDA.G SRC.COUNT root file is already opened? bne .10 >LDA.G ASM.PASS @@ -272,10 +285,11 @@ CS.RUN >SYSCALL GetChar jsr DIR.Reset jsr SYM.Reset + jsr MAC.Reset .10 jsr FIO.ReadLine bcc .2 - cmp #$4C End Of File? + cmp #$4C End Of File? bne .9 jsr FIO.FileClose @@ -414,7 +428,7 @@ T.LI >PSTR "ON" ASM..T. .AZ ".T." ASM.6502 .AZ "6502" MSG.HELP .AZ "A2osX-Macro Assembler (S-C MASM 3.0 Based)\r\nUsage : ASM [type TXT ($04) or S-C/BAS ($FA)]\r\n" -MSG.PASS .AZ "Pass:#%d\r\n" +MSG.PASS .AZ "*** Pass:#%d\r\n" MSG.SRC.FILE .AZ "*** Reading SRC File:%s\r\n" MSG.OBJ.FILE .AZ "*** Writing OBJ File:%s, Type=%02x\r\n" MSG.T.FILE .AZ "*** Loading CPU File:%s\r\n" @@ -427,7 +441,7 @@ MSG.EQU1 .AZ " [ %h]" MSG.EQU2 .AZ " [ %h%h]" MSG.EQU3 .AZ " [ %h%h%h]" MSG.EQU4 .AZ " [%h%h%h%h]" -MSG.LSYMBOL .AZ ", .%d %h%h%h%h" +MSG.LSYMBOL .AZ ", .%d=%h%h%h%h" MSG.SRCLINE .AZ " %05D %s\r\n" MSG.ERROR .AZ "*** Fatal Error $%h " MSG.SYMBOLS .AZ "Symbol Table:\r\n" @@ -443,11 +457,9 @@ ASM.T.FILENAME .BS 65 FIO.Stat .BS S.STAT -SRC.ACC.F .BS 1 SRC.ACC.SIZE .BS 1 SRC.ACC .BS 4 -SRC.ARG.F .BS 1 SRC.ARG.SIZE .BS 1 SRC.ARG .BS 4 @@ -464,8 +476,8 @@ SRC.hLineBuf .BS 1 SRC.hTmpBuf .BS 1 SRC.COUNT .BS 1 -SRC.hFILES .BS SRC.IN.MAXDEPTH -SRC.hFILETYPES .BS SRC.IN.MAXDEPTH +SRC.hFILES .BS IN.MAXDEPTH +SRC.hFILETYPES .BS IN.MAXDEPTH SRC.LINENUM .BS 2 SRC.AMID .BS 1 @@ -482,20 +494,29 @@ EXP.Modifier .BS 1 EXP.Prefix .BS 1 EXP.Operator .BS 1 - ASM.T.hMem .BS 1 ASM.PASS .BS 1 -ASM.DO.StackPtr .BS 1 -ASM.DO.Stack .BS SRC.DO.MAXDEPTH + ASM.DO.ON .BS 1 +ASM.DO.StackPtr .BS 1 +ASM.DO.Stack .BS DO.MAXDEPTH + +ASM.DU.ON .BS 1 ASM.PH.ON .BS 1 + ASM.LI.ON .BS 1 ASM.LI.CON .BS 1 ASM.LI.MON .BS 1 ASM.LI.XON .BS 1 + +ASM.MA.ON .BS 1 +ASM.MA.StackPtr .BS 1 +ASM.MA.Stack .BS MA.MAXDEPTH + ASM.PC .BS 4 ASM.PC.PH .BS 4 +ASM.PC.DU .BS 4 SYM.hGlobalList .BS 1 SYM.hNameBuf .BS 1 @@ -506,9 +527,11 @@ SYM.hLookupBuf .BS 1 SYM.LocalID .BS 2 -MAC.hMacros .BS 1 -MAC.hBuf .BS 1 -MAC.bAdd .BS 1 +MAC.hMacroList .BS 1 +MAC.hMacroBuf .BS 1 +MAC.hCtxList .BS 1 +MAC.hCtxBuf .BS 1 +MAC.CtxID .BS 2 OUT.PC .BS 4 OUT.Buf .BS 4 diff --git a/BIN/ASM.T.65816.O.txt b/BIN/ASM.T.65816.O.txt index 32fc0db7..b90b9564 100644 --- a/BIN/ASM.T.65816.O.txt +++ b/BIN/ASM.T.65816.O.txt @@ -89,7 +89,7 @@ BRK .DA BRK.E+1-* BRK.E .HS 00 BRL .DA BRL.E+1-* .DA #3,$$"BRL" - .DA #11,#4,$$"82rr" + .DA #11,#3,$$"82l" BRL.E .HS 00 BVC .DA BVC.E+1-* .DA #3,$$"BVC" @@ -295,7 +295,7 @@ PEI .DA PEI.E+1-* PEI.E .HS 00 PER .DA PER.E+1-* .DA #3,$$"PER" - .DA #11,#4,$$"62rr" + .DA #11,#3,$$"62l" PER.E .HS 00 PHA .DA PHA.E+1-* .DA #3,$$"PHA"