From e1cd14fbce5fb19b18417eacd7d0acdcdb484dcf Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Thu, 1 Feb 2018 16:30:18 +0000 Subject: [PATCH] Kernel 0.9.1 : ASM rewrite...more directives --- .Docs/ASM.md | 43 +++ .Floppies/A2OSX.BUILD.po | Bin 819200 -> 819200 bytes .Tools/ASM.T.xlsm | Bin 85205 -> 79680 bytes BIN/ASM.S.DIR.txt | 106 ++++-- BIN/ASM.S.EXP.txt | 9 +- BIN/ASM.S.OUT.txt | 10 +- BIN/ASM.S.SRC.txt | 62 +++- BIN/ASM.S.txt | 26 +- BIN/ASM.T.65W02.A.txt | 30 -- BIN/ASM.T.65W02.O.txt | 729 --------------------------------------- BIN/ASM.T.65W02.S.txt | 23 -- 11 files changed, 185 insertions(+), 853 deletions(-) create mode 100644 .Docs/ASM.md delete mode 100644 BIN/ASM.T.65W02.A.txt delete mode 100644 BIN/ASM.T.65W02.O.txt delete mode 100644 BIN/ASM.T.65W02.S.txt diff --git a/.Docs/ASM.md b/.Docs/ASM.md new file mode 100644 index 00000000..526723b2 --- /dev/null +++ b/.Docs/ASM.md @@ -0,0 +1,43 @@ +# A2osX Macro Assembler (0.9.1) + +## Description + +Multi-CPU macro assembler based on S-C MASM 3.0 dialect + +## Directives + +| Code | Description | Compatibility | Status | Syntax | Comment | +|-|-|-|-|-|-| +| .AC | | S-C | NOT IMPL. | | As strings are supposed to be printed with A2osX API, Printf cannot handle 'AC' compressed strings | +| .AS | Ascii String | S-C,A2osX | Working | `.AS dTEXTd` where d is any delimiter `.AS -"TEXT"`produce ascii code with b7=1 | | +| .AT | Ascii String Terminated | S-C,A2osX | Working | (same as above) | | +| .AZ | Ascii String Zero Terminated (CString) | S-C,A2osX | Working | (same as above) | | +| .BS | Block Storage | S-C,A2osX | Working | | | +| .DA | Data | S-C,A2osX | In Progress | | | +| .DO | conditional start | S-C,A2osX | In Progress | | | +| .DU,.DUMMY | begin Dummy section | S-C,A2osX | In Progress | | | +| .ED | End Dummy section | S-C,A2osX | In Progress | | | +| .DA | | S-C,A2osX | In Progress | | | +| .ELSE | conditional ELSE | S-C,A2osX | In Progress | | | +| .EM | End Macro | S-C,A2osX | In Progress | | | +| .EN | ENd of source code | S-C,A2osX | In Progress | | | +| .EP | End Phase| S-C,A2osX | In Progress | | | +| .EQ | EQuate | S-C,A2osX | In Progress | | | +| .FIN | conditional end| S-C,A2osX | In Progress | | | +| .PH | PHase start| S-C,A2osX | In Progress | | | +| .HS | Hex String | S-C,A2osX | Working | `HS FE1A78` delimiter allowed : `HS 00.11,22` | | +| .IN,.INB,.INBx | | S-C,A2osX | Working | | | +| .LI,.LIST | | S-C,A2osX | Working | | | +| .MA | MAcro deffinition | S-C,A2osX | Working | | | +| .OP | OPCode | S-C,A2osX | Working | `.OP cpu` where cpu is one of 6502,65C02,65R02,65816,Z80,S16 | | +| .OR | ORigin | S-C,A2osX | Working | | | +| .PG | PaGe| S-C,A2osX | IGNORED | | | +| .PH | PHase | S-C,A2osX | Working | | | +| .SE | | S-C,A2osX | Working | | | +| .TA | Target Address| S-C,A2osX | IGNORED | | | +| .TF | Target File | S-C,A2osX | Working | | | +| .TI | ??? | S-C,A2osX | IGNORED | | | +| .US | USer defined | S-C,A2osX | IGNORED | | | + + + \ No newline at end of file diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 6f8c823ada4b2356dbcb24b5d467f980c9b87cb1..48b6c995990229e7e363ad0eb1c67320f9774941 100644 GIT binary patch delta 96978 zcmaHU2Vhji*8l8oZdkGj*=*XT0Gmb#kR@dai72vZ5J^Z#f)pD8qM$TGP_S)6ks?); ziwF|2MnwZE*xR#V#qPs~j zBs-Lu9hJpCWzD2`;F)Lb({CD@&1TxP+^k?z9kcus`G_r=9ZZ16AgBg5vIgCy*SN5_q=oe;M{nmU(98d6_R|{*al4e{!;N zv+}a?vxa9)%37GUD(m$V{_p3kpR;PR=VUL{SMFuNpsRQ8x`ZIJbUeFhB}REqyG*5%&&_OZHd+wOfn_UP`*@UOL9w#|oqCk^VU z^6eYmGsb7j>lx+yGDH7puH)R$FyEeh-|i_$)@9p!c=u=c!IExFEpO9@-Cd>A_oOkBM?vnk*L2jj!6u(Qvq$S@_p;WeKC;gGec8FXD9P}MaJvi*pa7vvcQpfBJ97=-%%=|8u9n!u_ zW5@dLL3-r=9ZJ&OT{@kNpj=W(&%5XP02L?>WHBJq@UZFg?k+D9cMaHkIQ5{jCx;Ry zW%0+&hvy8q9T^}a7#FikcZVF~qQMqTiK2WFh5D?J!xw^NjPFZ-&!{N;)0K`kLMDEa zyxAdN>5_u;piGh&5UO6s@Z$EAW8TbY=D(zdELjmYBnE6H6Gzr zc&rcERXb*oQKi38CAhotcRSdlJM`Hd4u5EAcK0n*4C8-0t_$qg79jJYeB1p!{Wfe1 zbVPLbfDfhk4HeMW*OSxZs9lyb{os&8@m&SG9eWS&+!0v)g8Mflf!yaD-qXkT^{}4v zI_4eBKGeromEUt_$BctH`19NRo{1fk4-Ueg+wyy=J8DA*a}OmE^(fz)Is6xb;rOGY z=wRj{FG(`soB|sNQ9NNuzIXjSeSIJ2^f)`BP+Zhs_Z@KE>jK9#-)}iR-;(`bplUz$ zUFF~Op|9KD^WKK*0=pfL?g;F>IRH~@k7N^fT8IpN0-npgC2;6|_|CB&-y?-R_i)umCwD*OQ{|AlWg;WnE}O@ATcQE5iHe&4J62 z*N(u^)rWrCWbfY1#ibDct;9VM-Md4g?(Zt`?HTD?`RR&Jy5jb}%?Fj0AFnvlb$s{n z=a23^vNJhw=(lb^evWoG^MBLdU4(t&d&A$O;i==t8z7>A!o{7hE2W!lKD?*QN2AT2 zQvP#Sk#A42G3b!ITVJ!x;c*&?^qZDBrbYODdxq<_<&OBiqlEHFKK)g{J;`~+o^^qP z5r+f9Gw|e6Qv8ly{}?JX~)jK3{oWPvX9# z9bWq5Qfl>+fc{8N^N>W}d!-{Y)RfmVwwuY`!)nX(_8p}J1(f`Io*aKrXZ>UxXPn)W zNVuEuR|&`HSdNjh`(wBwyZWoH5)3Jo!=ccKK9fPo7Lu!7V7l6Laq3Q_pW?fqU{gArt*d>~KQXQ{+2dKqd2mH=1~JeBTf4N$PMP z9F1P}_s||!hvqvzv?l__+zeyx#Q(!fsh8h%vTx5Rd<^>GveEF>9k&N={`r<);A#46 zs~l&Cx_Q697)CEbzv*#(*B!XKJFv4m&~Y}61@7)FC83NPs2674{NpV@(Xf`f@5mi@ zbq78_a>)Mq$NP>ve_eOrg+o8AgYq3T>I~wY?~vn#L;pS6-GYQ7!S9q{4cIoV@rI^% z--dCfa`>@{s?X72Qjr&nZb&(fglWa-&71txuk#4><;9NkH4LBKlROWvl^uai?|g@M z%U^Phr_NUiP)NV(V-%8!*g+t$g6y>+D zj#I1$3-RVDI5aZPCe6)-xmi>V1IXGGiN~E5MjFxarfDV(COftV_8mDk=8i75_vo=f zz6Vgm=U+Q=C>`a3K=VBi@^5nAa@5;)WaZIK_Rqh(dwak;eczGN)*~yA;Q8b4wg>Qk z9gmbwzx&VP5B@AZrlBpVZtu1QKCofrH69}aA4Hmu2mQzXIC##nUk?U1#T-0iQ`Eth zP1?arYkbqu)Xw3X+>pBTzUM%2)1xR<2 z@duwNpyA1BM^n!THh5wha0kfXJGw}fju|iuNwmEcLy*T8M1y? zVEs*jo{_ulVa=S2>e#a*Ft3Z83A*!c3_u&I^L7WI49$5r1=jDs^C=d-6o0O*(4JSEpxeaBPXHE9{8b?dUog zFXG2GIDXx~$&oY0mT+LuA;$;Jr5D@Si0qUkn)x$YC>*tUf`yjJK4TFd`hyiLb|%}d9-CO~EX!jv zi*2<$PGhm>nvdm3e4hDO8Dz1uEsymqc8+=s`70-}*z?shf4snatg2+Ob1jdxEOwsd zv5v*gw>(Z@u?sAZ4J>w{<#8g5z0iEDu3@olEL9b89IRes!Zj20oj*j}6skqCkSV6e z4)d`NW$QE_>yhI!%VQ&pU2Z-$jAgMaERW+@>`L>osfxv3X+AcCc@^7^`VkG%T+L!v zTVU9+#(bRA#NyYYt(*O|HCsr9{$NR0p>b<#t~EGnYy9;SSo}Iuk0jOAEPj2Mr~;`H zHgM5d+#8Urf~VUUmadM{ZR#Z=y3Jv-DU|H$ULqvR-J&o5DPoZR$WIX&q58%ki{B~| zVQuTLZ}0~vRI+$IOjKJxnZ<7l6ID%|#Nw|D6OCcSuo@ z$g*xyElb!LmaMYo^iCFagOC+4=PowniQIga+NEFmb3{u1jcoheSuLG|7d9-)8@7~1 z?`GR)v|iZhFDql(O>8@J-7FGh6|$sT^e2CgNVMO|^q@~m4&4UWc{|(QzNCdEcT=*Z zomowd75>@PHMLa}f^}8Qa|heLsGaC5TiRPF1MN;|#ofiWpTDTBlX`Q_{5kE+wFlYG zT!d^{+&uzonp!8Z9uwOqu)1J{zovvM-fM#Q3y``$C)M^NtJni<`{>%rsll4gq7fx5 z`2qcGrEoQV z@d-s5|D;LUJZ~ZAd`i~Tzrr~stUXP}KEsAwr<#K$KdWE(Yedp1&p|3`5sk#0&$I0_ z=gwr#gKT?S`y!^j08Q_U3{9rzc;;$5qufu;iEY!rQdOqNJB)GgdXCb|#$e z4>pc%V$N64JgqZX)T_|mMr6)IaF0RxEbTSj^;<-0=yh47!on5v7j({?-O|RiH`sM7 z;Y}DZZ((Ovc0uv-iuSg-`4uejEhHAcvm!WSL0kJ15q_qdya03~%|Ycfy$e7zP$}GVOCDP56R0JGleBsTzV!sKTRc z`<(eRnd?hn4U?M21zF-(JQ+MnGz3qV{55ZgChZ##C4Vc++pD*H*V_i|d!$Y|MjkV@ zsUlcg+c~ziy{>g$LwlP)$h04TCjPkf_lShhPcW8uAoSaxA@=@)x+u_%Ue?&!GK;*I zxqhXDGdul7EcG{|RRR^utLD$@tUtH2dd}R|Q<>|(vgjcD9b`#=nEjPfRLos~R?_|i zH0Up06n)F@5x)4pd)uG?KmFO?BZl=kPAQp9|NZxf?2yeS8=g7sHePuihppEz;f=8I z5yI%q%Nko3wYGOMr)Cq*A88ZL-^aF{#XD{O!fjMk8Z;^w&s)e6``ScvOqSgmHO9PA z5Y{GOFpw?NG^wsG*f^CXyY!wvB9bDbK{h-_f9a11f2g00Iuv@xGUkkxnYn>`<81yS zkr{@%hL*NPt)gN*D3%z)w0NXTN)RQWCT?h(Grygu(h>nhCE5I?+jt*N7R*s8$Zgxg z*)1$3Rj5r0)2nK0W6m_&_Jwm>m@^%fg-+}2FLa#)Y`n{Q2HGl`{FU`pr&mpA-pEt< zWQq)tqJO47{I7`gbFxGOAoavaHO-wYE=S}^!|KGv7>?rxN!wAKT%hGri3U^kRT}Q! z?4J!!WUf50QLis#+7P5l9;*NFS42|bF#MgCPYok=!hPN4muf{!8%}BkTup!CuZReH zYLOoEcSK6xVwt8`|MIVh%&e4=Hh*y#og6$}r7~fuKK$>9%mGm+s|r3vR>5dg0bYB9 zPql5=kNYAAM4_r`8rk46<}+oW0hYhZf&svkeep0i3&)*R_PLzxd zu984%lOJBloYf-3sIk;4RhSB-jnm)%ABNN#^30~@#?H)OQznZ&&3tUe<9PFN8Xjxa zV>u+x7`K2FMHo(9WfUENe9mJJSimKYCDkNw!1$B6A zHXkS8agzDifXCC#$BCKDJy|_g*HG#y>UkWQK2<)3Ix`7&Pg8KsgmTz&hV{7+f-|jx zA_&g13W^~(+bRe^aE?_l0)pvQK?wvcwvbW#$aW+oGptEUA(&|ul)>^@N>Ez^yw&p9 zL``4Z=TvKNO=6n|lUyN_FHT$t5xK{dKJ+;FkrG*(Xp4-(krqL+wV#_m6 zaFG&V{)_|{Tb_A>B}#yqGZHMdJXcpI!?a6G(=HXJg)Y-Sw`o_{F4tS_n$LEHex)75 zLP+0l*G{r^=s(!Cd|RhJ(4l!xT4tl!4LV=p=Ft_rALWe8&zZd{lMPsIKt*9tXU^;u znJi<4exXD2O<2hjq0iRVOsLvuP!x=)?95qoX4{$bGueKix71Ve@l>8!>a zc5WxeV$4Ibb7psDvbZMB{ifiZH8K0ZR5Xj`lAj6fl-(jTLrb^1rZMy36?F?{%{g~YYukE4 zF()%^sXauaS?OwL>V!wblrf4 zuf$7tDvR2MKkFMi3s_8-trBBqbFi`bYUaMtCT4CtlR}n!6KBMVA|z^sQ=%j{3%Q(; z%aSDCBBbKAz%4Ii@wahdMg61+&C<@y+YQ#*swSR{s;g##zb-hXvZ|qZ92?Yarf8np zP}Ovm{$`|>=)DuR;>BmQk+df9F5T8gO9<@|X!)e->Z(SWee&I=3NaZf_wWqJ2PaIb z<7tan!d~eE^k$X%o_lRH37U+C+)UM3#60(LN%_+DRxT-Ip8Z@ehGv>llypG9u@7b& z59kN_XoErz@&uLjSU}4+ zGWX-o2(j24aG7b zEqo#sFVO^vCJMB93r&P#ld$|9*A(b1D_BupQNf(aGL=`PYT_KO6fu3xDqww6L5E(> z3et3UtTsF{ou<_N^@%IAq&cnu#$21l43tyo-27bT^2u2EEU=h{dpzr*DeYhDHs6ICUt)lvTGS zYKfzVNe!%i@&Wbtivk!fwrp1G+&S~+w70f(Vi_6Fm-sg>zBPLKahFtTSPYcRUCS_4BdN z#rwuzg^J-{8<1VS}ql z`C4ML-WjjOr$$Xui}2G0m2WbqD%bDnucd^h7!0K>Zkkw+(F>vKqL#VLb%p^l?M%>n z&Jy%AtYNetTFg9Wi#j$WMJ)Op=+FWjTRraSVd+^+i%|<$ZO6@^zBsLgHz18e%ri4A z`A}5bEQ>-s_Eu@X!f-0!+PPHm=aKvp(-E}Ukb38EKM%Rimt_DOc2N25LT)Fz)m+Hs z0%IQ1$ILgtVYw`Nfl&z5%EI2Yg6&eH(%Yz37KNLQT4`6c65gLX%TitK3StORm!+*B zszlD5*unH02Wasvom49Ho}5Ux|YWSJ)1@9FVR@ z`j{OCNUpFGAou4|=DmRvCAoGH5w9z)3kv;jROOq!8@g0kH>t|H87ZP}F>29yt1S3! zqTuPbi-Om0;Tuf!vcmhcV!`71?ab3Hie&C{I`0tsLMeCZ`CiQ%x=WU*4E3}J`NZAb z%UPrEF;ZaDr3WdJ_7W$yRKs(^7O2=EGCQL<>Fz2)6xgqSyJ%>bPI^WlPUNmCAgQ5{9iCM!7vWZ`m=t~@}subwU5`9IY zuL`tQpob*-nm{vN7ib;agF2dC-5%*FeS=IvCwo&Q?)w%`ypr{MTcn;KxZaUm?+UJz zf9vZ~HShU{d$+agJva%yso*2;qZ(Wv$l5Gmo)3Fhoc0k?Bp$I;aRauZ#^Gf^d!Tb? zE$Pg{3-}WxO88XIaB1p4m{r zTwj@VUjvW&h6XR%tMYu?+bHLE`sa8I2!wy733dQ~wmbklu=8 zTlKS6XnpmCcWM!Ne<2}%UE@IFf4zFD>$m~3bemp(ua=Y>oC$BkW~TAB!G0!lVhfW7 z7}~NV7wq51rrVy<(n3zLe;3u4_WZbWMu{b0y30nReRa)=Mi}f(hWGpE7`f?%!Z`cc z&{8uoZSK91>cXC-*k)&19IT4=U;~2JW_AIK$3~@UNWM5=9&B6oI|3$~>{yP*iJvu(!~(r*fR^AXG}1Dyh;l7P ztFO=!L#}`X@J<^6&!EE-KD{Y{T)xvrk_p(${HF=7GSU_=vXhW1W|TpJH=pZdNrAo8 zQ*5hP%xDAjg!Pesvw8Q|mH;oEN!q(;qGOjc;#ug{*UoE`Y zKUTD`Yn=4rl`QHswd;yqOy2CZvf1nOzXoapbFg1&^bqV-vIN?pq_a889(~G0eT+}@ z)?sUsIk6qNaP|_WVIPtuV)v2EqccNncg&}A2Iv;9JYXu%XDD*hJ);=-4}4I2Q`%2un5W6f&&^|4N@h1>)iHZ;^uW!iG2?7u?R4;{{LR09m(S73ct!rZwtp?~Fx^jATD zz-oO*hStB|8Y8E*lyZNzcIlaVM~BvG_mL$m{y9heOQ^Ub!tQ7 zPLiWFUS6ZEtjr0lUhzzLu zn_AoP4h%Byblx(y7JcDz&D(E=F+T^Ncc#7(jb@uAs8UAIW+RF(63#o4UP-R2~3BWz~n8{Ux7FoOF8PKeOGWXnr0=t zp5A`9i`i&Y9hP3nw9rdL-d0{DO1YZpd!N^mij%hx$;{q(Di(W2el?4r zOb5Ab7q!k}-mSbrLz)i560zc2)V_pko81^|KfdNO=Bn3InRn0vjb<=%D`VIa=E2gB zCM{gjI2ID;4RU(93s&*19mW@cNjJhA%aGxp(64n#J9F+9v&Zb4^u4RJthk#+h0rwn z7UY?HD~%b6cJFQ6!Zb5?6Eih!{& zupxW&OIK@oX;>AqM63jPm0{)z=c`>X6CKyvL%x^n!J?3R5|)81{a%_H^H)`f7JuY6 z_e-~N?iVFWJHS0r_Ro!~maq%z!3vMIV+@;73M}`m5|rYha7i(bjUfyAKEg9t$p$@& z9P}&JXqnlM2^XMhdmQ!+c!I_~%aJkdPwQ{3(O$_*fAt@s6w4O2ELxORgfR>&EBOvf zd`&;H7Hi4Z)uJ2=D#{%TDt?~Z?=4TZt-y}vMjAfK9054ocZ|uMl9D{SZowDQ8rL3=pWfn`p`bwYpw3e6<^&?d%xA`Y&^UwO?by`LU%PPZAtgM*xH{=Sx zO8ET*Hev;}XhxWgSWfk_5eq49!{N<%+7G%a9@rjybW0;_Zc%6EAx| zo8Gc0^70UdHLB>a@d*~iq&nog_9_4Ef<4C zw9i1wSWKn?wd4kvE6YGwY_L8q3HK_@ALyrUeV!fIRFai}0)gxD=VS(uS^ip1rL zZ~rE3fM*QaLWRuK&+la3Nb=-Gypa?@qf8&T&`>9%EKGUfTD|3hNN>Q~_y3_41+7Gv zjMp*(geXQYC0f61x8_ZW2@l``J)P6B~9R65`c}M{zbYg0p5ZSAvAm&WSK8DM_V~XJK?==1Nv1E-(I^H-~qZ6evWdqFXX8 z4SzTdz75VvGej??}p{+p-ID^Ev7ndt{5$SM*$2bm_dxv@0`%4}|Lk5qD zE4KuII){ppz&T95q4SY3O|DT6Kgy9XTra#8dr<|leZq7Lg>GWe2|%qF+VKHZgUYB8 zu#z?mN`&=^BgGIWg7c870Apz~Rtz)(E1|PsXd%hVsL7K;Cs8G0%z)>P615jNIot^l z7OuvTT@6$uZkZEn7TQMi zVA;}(y-Bt`_93xwL4*;lK&TzEa?j4i>Ynx^m$Kx^l0C;ch1i!e7uGA1z26zkKSJmf z7PI6tWm0$aS<=430+xKXkS2J~p)yRDjmexXqAfFK==$xN4_n596)&S%NSM?LgE3jm z${mus9P{gWt@GP4J;91aPEV4~GZKulCYY@fXmdd0IbSp$IV2BbWZDG~)7nMOjpTq3 zF^i?o(@*Nw(#jS<1v&G9%?L5{*9Ob0YMHi>%5b6T?QOERFM_zNUEk2HmHHOL0_szx zEa75N4TD8=Gr}PASpE|I^KNZe+)|keJ&%?$sh85SC^2zDIdfeGNWPXY2h{%xz3vVz zeNaf0gzUxm#kVS=v3?Ovfmc%}(hgguZ@fbrT8f1XZ4c0*8EYAqghMHDFchYJrJ)TE zUd47Y?`nPG-CA;Gv|>;^P&fiA29??$9c-NXyW zcX!8ZHp#WC0j6y+7wG=n<&|^VT4%P;LElAdUnBFwOL{9+B@Sc?^`yJBVWBE4RJQ}A z1z8aeEwMTg-qH#)cStpO_Da_EnwCf)&G7KKwC7Fs@4Av-us17;oHgYIp zF*g~&JmkO^DO3~4f)*)>x9SV;!d&?_C>ZZb3|q+ z?nIiXyOeY75zf``9!Ltr5h4_Ogm+pSQrio~q;CU9Y zon;-=``@i)dS0*qFH(tK;w370*)R|#dc|U)>s0{MawC}QHPsxx*Y$08YXg(sKuS6` zcvJT4w_wA-xAm9r)&>lJN6f~xcOgspw|D_r+ju#j*2k2v*E||r+YO2$RA~~u!6=o#fyz|s??uE zgM^Q&)HTw72GZ8T3+rLAztT{}Uqf`;Ao(|5SH9oXcukWt9@X!{5{MVOn3epcXRO6! zYTI5d)AqOi@LsJj5{n&HbX@-tfA_&c2ggM=eaJp7H35qpiipXgc=c+3<7AfMu<29w zX#@LUwZpucO}}EFmNY05$>1lw>}{RZdM>8ENSNm2JNXmu)e;;my|4b-J}oUW3P8}M z|F};Z(;o|-e~tx{<`Y3$KRUWfb>qZ{^>y<_)CyJ+XVafVgX`<>)$&jAoJhO=0>_VXNf31u?@+xCk6s-5@WwXo#)$W9O1^&C{n*3Afe$6-QR?{x z`tJL+VM#dT;WO$Yi50_!{DA%$us9sp{( zd+sQ)m>qJme(ruP&vptO9wcFVn(8oj3^GXx;;;Y{18mlVK;C+!O?zj#P))1QzuK>* zhANR*qXQcRqKolltjuGaNa(Gxh5MDPE6-`L$=DU5*iKCRKoeJMoNP>MsHe*madi;L zdsBGE90I31Y*0O&&&{2sFF%0Q56*YQ3zNl9wDCTTL5LF5O)ys@nwiFF45v8g;nC() zLm~xp;=G5(LD%Ux*P~E{A{L8N9@<{uDT-Je4tq=m3>+?;`tYO$h>$|kkV;DnX#|+_ zpmI_XW)z{?a2`ZU8V%<`%!R`sZZBps)A8(Wq3$KqQ=}=)p2+eU(sFFW;)n>rPexa0 zf%mooq`7=C3sGpeaBIFscAZBM=EQhoBP^W7;Iv5GX`*HQ`H)0iV8is9W(o0gg{omG zWs5T--Y<~td?a?_;D{g3(_s<4PB7D=!=j`%Q7?mI%{d>`2Q1S44{H4*aa_c*7wZ!r z)UrYsnT!bIW=@bU#_GWzRvoF&Y_+3hV)z_s#mcx&!t9#$lAfgk&1}Y zk6_y6U`)UX62(C&9cl?WO-k!PpVxnS5cddipv2;q(J_?Sn#_rVBx?S+6(Uo^ahP+Z zXt6jPADQW?^;gN(L&)#ya3z#JmJXA!!7RNi4o6DTm1e;oN&PBVPV-e5xDFOYtyf!3 z8)Prv2yyBrexOE66l1ukZ>XrRtYXg1ywaHKYNU`+CtE~c8+48S?L%5l?pEp?#%{Rr zzIEwTWnv3#8*=bnr^1Y=D2m8mtcDazTGwt_P`J@eAW6TOyxg#=W>H;zrP`x+-6AuD$KHyx zF}I0I$A^=0S<>yIZJYG{4{QBKbf3_OJ5Fqbb|=`pcZs)z$F)ahgQ4JV06F*Qn;yZy z-lO0Dh?X&YFGP9!%<-oY{cu^u?ADnVbk3RI+17gAoJAC{+TJn)N5aYX@)K8&>psbe zx5)h<$lR|dJcBRxrOf-Jss)4@sAeFzvH0=kzpJVuye9rF zGd*l%iXi{@^^YFIg7gE3WQjinUFt_PR`B?RvyTAc8z&e*KL!@{iR!hV%3k{!j@wiJ z^N(Ij%`kNWcH&_VHn?41n7#I>=(U+&>X$tZkNFCD@b?sI^K0bc`G$%Rc5d=*xCArS z@4_Xh!SA7(bj&>KH{weQSo9B63fe0{4nHDU%ug7p{WEc?vn8hef+VTG z+VnBcYu>59NnPw&{FhXJH(ogyv0Z;i(*gcTN`G0iw;sm1{w5lX+erC8q)a(3Mw}3{ zE4j^%0@&@A<2DrdAs zGM7iZyG}JSVa_pjF;facBxXwPa=Uer$=ns;mE@{KQaR{U!NRC&)!bu6n`+}APOIV0 zk#rig$vMVLtQJ`RI&y{F2@{!#s$^Q{Ejc}kh< z0%-zjXD$*s=fQZ|-k&dj7s%g*^7lgVtF5)2$mm0`|Hq`zGXk?=RyW%yJ2)8()v>I&sgAu!PbvxB;2TBmTRWoQI) zsO1t|0j&Q@KDJybp;ZEMt`-jEU!%`@PRkFiHOxQ-T?H-Ax^UM(_gNn8jKrtB7eb(1N+nb>bJ*r`~z zN_KpQLc5L7+YJ=Oa&-fx7DV260Ce4nLg5n=i`tlW7oOdF!rXv)?hbpVvFaZCq_E)& zwcQh*2)?tIEaWdx*FO9y9pzr+5Otq&l>0@4Yx^NiJ0Sez0aPma$%7Jm2w4Azxt}~D zp+^Ped`u2JkL$JJFj2y+1L8>K$pl%(Frd| z`GN};FXS1Ou*8>abY?NTu4QK1f<-cS&&xuH4Rqt)?JFb+@xy#6av!qMIiD(U+-v+9 zIJjC1$XRgT zzFZ9UC=uE*#HHsP!X{?4UVjL;9@1k3IXPMHKpSU2nOm%xn_K14x3qW}En*q; z{iU7M`}!sr*(b^Xmn4~1xNiC^HO|B&8<8v6xlZ>Y%l)rw2@%o5aPfE;0xpK(so&SJcbwS5pj;t6U;@!&RUfV=4_8i+5L*sa9>s&*f@k9e(4j6jNi3KspU1 zea1WR&R~6O9sG_N%dT^5*ZKVg>y`l&DjVrs!7HPF=w+7 zJ12?8OFdmbd!v?;HrcdoieXzB*_J+a>rpMuH;q`%P%LLkmb0V_oUOmS5iw5Zm@Lx` zmXVyLg|o~cmYIrWmSkxaEP3bZqmF8WQ_dq6{)ZLnZ=k~&Af?5LKKb3RC%7s#T| zCB}Kg$hY<9OQr?-Ltq-c&}6#MV4{|2lXjLO#NUvMjpKHyQOZ&m>%K1$HhmH0aIw+( zqL!$Q{WJCHK2b@?{rPGVkRiOFCb>0vw)@BYcCFC3GM&ba}Zt z;fMTMCz2*C>y;Gkpe+Y5a0TybazhgV|1I zSs2WYi@x91pN{mJ@g>UA!V-Uwu|LZ3?aS|M_~d1vACh_6=#Tqt`PO9hNLK0avcL0< zWRB`!_6Q^kV{1aPGr(3%Y$e1t{AJK3##+)f78LPZ&qTT@l+G55bhjg!9mx(H9~gP+ zcspXs##|7YmV-4v093GsKW0GG?fZ zj2Vb4Ok&$}mms)&jy< zT0S>jFFVu*;hO%9`i);7nUB8+lkx5B*cI@`}N@r2!!4t33i4h$Mwp@ z8^R<+fGPei*@v+_>Oy6?LN^j4E@2k2^xZU;TJ}7aqm-xM|A$~d><&2ahQo%NM1zhl@yhy72-}vR1pO& zVcyO>#WKP}edN#?5J4ny6#Gbrr-r5of?Ue9kzjBl=#k&jE$gkXodnpPFiLN^Br-nc zWEtduFJdH|qIZ%AfsCR!5S^;uNFoF(Dp62>5~9>{wu8CoqZ|eNTN%zu8GwptM4GYU zKTFa$lXl@TQs7oRTE&CYA0uF>Vs0yaZSo$7V|!>))c zGaO5aY1sUPB;u+%PIOqpXZnqoMaGBzBQAQ<@eRMK{)Ie9JBm!jJ5c))$>VW&VAHZP(9kC&QX+1nQ7zghVxtP7)tJaz;wDkDd2R3_*pq?21Ys7B?7G zeZzxA^-WX!aI)d}4C(OkRgDv>YW+lIiuw)q;OfENvB`5lC&UwjQKQd3O;hz&wK_P7i&C*GFw_GL7dx= zkN|w{IEw%(9*^~zRQkm$$sD9lP){fZ&_wmzhWwMvCp^X_8;_yP!7VK)V7%9mkYE^< zIW9$s&S;@RyHk}wn3!gHhKa6pb{#%f#XsHGUqmP;4qyl#t{Pj@)Lhlrv;nKp&LOPd zK&3Zz7%cUvN3uIZJ)w-QOp`K;kG{!U%Ols>aT2_tJTf&@NZGpCH9Xr9RB6}9#w;00 zkVir#%pI?wksL~p&`9P^R8YwX(n^w0DRU<)Xe5Wc62kWtQrI;iBeTjD(o2?p zg;X;anHX=&VyT8^4k)OYLn9=FW-d`s5pTnX9BCnz`D9 zcr%ZsX0~pkiJDi%H+YcM&U}P!$q{6RHfti z0`DYs3*8MN2*e8yzXsnfr34}Px=g+s6;w%lyGh-`cN3mPAHlCdceB!g5OlYgbho1a z$*IE#;=7IXEqu4*`E*`?_%-;tl@5g9yTjzWQ$giKcNeK!==QLRx&}d4hcz3TBZT(7 zTPXnr-#wBKFY_J+Rq~hlUJ2o4zR!gCYx!OY;bnfG3GtWt{Sv~n7$qN5P$h>Rmk>tDCnSWgc~^)R_LCCC zF!_|JC$N7>3@`JiO-#JZpOF||=FcjuLcXt`lOSH^&nvJ}f(HSHXqt?{_XUMk@R#|E zQV}onmrRJi%wMKPv^44~tfF2vDt$&k^s!e>CHjgtK1raahp#E9plD7AUh+B-SP0)> z6%A8_mo!W<2;Wp%073YcBt#3mt)MFYGI~cssOEP~NW5_VZQ5~|un_+Mc2xnraNeVQ zEq1(*=c&?;sfHaNC`N#=<3rPqk4%W$am2LaV-pf~d?GP?T-E)l3359=qkJuP{D)Om zi7^Mih8>?PB?w{17p5IY6;#1zL|;k>9{H7mDmnBu`ahq0qprSDScRAoeJe3|q;KK-4bP%g z@N4k>SLr|qy5CK@KNM6-L(-q5ZqkJk|6=&^1#e~i8hn2%B?!UyKgow7>9~SM@F9uW zL=9p{vf0#N$_E#_gfJvIOo$Ij5fTbvOwtq<;A)W)!;sX+g!s7Qln{obz6u(_p(qKV zL%0+a;NwoTgx~-%3L3#7{AW6p1H>vUAch^c#NYsN3L7CXkHjz}#haKIk`g3_At_N| z0XgaQVJ2U`f~v^H{iJT88;)nuWAJOx6$qVBBmL(j zsLK?Zd_{_{g7}I_-@+HLohIMn_%--OC>;nvS0d;Nam{F?f=a~TS1Pe$78fd0;79?U zB*6fS8>O&Hft@UEMBSgFuz5(7}3g39>-G(kcbfa*<%k0cEe!T>bUg!ll|D4Pp!pa3U zU1Au3T1-p~Krt+ z#UQgtVyKjMg_R3zvBXfaixd_L7SYt_VzSp_{}MclAp^gL{Y#Y=gs}e-NeJ^VRZx&m zeJ+y_8vJqvm2>C{3Bml3IsOMluj-H>8oblg6WB6|!T#k63-TG$3NqJX{z_Y|=-!?9 zHO#+KDM1MHR}r5a|5qz4!0UL8%nSCfRnQ0yT_qvdzfM5`QN`;e2J<&4Y=pozN(|%w zCWQs~_`jLVwU~dkt?u**d_=&nVg44S1R>17M)2VyuW{EZXheVycUy_TLa5_eOwsXc z5N;!_Qa=BM6pYuIjN27sKrmiU3>L;6`i*BtCXCvt(oNvg{2RNC@NHttP|=tlK1naqe~#;^SPmgfPtAp`Ze; zbtl}<*ss6K#Q0#gN2+0*yIVnp9J)tBaQhww74UIxuY}+>`xI2jp?f6+x4BP21tBq( z-7i7-&3*+I3haQy(4`+RF)_|PC^3w44=Jobj${u@5aZk<3M`c1qXG=k+V3%i7VvTI zajA%L?gVqgcu+FJOI}b=B@Hh)^5uGJD)|!7%&#jgfFOKBT8Q5Mrh)=An|h1X4LW?K3DKzyB zeM$NjzOV2s-n95N%==pDKnS{TOuBCsMDwbU`#aLN@O_VG@#e#?!FNn4K?uGdOuio# zGy;6?pGe(8_p_~`Rys?qa+Y6|P6#OYel_`iQ&3sWqZW-9i_GXW6*5ym3Rc zzuZqL0R>;I$>&zkNaBkleG8w*-dH7yi(kW8;*}1Bpi7W+7-&1>behose(t;3#DUuK`pi~7F@ySV=gzy4NS5PsB`b!9-@BjtX7m3NqKndaniH0 z6o767sawilf@ic|2*=m>!{8gKlpq9OsmWKSpc3j$Cy~B|Zxo)z=>>ibx|5X-grGac zq#G?DTGN-1eW#MXg>Q_#sa$M};Md>_Dn1CoS1$SRZmm#IHJ^c1N(eKsDih*!scH#f z1~wM;FV7UJ`4nuN#4rV`QBW0EJ554Z=8QKXKFz9?5N2R?3aaMN1PQ^P>J?PQr&$ev z_?ik1HBn*J9BY(naHu8)Rf$1|@R9SB4uqhaZPLwAP&Lt=5A~4sjc@@3qKo0z z;G3(IAOzn$lW)F)MiSowQn#455YJ-7#jinkq0)hn_7vJozC{YFDgs|S>09^~+wlQ6 zKKY^#xyjkcMM?)kn0K+HLzh^hpfcVimP!a+;t~T2WtMU0zEoo96PFoSW*P4imrDp; z;tBx!_F#@cP9Z{fQR&tj&5U&9f$D;)?y zcfF)TE$>iJDSun-6cBCLglHPmvKf=c<@%6%i{Yq4WDp2ZXezlI$*DJ=+L z$IYf4wpS^#0k z9@CDy6;u-9ncX8X*wLe~ksR7<+ObbTWn!1-UWvi$?lUn_SND@m7902D){AH}{2DeM zu&X^iNMYmyk`X@epkfTtbl@Sp@N4Atj?kj{52VQKT{E+PE5-tz z*3phm0D|u`#TWY@1(lQAeopEZx-aa_Q^Y$Azn}~8sF|Zm2~zNVY4Uxgpi<)dn)EGv z-{4vL*%akx-zpt|p!?3G`(8n1M0YGi`WC()@GQI46xFSMR7wEB_mj!@vx3T~_I@FC z3*E2w=Bcu{Q`IZ`H>J}&mG)F!|26r3S6CT!(?3Yx!uKbhWot}Tt?`%A0SLOkO}hUn zsGQ6@PU;pq<_Jv=iWv`njn=g}j5#rc;Ili_lG>r53gU}!a5c4or8y>7*71QDzXn~T z(t!|keM~y1Lydm{n(_1{eT#ijjw$7$`tWP;xfCCS;EOi-ViZ(G=Jg|W3tg;ZN<|en z55I!0k-6PU2~zOInS34vRTE!4>HDj$lo7zu2_oea5x6!aZz40swoZr~?ZDMSJvbrq z0shBd6C(5aipz%11a%#z7@_p{gxo5sJ+oz48$!9wn07qHnE~xG(oIIX z7am z9nc8SrHNdpl@{fiX(=doeZ?dW2B=i zrP4Znk(H-=&k@NIok*8tBuk3bTLwl>ytO>io^$@|ND-zjSKtJLw9<(40W0FPC5XcSVy)&R7S^#OC^A@!4AyZ< zkzlaan5LelFvO7)>3GheNJA{SmL$anYq7y<#%~i0RwIBLrq(M~1fUaZ1LshzEO{ac z0tRWoAVn~@Spfl%h7i-uD-Ep83Pel_u})jy!rBT!li{pQ%2^Q{u1swrXGMs(K{{JuSn3h!9L^z0LkK5M zKA$9pw>BwnH6z9e2I~nU#<4~u*7=;nV(J1C7|zrXnU@1uqZ*5ZEdWoqC7_66?tY-W; zVmisx%Q=U|)GJ6}IBSz~R`htYtwtcu($!(Ib}A6Tpv1b2lUP`nlfZD+CgrRvlp?_( zU1^epsTS;y%U}3j@t@uQ3^}H6b1szO~nV3|-vE*k&f<_c5+B z8MZ4(+{d`S*L{o~;y%Vsl}y~nxIr>tr)Zag#C?n|31Q3OMg@uc7`r8e;DDPHB=2J& z9Gv&rkt`08;L=CreGG~R=OF$|?YAjV+{d`R*L{rc6WzzSLn(>-7zhaGd}xHb6eR9r z?CEtMHMHc*ho|7hfG35iAw{;eT+v)-@^AO-KUUz=6#IElon7B zJ}wEdpnpO^{65B$q;KJS>O{9E{-t!p?Fj^s8x@GqacQ5pJ@G6n4~1QycJ_uVuPTgRpEyMNmIA+q zXR*Ozxjpf^(h;{O-Z1IjR1m*C@fN9D=-y@(p?_YVKsdRqC2@TMG3C6xXpes@h~J(# z3_j~-H3G{2d3)l0r6X=nAfVi!`_R0|$*)g*MEVx{j{M{L1Wqq4q2)aA(ugd_X7#5k zD{*_`Gno}OtN&v{eAgM_|aPt~ppjXp}q!iJ<=>5<;U4Rgj4J52?6vv`W4LWzfH0Dxzx+ zH!;yQ3nT_tEL2#4jx*gwy~6V;pj^BLc-*^qj|R9B?|+cO;YLV8G-in+EF@PPDG`*q zR3Rd8zf3|X^+^g6f%~H*gi@cZpeo^tr$}sM2-l?*SS?)fR5I7%J7e%HT+tGqA5>Z* zJilBr!ukpY@$mdgQn%1m;aRvMenWBr=dM;tB0PVr$u~|xJUqXK^eud+ohUqiywVZj z`L&X+g8n-sMn6Y*euzf52@ z!a5Dz>>P!OfcWWB4G!00LcE*JkPsYhrh<5AyK9z&P*tr83eMtV-?V`B1clfaLsF1fgFj zF+?b|DK!ymMgGayl_`Y4As9< zVIm0qN;21C{;CrNp|4g-A_#qrOm%M&G3ZgM_OB5U-q)&RBEoyC$)GEUM|f}R72$oIi16O7 zQi%xf>m>nt=MDvl2=AQ|Lhro6gm~}VB_VXqE(M7&?i(e9D%@>CymQ`UiSWKzVIm;= z7O93Ryj4L3Vt#s?#88E|D@?{?cS{VN^9~aeo%2qK!8`7f7%uqosA~6~UZK->BT`fh zWjr2Oyaez0zq-x?JdPrH`>R<=HWpYb$-%=|j8&sE9`;?dWw8@ja+GkilkoPS1UE>kt)gs?qFC&*% z`@9$Tc|**r&3u8?DNd5HFc$s-3f#pUwLMqRb-uO-YPPD zVF%}qcdU|2T>Yw-_pTvHTt&|La*3{gE;GZAIUYMMfa>CoFJpce#-$`ctdNQpo(7m-%yJc_@kw-3KBg5c(kt+{;~V zBtk#3iY$fDUwEOvG$yn8^!-Xi1Tufk0{3c{8;Q){_&X1aA@sLi=EKzGFoQE0Fs~~0gGa1n{td7fwUR93;ajO}UjOf)_J!q!aa2d=lBN{m& zt{q&{D!CNqwYg-*25;lVZEGl&+~Dn4Kaf|${%2By>sHC71~!B0QVhG*e3q8PuwnWndMMfa> zAQrfr8aEQ52U|UsLgpb}=Ap*qmkND{i3t46Ejjza!;!=4y8V*R>>9))tQra-@ko`3 z7VIcPF5!HMA~aw}dn66mF^bTD9cxIE%HtlSw99*4#QgD|$wSf!iqL|cXs9aaBt^L4 zoNP$b!%tC$2JBR0njU_dGBjYg^(0TVRcXO8r_%!dx*c4KefOjhWL}?PB32zI^62Gu=Ovd3}}Da+%l2bWi+=9CuZ*B;y6k zydKvoLj1eT>+8H8*IPBsyuLvh9D1W?Ez$8N>J-@OW@b*y>+T@)`WCC@60dJnfy{`# z&6s3f-_H7hygQgWt@7}g=dOx7t&YpQzRTqG-fc)SukT^?K-|4aDzauGyNuZTtddJb zzF*}brXDclQjs521b;o`k@MHXpGrl31nIb+Nkx9tYB3WTqgs#ogFSA{r6ND!5B8)- z?qE+*cyMM&dH-zc@H1A+r4B!<0&z=!PLQ)ZrJr zycZ2g>M&B?mrET+#`|YehhGjd@+Eip6)*2qlP9^uNO@l_br>n{pUw4s-Rc0MwS2>i zd()7l`Xb|%hjy06Jf?InhVnG&L)R``l)pNrc>Rgh% z!|e_7V@DxvzL&PZ4wM~&uwEp`qL#TAsj*{D79Q~Bj@mosk6#~yuyHSJp%<2;FyzFd znh8Tj?6?z_JjM6!Vm0ba16t%|EjE&EQWjESQA=gTsR1Oy?&^dkM(f?(s?=#SO@U>g z>}e!hqA+B@qE@2V;ND2Da0Bo7Ok6Nwbx;U#N$x9}*L`ARO}4N5I<=8I-n*YC3FULecGm9&s74yo@bTX@N3+2z9KmG0*~m}3zfjJ7kNU*UaSO;y~Gnb_EIId zZ(imJ{d&0)`1J};VmkIpMR4p@9?`K^D}iIL@q~_DrUZ_?))PARIwkPy^`6kLHzoj=jSX`t?pv=-0dG$H1@g z-KpXE&awDR9D9#dLLubct4D%k?=#e*WA9f2$3EZ*FW3i_z^@N^Lccz&1b%(QM`VkR zeN+(~`bpwd)%QH1 zSKn6xuYS!FI`!*H;M8w;LZ^N+S-b&W{gy}c>bJELy!sta=+*Bkfmgrh3BCG#CAeCD z;0c}jLnUzPk34}>(dy5xx3TCGhIcJfT;At^{8Fz!Q4)LnXLcKk|f5{e==Z z^_QN+^y;r1qE~5Q6S%0i2Z<&@4`@Z~x(7^rChh4;Rt1HSHdR%~<6)@R7{^$8GK%2WK2PY^ zekJhhfG70pG$ruspeJ7LN7t2h$p;MW-*(XXp&CHQqUPw3axmB6oSctXFf zsRVvq%M-eFZ6$E)I-bz2GeMXTkb_^>^@x65Pbw7}KZlDBy-Ov;Ibt5J4>&BkY z+?zN;w{GeQ-8u^c!t4R%;n&SPVuL!Hj`R)c;N~5pIyRfoW;hiU!LcQe=-9FnIM%0DR_NHO5;%4XUx$v}QVIOJl_&J;)=J>lZ4wgC ztkALBDuQFTOVG>;9a~cZ$JRZeV;f4~*rq3RY)c9J+V+Hg-Cha&I!B1S3**drJ;RFN z*txzE9lL`PI2MVL+Rv%bvGW|EW9NH9$1YF;zm9rBzwSssnqTot$T1c)MjdqUUl>PU1>RJfgXebd~7aqdcK+mnea6kM;zgO zn6zN3-K_jb?KIZ6z)KCr9pkNqZp9mHBmz%2fhdH)r7937dxoJLqwY*4h{v-$VbqaJ6Qc)Z>dM%@ic z5RdVV9x>`}QiOQC*%Lc#biKzDulC+yHOA@GJM}17 zysY;wLj`*EZbk6wJ)Y33_bP!`@AHISyVrz))Q3EwQy+FD&cdsYcto#0 zs+Hi?$2_4|A6EjeKH&+y`lJ$g^(jy2)Tfoesn2*qr#=fJ34!qHa~{#F&ub-k_4A(4 zt6xw8ufE_3z51dOc=aVu=+rMNfm2`hgid`WX@B6=S3N>olFXBfDf5GD#Ufqwx)X<0 zsC(bAIz_tZO(k%|mp!42-ckZbyzNPWV^LpG1V_B%5qz>d>-%tWaeA7tGpUU-oOA#FLZ9_%+=sQZ_i0^tr7ky6&9Pxcm=%ODefg^tC z30?FfC2+)#9bqm|kv{r~Lu~GU>MPMlKU0Dh@aLYuMZF*3LJJz`53%dI-uO(~(~qnQ z3L)(mssh~lOCWv!Tc%%sr3ilgwbd%qufI_Ozy8(}`t^58;Md=K!b|TDO5oN%dP2AU zNeSHgXT+a&Xv*~KUlhTwfAxfZ{hJc__3xh0um4a2zkciq-TF@@aO)?Y(5?Sc(!l$V ze|to~{znn~`d?4z*Z(PjUypdC!pP60GC;Yj|<))|(aBPo>tJ1G4DuG{D@`Qe!im^~p9F9e%pPo{cj?HK# zIJVCdI<{X496R6%9Xm}496RU<{faz4IS%|f-4pmV?pZ|<96Q4!I#v_=a&W9q?Bhsk z&+1wSj$Omop<|KECkMjyiafsLgy>h~@(F=o*YPB#V`nOYW7qYFj$Ka)9J{_JbnFI> z(6JkOLdR<69v`WE=3bS4-GqMhH_E|Hk&CsH8>}DS$>t54W#Ui>d7HT-@ii54`1F({ zbqr~IJSC16WIdr{b4uXyyeIT)K?yuQ1fti!Bp4%uPsG9HC0~h-Eh~Y`E1uA?RV8q_ z&)1XOrY)7g(^c8q&66TPnKckY&5-oz2!0Z1!M3=;f!9t*76uG zEsVn(h1qqjW$Eya!PXdzHw*)3{H#=C>|SHdV8b~mmhsb4jpd+OFelX*`_I8b%VRm{ zhSh_8eJD3oms;ixZwat1wLEh>njz!orrM5k1ZDgx%iGZq!6M7s(GnTI$nthHMFxv3 z>!q6_Ksmq50LuGa#!tcTGJb~quEbB# z?e7eKk4XTPf-lgc^6+skFdRFM(0LmZ^JRCuLNaW7oauwq5?q{(eI zk*Wu{qQXL|e%< zOZ9cwAg-c>cT`W{wT!fy^8R^kW%zCGIsxO4 zq=JkWTq(&3x0)rg^|W4_7sgdpy{=kK`FYR=pIwGqUnvJUOB+$-MaxdubaHN4=W!ih zWk$=4^#AYQH9g(mx#sZhuGl3wZLEjKEp-!9OK4H7KtTb4rkki67J_mT;356qLd9Lx$vqX;BI8tR+uyiT9M1!1EPPa7p!4mB8~` zc!Go2LxRy3IEhH=A<6 z1q!jO+o-{|JK&DWimAS_fXM;Jl_3*zVZh{oJ1IlP>dpb<@iMz;x|U7GyXdn^%X4Aw zBsknU^1G@;IC?ja&@$WF+|^Y79!8o?_e1GDwOTFJzn8(bKs;{mtrYH_Fj`~3>~l&Q z`0=|pFia2BI&k+v35jRc7^eq2#Ln{}37RPxfrl!= zm3f#a4AaAvptE~~CkWHbk;|{=KK&?84W-k?VIz5=y#%$A?kO09WB*(P#$qLbEo)SW;bgHses_(Rr z(V($1G@7S}j22z$7%bX%M#y;WpQ#L2P<&PhY1Xq9;u7*XE6@~%<&0@!zvOlDbWE5w zyilji!$xA+^Gzr*gkGSU!mt+_gU}X-doEH4%U*2IS(f+SOBBPhml}4KCB95CEPJ_O zh~_Q!3S}_tl_BGe^eSQTQUq$>)gfg2bB$JnWtWAFx2kI$qh+rP8SkgpD}!Zk2pJzS zZd3-t-efF>rnsl}-7JO-e%)~k3v}KTRkiEPK1LM!m?8y+aucd#5om ztl+zVb+}{N-NwbT!tc@QuicADY0W<*r!>bQzs5Dj0=fnpRtvCTe%_d~Eb$i_swoWn9-1)i>ow{n#_anFVcD-46Uz$zx?))N8-~TQg1@O4mi?AtNM^`> zTNw=d9b>ruB+KBt3Srsr83bd@eqR|Z`-6}%WPj)wE&HR8F@S!o42Jzl$Y_I~DntLT z?`I)o%>G;q8CdoM7U&$B*hnni{?%2aW&aj3 zHnV?M2Fv~icA6R~4p*nh<=(RoC%ky!TMR+AV~|D&e?%l_9`qh2Qa zpE6iBWiN;&WCeHVTVfbCGAxD#M*l0V9G2}g4$+L+E_?Uf*Bvr8vy<%YbKm5UF=SUz z2E$GX8AG7z#mBF%^kTGQYI%ufCvi&U3sZ_C%78j(&YJL#%w8RoWq}tkR?BA=-=Ge zWibS2z2KZdw*+>7-ievrI!oS}W>Yf?QZmm3CqL%sA7Wj-|3k9;puV(%+k{3RsE=8l~$YN|1k|a>|H+*dtLv+)NZW(mzllHfroWLmC zyONfvJ*vwdqz2|#Z8;(@kzowC(NTE>$y~!N`ElRC4l2s)z1oN?THvKG(D)meXVpde z0!W|lg)cCQ*(+)BQ70)z{p80IGj^1+T`g1+gZGbFZOW#-$Gzx<9*W-mJ2^4lD1$q9 zG#YLB`42u-VYfiI#$SVN!XhV=i7$&yW&tF#E9?7lnt|Ogd8H&YG!nn{?rt?2blM(H zRt`_lltC1-J{vWGT5LKO7W=vIjh%JxQ{|SsqbsBPSy8Qf+zL;jkHDL z0gCXXexM;4TR8|s`u})TKiDI-a))RoJgOh+3EQ~C96=k`d$=cT;f_#(C-oyeVS{m$ z5q=xgsM_^E?!|pKKB++82Ptq9b8AZc|vKoE5UVihmjnm-RVf2 z$KdK+9#P!gdLUe4_jp2a_bS09cAt?v#oez2uZe+;D#$ zov%j>wjF#_E8?ns%vhdkXx396Zt9zeg!SFdF3*}~u z&qUm}tquwy?mH@uD|~;~7@wCi-(&qi-uF9(=Ve76J`;IAusSG&ydRo+eLphPYVy@A zmJFAQNl*^{1pDsCz{B(6ar&bLV5`X3;{@hTj$v0zIH5}gwRQwS8c~voaRhRk5 zY5_vvFTB8C8j2wiy#lNojxTwM1HZ-WV6Kj9##O1LVumde z?@$3Z$UhjuSQ?*g{-_MkAxOJQ-habVwBnx?;yL6mK}F8!*z;Fq=qV!gDv6DzBmn+h z8Aeb35i-{MSQ$o7{uwZN>+*@VKLre*{40d0h%_vodvbXV{s+6CnU(p!R>@7u{6BXv z-Yk4ZR)G(%Nk$fK7Cs}Z*^J$S6q=QV%%Xmum4&;7%vj9#)(nibFD%AlxmcS|#QNBx`>2W`R5` zts_~=$iAW`SHRB?Z*UtQ8H= zSGv#5LLua7ZWeogJ~s>9D)c=v>rsHeil(p6eb=kvhKlS%s+Q_89HSUQk+DT3DHPdS z3el~C(7ugDh`(tJZh`{W`@?6V=B8E+g^-A(twbX7wM-&MyE3yWz^UmL-r1Z5iJrdq z=X1D-AvDS2f|@>y3u;0rrefolyqW_;(OmbCGS?nbkkF-?%;iH0lDgc_opHG!qbpI8 z4*)BEvgo`g|6+j?CN`TZz;K zfu_=v8TG2}XsqF+j)@R2b#NR7E+-V9$;mIYYAA%BJ9&va3zFbfgz|lRT1|3D-OEee+gQt; z{DcVcQU^bW0yonIK9iH*$Eu+a68H5I_e&6-|D5Odrv!iU0|!KN-SiZ5lV+p$z@*a5 zxx5$;QgP^K9&9AX*+O~`LFFLQ55=y#b>TD7?l7q%_D3n?9q#2FVe$$x`^b?L;FX(x z6!w#_#6}W8ORO3(L>}!$9%D?FH|jf9LFwt&o`QPf-f*OaG^1zHr07y z^<1R1nHsp*C~m5#%kl3^6t_|XmwpO^UZ%928o1mjI&)5`D;yod?f*)nS=A z|J6pAsF|!fK7@PqGM{cM;|>k!cwaL)ezDUanF~OmQ($&g;Wgjy247T z|BVoeA>LGoF8!B7D28}TAr{np+h9T3`ef1YB0BW(I|exkRnJ$o8ZzA8H6p9JyypnB z?%y{eqf}p00-Jx`2!c;uzkWj@QUt$g5DpReE&aJg78ljGjmiMkceEaI1ixzpvphq` z?U3HxO~Qi6->$DY*q{_iJ>a8>=(BfdiTnG&Q3{@fGZSUzy1 zh*uIH8bM3RG0Ts%7OeXVV;sur`K8vvxZ1Cj@clPx?lSx}=m`UOWvv5$P4|QWyowS`y^1VhQ-M*ssvtD_mP4uB3Gg@@k2DW-6*_x_`b=5jy7c^9SoYgKgj4={#? zmUoW>m7zm_kTG=V-9ZmlivIi|A$4*ORf_)nVK~0MKGDjDJ6y}A29B`Gc**7}AE^|V z<55N>eQSvlbV80cf{>6nKSm)sA;%g-NVMen<2a@8?(s$?vFijSoO{~{mZ5+NPErK- zo@|I~*YupC1nxc66J9x|DS>wrzvKQdzyCO05!}1fSK_5}h7w$eXL`am{46E75YP66 zSI#*~;Jb5;z;_%fKTjEacfPkj9;jTyb%A2^Q7*Kq1!w(>l;MKB*jSrqc8Mc(yqLSx zNV6&IGG%zu-+@;^m3CWU5Q=y1~ix~=_;$`CQG{73FOPlYYgEHD2$(3MgjhL zdHS{7cW)NCkv!C2m(+`AvKVJ@y%%~z0y+K-p?x=skl?ZYCKR|gp!iH)XxwboPzZ^) zc!{?ff|?j;$lOK&R5Ka>zMTb$p8gH!9afPTLhtlK?=l88A++yqkrAkQPkMf9dl{C& zXQJl4Rt<&h|K8_C-fs-Sf>tN<03~>H4nCO1GGk7npY!M;tL4@pd)Nf_K4M5#M1GXj zy|{tL((wZKmWivJxG}@Wt;zzP@DolLM>L)^BEP47N(nj~Pa8oViF6&FQHTfPXAL5c zMBsA@`TX;|QCTeD^NNs2@&zMqfyx&Y;U4y)A^F+yOGa0#D8b$9O;2zW=>2k<7EA_p3w62eN}|`c$)q$3N%Q$kw|^dDzX$(-}gelW(-1ca(!PH874GN z4SplNU?(?Tiq9lUziIVQ2$A1%BI{UJ;oF9q@{If)Md)gM*AUt>woBhrhJm^72W*JG z`2iWGJFH`5?uQ{{#UE)!49xvFU^1!4Pn2P7;HM#Dy`L$=*uc*NCVA8!D8rk%4-*!n zjVYmG<|A6cUmDYY!F@Lg6*m%F|1zmKlchM|ubfai@YlxB%Fuzo5gBId)b!u7U|3#0 za|1$U>ixdoSxpv0>hHbOKNy2ldhm}T#7iCgQyP;jNuz+z#DRadYAA%nzv#*1HuhIT zZJF-uZw@iBgLnSxm@GXc zF!Mj2M&N-|=jcx3bHrHONIcNdnLI7A6hfm;xrFLCv$U}`duE-E@Wi@|G)TIY6fxb# zBtuQ2$%=4hD;Q~!Oi_X}>oI~eBU@1!&TJ)P7?T3)n<^*b9X7qUbF4M)eh(IND>=1{ z)nqB8_Bp9&eEa&1HR|%i&;e!0O`c{GXq#*o4c+u|rA@?1om67(zEvO)FUcZexQueZGy@L?JA@sWE3+;#rDe+06_) z%M#C448v}27?Rod%PNCmbB;0o0oiZOD}-eWVMR7;L&{*;V#xT^S8|M&Er*Pq*NQS2 zwi+^aU$-Db`{qS1c>Ir5KiN8-{3x?DooF**PI&$PO!mVdsX7fe`PY5SASY zA=%zv5+xj$Hk6jSWI`gkR`g@A~q7s?qoHIA$4cf z6qen^7*3x-w@4W*yV#gBEb*?2Vc6Xai(zA_zPoZ*b`Rqa&6wR&87#Y3$QZJFD}!Yx zLdKB&oH7`8pO7(R_jL@0jr;ZsA!Bxbg|O@aA!E!Qs0@}pC}a%TgT;@kKRnIU^D z8JBy2WseIXWA=Eh2+N)jGREwQ%3#@(LdK9i*)fLfDIsG3ovI9mJuP7vf3DYPgIFOf zdwK%N7_&>okbz~-V1X{YiH*dvXIe#K2t7+Rg<;P&2BD0}bA;jdudwX72AyTe&(pfF z?D>YBWr;6P49i|<7@`@n7b$~bFAf<)_7Y_jqt?2X16 zb$KwmNf``#voSHO;9C^Ku(x*F+B0HV;kPM=WpB6Y5Y3pqLm4c4XUG_`cPWEq?+zJ5 z_8w(0?7bn&bNu-}2Wi>+L&%tYKp8CiV8|G<4=ICX9}XEq_7SmTVAw}lpi8h~BQb3J znAIeQ)W=m-SoR5HIDH1)lgeP(r;Itv5!BJyjU_WqN_kok3!+4l{TiFYwP@o$QdK{Qj`b6p_W#sh|56UYKQqC-KR3Z#3a0-9C&mA)X~u_A;(jm1 z`bmaO|3_9+iXrwFUhFRoVy@;m)&DCe#mk-kYnF^AMo1oM`+j2;i6Qj2Ug+i%mi)AfwUZyE#r=P@no$336IJot)=>v;4w45itaPxY)fWb7qm$h7~}7eaWXr(Y|g zIUWcZEZZ|p8Jgq4knu*hvS-sn#-@4|WoW8rB#iC9Bt@<2;c5vaV>`aOV{FIQ2pMmX zYkIa;$k@iOtqe{4Iw9lDbEad`{;wNCHm&PvMYR9xhm3u?4LsX0WN0FLH|k<+C2v6o zH^#2}y%s)`JHsYc359(By{Qw(Tf;14^j>B&*7tXY!P(e%d5ri>rD}gAuq!^J_HVn~>w2CqWOf_T-rY)2qV73ey z0w(U+N+F_R>kzUxzl~#b{I(&ZV5kr+a^cS7l>ImUSIeZwNbH#LKEQQ(G=@R>Mj2djia$Qz+NTz`CZI?oth$KvA5 z%%=p?GBvoMYivwIX)IAOGitQ}A#q2q;h3Qu#f`IiAZ{V{C6eN$*hn0qS-L*sSu&GSIF4*?5zx; zG!Zg+;#P)8+9zZ*&AyH?lJ*OkOgFo~LWI%*A*2lsREAJGC}i+p=3rXUTXp&&+}B9L zMiNPfT0LUOJj}^tB*ljtZySG{Mm%q{?nWk-wT)#OG(A#RuZ|VFDI9QvDC{w!(bC8?LX5A3B;bolDw#k_~&LK z_iU>w#gKcBmwT?k7S%n^NeSehFD0gK-qgLoYEmrKy->yS_jeZ=ZBgHgos>ZCB`hhJ zc?+iQrB+kE0J)cWbuTyArn*-+A%WN{Q4%jKn7#$m_bRJOxzzV+FZUXQZK}IWICARtbd= zc#BgK?N#rshU5j`ZAvg!d%KZlv)YO$Q11?<7_7b1Xd9I9E`=DZz1yI?8N5dc#%k|1 z(v(W~DZ*Io{f1<5%!toA_=jsGg!uZI+2u=ZhJi4Ddhj-d7Gebf_naUN5GvD(Ky zp)sCtB#Ru3Cyn3WNhpD2fAe`?%Wmeqfz7?%CHVTfjke4q@L{V-%~T|QCp z{n|lV_BSEp<@Q@;uNqtVcFRs zWXx{v7%iI(86zmC43^D@j5a7JgJFk4#*i(F9i3s_?~h9?apOtcNGw~nnkf0!XWw$jB(Tv&cl) z$QZIsWiV_jWDME1W6VG6+dhPh**OmK-TZLK7_)Pg!LmDqj3GNBb__yx9t+%X5;qdV z&bNv&iy?J^stU`F8f?_tWIHN@Wyg$(Wd)BbhGiETmXH;^lVTWlXTy-pkljVEKMsK| z3L#^5u~vj-cMTbv+1-@Evb%?jA-jiTH0+)sW618M42IoXnEd_~+AwA(6vDEf3o9~a z_Yp$|mfe>HZaj$_iDmb*iY$fD{Z&&K_5fozAY<~tZvFi`EPIeaXIb)twJt1sh+$`0 z;zJd~vWFRlXol?J%3#=K2r?9m}(%pT(yEqiRp7_!GHgJq8o z8AJ92WiafCAw$TQEx1?HXmU>M~=_s>IhShE=aKEU}s=zFskmdV^sYHD<8hs1R1YDTEBx zo0Y+;w}gzbdaE*6^|p}lRBm^SM!h3sjMY1p!Km?FA!M-Ltq@kdCu9iL%)PW?VAcDu z?J!Rf)F(qmqdujql`8i=9YR|58HKRwvmv8ZpK~mqUG|S{yElvnu*``DP;J&mb4E6v znHu!V2cc+q(WVnD^ot0waIq9-{8B=-SrDG_YYEk6O<>le4NF3?(ARuQN1! z*y35kiy_D_HH0rwP@i9H$a!TTS#4$5^&x^0M@n-5+z@1U{PT*C2w2ruqelV9cq0^&vQCs$XviW}Ui$ofjN46)O#C z7{S238`&OzH`=?g?b1cM+K}A0X^&pN+z`DJEH^|O_ikpDX{lhfA)0BbUu|f~%+xf$ z*w9AfqZ^B}r}-6!q>M7BaoHh#ZgGY5fnR*6*>Z8>*B?U6^D5}q6^LLulr&i4mmsQZ ziC=`Mp(TD9qNbK$A)=(+ZfOzLB2o*^(UL8#WW<&9ZDl2h0UW(=>!4sU3I?|E1rrk+ z^P-%#?eax*!L;rC@i*f4=!a>w<-bU0Osg+nCe~|wstm@P)?B`fz8PrwvU)>w8EE^W zxY=@L+xs%KKU8^O&hkZwp@HG$ix8^=bC)l|c@6Bad=a8{U}X6soan$j7P;p(UR-Qh z2IepSHJtn40$(JpAXOV2{d6Ie8{F~Jg-~yB?9+u%aB$oTV|N*|*&AGFrCJCr2Y32( zA=Dh)`O}3|beEuzEwd=P=+lK%bg?gtr4eVdJh-bbb%oS)w@(*R(cM2?NJaPfbRiYp z^V5Y;bYL$ltj&rUcqrRDDBB2ef})AA2w{ST|8qgnF!uKe_Qz0VU)#rJ=x$^Cg+L2`><8!kYFEX${ZT(!$O(Ef_)e#b2#?3 z{i*XlHth)i1vDCBnEoTfGPa`wM_CEJNwCk8yfoP7NnRH0^CT}1_IZw11p6^h^2(rq zKDx^GaT9XtUmX@D>R+>5p}J^UP)O~s4fd)1b-_N@4ar;|6j1#ef_}|F3Q~IO4$DyW++X&-M)feoL0LdEMqmSf0H;2T{QjHU16E{ z|86UR2F`%@ghhz~@Adx27Zd;A7km|(W$q95srm!KKK=h-uulU%6ztQ04+r}+;3L7l z`2W$M02a(VX8U7en#XN_d^9=fC&DtGbpMlKiS)3a3QMG`{Pc1q=rY9p&sY&US3F$* zv%bW282X=Et_0`Y|NL?#IP3n;FIR%|?*GDaB{=i`7nUnQ@bt$oE?0!J?|sQiBnkbC zes#!~b@j|wQVVB~wYDD~U)UNOzhri6$EBO3roQT{LCBYEPkOVj*{<|vU$RYyl^O^d#?crRee#Q298t>Sibhp21yVBc!S9iBvI#YVk z?-|B@b?W=Jhr4R;*KAJ=@^x>JZ@A?$pU6a8baZx2s!dwfIof@BJT=u>ua9M?bY|NV zOHytxH>ES@_wrLZ^M0=|rL*AohNg55`Mu(l&Z6HdP3bK8z4DaKvh9uIcoo@;dH8W0 zu<9WWSo3>0VBPQGfDONg12+904%qU0IAEK5E2h%*Mx!TP&Fc1ePr9z#tsOghmOVMz zy%{*B$dm8RZ}p^`x;;A5lg2F4Qa)DeNmsCq*?8(>JES6H(opoys9Mav`PSQC!L!=G z@vPR#XSIK?;41Ar-m`4u9lO7M%?DivPg=3FgvAP0T6WY2UAuKmUG~lgU4J=XrBvtG zyn41Xoh?tK+)l1DowJ>MXF6{?h0b)rc7{6BL$*`wOc!mZ)R`{XPPsFU8PS%#^kLUy zJlMD#tl}_saImWF;9xb|!NKabgM&3}2M24~4i46`9UQEUgB|fv*ETr8hd=82N?&?n z){>4Toos%)@n_Zv%U;-~`?a`ZpftTZHI_=(nvIUA(u%rdWz}?-tfAT&RoY!?tc%(m zRVtIBN_BEnsjU!I>QkahqbI5~SL{SqL2V`cE!L*uZ>iRczvWu$BuZ>TVo4;HCPZRs z0usxRSfa%2q$sZBATke;1&ADi$l{7owz^W3t4@vb)!wL3&2)0@$v*teRr~QbUmd{T zLUmdvmxvt1-&}QN{LNRV<1eNj+yaN5-&`fCm1f{%unOam|q71iSW+EJ~rPK2KUMYUpTF0KMBvbRbnR)#Chm?ZC_hDxhWlDAGnrPWwoUW0$L zYw~YyE&k1~&A)|p_;+X~|0a@hBB_-~Y9*3dBB{MbCzqhBh^VTFs)?w&h-!$arYe=E zsA8g2F;S{0N)<(^q9|1qrHZ0dQIx`DLadu8RS-!|sVVh|V(XoE-+TXSUtiX7cgH7K zxOK&4Q+m4p8mp>BQMCwFAwe`Pil#-;v?!VuMN`a2=FB%l`K3hDQle=|G%bmyCDF7b znwCVbi$N{RhSqEtzgDv44hQK}?Ll|-qMD1}+IoKhu9Dkn;n z6Q#t7}E|+S*aQzD|VuWK?gW#Y|%@j})%`T2)RC3wv;{UUg_#P|l%YhjMc0 z(UDYydDNi=rjf>GIkh7;u{OmjQK85S7)we}4ScK?6`E^A+2VRpw!UVRZLSsNit9(Y z`r1*hxlWWXZV=_`8?uF{Z^ZVXJ~PTUH;xMR)Ra`z98N{;0$X;jRIrKMO;FroaXqyr zwiay~wFR9 zq2V!He_;94>M6|4yGqommT8%41%_=^5jmIvmGv+OG=dUSFS#8?EO#KElQRQhnpNFn zym3!zF;)#pq*^tJ(|nwKlhw$2pvV~fR*@SzrsUlujrn;Yt&{WZVh3FUw*&8DMqG9Z zFnuky@vV=dXrx)~_%bi?q_eoK6Ea@nmqITh?y*yDt;TX!RTu)j^L8`}bKy4UTZ zY`zxd^7W`(Zlp13XEQ2iTTwaJj>`G%qjF(RR2~|R%Eh?|S={J%Kz&Z_$xqH^I>O@Y z#5{iFCg$@aKe2!xg^5vq3{C9FkK)7_g1uahC&s(obdgoP~6PVB^w+{DiO$WQFT zkHW+vehf`4=0|a2*Ukbgwp)~~?H=W79Z^~C>X`MC_h5WgC2B@O!b`6G!Fdp4@Ej6%Cd4hH9AdaRT-6@wsTIyiXeQHSQY?W%rAQa{EU^ z`2(V%!hzAy&_U5q@nG5*);y$>(;prh4YdxVfYy@3DWJ9Fh-j#NWE%4k9u*B$mPA9< zqobkPG0{-{*l4J6Tr|`?K8lN_6Ho`!`<;k7_&6ylmQPM&ZoX5ZV)oRim^&>h=HsYX zI6W#3EscuBGw4tp^vq69b$3=&Y@JO3t%-9epfz!BRBWG@#@ut~N5#qoQL%bqRIFVT z73&vA#l|I3v3Y4!DqV&un91$(u2?2*yCN!;uS{bewyUC2_UfpVyCy2-mqn$*wNYv4 zx~NpVzB3O~U$4<~Lno&SyAfs^D&NEa0=t=?D7^)4Pv#QtqjsdYP#)|$8j3gY9= zsMNkIjTye~j!KpIo~Ts4H!9Wci%RwTqf+C6sMLIrlG_h4Bypk-cXDd1N1}H1QCyqt z>SMSz+tS`*S}9(>wF)mi&M_qybA^{4rg9RLbx51yED>f~A{rWEBNt<`Bnb@Zj`=u2T<8yuPw{m7x~ZcmR^NR?8Y z$K~-fCee8Uhb&j0*SE)Ve87 zq4Ipx&aD?Ua_dLU+y+rAw;@}t9Gk*cF14PURR!O{Eu?{4M-#V0an zt`g%uT}iqNm882+k?ul8x(gNQE>xtuP?6q3MS2UExPx^QQPKshB)x@7(p#uVZ=oW+ zg^KhRD$-l1NN=H1kJ$yRNOz$keHdsZUBGIhR5ekmDoRyFsj4Vd6{V`8R8^F!ic-}? zDd_@M6Q!z&QdLo^N~Pj@RkW&#R#nleDt(x$C{<0AlHMM=+OD%)OO&dKQZ-Quy=|Nf z`rG^~N}WNZyQK~LVA!?xl;+B6!Da0Sx&S-Lw^*Z+x*!#ejj#us6C>r4Gs`8`%E>7*H!PRfEhi_N zEAy!(C#Rgt@_`^HC!Wjk^mB6hxjgNe6C%r05aSR~q7#hIpkRUTMg+1WD2sHWHIJ z5|cN?bw1v$?sb->7Q%s0!d9YGD^aQ?O0`6( zmMGN{rCOp?OO$GfQmsU(V$5rym1xyUOw|&lTB1}-lxm4mEm5i^O0`6(R-%+#=IunO zcA`{UlxmAoZBeQ%O0`9)b}U*UH4tjF6Ro5zY$r;!6Q$asR9lp4i&AY-sx3;jMX9zZ z)o$|ILv|L=E}!U>Pjt$QPI>AS*Yl!OUX;pQQ7JDf<(sJ#RB~-%ArVxF6G;V;R1ir8kyH>#h1%NP$Yj7k#bl=SFxN*m zIHHqAP7nT1;C~(e8~ESE{}%qw!T*siNx9+QW{ZF4NXhtU7e-O)4gPJ$Eq)9y;$H%$ z#PBaF;P3Ea{v99fF44u$>8X!#Tc{LxJyzsx+FcLOHwkrBn_iWGB3I$+oDS{EIOgX z#`v>XUM^xtViI38LTC5cY`M=lBkq&OBOhMuKF3G9Ie^g; zkZe$pY*3JFP>^g;kZg=FM)=AVA2>mN-~dB!*JDIb9T8MV z1l18ibwp4d5mZM6)n|=Ns=LANE__Z*bh|Nn5BZi_NH$=e^90n5bzmES6{BPoa z3v&bGI3u%0(iqO0q(gXJ_?(#FUtF>Hi_4RL7k9}hTo=-sX4T|xUH&%YZ&UuZUfH#*I0K?y@=}Pq=5i@T%6AT_@g2AIqFnE;t^xzRXiS@COzRpU1iH;RP zouYezRNr|#lP+hM&Dybh_D(Q=W8P>-8t=5Cbdy%X<15BvXeA8FbaOCfQo2DSHEATi z+Qqn-7^x{n!iX7-grPF(-Jp@~e!8OrgH>G|toqlUc&)}$X)G9>n$G4AN=@f$)kTxi zcyGIcyv&`NZe_K2F^fc^X4<8bvvcqMQas8hIKRV&svBcp7-4#>3;4 zC6wji@n!%T%i;0f7{12xj_6tr&tEqFL04bA0bb6{8|{~YxPi_xPGOq7`^Mq0y<%4p ze8LjNv46JW0INN~d!?NxW0*;xJ`5hbM7q@g#FR$*i1YPEIl>p2Ao%&k=*j zR4vPM%;FqZ8pX?!R&510UVwlbZ4D!?heVap)>vO>i5CM@8J$yu!tw@ubPisISL&{` ziHlb^F$6nQU$*4Ku6zbxsJT)+b>U_FvYS8bni)g>SPKE&fb9??V++t8;FTJ#WLgIA zyLcswW%4!m1(*&m;n0fl3wSezFW|K$OjnX18pAU)-aJy1v4t2uD9JI$7LH>xORM3L zs3VQ{%Tc&DIjLwZ&|0F&jp&X*LX7(`+r8tyP#< zHvc1>4Gucq8pShOKD7{=3*nzCk5r|9sytAI2g1m1H%D|Qr7Jv8l?STGfvR$#svHPo z?>rF3+$Sb_(-pXe;`uz^tn=a@Ux-MoFcPaYMFndHOt^ByUxo2kW&BkTd8sw{^<7~( z7UN~`3Y}F6OFRvV*oC!4aE};pVQn!s#j6YPM1^;tJnq5<448A9O}uL;*Hir{9ji}D z=O`}6qpZ%NaTH})lw}dCj^q1<`mzmv(X~Om2*R=Or?fZ?Yj)xPtVJCMfsS`9iIxN--{`3BHZidW2);RMBGs|DW4vS06CKfS zJE9HlUp8+^_xeXXkiLFm-+h*jV~USjqp@(_C^Cl9*B^b%L{fwm$Fv4*g<7v85NgQ1Qd)-KvOdV71ITfE);f6qDZI}3F`pa1=RuHEa*d7kGy=Q-zj&Uv5Z zykmKJLV0;YeTP~-KHOjb$|-f565ssvuWWR_rWUsjRy&I?`?xvp+~ziFXQf-f+Ag=p zy4)1UyWEuDyrEMPq9#3|;jUriGg3eq96xnhS@`@AKQd1tM1>{iXA!$UPR$B8vf39t zB3Tb3?IGsgtMd1HJ%kJn5AXn=^t|!m!~Dbt?p19XZ zn~}>o-)vL5kf%LC7DT(>GkG^v)y1 zK*%wpA>^%)^C8g*KP8Yv_r$=&sKms?(TQ1!cPB1S+?i0F@Or`r2{X-|iDwcu<_F^q zp~0bnp?8HY3_Tb6UFe{&L17_bVPO$rF=0c(riLvETN`%%Z~yBH>kEqxPY<6FzB2s2 z@I5oa_k~x7*M#p6_efBMYr@^bb>aTu!Qmf7*#Gxo#Lp2PksgtRRC_hN*OyhRs5xpR zEj170uT9m8?N^Onk@acD1;gqm7%xZHk1;xjik~b|EwGr3b;FD`GeB0YXt-MQIldUb zjjSJQd?l)KRCi|2cwjmSU7(-#YF=+6@bV^cfXt+v0H}a3ek;b|xqc0nJVNxObv_$v(%lK=w zaf8@cqKZDaROMx<`4sXP3Tw-&W42$dCDntsU#%Xx{c541Fsaf|OYYqgOpITf>O-~! zk}W~(Yv8#+(&u+1h_*M;?_V3!V=BL>txhVe3nCQYj%DK#&Z?ca8r}MiD_bC zG@l13h8?an)@?T$Ri^szhj$o@s|P2Q`&SP(lgo)s$-JMRn;*D*X>ua z2w+~F(Qq|R&kYH~KoHNE+FR8b41Wy^1eh}&I#VCX77PRZO6TcS9a}?MGR4QsRVK@f z@Y(}ZQ2D<-8Rk9dX5()rEXUoZdXKH?=5lpyJzwu%F+~^S=7@S#=A4Ma?!i4?)p0ed zhO2us%^S~p{R*J5*;MartRGr`PkBjqc#pHuHMV|s`P}XZd_5XlKehah?nr#SE4F@8 zd1iN1k3~;cY>W|VqMv{a!EQWs?~;;0`nsnCDVL;W6w{O%Gw$RP6GJfR&c$#wuZ0x;UuH7Zj?BFjVdVfOk&Tk zwWhsi60g@5u)pc|>RVmc8$LBw!luwF|8XBc0S#CCw9J6218T|kt94_H^dP7k%{~sB zjdjDtgKJcSG%{Q~w?;L~7-y`DmyRYJK;6zg>e}sCwA*;+u}1N2lTxpGv~H|exK=e! zF;+aeRy9fSoTy!=8jg?Tbt<#sx#Rb*Q>hgJ1B~Zl>V1u0#MHXigyKx8b!8vMA>z~P zRc2=(hX5&FT(5c{;J`nqbO*kqe;*Dv)W7I-HmKq=p(@|*!F^ucWBS~TLt>as z3z>t4VE@t+a>mi?-Nqg-x{I=EjYdOEz2DL6EB_I*M+Mo)x#KhE3 z#^J`d0m(GP9KB8n;wbs|j2vG+hk1OO&Nwc_DFVC~#lKDQIK&J%&}u(PDy$_tzOEjD z?f;tz9r9rPA(U)6HSonYyD6l0bEnY|Uw^LL5{o^EE=>FYrJQY{20jxg3_(~j|nGt;5DBlR<@gep4aH=dmrKRi4E=C@~tdH>G`{<;2>b)w5g)f~%Awu8$c^m1&1dhLyw<|8xB zduN)<@1(ohy*A7S$)NiwZ2yl3exm13;L&S`4$m}yd96qJYHlaJ@i;eiSfjSqVpUHxe~E2 zD@}BV#!#d&#xvch9=5RFzXV5rrn&O+4Lk?lxq+w0xf?m=o-V#;gqDs6y-_nzXw zR9$}2CMNWIZO;_*(QADZ4poze>wS^NM=-^%3)gyrF)siFD6`Tl@4$6K>9zIOE0te< zb!3X!PvPS8kuqaHdF4J6+OU(j( zOQxF98(mBC%;=1sCHdwpIb!`2sx)o1u`WhB<#ugVWggtDQigs~qpnsqT%!k2*V&$5 z4i7fg4dFDEBBpIr#EahBRKDV=ttu}uX&e5k*{Yi3s)#RluTfQx#e>pyo9dTcH-yY% zm8ysd3ZLVVJ*p22ymJ&}czA%G`rm}Q?bk(xR{8C*NcG!YA%muoOjB0=WK(8Fc3Mi_ z7E@|+g{?^jOcyWQPzNjS5O3U28x=D|&+qXuQ;ee@v&5<2s{<8xic7v%hbm@^+wft$ zOX62xnv{{5X6475Bw~(u;d^y>@ZF%IKKh8^aS7Y#m~w+e6^h<{>d4?CP)y3mG3A#P zl`#srLBi&W`F-k8%RDm0l$w#3mQs*0JuRP&gr+Gug?P>`EIhA;p+M1Ixi04Y8wN5cTU)=J8`guzRm{@FNnIJ27Y*yHsS(w`z zTQdhEuC;6C5zlqDX6|I-x!$gsPCPf*n)zwO^D$C|1>s9x0M;9A=z>Ya^KrW-#M)$Q zPRl1gPe9iNu)v*^1N}n$H$%CZ8BfV9Gc!ynIoZT_i>)IAO`1e}wo0%xC?CKXlx~{? z-HaU2=_(yypz_)72mw*l4)KYf)REphIR*iwDF^?{OBP@LNgZZM&Q2vhyBu(tId>2r z(E*e;bsF*6?EspbS3rE8b^s-(f>)ITD1X{S;`59HC~I0K@!cbVEL@z_jOi7`buS0T z5zRg_xIHSC1U^er@=Y1p83j9+%wJs*mT$_fS=zyt3WkqyI;ShRxzeK9;U0GT| zw{phPMP)>L7=p}O2| zg3U|Dd$u?-ZDmZO+N?}{+HFh=N|rO89nwm5irJ80;R!0-Niz6pt3rtXDKwXr7D+0b zWJ;T!mW^}jG{Afnp*Es9L#pO2nMX8Tq^h)RB@w#8$#9lHi6Wb61wY8s(=v03|C?g< zZ)!h_{w+zdX?a+k?CI1V@`>gg)KffKTPsEMshp1Z8H ztX*v)!X--no=hGuk>?Y)%OGD`Tw#ijCtmMMCN(#==)RT3M0b@>!DdVDACRizWu+K! z!2+V|{fnu6h*5k#B48FTGUJpvecYdu_L?+q5)nSZa>jisdi|yzR`gkaLif*Q0plu& z@C8VHzht&RZG=9%a+CA1uKyrai<(-VcB8mae{EGK>%1z-9*qtHhLaZkhq;LtZ>miecLm)<*b!@p#zW%o3B18eVM^fqalGXgm98wN zM*-1#V23@YWb2Pq6xw$D7C@TtZ)(!kS8dePSkPBo|Z-Q1L-7j;z^c<9iNj&{0Fi5 z`dTzbiDd|u9D83A%Ei%yDcH`}g)6Z0Q*+qavyD+iNEDHrVn7t7m^uy57x@sknS*yU zSFS`+LA(d^$V1on%82(6X_cTWK+1?Pl&)PY6I!p0Sb@JB+u9_lSE3m7Z*}O9fUycw zq64LLR%>sQ2yq;YqwL2s_QnbL?wV{>Ok&54ku(7qiHK#@Hl0f4#ofJ6Z10bt=vnUOuw49I+YlHmXp+XF@b zu)rQL5`cT`0Z9NXvMG&}AyIHS^G1YHj9D zMsu01nU3aiYm@0?g|%5gIhWd+lh9m=rZoQoNak5)MNh+mYwuH3nbPws;^1W7&kfY~ z0hlEmbIE4llw&@tti4j;X+txstpIrAAb8NOO$j_7vI26lqtM}DTbtswkJtu&ln-pN zh({E{ql$7dRw)=26=Jqh7^YYwKB^Q(E7po{D23R-bqX4~Vu!_TAD6FlMUBzhA=vZJ(8#>EX! zjm06otaNmE#6nIN^Mv?zC56!Zy`oRVV7&zOanoOSWuBSUvmfSLI2l>r4=x!X9* zdmo48O_qp!p5*{I>8X{Rk)c`!<(HMh<=srdi3vpW9A*TcQahyv+Dx5>^MklPkFPm- z6>-FUzall?lwXjXSFnS49Z+x|jg1md{9j-!tpNpNiCMlVdOHbzF|{1aPi-b?Jn?yn z0aJ3OWfw?-g&r1joP-cXow&kDh_Jkj0r7Z|gA|FUUp)usrA@+9RAT1Wz=0E|O`4RJ zCjtGB+87kf%uSOSyut>S9>a__f%v{AX^}q7c>f%tJFcK^%^k~1=WnNLlt6T^Gswi% zWyK51l+Rdb{kd!C5f(>W3}6Spox}x*BRqv+PJz@L4H7%n3VL5{u7s6#N|=;jU>9@Jrg4+!Tmg}l6L<`*wnRI=!?$2UE( zdCS&qmD@*;8^2Sb{AriB;4Z3nI{#!SD=w`VPqY!>L){GUi3FdJD6x$W87*GZ36U-_ zJajV+7Cn80*a1T%;`nu|i&qYAN5oqp zLZEj%54bc5d_lv^VxNx?su(W%_zF>$5wa9P%~-btj2#)EV^)HaCUXt>}Av2e7R z(n=PUF`#w~F(t)`R8cNt8Q=9pa~oHJ-#D&>SqD$OU_@l-k%Z3`1Hj5e9;W+-&y zH}P*qa80qshpC+Jz%)_eCj?oD+a#G+67f#w0Rlaj((W%>LbMq&k_b~UknVOqkaP+S zNhG>VK0_IjK-{v>hlYU2NqA*D&=dC@yMWI-msHKBVX>@iqMPbKJ|v#F=h=1e$>&xt z(*rLQ&{dvB;SbtYS(px};SOex7VQja4&XLZidds6qKI}D;LI~6!kwUZpDiPYMiIBW zox$Y&t}zTF5+ZA+$IBr!=KK1gZW zhec%1NFuBU#;}3e!es4ZQja9!zEM^;wS~t4myQplG>Q8YG7`3+-7FztZd-sHu$9fl zvJEuYvaXfZh1d?P>kfH^G{`-&l+2TSlEF{$N#^jZ9`zpNX93ae;-cGfBN~yXAOdzv zqR<@%`mE#`scE?d>BQppv^;$*P!)#uex~07x$TiDV5vwm68%0p46=NVgFpM1!K?Y; zy63nV+QbT|K`sl^UcbzHam9ktWhE7IN+Rfa@%sQF(6S$AZP98X9Kd*92OTs*bT9PZ z1iBX;Aqm8<))A7pX3+wgb$piSUg|H6`(e&MES%<#>u6(yZFclQd8B_A&C6VEntI8s z8z5)!QL!};r{OEyJhiVfV`j>GjVsUN7+2mj{yaS{srGdV`v$|NrE+Yegl&?r%^aJ_ zvB*6#&aE69(#Ek_JC}$vg5cz}b0IVxoX(|_(XA&QCpcj?XLC|wgPbFM;0K)M*j$@+ zgtxFje&;BOw6wWMj-=!4*Pf@q zRTW{0M0guSzCB`yR`9W0AS~CRd52WZFJ4VF@6yjzL`zeNbXe@8OVl`!KeXdXw3lt{ zt^n`)K0T{w_Jn2*9kb9HWDXZC1@B&o_lFX1c&qx4Bn^MeS4g-9X8xau7lH+Sz^BsU zA~DF6@Ht<-0blGIAO!2a1Q&V?&~*Ag&@1q|=zUlS82lCB?0Jjy_BZ`Dnf6;5NrG<> zam4^3F!XyXh^6y1KS&ZGgGWal@G}w58)0uq*-;0~^%waF(frEg3I2^~b5e#)o3^t8 zX*`~DmUHrMH*Ioj{zX@09?|{Lf6cW21{KTA65&rw&g~XXSax8C#}Oao^sLIjP}Fdc z+jCrXK}qu`Dw?ZfUL{g_bUFf3dBotPpt(2e1AykJ@r)rFXDLmEd>&hI7akrNT@|TL zaEsFOcZzWX1b-d!c=8$xZeXZ)7t03;ep$%lS>ZI7=Zaij{O+QaONa*9JNAjx9s5M~ zj(++gUx$hSO&?jGa)KX~Q7>K_BTvyO#~9r2~$NSL7P>j?EDYL$>~sl8#F0RgSYZ?b+=o$))KeTq^l@wu z?|9oF37{O1D4sJ4!6T4{qqe9W4n5LR!g}J0M4XiJMB1c$!jt+cN;KHAzu4g?VmLs1R7-GmM>gIgk&`QCh`@f)g)`STa!Z5 zoU4c?l~OJznl$=ZM1)DS`(y>LTBY-}VFrawp&gKFy`6rdL#AY%SwITNw2|N(@y`$; zC~np8fU6snihAoUh+q|oTv(V3zgiLFmlW>R977dMeKV@Yc~no(i9 zy?FHl2nmTdQfCew1tiaiH&SNQ^^d0Y(4nhh;B^8zaJDokEsJim?m&zePV1yDcQIKj zh#yjBbEQZE$mHDI%$a1+-J-tCY2Ym6%jkaeEBYInfpg^n5I8yvKm$pac`|>b%l^v0 zSk&L=G|+-X8Tdm8B*^|k6ueL#0AZ^A1Sost%dphwiA>pFdJb4@?Kx?ZgJ`rXQfF*X z-;xP38JqPMy2W+Kl{ubG8l=nEp@cjc)oSQUx!0)eVgnACaY%_VwIdhC)Gj5$0v}+6 zS;;%4lrv3q&rO~`pJL$Ds=h z=dXOngUELRjVSmr5+1cSy?7O3>163SfrAFYB=Jdc7z8v#NTma$u>s(D{f7Z2gGfL~ zN&GYDlbnwd5w&*iqNPQpt1%ElO17GbM4yQ}iGrUKVn<@gW_<6?`A>WiHxgkg{?g|W z!u5$Tl|lQ~hOQ;rISK=;T3B@n|xNuWhcAWwIM zgRB-Eo)kxX7jwauNP?Ac!4UfK)dMfXvi~61awb^vivK8BDFh2aIA~5lEfOU>7-PoD z%;GYnX4d4dE}6S*2{JT>GA5Qme?M0Voj**LL>2vMQ^5oOBuC|*^D^f32X!)wG-j|pMX&+{45=mN1I@kbyi zn}yvOWjH8$Z4~@RzQBD@Ngm`Z{u&X|ODNQmWtv11a!8!BQ83bLD0Y(}gAa?_HVQF8 z2n2~AB0n}Pij){ObJ;v>eZ$Mt%=BLUfICT;H|kED)nnX*HL)PCedZn+s31G}NFqml@PTJg&%KILAcuzC?UghSKX*2+?>Z zx>ttM8&;YRCFn;olwPvZd@Mn)$xwRFO7n>nHX&$ZLVd=m+(SQ?$#C~dT(()z5B!2I z6c)rx1O##H0tY=DgzISdekERb0&(frq^fBCJXwF#^byNZFGA8NHGKqgi$r|CFS>Ws?$d9MLM^klLY&5?>nYy-woqch;ZKi3_i&Z;h$%t{6QPoA-ZN5$KNy8gZ-`RS-s@9RDi$rM zShDPa;?j9V^m0nH3e$;EAtZs^>xp5oiY|lvc##f!q|1k>n6ZTomGI7~i|$!e7C&Ow zb-{ODtTZg5Fq1rNGQ=5X6DLlFPom;z<0VWy2~OaWVXH#2Ws8SaU}5oX=`!4VNQ88e zW))m0vF^GMVi^uDusW|1Dk#5b>3n*XY7(Ry$#@VU3G}X`q}dS7Xb#ql;lc-wm4xTA z$8F}%<~T@tn@!SjvZSM^q`~9u#7pZh-vl~dvUR+P(s(I+yns~u@shSk!-<901%Ky} zjQiwcmBK*XfRsGjb(ox`6-4Vqw*f0a1Url6i=6^zXf;-l-~zMubCs@6$@h2Y9l8Lm z4D5I3#o*?6y9!FCbvWYh&aS^1Ts^EA5~;uy?nUxb@KbG{DTDc;gc{dC zzz0(K*wz{(9acuZ?IT0P3(pG?xKY8~2{_eZV5AABX;K_C5&Vqvj^u|^7)>j%>xNNM z9yJ=7PyZO|rjN_rwO_#p1t#P-Oe{Yr_}&@EyZXgTIx{; z5ma$FRQ*Sa-yan8iX^7XsoGJJF0nC2^F@jnL+G})Ub#Y5ejU4aXKy_IW7+W5dr`d7 zPyBCVZ12{N!&JFV3gaQIZUPl$mEG+z9Rizq%Vh{%WbH&rD|l=m$|0_)G@zh~3HLPV zV2_H0LpBLfTJl7}XNG1na+^z#%S2cs4W`N9ORbyo7w)%9i=Rk@OmO$iqR|9RZH!#Ksq5&!8N>T9@z z&S8ditIXu98!}7Gsuhe0cS>Ag*0VvVN5sOn{NSjyaA`}5mzE)Vngc4Hn$q7bK3gmJ zjV-i71Vl2dxJ5lHY6I+29}(sO?2E{ye*_&|EcVq3L5h1sw?jhEfQ3}NMb;g3ue5^} z13YSpn0iP^GL~R0`n*jdzKBPd?_*6N#gN$L;+{joQ12BI6?SDQItQ+#X<9>pwhVCT zxpyDn1MZiVSP`{0AJ-|0D{`{aD&{UJTDq9{KENGBdQKqPXWqHnDv7};6mV(CG4w$( z?ImG|_d|fg1I67+7FXyW5i4F2{B)1X}645#-;6S;U2N^+6h+_{6fh?+^Y|TWANP>An zxMi_TeETpmsFfI#YBZ6!ZH6JL&zs3Az`;qVQ}p(92)T=&A01C z#!_5!Sny5B0uMwLjCVo;aeqeUQ34)&Y&;N75Wjuk!Q(@^;7l2~=q|Q52nLIQz=CL> zlaz&MwFaid6S&q>TzDQduKTUVbATI<#|wbO@w78KzR0~>d!6DHVc7a1y0QD4lkgHn z9gd>gl3KKVH#Y1TnnII!x8RCU+q2 z$L#10#{p+MEpDB({Z^VxxLl2>f@ly(uyki58tzRtJ0`x(;&Vp?pFxNph^Cbu7)8Xb zO&Wq0E)X-2unzIvBSNUI(++-uPU0k!Dc4_)I+X_c=>Cv)B6y; zc!l_JgW%^ZT*YufABYdu3xRIE(wyLDe#GXl9Plx zuO)FXYn)4LMRHR};5Ss90L8cB)dnGG(hcemum=FWJMWL!MA|S8i}i!`NT!|+yRxqR zQCf^dGU8`(%u!)D;*u3dg?Oi5Fv)~p#TW7288Hb7{+)=|jtYUkH_>BJ8D46DM3YQ; zcaV^O5z*rnVbGvIKxRNNVvkPC&&iyQccy~>Bx2?(LZB0Z6B0zkCHSsXD8vsi3uhLV zB&)<1UlArK)Z&U)1z+*suL#ZxCviD)?>?9?8dbza!(xtgB*jHEy(&ypxKdg}IEqEY z-3^fktEiv=qD2@Y*{y&`gcv+=UAi7lhZkcWow4-()J4d?qGUt@Q7@7+64txmCW;R9 zVbrPg>UIFCNc7BSj){C@+?2DJc1}7Ai$e;n@q1S|gih&FrMbQSMqW@Uy*lr8qk(D7#A(q2Hl8JvfE!Ig< zCoQyPCQnSuBmoiq7=Y_q=98kX#KJvVREmvOh*Mt?e8eTk1cM$$B}Rcu-i!i8B@#PS ztU4wPosK#Z!u-btN{^1Wss37C$^L z_>V_%$(psHmmT5hp_g~6T+5l2n?uV}-l#9huMwdQ&w>`3A}5Q9uj9&Lx>Oy-^+eQ~ zXfg_i6(ysuL}^iZVh1@6UlgB+Cn`@=J)DAQP=KO()S?DO^ABMO?4~zOJTQs`;*E-w ztpkleQJP|;al|_h@~2s8ffVwo{3uS*E?kTRyj3&}B{2jP0*X{bi^3Fh0^lZ~7)1;- z>6Lo%!eL}VP=;c{BCkmcQ0}wkT|@(Pl$%&mDv{mM<~Gg(bnV>~gNP440m@Cpy+|Rw zx|4u0<^tk6k6y;7PJ+*T&Qg|*E>SVM(@ipRCJ|6hA{vyE*rkAl5(1tTibX_^LXk8t z`tAjmn6B`^#h_x3%5`aos+TROpva*J!Yh)qh=5WN2`>?y8-*~-Qh6XEphiS!S*UDv z5z(V81bbXh@A_5bx+pmkVXDPL!+i%E1VskuPBo$h7$p$BjS5Md*#JO zK~?eq5J9VOE?9Q|^~|6m;vzM@J&la8P0>VyauT%+?}xb*Cs#{h=6 zxwJ_L2!5Qc8PRS+NqCgVHrEq;b46|zpKlT(qPEgnynL3*&tvU2x?(*2R0(#*?c(=M zD2wk9J)4Cnr=0)=JSlF&_0QX$k|?45T>$GvzP9Wciy!`B*O2L*XiRz)JBgF6P{Y&W z_GZD~yGoi8?D-k62;L*U(JTZ_*(-5|z3v0p{aL<}VNqdGNM!%*Fwgz%Fg1Lb=WWC6 z2fv5|;=C3iTyap`*dm0CdjTNt7i~vM9u}PV*Z%Dxr#&Qzh6lz==!e_Qx4^?vCvD&( zfazc6dUjk0p`H%Ypn$tdFZxMZ)*b~v?y`BmBHN~fsfXG@`*iZv#^oXX3G9nR=EI9IZGzjPlhVi|ahvB9m&Wb141+??(EeTMlz^ZF zv3j?xTO47+Ss?Xq{_XO;Mf;sYKOW2&&QsO;j>DYamPYB3M?v)T&fm>f`z|P?9dr@w z0xyYuZ9>4D_W))$H*gwW2Hf?Eb!WXV?X0V~v=R6Lwi5T-=q*Y1A}1^w^*>FowAnti zZMKj2W()mTyr&%oat&k9Ly#`vCm2KbDV5NnAn}l{s2VtZ+=Te_or=saMqC|(cI$KL$pLG&5?L1mG%Vz;-ykL%ulPPr2IOLpu~FL z<)p-}vF7IKf$9try7v$bXdICVMC)Qhx;i3pc}{CZ3T|K?|A+#F_xOA-<)d3n5>slT!@yM=r(_QYRDN{vN_aU) zNAfcYuQKQouq-G)vtyLUY>AE#OxNrPfog`!V-U>9&j(3h0aw3in$#ESpH92pVXyufKyvj9Gij$;%m#FK(mwn^m<@2iUEB~X zb8PUt0rxBX8)3CY(tucuxggTagRC?+Jzx4PmOd9qpZD-jVIhdMizG8jB(C>LW<$}tu0()9!6-={SvZoaQQG3EEQHrmUxvYdFu4PtpxeuIZ zmF|9e`UE||?PV3#oZ8E33Hcy!10Is>Yss7CMHVA#DXw;5NySDkZ65NZTpz9WrnFXxS-^4CIrv(^K4#cF{iEkVJq3 zc1wozv<+TGc|9ZZqO;f|@xqT62zx1ZpNyq7xMzW-D#J+CKx&`E9PqmYE0I;GLEGzj z2fY*Beup+57PtNyY2IlGLJsKLN zg&M`ev77}JWkqxG3k5@6YOd|nd5Y#(Xj{*v%E2>p8gDiR5~Ag+5$Fk0RLf$zIYsl;Oo zAT<{u7>)8S;k>a6*of{uZuK@&x65>HFk5px@x8)b1}hd86!<>a)8E42!H}J*G*>Nr z6wL=*!#*D>`#b%CA2B0XkE~+FVt!Y(BC~iY_L#`@ynuQblu*Fw%kyP#b$M6Ln+jtD3&;GKk*degGQ$*Z=}4dI2Rn zf<8F^P0i|0tEz9wswVsb#9)PJydcCVl;V^NcpF2j!YvE#lxWl{?)M1*Nhai^;^(Wg zbeTg#FITwGn;vv`ySVa;7`P_GnFqN!TveHt@&r$(CF4=zZoAImAz$a1WuZ4N+&pE} zDB|ud;}F+sbuxl@`p9sWniG5>h`XQ4E+3}{#Nf{b`pcCX1CZJP>y;X}Kzyay9ru;^ zb(SD5d`z(T{Z=7*-T*!*-EMYwZir?eCkq(lFh}VI37w(QCT)l;?JPP$Lufyi$KDZq z)uQn|VaTL{G`!c%a@j^x+EinBd_tnxIMUr{`-2|^F^1X598oij!zfCudQaHk5t=+P zB{gl*ij1^-fPZRcnUz&de09ZO!e{!eokl zUkT9xS#%ccDeayu&kycMV2&97wGa@I3nrSWK)^DDJjyYja%3sA0*TW!aoN{Gv}L-D z(;YG=s_z-n3MSzevJB^`*jZ8!TtdIoruDUD%POWV$L|L4i&L}dpm)jE={m&y_|Fk4wc3Wf1Kub@H7uAG~$NDi#Y{A5X-0 z0r1!>c1(zH4@i9%_9KNE2#bIibg%fvH^RV}#s6XSCHAA2NTUZWMNiE#ps==@#`Z|fzH;Em#zl}fC%=}8YJQ`zoH@T`Cgd6 z>wc%)V|{|sgkOyp)dQVASC0yh^%1`v=oH4k`3!Oz=lzoszhmj^jvusiUqOGd{Wbc7 zmiu;n>ooTGSfi8jb|r3jO<3#{q<)43N6>p_L7uoLMO70NA1JRp6uU= zn%Pqh-6&+2V@Ft**uUdAYF@h?djj&bV^5e>RsWtatB_~J&)c1ix;@mS_DY8eoTq&% zvG8r-Sqq+qOg*$J?Tc!|U#@Y`vV&$luX||hiw*-eBJpWUjq+;*>@C8yNkk)+A~c(% zBA--;Fm7fq@8smNpGU~g6AwP@o@q* z;6qClv{qtGifd7@koV{w5O|?z!3>dA>k;apa>2GNqH5GHh;Ow*3dNZJ%dhXFYC+Ev z`HJ{$$Y$5jQ|jv)gFtegb`KW$74PV6aES#NEc)5!}`vkKdo5_WDFa*tbnK6a zZvxpv-*J+Eaziswy0Do-=JB)}vFWx~bEOxpQNW?L zFKYq|k5Z2G0-1n<4sl2QhVKr@rfYCi+-f4AkVAB@$R$3$AoM6l5r>%pgnx~i!73Q>Ahd(u{p*5b>LhZ%%&g-12 zoy$ErV4V0+t};aT$Gf}Vaq7b_m;YE;qpBTm{Nr8mNUqW}`j2;OOUg%8JC$qE#krao z-+34RdI#C^hHIqZf^%KF2@Gk#5wBpG;NiEuUTN0{hP| z)+j->Fmu%B#;-5ckDx5#*L$z?+8TA~aK`1jDVNtP){&zm{Y!$MVplBe`FTG%pfJW> zs&_MHUaogGMqH{T;9q--vM*fXMUDo48N6lgCH66of6U+?GcOqzUaHsE+`8UE+$?)< z-Rk+ZW_$}#;T!%rghJM%j*}i?A1_jnvG;@ed0Vet+Oq4?=BF<0*?y_|RtJA!Ko``gX-y+&=cke2#m#*Z)I zXU(bTwUhAU08)?7Y^e?Oi`^aD)bTaB{QtMWj znm3(fW=tf!_m(@~+dS*NBTk0CbYKm1{c5m+UNP0G2GSR1bopY-ocA_c?s|`j`#zSz ztILJ-{6y6qxSecLHu^S3HYPPrX`J1-v~gAArp7&uM;hB3-)a23@s~zTQ()83rg2TV zO>>*dnl?1;ZaUP|+;qO_TGNkB>Sld&baO)U*yil!!sgQEbszfKwf40t+kD$1+mhO*w9Rf?+E(7SqiuiNv9_+Zt8L%5{n@5z4{Q%_ zAJLxPp4UFV{r>ii?N#lE+gsav+COdow*Aj`ua3Zup&jEoay#aBlyz*dbnNap)Y05= zzT;ZQj~(hxeP?uMLT75{^v;Ey4|Z-6a3b%-{1f+| z*m$Dq#NiXICwfkNdgA93PA3f~2cH~!GW%rV$pI7Cr`e2^7_e}C*4jB zJQa6p;;DjD3r?*%wdvH8rw*Qa{nXi0y{9ZUPW^Vu^>pxQ)9DGP^G?q{egEl=r>jmM zKHYk{=k%wie?IMW#&BlvnXzZG&lH|1J+to2t}`#5X*~1RnUBu&ol$oAc13n2bxrA- z-LiO{RNXcW(FG?y~L;-MhOFbvJjP@4nXkW4HRO z{%rKw(PuNW&(1l!{Op>uPo6z^_Vu%8&t5$H(b>MU$~Q^L?N_#wTclm}2k|7gRQ%sK zDwzTx_A5hnm4C52shF%M_#u78Q8MLF%Xe4Aj%iAL^rR~dU-A<>(rZW?lrm_SAS5g1a`Z+Rz39K`+X5R)YUExdTat?^cVFxKyRvc*{-hE0G9kg z{kUq)c6GTMCE-B)?MlCQwY&HHiXYI&HI40RL#>hWQtR|P^tBUoYVcIiG4$fYE0qHi zO`p~0&PrdeTBqDp?Y&)%G4`ChN>2?eU|%@?0TX0G+#9{vH0Q4b9MVSH*KH zmEjhgeI@kJo>d*NUA=MPcJ-!eHTvtnptI06I33#P?bH|8;Pm1qI?0K%s)J-Qfelmb z*5Fhfyj}fbkE&KNlpj0n;HcHmbHk|mtlqtP0A=gM=<&1vE?>~|0q2CmXma_iK8i|h zTrDmsQ---*@u^mB*AyB*5qFm<2YFrg>G^*54pNw2?adZYJX59|VmaSSyX-mJyXRc* zo;Q1|$)2}+_q^5HpzJH_!oOqVTK8rzrut@Y`FBI#?DcXxa<-SAm|M^GmiHcctGCAu z|2)n&Th8@vd8@bl&F+VKoR7TS+t=9>)%{3cxN&c9r3czQ0oS*_-Mgiyw_K0bHLt#! zy*<4YRQ~kF34ruz_Vx76GQQgTVCIbvXxj;GH0#dy_Nj2TV$6NSjh>WL=N?m7!%|n{a&9_;aCpG6Z&uA`czPEX0 z^TW;So3}QLIC5*7k2W_opKLzY{9g0N&DWcMXujF3YH@4vYZ=%Q)e_e-s%2u!?JWf@ zceN~NS=O?urJ`k1%g&ZPEeBeTv>b0~Z|QD%r{#l|&s)B0`K9Gni>B4PHLx|Tb!hAG z)^V+qTXS1yw$5!`+*;OZd8Bni>$cY2t}c&cMx#|s_x z9dC4WcD&hfvE##zFFU^P_^m_H>DuYj8QdAsY3dx=IiWM7Gq3Z`&iS1so%eTIIyZK1 z@2u*4zVmSBYn`o~XF7X2-|zgi^P5i9&z(nKQ7FGxSpF;D&6RW*b zg8{n7QJ`PH(Y-8N^wHT3Y3Z-e*D?j3vGuzSXpq}Nyd zre2qNGJOC1&wX(y)GNZrjP*AJ1O^2U7&yon5*ijB5!n#)Z?&={)-)`R))9t}7@2hZ zm*LtEb|@wvf2T|PvqtQ5cJ&dT33kznUtiF=iepD;JdXcyLHoUvxcD}W_whfj;AfK+ z=HsPTwHZE&vg6I)X%{*xg2jk`YC{yc$0z?&`?QzXVAg~mS39{)(JJT7JD%(9_NRh< z@o`%yh_64R(TSmtXhvB6PwV!->lF6?!3sJVVfoBwXTF0<$hp|MlJ5rWz3ao(U%T(0?ib=4R{Y@dct&?j?V_&&o}T&ss2c!W`vDv~=wo-6vq`*h^N1z+lSO@D91vHO4V*qVLb^rP#hDa-}}t7cst-T2^5r{3XX zn;&*Gg3o=QEO0aeLS9_xXaq2TRK*CJ>g5U8tDX=)y}x1n1pBH`RCLT>%sO4n4t8^O ldMAO7b$m{+TaEX@IJevlH=Q@!)NHuvvf-xd!JFC*{|{}ffkOZQ diff --git a/.Tools/ASM.T.xlsm b/.Tools/ASM.T.xlsm index 9fecc4eed9a7afa9479e73229f82e09bb879c39a..56101b0b5c5b2406e742dd4e933661c1e86c7ef3 100644 GIT binary patch delta 70744 zcmZs=by!u~_CG8V0uoY(ZuTanyAh-}oeCn|A)qu1kZ#y?gLFztgY>4mL%Kn_;oY8d z?)To`^Su9#IeL7?m}`wWm5kheghZsGfQW?u4CNW>vuDq!pM5#W%B@6r_U!aUB@xYY zKy%1$fgPh2dq;?<@vnq&JLW)YC2VVtMf4hvXVOMSudC>SZ4#OvEDDyCW56%9J~qsL zx9}Fj?Xvf5*n3mk^+vQ?I(;{$n0o z@EFeb0xwYn!_UX!6NV*-YDFiCbO7J%ispm8%HuFHnG>v%_YC*Mf+u!bKRuOAIUs;# zw#(P^_Y~0ab-DVJvU^dcC_2EUX_LnAvrQXFi5-z=*%G(vJzg!MP z1QXQjU+o4$j-=~)GnpI6j*i`$dF25_mKr^#^^|5_Eg$4B*YNk>L~>2XhtCPWPS zg+=fAm&Yu9q2!N%1&k1p8wBX9M*QkKL@*-yP%rzoCcKK5$kog*7!iOth}~EAR{>Y? z?k_gHK2f1TO2m0J^A3s=DB3wXl@WPQ>n$6+%IJ`3aLm8X>ZDW(AD_*hO{cCDJ7Z;i zkEWr^5TeCGWre;mp}q+>pw#M;7?SFcXQZOTq?(hua@lubNahVS`>Le6sfWX*(wZL{AkIG-oY`J`T>(-uPw;*%J2N|pWT2pl9qCb24n;VR=r6_Tl z9bdWEns+hr#D3mU_Du|0`H}#@`Voz=3eT}G;z3BT7w_ zmi?7rcRa`8_~*|bDg*aO=Qt74)rtd27^YxTWkxll1jhMA`kB#vwhV->4m!n8C())b zr%Sml{Z4;kMf{Q?-|NP;vYvfSnDr%h8tPcxgFI=UR}n{X5id3}U#aXT)I8zpIsd(R zNU!w{_+?c)D*JcCi}yep@_ROgV9Ac42)w1BY&<);wP?DtuMnbTbCa`|P5U?ld_20X z74@R`s{yJ%<>#l&u(Qeqe48n+y-mnjCh3QVZ!3~tk8QTUr5<6tM6A!9vEIB$ooB{H1IpWVv%P)*=6CLqg7}(_l7(;`OW9g>)NW*#O^vr%m=8|6BC+ zZ;Atgqi1;GQ?Z@mhv*~anby_GL_U#5Pc7G#tFR9ym*G~P| zPd${5E{=7dP@X-5;x^)<@6k9QKO=nh>?jwCFi4!JB8z|wr0MHBtxLyxwgul|qqkIa zp~yKqy%`S#1sOj$5EA~lIvI>9#OaJJq!pmJTQSH+C=}zg_MJ~mucg2h@^Q{!iEk3Lbdyn)Vw{9Ff7cw>}qgKk5 zDSMYL86RcrD`tj_#y+&!UL7ZT`Lp#3aA1v$-1RPv1oa-Qf}Hl zyqY-!D7^1&e>`0}CIC&1?-WuRZM@uW&$gF#Ra4|p^F7!r35e&MSdBh-2x zwI6s=d9MNRq9ZCj;2r4nm>E$=k^{?>tO4uYmkq~8RdlAK^}j!*}`H>u#~k{6PS z5es4%x5{)&A(m=X&7}b%CGtu38torv7rd7f$gAjuQa82(FjqD4Ke8nnlJ0&;>v;JV>k$EeM1zRh&J=y%+a~L4erwku5r5*;WWZVx` z+-MP!0aPqF{&<|Y0IcND7MC=LNrIn7R?to)k187}@4UY!mmfzzlFUR-oS(xuODkEE z8{8eTBNxd4F875IqirsNH=A~#5pqs6?y;c$kng3vd}tsRykZkewR9BZfEqqOhgfME zw+ag-ln#E=T8eK^lm5YCU|X* ze3nh_wXB5+sMOB+xWtm;}j)-OgzlzILp5*6Kc-kSBy zLM;ZA;C-yN;Y*7^ErWzyF<7Gw#thl1!v2xUZM-Ld`$|g`<+Nx9-%T%>n=Xf5AZPUB zCT&cbwHh>z4uV_t<}+1@)T;zhTt@!4Z?wQ@Av-WBPP8KFX~d8Xy}CCnc$VYQ1X|v) zvPi&cX`oc>v{wH}KOGH@zS+3>%Lv2SS2(`e5E|snd{I*x2u;-+uivaK5A88N(X@Vk z%C)T<7O^P=sxda!UcY3;`*0*Agm!e2bHOZs)EZuMS>mP= z$ot#JN=e0HBTZUW7uU+k&@{@cK_P*+bgIB4&D2T;=&k9C_iq!X!Hgk0vH2so?BD6s zo&9pz+Z+FXa20uUy5XyEbhYaD#Gpj^NAqB9!(GdezAP!dO1R=<=imSkX! zrxa+GNPG?V$>xw(C^sUijh@OeT0(Uou7gu9U$CWTOaKYZQUtK{4}UDK6J4w7G#jU z(a3-&$}Jp;dL+6S-npS<&L}X*Qk71Y0>{6}fG$=sjG)C5QsNh!LB`9!+WQp)m(gVA zSHD!sWd2)fYB&f4`lzx^l2gpOK?>028U~O*$gfWV1r79`zMp9{6$zlvDVR_7aeyg{ z8u{mY<403uQk84F;|BdDRx^d|ei7`fYj}H{^zE1v?Yq(HBE}J{bq)ugzn+7kZ7Gonl z)%dhjJkZQr2XS9lm7hNlx7mq8eX|ONhgsEJxUrneha-|KGfB$%9S6Urcg*i;e%8>0?A)GMhKdS% z;|JTdF`Ihnj8HvS=3P!b(YHuVdN`dk!z zI_iwU0&&nl3}r2l0I`n^;AE*+M?^8QADY&yIS3wuGy3TeV#sL&9p}|7jF}#AL}0iI zfY=-dP4>oCiJCTV{ygOvltuSYIqFyjD`ewqRY@&JJX~y}?=RxdzH~A7;CLS+wI3WX zNjSjLs{5#7-h7$K-LM#s9%8`0Dc8d7ZB4*?wI_@akf#Rlf?MESFPy`$8i*5uKm88i zE*e7Nd2S7N0h2bgKMiDRoqBHe6;OMlLlbO66r)l#6oW1f8_(qyBesrQY#dVe}~`i&E)@t7uHu0sUDDQAix43JBp1zG_tjkgilzlE4=d zz4$|-?bDKE!1J7{1q1>FXh%J=DmFVQ$0TQyff@(dzNSYfkup&cckPXE6Z+;bPMGs`d2UMga$>DsykYReN4W)^iWCWCYVp zu>3U$lL@QI|6z&5k@91%*oa6GsaufI*S6}INQ1G~66sSeSB}nu?gT9p_nuCb91=%4 zR|W%4%`UNG6XHe=<xaROK$t+soct^TckY^0?y8<$QrIz~u-{Jx4KF-+@IL zDKDL)VF$!#5?6?}8p2SeJT2DLZvkyl=1H-cm%86(`NkkvuMKVzP&sJ+63LPnpxEEV zvXi9h7ke6qWfhoj&Yn0#)*n9XP_sQQ<+(%m4GE+;(eG^%iVn6^QhU!)``RFwFrDM= zCz=74znB@qtld=K0}IdqG)oV^epbc^w6_|glimn~w~lxcw^2dk$89H10LZ%1lmsjqbx^sOA~ z(jmI(zv&q2%A^fbYRBiAk42i(WUosvyZfD#Rg)J?3zfSbj;b-E9VcZ7Z|_C>75Dw= zC-S&Q$lPd{3h%IaUo;!{eD1&_2r8A5Wg-4xDXIdR1S@v643kiRg5p$h6@v3^LqiK8 zNhTDUKu;8a17aXMqthA{rQgkQTN;&ARk)`qBkhfmQZb>!iED%qlOLdD6!M4DORNrt zByU%{l+Q2a%MXfXc2k^%ICe_o=H!0O?>Ky(LZ9#UAk@DJ^u&D)b7Y_NFuUkQ z!&Br5ir5$hd%$~FFo$P5lV%@8RhwmUEF$uJM+XL+J&e2;rBKB zq)D9Jy15~9><4o)&weWgXYtPAmylhKRohdA`q9eNSaKQB$$#89`@QiMp=u$W*POXH zJ(#F4XA5}9t4GE@{WH2;B4t~X#k5oK&j-($#SkHOA^4nsP zw8+!pFfQdmy;|Ge9*S!_$tD4?F%mRuLM49qB&}d| z=^t0O_)@<>nljUGG#Z86U}87~P)Yvf)c#S|T9d4C&FYu9Rplb)Lvtf+U;Pd*2x*n20uItkJm*8%IO4c6bUa|V$zAAs?6t`g-D_OTnQ)Mp;4+)&R-DdhF5kq5 z&&^iXk-WzrXqfTF>UjIU!53lL(tx=U!_<$4)<0`RWEG z8Y_<@Jq?M^JfUOI%fm77=o59*IH?Iit^Q^%K%~HLg(~0;uJmh^s&-r0G*9f44J4+Q zDAEqLsr!8M7DN}Ve@7g+L(jz8Tr=dAN~j-x_1j`>t|pURl+*A;cap(b!icTF!3oFlJo0q-&bTEkqy={c4?RzMi_RMQu z=7v&#YTk7@l?{zBWNS_?y?E6HvlrFA&^r2V3guc^mWmHw!-wr82aJi$i9rlTpse!K zgB(b_>iY(IJBJ?p0TH1oqn{}U{cnG2i1J$#U%_W8I<0zOhqW+(pQjHbC=buO=CHD7 z1j8v0-v(T;<`SgiN6HsRj=n*-a}q0wl_y`NRZZwbYz;+I7}5$B%ifZ`pbce6i#d-f z|Ij$rDm*n?x+&6(jx`mM{aY_A+mE-_J_l`a^t2Gfl8rRgj#L1AxfqYQwT6zV+kKSh z4l;?$>Khry5d)eQ=as{Jthkfo%{Rm(Udh>BN?5;s>mV-D=6()iI>n!OI6`6kZ(a zEvL+f$+^Cd_%TPDwf1EF5K(n(YH!DzEW4EEs1a$yXn;|okz1#wwQK{=>BopOn_7LM z2QaF*u|*QJtKVHi60^?wt33%<<4dVveq0v!OI5CQ`eCjC3+xJMJQzdCjYC|QA8d%H z_OR(xxNQq+AZSk9of7rMP#~~#dTe-Uv%aebI_VgzDixDz{ZKuyg@b1|KU^pcE!(O# zV5C>n1%_TUeNWpFmk%hadd-&lRF}3U__bC<;%C0`(++3ehxEkR(ls?KYBYa(NmJ6U z&O-XaXmYan*Y;eYd|l6nT_ZVT!Lufj%0>aD9vZ^7DV?QDvy#N29~sE)*4j=phq$kp%SW4w$)zN!dRc|h44ML%sAP0O?f8htaB;o78&ZQ2-T zI}srn@{^lX6>n)CC0|NGRte{=Vm&+nl-SOp4dX&bD|PVRQ8`!mA9Vcyg2QC2Upd1$?%JNi&2*TZtV0sS7_3 zAk=T2HV}PpaLMdh;&Irkj0?L4QE4laESVR;Ll`wzx;Sma$Bt~|j&(($%FWH7l zDlUkIiGQdM=*J~g9s7Lf8FXj!ri(bO)X9wI6MpsK z*ZT8_6Dv7@>H#tEOFZUHrJ}PZM@QaDM)o+JxkH5jJmN3hyO1c7_I$b3k&`b7mE9RpO!U0fT5k=Hjg0QArVu2eRtoJQcnxu)qhWWtMh(Bxw(-z}9 z#oa;wR1%`p?$F0G*)T35l`IlbZj8Io2Q7VHYY|Y>WCbXPP*-m?=h1&TFs*wFySJ|jd?z8IOjCYR63-$ z_)79Sk_?vd;X#TxS0guXrvrAy3Z=Py*UL2J-vdS#XU_+IL>uDEv$fCAvjg>d&R%~O zz+(0z3r(pLtFDiCZXV0}WG*8=62*879;Zc0p2C7U?3E1Jx2OxL^PNBNK1TEd^6JguR*3>?)kdI{p;4b zrKgvF-cZmBfiCwOyl2laLjUZ~0j@s}Cu#Z%j-(AZUdoz}5_wm(!K=tw1DDgGu+`E+&fd~tW=;JMh= z+{SBfHly8?*!1wQK9G1d^K`piA=a>8vTk|j*yc6gcCc*lR4Me~>3($t0Nk&9?KLf2L>)|kM^R+*dq(jr}fo=p_7a63(G;N8JTvC zb2gvPn!P+5C|H_B(u|FtZqC-1j@BQK7S4_~xrqc0GV16@p00miyDLs-91n9`HH&yY zT;5JBJ>E>EUY}o|yWY|QL@llEtsZv^iHQ$}ce^mLtEWLDk3^dwF`t|5-lopS`X^8K z^`RvUsgvXxzI{^r-Oi?8L2Z=f&DAczg6Q4K_2;X@6H9Nev(=@CZ$P8BY&llb$Z-Y0 zVb7P&@v!3G^!QMJ=RNp%S4``t@J4L&{1KCDl(zGux?ik+>We2?EdTx#g4yQ+CKbIFd=ri@>Jz< zf9i0mO=zF63~BkXy!>?X$))+Ma|5jleNa~C&rTEt2{Vmh% zufH1efa0dd41-%Bb=kmWMtk{u%;4dn)6sT$>HcVUPG^u=C;J(W(>i0M@I%zD z+a+JTFysf(VUT$Ph{)IsWYH<^ zAK1^aFkK)VAgZQlLvlTNaXUtDwuTR2g+h9Lt%v6k3t4Sbh=xB43LAvgV3#zX08R!b z|JQ!sckmSIcIU!2U|Hp_%27Kylv(Dc9K-jwqvZF5ump(~xQG-m`Aou&aZk(PkX5bRL7Nz{q*mj%1STr#{xifmX$)sVM(uj* zSmu6GC8Q8gQ-ZF}?o60-iKHA2T-!8)5iD8S>yUN4%wZC^+KvxaGT>p^5Wq3qMZNvrI)`^L7+lC9?OWNqN($U<e~V{@jFM^O<}j*<>_8?-q@mebxZ=n|ZXh|aeB#m1 z=u_(T3&APD5FqrO+_QLYW}cKR0aV4!A*LYvZ3fA%RQ%f5XH1s2=EI5kc-$V`uIizE z>^o-&Je!cfzXe*%@!{mrI2v3_tU|^VjH!9{RP9r)RY)AUag~U_EZ1&iAqJ^)pjFw? zePm5fs$xJD%r;l*JvO^FlJ`cmRDU8GWiL^9K$O&yHdM+({55{txYS zUa*wCniqmS=VWpA8>e`5WasoZ3XC){;3>i^m`X_?`j93Q{;0TmsBA9m9NA2EOpBPL zF8j?(I49h%n@e%79Qo(s(40~v6`a&RRA;#f61m|%+_!zxzLg;fr!t?)+Vud}$(ve{ zEbGYF6vgaKJ)$3K&%mX%&mGas!ZQ$_{%O{|m`EeLkAEkdLkwXXBlzXOUW}Pq{$<1okGq z-zj&cgN7VLe1@#salMNKQ2=38S)Ri{r*Fg49bZJjwZ z1*BCp;YySD1-d1z=$ZPDh>dzuuOeGuxc>CVU9q;d?xlZp3}n)ish=c%?9_$xmX((D zS8DD4L@(iozo?{j<0*VuS}Pp?N|4~*fg`~mLZ2`_=k2r$$i!2Wsu?C4(!t9Jbv1&S z110x`xkdpznbA_OD2nhDSQmzw$&1vYG-G`!1>q~rQL2Q+s>))$B4<$6epmVD03TVS zZ<9I;qoO6TDLxr%vf@eHNE=lV1xBT1@)pnCV`=eHtT?Ku6ZH1O`-}a-aI9UNhx#~_ z68G`0jRAis@MU>+5rv5)e0njgX@R&AL|`#!nBhErdiz-;e;5=Zdtj)T6V*7}!-T!e zYE}1<(gW5^x|M}Q{y2WJ=Qz<&In(5BCe2C)A~=2>&?Vq=v4o^pqdVs#{E3NX>Xk%! zZFDu0CfW{cht>~)ZS$3a-Ey!@fm%G^!%E1`yYP{Wlg_WPwHmB97p_ewc~DiU^@#}$ z-U88z2{hSs)NdH{cL`I=ag3Be_z3!(x~69Am}aNDAavcJJ3+W8HJL~rAT#%ZlNFu5X{Lj^}Yj9 zU@3?aTO%17f)ftjJw><*Jv#!p(mjl2R7Js=gIP#(^Blw*nSSrWm$CTo%UnD$*WH@ z5O$fPb_hzcH0T<~HfmYQiz`PmkKtQP(I)79$yL8q&11CIc)lisWS9=XD|Tt+2!oUM zC0Or2Mylhbe!lOymrbF$i7D^1Jv*2!qRYjNzR1D^WxKP1{i=v}T|xAdq1^HBN>x#{ z=4im~s1m%)Rh@%AI#p$e%eC^apEnMobl^;NjA)vg;mWCLd@D~%mS;z~##avP*hP?R zIOr-zmEckj>3LS+2J|l)6FCJM2~Uhm(M)`X0L5KhgTX2$7d$6Y_`135#@_KXMcQsP z6;I}r$4SI7FFWG0_7V;FCbg`cMvrUuU2$Pl!e~qjtdyLV*SnmdHv28YPsLv&>0>ZE zpjla0!1Zou0n`-2bHwDv!G({m;0pgijfCEZFz`WdHBU5vqR8YJ5ZbDo3VZg)I=z|m z0{QU4a8yh<;d@D!O+zWP@U%cadJx0ZKSD@i>UiVMQzmZU116)}^x>13_kLey*J=#s zEj0W4NoJN_NpAXH^)lI>%wm!`D8p0jqe{DbeIU$F`DBt zt;ey#{_@k8ch!m1!WchZj9KeJrAV2XEJ=&OdXT#(2Ky`&+UIRibKfwaX=vY42yY?#P8ELYl* zE`iKwz|>g%Wb(BaAWIL=S*|oE-HBA3b&IdbmS7RuZ>D@s%C2y8hQ&8j42f@LHkH#S z{U0CUFE&;isJu6Ls^z*8pULmM!zK%sxZzv3F4n(=n~z?Wu&%ryIQbb%exZ@kNFIKv zOnb^zcXGuxV=~Zh<@~u(^4*y&xP}oOdSqfV4sr{h0u(8}(d0pi=7YsbswVCjEERj0 z^l`O5P`wW$)L&_NLRSs`?zwT_6CXFTQA)>1T;?oiQ9C>pQ9j`9lXxsMcc94WNJ6?3 zFyUH+U9ja{U!J`D-R{-~k}yjb(>I%|Ad;%JP&`&Ug#CM*&%2#Y7B5|6lS^wWZ0tnOwbCQ~ks?vxSFP==A3S`NJ1X#&Nd=YRnRvL)5h?{G%-u!C4HsSa=CB%`9A|@slHbnVYw2?Na^Zqy4P zrDGYt702ngzPTE6yqdd8VNz?sXNX0K?_8@9`?5udAv^x+J;ZS4^IGa`r~x~bu&Vy= zDMhmZ(>f+#kB)(NPx(a3mbz)KE5X`Ju@Bgpzk1=?;#@I)nUKS7DT~-PFkGVm1WMnY zt#e&2Z9}nfGmTwZ>4se8_B_vW4Q9UE>tuR;5u|*%9^B7=^Bt8(#D=~G9NReU*(tcU z??U<@3l@OE3vb9XZ}JTfb6m*23ecp!L3#VtwwTK}f9lcnjY2a`mC7q3b*a@}Y9KJP zysD^S;nn;afiRRxmWm6$*#y%(p()1oPrEED?u2Xq?%Er1r3oFxlT&ZCWo>@r((FfX zg|r^RkV99>A-0fS%#^7lCp#mu?v@by>OHIV7Z$g@#xqRIVtQe;&}IX16;_Xd?`uWx z`=Wc?d+@XwW_3)0U(ck)>^7cbS_0+f!q7Nb&Gs!Cvw_-1l!$V5z$a12i`Sz`Nb9x| z)4*jCH zl==pwu5a9m4g1(^gl^d>c*3EC)_)BU#RE8FJA#)lT$5KsznKUz-*SJsB(#3hfgj(? z6`xh%IY0AswjNh=?vej}8K6D9rGtz8IY_$oon${;{iQB9voV3dyQwY(2l+68`jQ%b z-Ns#Jbb6P~X<~3r>-RjY-Q%lc>{>^Inbl)S&g&Y7zu+VB`teoul;@O~^8#~DoGdo{ z27yzo&+Wagru`#5kd*8dt4d_#RWB)!fXcB^<+Q{E zb)J_Zxp=)Ak|W7G6#P1|_98*}v;NcL0k)^OD<{5P3QpXB3W4&HTG07#_{%N7NfEqP zrrWkn-k3k$oWMwKs*^$q;G3Hi5kxcgjJe)Z5c0n#hgTE}xz4zalS^_;CN!??}ts7ovfi9j?(x$M>a- z&su0n1`)+|m+|SRtZofhOVQDUm^UuNgoQ0;IjOyvL(@`;u$9vRMV$tsLIP6cn`V3GXHH~6Z{Nq>$t8z;JzUnp|El{2h;p_)+?EZMD* zC#-+(Dn2}E_96t9C#P1mA(vRS0lS1SsTG?l6E@wwRGYi;riteU)5f!+71b3uXCm(y zF#{4z`^KTUJ%Io<&xd~5+E(lH+1*wI_V$5|+PPf182SE~82QB82qS$&%3YN?bjLq#mr6?%cgma%n4-Gms4QNCUl?xu6ZYMhJJlcfL zfm6G?=9SEGO5vE)j%Q5M>^DdkK32 zA_Q)t!UqO<4{R$(K(j?{Z<9j5$WbP6bJ4qUlmSoxvLTtrlt%_n!hgYcgv}mLYzA#& zBFBLDt0S?-?nfnS$|s3U%H!jV%nuo@&8<*JzJfr`vs*JS+IUef{#NX#M^BLbsi3zq{ zY~bniX8ppp7;xoZ%=@uv#rAZyVCdoMDx0S@-p%&pQr^_)_0%R7AqSo3Yp8#)_sY(U z6k)`CbbE4~6&1N3dDxz@ckGvK7ztv#GobtsQSbUgXSivO^XX75!#gVIu43iGW^lLA zSk&?A-3SmFlqj?-XL;6i!&$p_v@7vJ4S2lTI}uHf9ml&96a6q!QQmIoHi>PL1@hCs4^S@e6+tn2=)PEAzcX^*$mtl!@JYSfv81_b-nj||X-l-y;m;C&% z*B-asBp=B~95p?pFHE%XpQDeV5|pGp9hUB9{m_}4V5>AHA3-0ERuxGm2=IBs{id5q z+g!+6aObtw<@w8ck{^);FGdP821DNffAku~k9|+)f$L@VxJ5pnn?5Q1$yH2Wo%2d1 zSh`T>uB{^l%RMY!PT9&W*$1=_GbsPZGrXtK1sPeke&l;9Y8#Je6{dJS5#nMyNJ0Ue1d5Q~M4X z-c?OXoI@hEIw%^qOpUAHdTh8f+cY*ALdGrFTk~#O`#uRGUr+n*Dbe_F=_>H|F~4B% z_{gDiIwjtS?9I;{=EcDlt6&YjVaSCNT93-&=C(m{H<{D4< zxByYcyo@7}apIvYylC%2aU3;<($?gKssq#H%yD%v-52o2}@OrWCyJa@~{WSSL_D%^!$@AT~W zlkX?F%70dr3>srh2%^m}BA*zQ+#;$j`fg>b`Nvl) ztzZ@V@9tx0@9JY@h?gUkA}zC`o>j}izT_gQ!H=i&ceuBA=)$(xee8zI`IL-?m8za$ zf4famAb2OD^iRHc^J2p2=WZCAG*$si6vUlNo2u{3jS2%`+w*^Xju?vz+bZBzH~jdL z&m7wAz$!ib7O{+ITRuSZKMevASU+VI%8N~jA6AZFHI4kNGsiv3G@u8OuluLLDp^yE z#>O!5`Z4l-59hdrMCJV@ecXI~ zFP%2+wS_iJMD9sUzQSAaA1u zB|z>iE7Xg&@igF|(s;v~`v+9DjLt01J#3w|o&Dg)xS68KijQ5y)p7#=m*>99(al&n zE#4E7K=qIi#d{F9D3)fKZ7E*hfA%s9tNng7p-fT%xpH<)Bg z7{X7{n8sB3r^O*0>uXTgqHL4ccu2F}x&}!?{T6)g{Tp;OZ(dFw zm~a5S$YMRol?u326e$e|pVQE(MJPr(g?D)=WX!TC33{?qL04)3gD|1_x+R<)(hPuz|qgYxfthW~Nw zrzI@8ko4E!f_uK5v{!y!%~X?T8q=Q^4PC!(X$b30|JH={whNv#&)4qx2)*exYE|U_ zv~VpWUKw|6+}VVoDc%bY_+0F1;7Ua=nFjuyi?;nz%#lJ^@OmwNV#2KXnD{>lGtG&Y zj)i&uEjZ5JyU+7S@V{~;>WeXiESb}*K?Muda_&Ep66%%WAoDx_SSF#}3>pYZSD!EB z_=RHCG%g$4Y9~0lnFqW+lmTs^1AFiRvXII7bMsIPgu3uZusmn4MZzV|$6w-J9@d&3 zr!QaiPd~xYnnWOj4F_v;@wUd^E(-JixB)5pb(%4Sp(;28kFR2{jBFwl1&`-{AZLrt z!3?2*7u69A^m2dvIKWo$_lO0?mWNd%3i5}8Z?bDdeMkM0U|@;~&0Gw##nJIT!98ISxo<2<0Cu-<&ph85wU zxvnsGr98us8Eakwt+KMeukN9Fd}sF$Gr*_Yc!($0t_F$LwW{s^wZKqHPT%H3@y|TH z3UcqzhQm4kO!=reb%t|>e}-UazT%|Nrx%R8ks;=IBit%cy956lth`hUN^A(l0zcTC zcU8Xg^)m0a{QosT{^+Nhxgs1>czX=n03G=VlzJo{|AS$vNvFtc=1=QMX>^4lZa9Tf`1j3P z%?nDFSPwkZCA#2^gc)wicZT0;!l66>pG$wfTuLEs=A+NlbUe};;4P|)=m_ZZ2S?21 z+5Fpqw(4ud{000qk!WpuEf-8|sdeb9#Hel(ez3IkknQRK$* z0P6+82mOC9)Qy_USiDNXd}QI>y3b6Q^_crf@VNf{mZ|35%&+Z9fO&sb6owD2EPc{b zI28XGCCrT_0|3vx^t;56~hc)+Ah5KNIe%ROpWb+Z{~ z^Us&0(V<s-VtF&w0`?fQFo5XB!{JXhNs-EXom@kv&ax-fa45j6gRB_E{5uDV&$Feb}Rg_zr!Q~UR zt$&FyoiUS`y{?G;qeS}oR=y8t<%LTUHDZ6mVSO6M;3v%AIu+;Djrb0|a2AE6KTb-N zG)-k0-z6uLCvpW=TGt1>>nLUIlnnnr9qm&~j!Ju#>Ek1j1H_%PJfj8Wc?pKoh?=n#12%&nSQaFm8goc*jX2re)8wCj zjcq3?3KX!T@cNVzR}nS#YjRa)`TfWg+iIoU+@-Fy<%A0htY>5=Ha#-}iJS2w_oxL$ zr9R?eTv_?tW@*G7@4RQlEJkgskNlg6SQS#VLiH|-tZ6Au$YH%jgq~T%pl>63IFtB!(z9{|! zq3Rf`t#zPoG?6RQB__c#3rX5ND|f&HQedC{@kP|;$h_H&FB)EIq?iu4joml)zRN%6 z87*=&O1S!mB6jw1yQXF@XJ7rL4t4rB##JLXKc;1INu1FlVtl}F!%$MxaVxoFC0DiH zIdFf+CquJ?|KZu@yPV6$`@aCKa^U{XogHn59$WzGpF0q_8h@wRoEG2c<(kKa$)&Px zr%)8cj%LwuOFvJ+MJLG`qaU|JH8NFPn%VvaIr0YWo+4$Q#g4aXI#IBqGa5 z`*!}fe&~pan@EyhB|EYpf&-bgLw?yZg>*y=Xn}CgAq$v91-i>deGSNjwGI|U4C&w* z^hLlMR$IYq+x$C=PM85LD#nK%0>cz8ASQFAI(ZqTbRO_R`1v;qL^vk1=-8#7Cvng4 zw(m^py_=i(E3WFQ1U~>{{!ETeU zuK69jjk{ft-J-VCtlO(4yvODLXI2z2w))=0p&tB_dT2g81rG+~8>q3sSS5|0_X=>w zDp-WSw8;JcsQTvcO5SDbU?!Q^#-7-=HAyD6ZCev|FcTY-iLHrk+qP}nUw-%8bH022 z+WYCJp4z*sYOU38cfHjHbgYAj+lcukGKuvX?5w=p?({3bv#^J}-sA@#@CE;?$O+94 zgd&gv`wU#QfAC8RR9kiY58(fUcXUGBKWtXgEIjmP&W7`XJQr@RaQoMEpCr0UYH!j| z{^1>1Y=n_y@%(=ngS*nIqaZRjV>XZVD$JAe-(cg)^?O9u+EJteI`PNFxvbb$(6RVn zRHdQBqLd``d8{I&s{QRY92-D3+-TqS)@~H46v)KLKF%10!%amB~NkK=Y5gcjzu_-Z2b+M)MRgDb?bJk@cx`^7xpX2(p)u9EUX z#S&6|+b~Y|g1sr(@&dL1bSyj9Nv>LtCxY}XMO=fqtN)ORLsnJ>n+`f|RFcjM+b+Ew zijL*2Rl}#gEk(iqHUs=LrbR6Z`bX&Bnj8~3LO(#Py@qP~Q78Y9&c9e19qS|FI`OU^ zBPUzs*)Hzg2Ok9>llWg+QP42aQF54FGi_vw1$Z|OuAAIm< zu{Xug*&Xsw(F)i|X*^*b(bWA9JNbVY8UC-4k+VC=hf$_ZXs8tUuE+m5LHE3m1lk#Y zr}e{XN1}ER5s`;gdsvK2EqgMvsn*Z@7w9a*w|zzvjZ*8~%9swO{aRq0{nDm=YIR&O zaAS9%v$$9_U;y(a;c0is{bVT2^f_mjn1L8#of*fHOa~)5puoOEHfUt|EBuSnZLLf9VEWUie|ZLzcj?|XP>Jj zXu?to=$GyOTaQw&ghk}6S}4<|dH7C&h6jhdmh0*wQ31C(lMlA9{;l?J`5b~n7{O(b z<{|jNofO~>ZLVa6P~Tvbr~^y+e*?zw5wI4i?0+kG}<3-52%`$UlC}>?6m5iHufs-T%J~=s$df zefang(hCdBLo-(dsUHu*A5Q-5gYDWu%B8ixWUq+YW2seYl|-u zV~V=J*Qj(~vzvQG-I8iEGOyzw6v)DSs3qh-YT5P6M3r4|CF^|g3sJXDqjC{Lh+2ae*m`Vc-Oo7i?4yH%aOxe^#oXNJ|6l@VoVaFpFlW5Gd!sX_6lCJ=^W8UGWPEQ=jgz94*3ury`|!Lp7EuG?MC zQY+lm?WAf{-pV`S-xl#77Fj*)Gly1nTvFZcZbyHyd1EQOOMB53#O(g%M^VOmh33ie zsHN6s5YQ-xK3C`QD3cuG}Ct2XkRAZ1eO&j4xHdwrneK-(Pr@p zXKRL!fZ|H{2}PF0ggTMSJ2eqh@Sr%UuZWC`!1Wu7Tk}awXX%DON;rW2e++Ub1)X5Y ze~YyxLK)ai@4n{3MY8O1(^HA9%Z{;&cw34snhO^ypjwiEyA0tX%oLbkk=K%AOCh9D z>WtE71vY;@1gvWRPXKBr1-)S@1Q9q`rsk6kXxW^z<*TI%53`#83uH=b=9&DxaU4Z# zz3P@{R<1B?3%TX9`N57lIixd`Ftsth+`AD_M@qbvGkpA)L}`UY`GVs=pnsh(vwCDo z3J1haV8fUzMw(}G^+p1rP#}LaTm*_=eaCn%7Snll@~F7+Kl{E5#$5?Pj#9}gvp%R; zW=&2Qm>*zSgbq&}_))_kND3R}Chs+n-R!dN6XaUq;?iI7PakemtSGvlTzyCc&u<8+ z%2+T+VaemIId>_GVX`nS#txh2mg}0s{G`JIr5sz`HUh-*?Kc^h_rva}*ZJ=DvyApN z0BH2!^x`V}JNWC$>-{$6?f!T=s%GwYUq?oUu8EB7`|WAPyVno?vThwcZ+8!mSzzGV z_4;}GnxTLG@#Y=kp9fXdVX`#f;H+`=a*M#0)-H6g;>RSQ6EHB8e+>k3H8hZSw6ioZ za;7)5us!iYuvhL+uwCa7z>V<1hLQM!JT?2J1O>DE%O_0rV4PPC2pAX%U&bmNKPXZX zR$*NHO#v}qo0Es$uFBROGOJ+>iYV)a+7=1M#azR|L8+YxwBa#01FLG2%hZ!CVCe)- zHkEe#lKGrx)!Xdsi=Ut5>|F7ZY8uymy64l);dH^ft=?);{dB&bhfC*Yb`ljvv}RNZ zV^v5!aG8#{049u2oeAGbyWAhZAN3C3NswNkt#txjm*E*9X~8V78^Q3tqUb}Dg2#eW z`6_qd`$9%TeTV)7hT|(Oh^bHb6~JQzRe)6>M?{&&^@JEQ4_5wp1%?v31X3An4zdLdl!+?;xe#gw%o5xU{OBXn z2w>DX;0-7h61p5xD-bKN=8)Fl)}O5*Yar_&&!A4goWah(SKt<4E+DzVo57mFmBqk* zAnBL4oW=YA*)!-1WCBRYpKc%#KPJfq_#$t7-SFu!0~7HT@r4FMf*^#D$B6<1LHz_r z{80~iS&!NPMtEW<$y*GcPj#sH_oq}s$8jD@63xaF21}Bn;`~9(;`tvc@g%h|F3t9?V{NsZaIXj}YtmsR~Fj_f zu-_~w`Lpi}_KB%r*CnN89{+4v3|!+OH&Ncv)<}IME5z%=%nDYnoMb;3dm)^Jy80FcT-Uj5hc}s z+Jkiy>=Fonv%naeMv5ab9|MGYbkyhkR>CCO?g(b*SDWa`7z@5^h4N_@YD+QCk)1fy zPm}r6DXwv7p19ouJ-Te}ms#BbN_gSEu@BO?C>EMGfoTE~(~Msgeb^3T?q-BdDa8k@ zzb=uRZ@Cq~Hu>?5^pWbo@Pj4{_BB_^hI^0>95W?`Xe{ttASZM)nFlEz!z>>BUX24} zmMUPlb(AeGLegVhEWmWcJ#t@6B!U(aHGX7mpm}RdiGPX)aEgpxf-8XU+rd33>~b#SRMggDfHoM-*G!-OhrBtHOh9W8GfuJ!(um8}q~{o3DHtdmWQWGzDn$lJ3vv>pVEENTtiMlDKh2oO zt1eOrQ4}b~CpEko$HKtz4@sKDDI{@~$PPunxNiYD^mKw0qFSW&mHi71!Jq?$MY5ux zgX%7JmfcfKFO~!q@*DYhG4JoAMsfVP4SZBD_!J_&HOrSQ-5ERAREzl-e_SYvR&baX z)fH0nTCmqQ*x2bTu1e`7E?6p18%ZDPXiM0&{l~q}qMeyjhF|;xkqAokrgp9e-L;YK zyW@dO8@LMCN)tH#!Hh&^Qeg7yIF?{bsZx^KQ7-mJ{nQkt$xt})MLI9oD^B1nE|BS< zJG0jdP3`O#QI=LYP}!MDSW8bJS{`4=W`xSFg7T&{{+K^ZAUUT$Jyg(%40c%uv9Uhe zVj8tWl;e%EgbbxY;um4!`6P3+>AgPC%m6i?8#p76Su!!2h>}yb9VgW3PgMl&<(|dZ z)34&y)KfDU((G~;^sQ6huVxc6cNnPLA0t+NatPGSzudwl4QFU!Ebf3sWygCsLije3 zk%?9g78B_&k65#WVIE)h;p%{2*`pn*VZW!hoP}Z%!J@W@C0VJqDeR4lD%q(lMgmY% z;wU;!YH@E%RNjc3-9OJr1ZrO;LKohB$ zPN{;MH%}bDijkL}J@X!_xSun_kTc^P z|D+P%gq`PumPapI6qP-*OL;31k9s@d`OBYcIe;%9=)JVV4ri~D4g3C6Kbp9TgzZTg zy=Vjav44;|#T?~R{2=QLpY(I|_a471ogKK7tU-$S2ZR@7nUA}bf{L0&9!UW9$WFgp zD!h(fYWD(#nty7>=v$pT6I7+U7t#|MzyB>h#x9NvTB(9*?ynP6u`J_!NiICrh-)5V z=$O8iu&+|C1UR$GTXA<%4f(B{lV8meSmpFi1=NhIr>aNJ1#t(cY^10tFsK#cgJZ)$4~Yg4f>T^ zHQH#qEKG5r#L1U$O1S;7xm;CIk3?}ln-@*ds<+OOPMT{bg*|_|duk8MEt zFPR2=A~Y#{c7?4|Bwl2^8l4;K$&ksY1X(DGJ7@-lEUk=4`&5(xf5%Bx6=%{#3VCYH zA{R%NujQ)vBJRfW*RApuAZ)-k-l9zoUXUL`CW>($T+GYqFQ{BY1R%b;3q06B@vl<6 zeR-Izz8EK#l{DLI?$GnX0NCsj;RnTI4qkKH{jWzqY`RU1arrNk&v)+ygxMt0&rW-* z?aCL29t#k>n)U%Ufv$c(2A$q^)XT220#dyI+Frida)Os2crWUdhu4k!u`U5bpMKgG zg$q1*FKENa8)-j1+l_iBp7L+lhNV9+`4cjXHh3Cd;#zbEW05fD-h~178!!KDtX4j% z$RcfqzR-2-hpu%J!_;`M;2~Ge{zp5VUcm3V-4kQoHW?7pPHhVX$e3X~+ejaAZ{qkC zxUassRA;>-69(XKR(BYeLo>_0ij&Hf2SIZkb&zQsu-jV0`nYjvI z;T>h5MP8Lm%|+- z&G$GvO`X*^M?$-^e&UZVjjryiQC^6G*z?BskeR<$v7tMfUnIOz(;%X51mL+=>8tLy zC@NiZulk5Y9wj1C2Y+q`Q4I<}APy>I-@VZq;{#yjkE~Jc*HzjT6;~a7DBj1|#cW(M zjnoM|KON9llLWvskI%semUE+y#MDKWQCuZXz~^3hEDEudtCgIQ9-spq2^z@VyVh9; zj&I4|h66p6RtsCMJB&fA?}VJna!vW)?r(PzUxnM}E|Fayx%u$Y(;WcEzFTYevi_W_ zJ^+lw*H(%C+zwGab6jA@tetCqr~ef?Gk7c3ixIIu!e9I`0y8f4a*1kQunEEWOw6Yh zzR{L(P#}4v^A$nQ#ZO@QWsW_J_t1uYfG4vU?^t0A?^x(Mdws>gQK-F=u;(0Ve0ceL z4aY7Smt>rM$0h5>=4sRf3j99rE@c6M3V{DykynAQi|X>$>i5+ts*v9_?-XE^)w77tjUOF6<@Q=W0LjgxZdiS&!HIyq5$;M5Vm|_g29xR_C;cbFj<16(G2I z@u^wGACS*}aS7(>(jBK0z78;NyIo@-tzhsC&0zK$Ni z-#xsMmS$p(<5*_n3%ToXGk!$)Hsor?*oIftUK9+}ibSHl&-ih#;+dT|k zIWSAhK=8Sw-Sy}gPx^(V`T;C2yazE)?302UnCO5$Hfr>cAEV4~f%1rej@5?1vqZ_; z@&)my%OVE3ibk8w_QOrbQ$-)?t?G`Npj{;O3#q}6l~DYFru;%T-X0=J#+jVIL+l@B z_sZjJW$Q7<sQNq>lS*E=wSWMpC#}$&9cX)LC+GuN-iE6Uq@dv^ zq8iS_y`b6461M6zy>Un26W?*;O-2xo-2^qSQ}fVCu{w=HYjJLwVL}%UORnVQRJ5=e zU!8UXo*B4v+jN8mWKF=BJh{bZu;hnD|GoXMM*ek^jos*~+R;qYje!wjKT_Q$8pY~z z54A;*OSw<2)0yL?S;0&2r&;|3a-6yGh`3V+*6aU> zjRzvUz!z)Hge~*p&c^k~N+ch#k(;w5h!*!Zu#g|*E*eSBV4VlSSA=~FkPFF1HMw+> zYp29A132Y!Wx@H=6g)8d4&EO9^Q#BqK9+?^%%$er&cg2y737mv-;oC0#xYpabO^_h zSrgdEEw~xFJC$1i!kybpk{^XKW((4%#W8Oh54sxkX+}+-Y{mq|n}X92PnfiDem`YL z?$KVz!Q$Zcf)Rj($QNbo7WIFAP0qlR#NkG=NpvP#^G}MknTMEUhEA-X-9$)?BW7TK zran$&H{PU6M=^jLk~A#)*aklivcL+gLZ*;7X{Z2aE*c>NPNc^=@dOG!fhyAmhQ8_c zu#YK{Wejmsofce=hGlCn*cp&0QbgfU!}(Z2Qj_oqJ^Mu#Y(u{h=@E>hI}>>Rlu^VN z4m7j~f5@cqqc??VLZtMJ$z<_8+R%+11iwNpD)cH)48!$F-K-f}qm3W=hI?&%|vnvqZ`!*tHv<}1(?es^`WLQEk*dNt>c0rs zwMl=mb2Jcu^g?=0OPb^RX%TUgAHjs+HD!6)htH<{T;ZU${$Ppazl&CdcVyZ(#{S7Y0d`LJU2Y9{&H4-=(-R?zBTY7pxR(K^oWuJF& ze2>&TV`avuqL{VIIh)Zf0RMH8N_|1{H_)>n$v-Z&Uw#f}rM5!WRJa+&2X&n9z++T< zK-ZUlNv+?AWc53;|8|se|C8Mi~ zPFVhx`FR*#e39EZiG4b5qoy`gQL4ZC2etWRmsZ-2jxgOwTtE+RPDH;s0R+7L-urS+ zoTiK+^Zd*jDn|4v4{dXYEi>jVHl&-IUp4+1y|{t%f$42v9)8V{OZ&|C83%4=$Q&t_ zby?7Pmk;yLB#-0ud8HCGbo5-%X}KIBlgOpBpShbMAY0&Nrgx2QBNZ+Q8L!5_O0LfG z!{aiID=?JVhCHg&ALAcC1L9EuLwdu;X_BF}f6u_@lZj93j#0*7;gMzc`DjvQ_e+uXGQ@<1>!A-s z-ir$+sAq0pcwu-E7E2!9P!URlBCEc|f?}?=J1Twbx=#v7gKvLwCZ>^dg@d_HW3Ug- zSrF2p&y-8ZW*o;YvS^R>?$)@ldMeOY>SnU_9v*mGGT@kt9Xr(;q^)j7je?Z^m=x@xUHQ^=wMhxo~a$L`JS(~1RxgiIn8(9154W7U$8img2#c|`RG{tF~wP>$g_;!m2p}j zGqY~ll;$m6>Xgz~vQkjd$pBzyXt>+v~xuwQkW#p6Ztjr747p;n1qP*08! zf^Nxb&qKZ>FSh8m(D)(BRF!(%?<)LFd79z9xGl0}DN^V~Tnek+-#Vp;!4!IoE-P`# zYDqprVy?2Z0EoJRd)|6gm_R>|;!j@>d+~UVNSK59rqsBoc&7L} zI^#Dd?d71m@qB41O(oJ@t)*;um2pC|!n_mIacC{sJn(n3_TjQp;_~lt*lw`G{4o~m z9*3hGjC(84J3d|WmgTZB8d+WG#bjkTb9=&kr*>pF?K>*XLds>fKE*qQx)8?ZsGk{p zY!iGGQEF3Gjc7mhU6@5ttAd_U*_{&IesX1IrUmc#GMhM*$HSWk6-RWX=fyPJn|Dcf zM8U&z6%VIbe$|FT0M18PB`3lgr^j*mv@XAzV|&Tg z1wOWQFrtlda7y5efNn(-7MiJeV|6fp8d~cSo@`+NQEYB&V>Ow2@pU%frEufj^~UEU z@vf}p@K!-4C$7)jOtku}{SO8c^%^0`JG|4tEg)lEIr8j)uqTB!o4BVYIqlnQ*O@T} z`y<|8k{eS>N*lXHa*srz8NmRbB$ZEZgE{0_zD~D2C9K$Fm{ry9G0gD$$+4k_X z`&Cc-+X2|%?BMgwx_PC;-rkXv2c^KKY0o%o=FCKUDo0bHYv{W+=Cc!;D#Z<}5mC-8 z*{QY!z;h(@fI5`pIOQ%-gn($yx5Qog3D_>0%Uq`@*$m+wwApuD3cuOMc`r)M(l${0 z^ZYos=Qg4yL$_pz6ahFk1#^#BgIOIxhR?Xf}u*j-HgvuP@RYOJY^$%W}%X85nYnsSw@iap{=zW}Se zNA2c5FBE|%95bU!?XVMyW|%1OV+YVMR?b$xil#5fhWq_W@amz0*_5_!PRf2@u6z&ZVw-g?P-O1Kxa8=PV zF|F0CEacM|)oTs^BPXRtZ;v-mJ31gPy3e)$ld*24Tsr9Z-USRrM1mQ5=$40p5y zNfo0Mecj~3)4HY6g%5W=Cu%zRN1HjmjlgO;c~y{g{zGH%UC8YDUVkTZI-sYgr(^XJ zIJt7Bg-3Dqr@8dbLstAf{Qj9smvHu+x36fS#Y^gK>y+YTet%wTYMZ28iMW}5`$eXn zX71QX+3TI-iVAl1Hif!;KRW4cqgZS0ESs=?4zXU{R=br=#Iwf|Bd1KwF5K^jx%jZo zrbMeiuVa7V@nMJ_OH@y35-=z*?K^bfl_iJTcSu|pc8PH2I?8W>ez}ih#soTkr7_hB z53Rq3537$z*E%?c*d9tRL3d#7eJ$!YVNM_JQC;p++;VHLe7RZ75r_g2{3yd%_RL*S zI^i8dxa&O$QMkP2xH5Yp)@|1X4BD}gj2exUTYTPbAbGftKsVo_fw4DCqhEqnP?sy+ zP(bwR55&j5`acZlxCe_aj6D!bb3z-0jLYB%Y!AiIwvYx%N?EZL&;fhUq7)pE&08_di_D|5<(1=O%Wh|wV zm1_4VW}5c%-lLswbZX4+CapjbxCyCi+pB_+ON711Yps08O*?Gr{wo%b^YD3XX|IwMA_A zld`)YB(+5w4TjU#%#DM!kUa0}R}vmA9uO!0mhG8x7foeDu4q(%D&N~DS`XfuiIsyn z$ulHc^RxpO(4+tSk*!Nc|6eobS+%%iT{+y-2WFo=&Q%#8ZO4=EFmgUFUX5q}QCF7w zM*~?VaM{neEmxv$qEnh6gMZ7mg%avMVWOyXLZ{Lh2mLIp8}#m5e%W9sTgl^qDj#c@ z!Ew1#c4stf*&nr)p*D60g5Oo!Pidy5xzplKuF(yH^4RqWE7unoK=<7IDPDYios)ND zGoAF=0RM{9ftgL$^2)Bh&@ih12Il*Aa|>xTU>ByAs_>eixw|heyqT~V%HqM9s@cr4 zS@g~BGu@*W$=thS8IulE8r}6Jk({R`ZJSq^P!2r zyz+yqsjg~d)%ZGE5WH^yOKz5Hzd;3_tgJz~=IkcqiBW~?htC*__wG^(Js+%#`nmwHn zo_X@yA(0<7FEx+D!V(J#sLHtz)!#h_&+N|Em*NdU7oav)>i9IW^5oKReB>XASU$W)~yf^|Z0$;GTm9(CA{9F5d3<8Fihl>L*SU z7=Kt4GO_9kI;xIB@?lZZ*{y-1NME_gvRPW1Ykh+`7GfJ-;>M6itV+&0OpjJ2c!@L- zZoEj?dE!H&Myo?uI$etDY6JjA0)2$ejfZjO1h~x}na*fTNpnfcstV5>b8>b zT!H>nm|sCGrO*pVxP4Bp>^Zx*us*Mf6$Ur+ZGB~*F^8A;1X>#&clKa+Hz!3w$rducpJ~HSyt=6RH@^X}T6$Uj-AlVr z9qT_DeqM%0nMPxeh`ggS2l_o0RtR_Qy;4}#T2kuiyw#=9sLPkgnP8wb$%j;yoKYJa z$9#c(=lO+$33s8;R(5l|3GT&i!jtvZA6_aLyaQ)izaI`z^hl(#5KBKp>7ioNo^7pZX4r$5Yu-myl)a3_f?A)M&6-k=4cPXz_S@;_Ila zrghgF1WFLXX!v259|d+^U)_vloe(nl?krjg znQi7TLqKx<<;Gv@(#73LekX9yd>`>jXUBWBErZ!iXLgZoC5Q*K45U1Ylny4CNuZG3UBABf#JLsF0h|ub%YMY{@5>0eKkqeW z&ab++z4_1#8d6w#lKlA})AnQa#wFahc2PZHp6h{xcIMJ!i4d>uw@BQYwyRrvVc=d0 zMz30@Z*$s@;r#F!&S_d*r%pnO+W>R;n2DS0hsAql-oeh>db=5aY9ZJBOv~?vntRCp zw9#wZl=kfFO{~4zmk1}({=X{eWOl~9_Lki>dm%0C^P2X8Ou#nQ&}St}|Hl+Fc3J^% zp;_Ri++q#^Z?s(?3x83Gz)SP7UO!$~nF5)FWF*T(|_slFX@v2Rd%Q59WNEQSCtA=6dz zOzk#Jqt|DcNUkVq+QXxdF2yX~dnDc@<2CBU@3BuZ!_SXA1Uv+KdR+0W_#Kl2J$p!{ z6q>q?&cc@!dLQd_J&P+_JiBM%=XW~o?J_C}VCgZ)GnFwtiiHxEOW4dusCgKM^@b@KarSJY4Bylf;0XT~x5g%t zLK(iYR&OS)Rp_DDT%Svx=}R|7Yzg|sM!QztH<#HLhSGSuLEo!r#lL{HhJ7-91dRhq zweR`K(5*j%Geg}U;FXk@E0EsB2-q4i;=!{PEF9lg+^lvdVIQ8qW^ zQc83;u=Z))rdHL?R%(3hEP8bJh7#KQRo!klp_)hZaU_%B#=NMRyW+VL16YGUUoo^1 zot$h=;5avaPk6H?r0k{tGpceSomK(c`t#5L-@xOi1U3Wm)Ur{KpM78}Wc&7&yAE;X z#is`mRH3IJRzD63xEHopTr}1^hK&S4TuhXA|&MYKFN)cfy^YP&9P5DxDI?%v;Z_m7{9AJMA#^`ajj zL!^w|Z=HUo%ZA8(e@5E<;u9jZ!6xe$lqVqj{n2jNLnr!2gZw}%>17Hk@Q3*<5wZ#Ti;97M-)l#k0~XFb*5o)9fgo~vnoWv4v3Y%KG!?^FPgJ+g0oRrS=u zWxqdPsG1=in~-t*b_i6a9vX*=8p>zgzAH&owHN5m810{LcUC<-W+{5hHoo-qws1&< zIITiweFA19jMIrkc9p#MAZ%;iW|*k+(*5WRBv9ropbx%*-CDJ}I`4k|a|tiLSz53) zw9~I9GQ#Dcs9&v(bu9r9JuSo}HI8VePB2Pr#vtdd`1e|?ONPlk8{@v+MukNyGo?fe z-=q~K55|~J$q_Px88N#uQ_$TmcKh`A z_K3%E-P@>6;you(C8wb!Mp7eL!S0l?8L^OP)*>_w-zb%~r*m-nbv2EVAk19xR-&aq=qGJ>B_nSN4+NgSM=SeqUqe&QyL{mS@B;yX7k zf`qg`lnG3O-&W*t!`CCcZz}|5_}=H6cc!WP?Aw8n<3N(a{&Qn^&R9^e$khF-9G$lv z0}Mfj`@xU6BdvSo*&?L^S(P;IdfS8&`j6gNN1QvhV=!$M%DYJ2nc)o4Wi=mM&2P_Y z_mp=P=weTM_x+b2Ee?GT;|nB*!eDRGr}&8?M>tY>>6HpydhxdmZFJvwi-X&h*Eoir zy|3PQ+<~Y#e^%8a1#(3U`&AB&mG;o9eVNif!3EVBiIfgn%govvKLHI(7CM)t?ZPFa5oKoUb_OGQd1em^HkiNeQEe~ zhf(rE+*Q)n-{BGZt<>|+}Ra`aVfkJyqz&SLn=yzdaZ>3 zPc=j5hU_CmPl zS5sYsYIQ|`UPH}c;}@<6(ZIQSAfCNc9B;mKw_pD`T5~jy0A>n1WX6#-M)iB{GvL)3 zPcA+7D|?|HsE?vLx8cXE9-k2iBQV3syG^3FGk=zTW?gq=5i$Ifwl@uYQz-yi% zmm4}25UaSQaJa-sqoSrbWYHId`6sK1pp_YiI1rGC6UiM*;)`EzInE^%0hO#j1+rHJPH+ZnatCDEc|4UOT90AK$$V$yaKqslq6 z$;I#1yC@vt0Rb7ymIDqGPMMJ9s|Jb3E&ww!o>%cpTy|C467G{YC+cg*zN< z>?ZGN;TI{mPyQAY0TPWt4L*kJG<}Ycg|i8EjxW7z`;*?rN`vW)G%y^FZeyc;o!uxt~%Y;(lauY0Vi6 zS=w>SIJL6JlG`}iPmi#X`-9UtMW_}j(}5QJ`q=9mE4T50Fn2oB%ikev{gb@bpRt{4 zkT!*R2bb&WQ6BYtKn&Ue1!cHo51wyq%@E^Dj0oltt4K&1{+}KB8WjfnmMjYWqPXX$ zthLj8Y827dQi+^L;_30ZyXx)6Kbo4)A*C4#uyXG@64qTi zfyQ#pbVguk>_FwPfn zsD#GEJ#Y@Av~ZkU?!!o~nfanq$}u(Xg8AngxthUhy7>$)i=e}Vf?h8M=QV^?;P|- zDuf#DY+_@qg8w$$Ijqx2h?VjEqT6jt)Rq!_zARl|0^GaaT@pL{rDuU}+@@1trAV6F z;c3BTQX($=y z_wH}Z+S}^=hIrzSV(r>tP6ffD5b@TD;v$?Q6DS71BVG9<4#_GQK+M#DI#mzYfd=$x zpa{c&!tdZpq{zv?TI!#Wg`LPIs?f(v`32~@UuwP>fmy)?@TXGyhC_%0JEGnE z@JNOW<KnZgY zfMuRs1$rn5>XuQx-8NNr@&;8T;6x-??~Q6ypRx-cVN?DhODKjkJY`7swZVPlpmi#c zR+s5?Gi^)#uT5&SL7xSdsVeloA0DLHFP;fAQ&4wp7mzYOqTA(7zM|Psu(@^(<5`zv zlyxt#Dff-o^Og`;F-Uvj|K5#Dttlt-@7%j-QddE@w6 zVK!^+=^Nl1N`sp*%P`6i#~?Y-=rPU=bMKK6S;AKPx#=hVR3rUvYyL=fU`2*YhwU9mM(Uh?SxhO-uK!)z#{CAS(i*!8xy@z-dduim_g0mF?>D6trcnTFx&^*SAPut~_ikzBew-H^23&_(V37MT`mxcBS`7LV@&F=e0-Q&0bkDW9J+q;;ghzT!Kt z1nv}PY~R~4c%FBOHG$LU*C8nV0sEn;Pp@+yFw=c*2CyA(5{&P`>#|&vfqEMynxk8rM_?PUcq!h%84Y!Fi zfk7UV_J$?X-=~x$kOqSLZWBdgND)It9;d4iadk-O1R|XCP=TnicYGL}r3hy9w2 zryxxM>{MD#DO zHzLG=9DL#w2%skak~sw$OBg4OTL)hKWDQWU5~{FeMybDoA zpHCEUV^95;+v<7%|HK2+V-Ty)P8BoPyLHD)^%s)wKqu43fft%^&_i~@6Iyp(UsObw za@Wj*Lxxwr?dh|C4=JJH3*#7oi?9+hnl{wPRj`!-N=U zdVhUFlOy{z)a8YgX(&}1jg_z9Zx+pjpc#TJX6kL!Q}^aE5>MwH$)iu{pDDaHEgrb> zzr-#Xg+$(KhdcY9<4T`QzlHYLw*HL14C?`uwY61ukc7g=hd}V>V3~h>uR=#`ZNyK& zPv;u{*uXZsK%PZZ=wcCyc!*5iYuL%xq8Gh#`<)8)o3_Ni=_gZWN&t%aaZ|9eMzX^iQu(bECtG9hy zbtg&mX+B*~T$0cJauc*++^O+iC;;HMyr-Dr}YQTUdX@cyHvW9@#ogU-$3;nts43+_`ayblY=ks~Xc{L$Q+dDpz zPw;*F5#eyC%Wdqn<{^@j*q1q)*G<+(>6PcRTj;5H4nMFaz3^u;`@}9CsCod$7H(zN zUaiCCS2OAvbwlxHnO`&J8F$0+W?knhn0SM2*Q;rR*3Pxu?yKv{xo%ZgjqDn-V5zjytOK=8AOJyJIx#69JTk$qJ6EB+ZwgI)(pI;cFS->+Na z9ogyR011cTTfRU^(!*79z&+qO1Y!tnH|hTE27p4|>{lC1^2-5lpm#6b?QG@la2co; zdLf^saLC|y`FC7U41Z3y6TA$+iI5`&`!MXE0r!!J#Lu+>`5aJ@ zJmHW1j$apCS~?6%nn|wZpt^w8*SL6coDu<35ZY4?j0_N;Z3KNbNh5@Hh2;b(YtD)9L^|FU`EzTHQ=&OkVR9IaK{!+8sJPn!zKvE`W8|tdAF8VckxR`oyREmB663ffi zPbf4(NMduw5nU@eKsk_}=y|=^k1=*_k+ChsuJ+YI%LCJWHrtMPq)+ANX+;xuWL)B3 zofFDyd`4^QRYF4JDY@d>U8DA2w=j`KQGYT+(-WKmKa7C5rqbhDHs}iaG1qLJD`NyZ!=WFA%yV-Dk>kA#}rQGhQ5 z+kuy0Y_aB|q_22?^u3cCS|Y)x*Xi@vXMyKmlqp+LV$a$90(W}v`6=WJDLE~HXUS9_ zq|J<7zfC;}Ve}cKL%BNPxK&uEE{kIg*L6v09<)_iaZdo=t2RlHcQGW*uvxXUS;&fu zP|c@8Cf;Wv3A%(I0uzO6FVGIiTrASB)B6ek8XMVX^9ZQ+2CEHWm)_c6MY2tJsB~wL{GjQG(v87uL2F zN`GQxE_q*{!`sP{?`V0@MabJadGGTWxaJ3x{dfo1953=S@xG{e1lDHcC`)V}M7%%x zKX7?SSSF7)1ioHINm!KtW#pZnaOerP90zfd`lS+J2~WD#eNeoa}2@Fo+6Iq{Q}L!_r}bSmz< z)gB%e2UfAacD&}yR={VLen-E(=I}~5yKY>og@6SQ1cbt4O94*f^V9Jz(T|5i5#w{x za+Ki?Vud)48Q^m>%j0BX&w1m%C675ljJyLtm`~IrUq}jPZ1jtQ>1Jdg1uwbCr9;?F zN)#UVu~1HB1WW+gcu6nqJ5wReGrfUl(Jqw^iubPqj`G>v@ng4671e3Il;uySD<@~| z>Dh~m)%3^$WY_LR3TJeqIn#Pl`{@l$n}aJY!zumacUA6LdKsEQXWb(iM`gn!48tqH zXuO`5j#~05!SA8sgLs+{dB zTtrUF=}5%eGn`+lvKE(irR}v0|SnXM= zH{|aIH@d^EAjR|>H<7h{8Bfm~$|(i8$F6Ka->HtImx;tHA({Mjg3pIRg;BVIfK2Ua z3HAxfBlbC}7o--7@1U16?(psEC46L10fYAR2Y%TQsS!SRg{_*JXM|3gLGOyTSW>I# za?*HnX3mwq5 z=?>L&_>YU#jS77xf5W9&iFm?N02VmSYK)skMd4v1)bc(Mwz#=~$wD^>l6G;(no?YQ z;;0b262b|7s~>9FcCTmQ5AlW&k*_|kBEDspR-F~RU6&e?Jf0P;7@wDr{10uoH^&?J zT;z&R_wH@$XIm?3LZv^I1+fC@dF6DN+s`7SP*EmzAP87TuCMbjn{&Y5KNC!TLW_3g z4aA)-+{|1jW`rF6s>aP6rW>*RVc}!hOjoMK3;IeVUOx>Sw;@~yqX?6|BUR?}>R>~{ z0BYa1q+oE+g9Kfj2#GOhXdJdaet%b_B{^$YvCWXfP$@Jyjc|h-Ly?CETT<~e><7Dt ztfuU2RN`3?>iLZhJXa>zSfHfMdP(7>;AWL}N;at(k)&y*CH;_|f{O%qWX!N;u0eS;2Z{6m{(Z59tZTAUb9CAk{5IplIo40#gJsj>hsOZkIruUClQKl>ngf&0}}dciIRN zWsd9AmP<(x>gqmEPoY)Kn=05&>UksBoS$iiwY$i3GblZ8X+&?C5X0;kKDG^SfB0xn zlWU~|slE$|U5SuiPQ$P9NSIuvu==giY6ePq7g__>5Ovxu8i*+)HYB|1DkoL1C;yIS zhn0#Zl|eoI&By>=F(I>i`uz}Xb8~tLPDTs^ooNge!F|u*un9G%xzc(-uRv*8XYqz0 zIl3i9Z{fxE3gvX~@m{IE{dt@R>8)@>>BU+W{G}_6)|*fI;iC%)VA>eic~Rc;`Um&T z9K4lr-e&w30L-A!KJ;$nAwSqh`LVY*^Lk=*Uk>h#3z6MBG@|m~fA+jM|FG}{xBOvS ztKEB>tBPy2sq-Q;W)?JI_;bVcSIRBqYTD|%=cd3Pn%7RhKup1wWyemRAu*lSjK;RY z4J5@&gMGi+Zr@WiP~nTUj46J1sgLU~xDLaInvI_NP(UL6$Rd#>)9EfIpJ-V}2G_Js zJIN>iIzp#LXmW0xr9;~+7q?Y|C5q<=zgWec{lI04tt~4i%k&@vyYcEyF@vJ4!V!z8 z|64KvT!cG|d%O_a_-N4wMtVCvKF9ajSns^lME6V~pFG?o!J`4meV1uojASh?T0kH5 zR3Ev=59l_bEb18_`m5&m@)E4o|JIAD?SoU%HihG%RM6CLkCmp!_$~Hg42}gw2dAl? z=PCzW$2{YIk*70rmZ2SzifZ#NYov^m3Mn5^<&!!B;Wi^1Eg&ZFn zJLV1YSJXTUy(RWsAm$cLc@suyh2!>0W0;$m03lQ{82Zn73QMmFw%?!ILgwfG;+lP| zSe)M3)6UOa%#w2h#+6)wGq%+nt>WkF)Ls&s?!~flz4n-73Zvz^I2~&$lvRE>*dfYy z%gV!^YN%r2Yq8uK^PvI1&L6*A_4b3h3S(>IuegN zfbnt>w+)}52HYpKsvMD&%?SJRvLa(@)_4U-iT9dO#Zm%&V`oW!eiq%d$ zT*KvcZHWU9dm;b(2#<}mAL`9VX7apEyFFaRF1PG$uPZ$r)61k35GTq%Q6r*x2sCT{ zsnW&u*Gdu2b$HhOWuk)gLb{NMb6~sSU-f_`e4*PnGd*X3jL^h(6_1vb6STFZ@PXOe zLA}INBbYz(9#f_E_qW7`-8r;8h@1IJUZn%Vg(@elqbR-2t1ny?_NRi+I`o!bk+72} zZX)L%XF=*+gT!XA=kjZiWKhwmb3iHVv67l9&nW}O?0CX3k|9bS!XyJzafZRkAMXyh zFCk{lfAxZRh7Wz1)FYa^p}rtbaHc7Mr{9cIkI{7Z;Q4UY_sX33nniU<^oqvv1ZbFA z<}z@P23Dis<3JM*g(Z&h59Yysv!a%9iPsK}+9PAy$q2!pavhbgW$`ZL(E!Lri(!Me zSk(KjgiGyv<-d`geRW3e_|%~|8ZKf5g`PGx64yU%3~7NtgBn;6p>Tkivb;!7_?4F$Sku}EQHkL0Y(vnpO2pD;c0=9n;Aevs?hhg6gJ09;E^A-yrhS<3^nA&PlrD#Ov! zjPJM0T-n;$oK5$=S8_iHsl|91MtStg7(AL*h#G1h$K{mwkSWa-4T-I-)Pv&Z z5sW{sZTcH^x00frjNAPbIx*PGy1#z!I7q~Oe_C{bv3X+L8%j-0P13)gqnXO70*+xd z0_DF9G3P8+4;~g_U&#P0eF`vyuW?`NK!2sHY4G#4oZ?4~+@UKlPL#H{5HY@8XN~2Q zY>2C0BuE8*V2+&qZpQQ#A69*(z-l@JL9$omXJM{p!zrqy4fBj z8GpkMD~6q5^j|X885f`@7{H|*`c@l$q9T>AN0Go=l&8tZC4gjP=Sk7MDYt6F^$=yU zF*+ZCou_}9gDTTS(J4?wJvt7BmSP$Z*%r2fPa)XRu;?-_RQYOxp!V%1{(C=Gc1HI_ zNxfHjH2>#@2lYu15Q>4?C#OCL%SnxPJ{VyDN&MZ}7JY;G=2PF|VvwWepHEp$Wp{Fa z$!Eo|uSO&$j*N!73_qSgUzTBAejp0k*7^p!wXA%3;A=88Ud`5lmf(3geZBQ5^I&DNMmAVO_CcjF4pFeMowfe#BXo47wcb8RaVR=~`OA>=zn zG0h2=EW!=&!Cg+9@h44+OQ17T2kQ{9EHZ?z#iq#}1+kvH0-&_B;?JL!EvF&GJQE;9 zrAd7_^y8=1fDfDQ6f1x`8EekLht`U)@xCmi;1Mo~3u)@ucvg|R}({?2h-4YZ-g0*l+SysJb<9RT=Ku9se+Aysb~%U)nVf>yF&Sqa5Xt)*dG{_6#sy zn8=AJAe>PaH73n}(h{WSGSH_kRFdK7E;;+dZk_%-s=mH5keMAUl27?Df7I8Nuh-X!K6%thK_Zm(a+JPB@Os39 zC+S!qCip4tGapLK6USD#r9xCTLt5Yb`rF#NtRX{&%Urlt)#6?Ei3B$YYv`czSjy4$ z&y|h_W9xzQuRaqC{ zM+UfCL{NF8e2qmI^}QdM8Q`e)tS(GF9dCQec#!1g`HqLn=o!j!Dm-R2G?PA8lm&nX z1YvIs7{r}kDLdFn!Uhw1_JJ6hrPwS2b`oHO=!`MNLn??_UdeSOVUc^$c&=T=$#i*_ z=W5X#ti?ZLcgvz1Cstr`RbV)aav8TFS2fYKBnyOKw1hQeU0EUS*2rb@E)#&!f@)E$jRUYc2nXG~VW|%D@~6fjI-WTL+TofmzS; zwZd=RBwqvbOFW-z-)p{&|9td#znm_eS^g@Y_l=?_jQrM>GGYY}wHC+%E)erz?AYC$x5`2p zxy*vJ{_i;eW3i#YQN(8PYHii?QSEW-uu$#T%au>p14k`vJCTA8VD(4Sk&i!nDUWcU z)r)2ab6bQ#N6z3tq;^WHOvZ%3D{(_;>%q!jk*YyYl|IVX%tg~z*0IcSA^=lI!~3vH z!F5-*1**7m^8!u2SoYQnIvIgKp|)_Cl_1V~NAjE`W{+e}`A7|TTb)0{l>2q~d~>Tc zp7yF0Sb1v?@>QD!#LoC~wA*&p-i`;O2I(*~1-x1zbd;pwB!U*p#2u9M#RPJZf3u#^ z$(s!o#CL6`Qm;ZKPps4Aj<(GY;e3H>r1tu?A$hv+pcl_kV6W^Z;t)b!!%CnBEhEk5 zOupSKI++ORom=g%8WtT2zgW75#kf-+z2!J(UreEZ1Bev@l)-B_hlg}RZ1gjk7?*-D z`ocam%m`afizSq=njK1LX)=PMa!OfB>^nknSn)~GND;WSr4gbGd%;_=vMpWjijqyQ zbjKC(E|1@0#ck{l+e6As(PO41eA|j#ElyT3%g(;N9BFZ7y^Jrwly}}XA9}nMOjSIBeu%=q(cNxTKlxsZMLJfY~4y~%Bo+meX5_K;oES<2`eM7 zG!~|Mc(C~C9a>PSR;MDoDIXakv2xn?;Fdz5dLp2Da5gB)bq>=+hHt4^?8j~!-6xkl zeV(NyS^0#Ez4=}3M&eU5)2aR9_}M?NpAE`C%c!=oAdN!x zy@ROp#;Yf@swdm3Cts>3^NkKj1MfQj>#K=aa?loYg#rP===g5{T%tiCBEXH~3o?2S z+Pn{!@Qp`I_(;tJ%Z}QreR48TcT5|t!Z*BR&-Z#S7oYU#gvtQj%&dS(LPBI?O_>tX&uHJEHh3sEP z|J2jTyUA+RXquKs^`J(1=Vo%Pe(rQYdBW?w1G#(G=nJd}oZc)(E7Wq|P27)w`c574 z=?0WWo8Ib-U_Pi*hSKwDM+qZ}wLx_)f31kDJZFtMTJ$e=PNt=fTuhjVqZpbL8tgVaMzI zxnO(NE!WYfR}aj#<>GUarx{JIJREN|&h1jW(00MXHxH-3(aj~9M%VkVwYp3l%@kf& zGmp=I>8UAG9qP|MJ?$s2AFObR>y>()=`k=&_8s-^wR!#q_)ykycx@V{XO=Baptm&3 z=Y-tCnm~&Cn?|NzNvWY8Ufh1)gvY9PM(OW8^Rm#qF8o4OpgGe7x%Ju-?V%eFC8(Gv^CjMf=?RO%CI?Rwd?YM3eCIgWB2mbBY^WGd=Xp@~5n{$uo)VOb z_~nseAq&i)VZw$X$0X@ul`nxAe>Ya&<%&*no^8lCLPdl6Y5Q+r`EktuF#32UR{AdS zsfDv{YG5ZLQHizoF5EaV)I@z-k2XPwfE&p46T`_7##gtcI79chJe0~kp{v$qzLj@G z#1bFrgvf1drqo?Q%(`PJaR^k)CAEh1ml>Al!pXw19kjqiz+{2Mpc2*sjn0_QKI(X6>qZz|%8RS)$+MGiuq9tB6Q~&FAlutDFVcCakr=lFnIJD-0dBhKw@e8Hmz+CwXa6gXoY`3J6p` zUH}h_-?&+I+LWIF=f?${dohGh>JO+7bcYLcTWY_@JuZh=>zy@J8SMCkG@YjD!Zf|Q zD2tT-Xg|66@lj;!(#9!@+PHsw{;=}8GSEk%*i5-N9GJ?8s?FgxMFkgrhZHjOs~(;-tAQ4U zYH`ESj^)QuF4RZB{N9amyKzd#NUMHYn`0Xuna_Ka_naFwHw9c8=&lhqHLH~e#JtjrILtNzDf1*42z2>6j@`If5~WU)eQ7$sCrtkWKG{sVb{LkRIueR#^(NuHE(V=>O`(y3rb zQr=R@TqYV{j?jBA4Fw`t>0(k|T^ML`3EFz6vyi~?P#hSol;rWS`L@w0E1(865W zBGH$vylX0Oglk?DA`y{kv)_21r7^<`2cGZ4lpB9nA%T!kRFTVXkOGaZU3Hi}5+6hW zh8U1uILd84wwV+{sgTx3M*<0dqsCD_g6)pNS6QkSSfYj|jfbdONpd!T&}&@G={EdD zd)pO3OoY@JLfXQ)eY09kEP*=8!GeLv-?9fH4pB2dzl4j>-CEkBNNu7B0Xj|ncps+5 z6@`!UzTnUF_p7m!{FoCCqF`w1Qnuzb$G<=+S$eW!b^?kCMB)kCpPEfaH8C~@qGqdU zvUz`*-Jjvep_v2;I){S_uO*Xaa|A4D-QUcJGVskqzk)mN=Z3 zzf42=_t}3;WC-2R8D*DRP=s@V9*9tNozQ)9Xk8Wps6qj_f8L#?wc3XQi@?Hl zV;b5F2%$_qYYmR%ZQvr!4pdJs?N8{1MwVeTfaRQ(ks?mZS|?!L)f}J<{g1Y5Z4d^M zb@!|`fsoh|9CixS8yBqw1xRU6<*Z{uV%mUqa7O2Dm_#Kiij-Zr38ai~1|;y}4!S{* z$6`YQ)Y$q1F@+2$s2r3o2tmR-F$xf>Zv6>Zp(_6rvy@C%L<48@87QJ)eDf#bH_{1eZ?l+k!<7T-+l+A478mW?} z9uwM^`Kzdc%Z>h{k!rJdD)zs`S_Fb2?PZw|psADfTr>#n|K%-oLE&mrp!%7y>7UJ? z|6DQP&9qReGVRH4qS_(Wx0=+efXy--C=Ex-h6cWr8$}d){3G1Uj}b1+go>kQS2^ci znT;Ejm9js=Z9Ba{VkuqX6DM>-;W~}W{0X-4->P8&%%y+o17Q76m)+H1$n7J9hkpqT zsDNXPf@dyL2#t35(_iL;Jh*tcPW@G2;apYVNS74oq(6A{_D}Py)I&?k6hWim|AMB* zb`8_!4b60)hjwdft|%N*e_9G~jqUJvP7I6br9qZift0A0J*6kO80rV5M2P({4j^)D z+UO8S&4mE4_%DwNp_R`R(N!G3<(h|!(T9HvBR{4=OZM)U!y**4)Y;pmpph?ICvc`a zPgU6p3+zSuJksW4lwQb0!Gv9JMxN7)D#2PiIs!+lnu(rDUpKXXA@1vPs&NGwG5fWz z2x?g=e_}H-Ki55^g-JMmh+s>#IiC&@#i5?@dMF53VZ~=Us*+Qozt8fg<$jr}E!6Ux zZYV=A&oM#h`LP2X$`jE;05V$)AkvFWSI+y|^nD>4e)~d7MO!a2_`?Dsjd9{*bd%Wb z{OT>ygszYW{p2R&rM;V>>Sk|=n7SC;8jGD(_NukM^-`9 zqm3NEc`7O+;vgKYwV6=?A-3Zme6L+a9w9$@#oTDSKrzLs&f!6b)L|Ob$oK#SJIXj9 zIUW*hwF-tW4u#97CGlIb{pFJ1mfXm5{@hN^a|plf zc=;hJI5eXB%Y9hjF?rNFb75D*SZ(BRDwcN!K2Pp(rZ+eKcD~NwG3Z(eEaw@AV&?bPcVmAJ{X8PQnW{`9k$9iJsjzpI$imm&214hgSD^~plk%=GgHTMNB&7#D z)C$&?lBsfMOX7SY@=?+BB!*=6zSyy5GP3VLXFHKSVtvr>JvGF{-e=0>XZ#6w7a?*L zfB5)Ah)O`Bl$@)SSx0`Nx(_*KhhnB+E;?WR!cj(5UR!>`)|j1{B>hM1F_v$HY5*to zm5%(cLidn-B^9Gs;jW@uXu%W(OPId^A1v7UUhLf9tk_q88Ij~jp-P{Jy+>}T|7|P5 z&Z}}(3gNp%ShP}I7$Kd{tFFCUW>j3yt)kPIh8{wNEqRnGwz!+QQZN^2zK=J8aqoja zD?9To7EMB7nO63oLwsYd@{Lj|HSIR;K_liheMS*Z15)SHq z6EFRQ9h9n)vLTZw-EbCT%rT$%6wp|fcZE)`J>|X!rA+^~fj@r285zw^3v;KOAq!q2 zRS}*3uRd4&gh{;72m!)Q?0_tAq*e{ZB9W7?&VsG56I<8k;bBm1hn%4FwJ`S>iV1sE z5A%`HMWNaSoK`)PN&H4t2nlexfv>z^xC+Vrm&jGXF|19C+LdzBe@T^YlW%ALFK?x) zj5$IIU3jIlLu}^RBFuyDH1d8hw0el1`-f7CHC#&>!YisvB z&rX(O`x=(50Hc9SHV^MCqs5Z`+QRFALX-*`b#4{(X1+m~kuTsNq2ruXo@QX2uoe^} zenXXd?x^+PDq8+TZ7;jNPHmh>5uG@;fiJ#HbXq=jQr@Z9d-*cp>2kLEX=zxG=-g?K z)32J?Fvooln6tU#wAronJY9Nt`to#W>iK+Nc-GibEx6KdCwL1i6AGOgDnfeG{q4a`iTq)7kbp zWLvQl7;ED>_j~;LortToPO#_n?9k8i<#nhn+}_Re!^C>;J7gkS3szGm7vwG!;;R0b zj1n#cL}uZCGt$5OHzVC(*`9(Y0sNr+)_w7uBw=x+>F7D>UQlyg9oap8HeNTZsexdp z*O1Us{(PPqHOhgHPDA@0L~uGxaYg%$r+Y+Z_3bn^b-#SQDRkTZ{ppa`C(Qt42O_yw zYF+r1Q}w>z2|OMjJso{pY7?~kasO*sX#ud0T>x5v=aJ6Ux4$HQ0)ET(N?N7C3){uV z4#hL~v0PjO0}jinW0$>e&v%>xkF`Q=wu*-ny$hM!_r31d;v`<49pQD0TYKhp-tEM) z58r+lM!lvow+@_6JP)iJHvTHki|f7fbdi0DU3XuPRkLD_O~tye<#~BpzV8$~19cRW z3!eV~Jc@-%!R-Z82V#NurxqbwL80RFSE&Q8ShdBQQ2ICX`)_|q?m>zqs!m+cDzDiK zT*sN})2pBVY?6eQW1XA=_J*oNowmTT0CSbYnSGA?;qoqZGp}u@Ps$&~&w)X4$oYnPX&hq~0w$*bI!Q~S@*Z4m0`nK@##rvsPrz#erd30>s>i+r8`1vI( zVtm(-=-r{@YsJo9WlHPv)w^1Br*b0oUJlp5Y46)3sI_>eN!{*3nX4>9#Yir{i&Z#w``- z=+U-*n4D-uQzILg$!VrCd0QE~5ZQXX-m7nJ6*vL(i-?mtUM`=h?*}eelSQ#u0@TgQ zc!0g)7qT*bR=%IW>#KbSN)uP-zL(^vK#zEgr*PUCvP&Xu$EE!G>;${-L9}sLk=YZ@ zeY5o2XHi@#3FL@t?4M~p`^9O3v$;T8WD-`Zs(^1S92n>Pnbum>+AtGJfaMY&VN&Xs zTO#7b+Kc5f;HD-|Vy!$XAsgZQKUC$31KeNaUip78eMHeyKtAjriNjw}TUP>D|33$n zexic3@6)T3hhoSP&C(Jz>O#u1xxWvbK>9#usL7LZkO`Bj3ga9q7ANg^YMO~AI)Haw zOh8$x{KxsN-^d6-*{S9mbWK0X`AqsvDUL!VN!~G1Q2bBHKi{#(Jci^gkLCR;mfKkH ztgO}blS+R`T^p!kF6kzc*kpX~mR2j+%9p>-+H2jRuD_)gn&TZBKE`BuD(Ap1g&eHG(_T+o&qpk@v_NB+t=1bVpe*C}g2}fvwNiZ} z*IWqN4`uS_S^KR33^iN8p?c8X{?|`f{umQi$vhJtbn-ihbvRp2IXOA|r6S>9Wn#G} zJY?j}FlNSNH)k);uCK58IcYzS4$lsGcF38M&!pLFB9eGoKSI2!1*!5!8ae+s$4GCB z`Zb_J=d)9K3w<%0+gE4il2xpX--gL*2P0m^bj-#r<)_OHKnNkrz6{q7JtBDW^u`X! za;d%4n?U#xBt0ZE^*$mPfWbo@@*k~yWnLm&m6^Zg^73>} zPEE1(19B_i>i*J z?WpD>kS8CEoP5EUE%{DGWkr+r`ElaH6az{ZuT%^q%6sn|VKjbJh4LdkmPT$ zwZdg;7sr(p|AS=EN`l6rN);ul3weFuTgA=%B*m{>ID<8C!jxZYN0Xv9dZ@_pS0hN1 zsZC}yo*8>7k(CWNrVqaV^TM7MBGH~Oy~3566{&Fg02)$i$UjF+qcspoyIncdgqaIW zEH4xRWJq~r^nTJ}v+hPr67Dm9@hQyWi(expz)C^}latQ~mnFinXlLpm{m+qD=*^%0 zS@ODKZJZj$7#voD6GE=KWKY;l&`^znh0BCKbYKCI7nU?+w*#mA+D3?SLAHev{~Zk- zTbPoZ9(lAVjBHwm@995v*!`sev45VqBDOSy)NY}qEYN!?;V@+X&Hh2ThPUtQX{)P@ zv?SN#?lhLr6cTZXVvMeC>y%t-@IO-$(2_r>W@mw_?U@+==VoC-SDb&!wKu4O{xJi) zIk==9>{E0`y^J=v77jB{NwF)JIp}{LY)?L5HXr~JrpDl{-QanVz!8JtV~Esg0mlca zvx&W)>_cJxw}uUIy!@5~sf#@~guw)aFtcJe$P?&2a&=T~g2(P@DiVCV0TioH+4mAr z{LLb=4u;fkX7xic5ec_t1x8`IDUX>AC&N@k_;z(cEoAIMWy*e`=8MCdC;B}#COu?N z27q6QSJKjJP)QN%VWoa;{FM7iuEk(sEPYaq9=@sj?sQaC<04tNGCqk0xZdveKObX6 zVPk$=td;((-jN!qeA-EGCG>S)H43`Q1nv;h!RbDde`A{bb#jPqCr%*ond)a#u+qg^EkcMVDf^oK@}Bp zYOfsBym`qSy;fK90y}4E8g+Lm?1*2JdhXQ?ax`p97NM@9b{8t&vkWTEzSV8ExDSa- zF7L$2i!UCQh$=D_Y4@u){fC{z$*XI%g^-D0%eq@MxeWESP+>mi5me7bxS0W=%E!)g z;Y}5C)bpRy2T?g&6E0#-(5wxVv$FqxsH`P!bg|jhWOX|HMY)av;d~G!ugvBJyo`4K zbKXD7d`Jqu;94`rQGHbrN0(WY??aF}*|exItfNcui0r9`Xl>nSbdtM! zE(p?T4OqcHto>9)(JF=?J_w}-2kXd*9hC0T01`0WEmu@9LaAYea>N?;a~hx0XI<}a z^jX!1NJ89-3Y=r6+txZZG16>^9AQFk>RHPqSp#oVNT{UupLk+qVAm|H`_Ko)i!r+M zG|3{{cf%3EdvXtPsT&G0!n7^cLjo}tJ2vN^g^WqPn}bX%X=r0~=~eYoyIGuIs@GbW zfg~o3BHN+vnt7}~l@W=p5=ljJ{391En3XJJk2>0x!-?&-&rAD zmr%s$e!-AxzL1Brew zYaWg390yv%O}8Op6(>+@-?lI*F`hp&A`NN|GFFXC>4E64a^!BjUmZNwR#?;eGY zmd2RC2N#sDu&I6Iv<1IF%)zcZTwzsBlLE6iQf>eHAB()Pi+OG-26nsnAzsVPJ&Sqt5US1DEs_--KS8>DJ4)&Ns(Hkqd5Uyq2NZ4WgQq3t6ZyPwihmXfF4o<-_ zZrSYQ+78XgGs_VArqsQegg}F1ZWj8|{8$62=OFWWEdJUK`~r*hS-|*h6+Al0Lbj4A zTicLIq?Bqmx#RXn#fGvs%Uuk?d#{#fkC1|t*uI*Nf5R#KZw+*g!nmL2Sr#wWqA0+v zl~yIL#v-|O?>cUXe8u*nMwOlN&lG8*;VleSQ5VzBltuSUwb*=wuz+IPltM3SD^kgt z3S0@XuNsaYkJ!nf<&S9X#H(d%U?g(-S-AKj$fxnLxkP8Y@gKADC&r1>a)^}06>k-3 zV!#YZKMIQRb+1dh4kL^N^Zn!>tf=Z3?p6MLUYBjIg(RrN*S$=b*H>~XX=DS^t~wEx zycvOux@kD(CJ&z~1t_jM@8=Wyj4G49Kn3LL)Hz?T2OtPO^mX&Y%p~Ufkj6li7NW5NCH0}}&T~D8qthlv+Q#(_{^Z=sv|`6Gu+V{rg6JZH z65~_*IXwSG-mi{G(nHKoRzVAt@qZ60rOblQ%g@QBEu4P)#3UJhQ@{w19w(3g)bW4U z9fpF}0e=tpF}Sx(Z^ctDF=U2Va_@p1PEOdVp@e zO6Ge~&X|`wZ8%asy%+Q3=Tdam|58kopi4YWts#T|?fK#5M1)}JPe-=^WFHR-%Z^bR z9h#t;cU+ab0>-Ha9S9}4pd+%G;1WDOs=m=C0gxsuTUIl5fp+o6Fq5+$vi9@LNqPgX zr3>~_P!dMDANLt)o7f^Q956@}M^st8&L9srn)`##>ga<=7JZgMsS1C))bC$$mVMh2 z8@GClf}d#N0j3srqp*vh3`{>yy~ke*a&5CouoTEA^Kg2JC9f-YWrkgWsi zsY`JQx*7%48TMa_lHVV*&P8{eIS;^+#rG(f634s@M(_e#8rxAYfkk_ba zlF&;zpOmZNiA*;U#@mCVQ5xp_0t4)XaxoLcalKv*NfB)QLD};aHuQ*-5l!=KJihLO zvx)%6D6GWeS(&G{f$#b#ZUT?wH+zTQJ+*=_T>>~8s1c0IYk#8~u-OC^G=HBzkQS{} zd6u1|axiqdTHJxYA1aWxMwdw}#4|)ykFmG6Nx5m>g>D*wPMG}oi(Lku9r$JPD1RN$ zx`!@P-!hd>SwH?Og8ouaM)PjunUZUQB0<^#EQ9D~FPSyxyPzYg0C_l!)YpVbY0#_R z&#*!z$KT1s@#2AM%`E+t$WMm~f5?E=g65g6BE^7NEST3T-bC?gSG}V#7-sTAy;Vn3 zfe1>~tRsHw2ulC-aZa{YfDbDDrjSUQk{kT?hWOd&D0;$-@ zhe}jIF~RQ4ZP=&N+XiKr<4P?87`7Qca!*COYMxI0>dcH!w#~P5@j;gMIZKX!ptU_I zMVEYV#Y?;Ais-zT6y?Maa^q3z>MmV^aI)9+XaM0IO2Ym;*WVft+hRCnT+!MO8sETP zh3Asy_zm?|3``ja;JA`K^uXl|vyHPQ^lHbwpoI|8xcw2@TJYL$^x}(5A$Kx0YJ8z{ zFuf+}m&SsLcBP9i5~P$*?gz@BQJdVziRwt+og2u$Ws<9Amfg`s5NLw8(P>*WzZ@cS z?Gq4G+Lp~+gy)Co?1NZauLM;13pm6)+dj-3W~vjw)S;wI11c@CCV@?#2o9ro=Sa$S z*9)DaBSOY!UjJZz+tGY`YHyznJRC3$@HrUC!?RdDRl={1EmTf-?q`dKxyp-{5NqI% zIovC)sR&;zIuADsT!^lefqI;@zsb{;sI_gp+`DUjqHwt_u49(Kc)Y#6Ri>Ac-=ItA zHx|2Dd_q`@0w|MdL>vO1=OQ*P_kDaO!yfNIAG&cl8 zc&LaAX{~GF4tm5G(yq1yrj#qK?M-n%CycyZ z4P|*gZytcBLhYrfG~_j}UuBEuH0NxbN|XO7o$d_>3PY03zaPX2HV^%H`65QiHCHqY z{wJ2MR;Xm#7dsy0Nf;ijNH+BeBC6omLERl@8@`0m5*%zno-V7&FnKCh{lqd!dJ{=B zF1^w$vZ^#hxqUKxgbof9$@F6KdJK62ezqu-N9P>2@7~+s=xd%I*IrtdiYHr`HEzOi zJe|Z>pqZdxVqNJ1LsA^WI*OaY6R!+=z33N8hlC!uLHicmLHXxqaG{&dGMR}JzjyFH z;86P75L`0c_)|{fH6Y>V4RyAN(n&vQa*pnxJ{RJkZYai1?t+MXkP-ybhwfh7b6}qG zJjf{OAGP4t0}GWb)5b_7F%T3Qc!?U&o?gmIxIrv+gx`O>7m{nOSuqbxF#oW zkhmc*(wP_~&@r!g;hriUSl}XErU@U@T)FjJ^E6oT#u5X*oM42vz0EV2=T96fUAO~; z@hb<>(q?yDiAXt%LTq(HQ5345+A(G3h8r7I4X#%CEOJ~-f_z?dTMQKcdva4JE`;exF~-I-WZ z=nBb1iddYCx6mYY*6;FxzC%5#*Dx|8c7tzUiVNx?1MTPrE6QK|hpB#{5r+ zVcdbs?lbA*nS5=eVZ`YlUGcY#M_9$uNkH1Oy}h(@s>p}hu`%WHLQ6Z==Z|kHcrPQQg*a`+`_2eOuN-I=273{L0GL)~wl;qLZ5PY& zB(iIbq|$pU-u5n4iMMC$?Bk+8@+1>kgYvr+Y3R)+AOCniYSzT-M zJv+O5-aEb%`V#u9bzyt%`cCc$sSefZjPInwFZ1oa{$24sBY5}v&2_0$9`IeZ|Irxw zqGcV({QPS5M6qyb3Opp2ti5)EXFWr_CpI9VBYAs-dr9#_KrGilrmB!a5>X`i>ctYv z#H_YLzQc)}FPF8=r$(O{%Fh{Xe6a1U_Bh?QE=?rd;Q>6~uQs<+L4eOQ$@^O>(A@5O zeR_L15?ZXp4Eg~^C-xm2-fxTFpFn;O+q=i0&eyw_m!}YZpU1oH<#j_4@cy>i>TnKt zzrEa?wx+JBSntGk$XN$D-9H{4gWm6+JKKQA7ry3) z$L-?SU++m83TZ_mK?Jcv?zAZ6-=i}Y`OyZU4TK2@_VdzuN2>UxmqU>M5@9FO4;p*@m@O`;> z`lNx1JrTMO`tf#m*zgv6{j&Pn=J4E>E%bJtD)hE|Jpcl{i-1}`sdw+F{dBsc^QPJ+7xcgq`c@4N5MJDjt0 z*VJ}bS5?pUSJl(=c(c9je8gH7a@oe%xB4)|?9249ES&vP;~m$>U_TZ z_i@te^~-Xif}jC-1P`XEI+s?7Dn2*>+3q-fg2v23;0W^<9(@dav2#+|N|#}mU) z(i2qJcJ{}E;3sJ0^O@uJBIc#klix_bZ~Z~yu5Iyi0l2wpMO~@RTp@zAubzw4c9+)q z;ntc;NXrQ^*IK(s6vAKjOTpT*a%77Uc8v}RfL_BLRV43jhKY*LkN9)QWx+egAdEhG zNlSNxQYnRipWn9AGPW@ES1>sL`hLl~K-1$9%T8)^YbRIqsC;~BL>pk*GhV_ARxquSBgl$EE@T(LizXEl z#QzC}^Zc1s$aClI3@Oe@bmJ%wGxf;ROv*i=6E-OuY}qlD_Rmpsl^*BMJ&>9DMU@fo zBd2A+O!^>a#no42%8}*Hc4gX$Wo3jbGJNK3zQZbVK7WxXX`xEui;a&nTr5%_RA6bV z+f%c$N7>I<9_v2iSW!z@Uo}M<<aiX_NV>SWO17gz%0^9TOl}dwnwJw%_ zRus`ihB?_&vn#s3&g{lvL0H)blk zKk)`jPSDoD;3^4iR}Wro^JBchi2nX}<-v>+4#8scgS&_B!pnJg4^Pn6T=L^sv{3## z4h!;?oyu`Z7d?xn5_Nr_0E%f|dW-G6;7trFB>GU5n8+^F-vi{&xb)vtj!W~H?@Sdd zKzhC}m8-Ajeb~g{{11;b=I;U0XJt`Tc@36fX%CAv2h>6Ei3q34XUQnCUY#<+M{?%6 zB=XRGT9GAZoW_-roi4%*Yky(A8qCFS-}KEays&3vd?dK~VKA4_6n~feT_<4-XU_!L z`sZEimJ>Mh2Z6yEP5K#mx#e7?;WILhnQVu;A5=7ZA1a88pxb$o+M39uM44<0UWqRT z2Bv?%a^%W`zY$aiIcBohcexGPrKeU*kobXN6mLg1+;1?MosA~W>x4xm%$L&P_ zr1q>x(Y*2UuMUaglaNvb4e}cUcCo2?!bFTsEh2RKao>^ilj!GuFa{2B_4v#=_%Mo) z$UByNb0?V7BMFa#qscLg$ePY0qc;7XEy+fb*O`TrnPblIxq&F*)lAg~!4%5g9N!5( zN6|Lc6RmWDk40o`5r!ZPU)wJ!(Z^&74D62)eKHyBWjAXliE4gR5Y@D>0HX2#$`3yX zM0IMZf(JxrM9~Q;&Xfk&*3XW!JW+?s?Slh>_}?_~LnJ&)OiemelvoIfAjt z`XsUk;dDe_pUYa}QvNn?=UOm=6X92crTtNdUeeS|8&Rj}{A1Dj?MsyKLctNG;qYl{ zb~pc%b!9J``AK>zb?8N=pJEDKEhhRX&FrEq{)8`S3l|^_L8esY)zdfVT{?FTx+4F} z;YT71-6saJ?oUJNWQ`o)LTc`gq|`Gi3WwjBzCh}yE@!2?TalYwbUaV|$4vY6Nos;6 zr}EB2qbCuMp9FGoiSo=jS$gU(yh0v-KDfuacSIKdDx7XAe&=Zj)q4HWNB{U;_cfqi zw4HkEo&T6u06)ai?sME>U(Vf1Yh)?qi?+k#zF4ed7d(C|KC zxc_9IPr;QA(bnb2V|y*$5`r>km9Pdy5J2;3bw5$yqeeX7Q*@;R&+sL%cF=sWmX~18 z`LZ-0wfwuJ;A89Mia-&!3WH>Dcv11&4u2HEv?l!W4$SX|dHEvvARGQ5%dMP5j<79| zSEHXX=+p+0O$taLiSHv~X%Z=ko0F#6PzsqhWr1|pG~ z;$>Hbt+sjJcoQ4g&5`J77~BMF?F}xiIU~fL;l)t-8bG|25pbpZTV1ywo>GOJ$Fyt{ z*R60Z0_yqUTh2u`n0vqOhj1l<4z6U&>89}Pi-|(k$Qr6{QuTVB|j zO=WA{>^C6kx-m&qbbk2)z7&*EEC|LXTJXEUpfXC3;#{$HSKKXNpGcB*&*}Yp4i(GD zis$Qu-l}?OBPHf7Wt>8)w;#UVy?^_jIfU^1DB`DRS2ux%DkRIB&p9%_BqwR(SPULF zt?y5u?qiKL@iihh5qR_9Ugl4lkcb3^u3K**-t!v8j}gLXX^v$*YSrkZtPx2JU1Dn# zA%QfMdMN%~TF%I{+k`~j8?rR@U(PhOJjC&W8qf)`i9<5g@VYi+>FQayOIxYX!1+9k zZ>0vvkZE5VGe}3B`HQ*S5-A=K`g6d?ygiBJLgIQO=~Iu&6IKZQR2BYIeDt5#qb$2E zQ1GGy)e1&aqN#s}y@*ga!Unu4cvJq#2si5Vi<0M@FXOi;BsVg18G)4$Y*OWutOI0I z7=|uOLLM-nm_M4XL}vdZ)p@!UJvWXo{+ePX>7#nK5gx)19vis&}y%NIA0^COhX5&CEG#pX2m{kOL1l&(!-3G*NSF#LPjyqT&R`cmYmtffLyMgAOd0 zwCE^8k)_f)!c;5L>XM7!d)=S3;;TB=5>r3$AQxqkEtfRMQU}(t&?#lZsRlI8Hi8jp z*QrQfUj!_ri)9KLU9wg()3l)Aeb^!gXnW~}z74uVYnQtK^{X7-yN~gXFE;YS@w+yXP~Y*gv5<)i3Ssgw0=-6pAg2!TD-g!0pB`= z#Akk{Z%&l&ETXu#6oUI?Bl#haJBGxmZe3^{eKhNjbDP1irQ3G6mkf-FPnhI)^LXv*zi|#D_t%HlVZF~Gyq8E*b2Kd)v5QVCFNxvYl(dUNp2Q`?m8uCZ zz=}fBEa^>4)d-~rH=_$vQFOcedMK@qA@TC^+n~^rs%jE3}#Oa$Hvxb?~2X{2YV; zH8n74)CWwqt}Ma!!mJw>Fj|#57BXXX_dCX+bONuLa|Y?`YhQ#thXA!7RYlfcB#625 zcGJ#QS;9L$ThVmtprM0fK5oTqJ9XrT=h>hl+_t5^%~1R%83Iz;dl=nIg)m+qeVID{ z)Kker$+&)lnk-+EXm>G z3w-C-3OLV(NCqNhGPOxb82^IX;ZG!X*LY7=98r#+9NOUS{9EFSfMblWv6OnLm6EASPd3 zH_T%ik;H1RD`^O)ep~IcmNJ~tn^D?j>#38w1m!aJiS%|z`XuzmA1(NXl(s5B-+;Ay zH{mpueq?%7%$AN+|8^52Gmuo@Mnt~`?Qw6b$xmLPDaA#?2ts}GVh4q2mUuqkLtRMlwKnl^n6X&Hs@U$$62lQ@9ttD~AINR%gOQpJ-bW{sBCoavpDdXDrX|;8UHPAnd2db-<}38p z4+!a*&xixbHzl3E*2P3JVOGZEkp140sMEFJ#l)T1`(i1$%dO%QZoS{z2S1pkV0I^? zLyEsT{?IPUZl&ex!nC_e)IaDS9p7{+n}x|{?1M#enck{cTa_G)`B@$79s6w9 zmQ9W*L?{XemcA}J^x>WO!mkU_WLoD|mvBn?Ue4&tulW1uF+d7|cooz`lTHxOG zoc)j;Ug+-q1uL=!Roz3f1pMQNJyKdM+~l*r!RRpZkX&(bJQR0P4X;LdZM;+706Zq{ z-+QJ6@hG_t)OK>E7LDID@J>BJ(xlH9`o_~Wuc7_?&G^2~R}sZHH*O$WtPEXFX$yAC z%fZ;BcS%-_Q99-WvxsPBdU#W@FdkNoq|NaqD$mq8NskP#Z;9puqHtu|sZ2K>QQcsk z;VCM#$)3;jh6~B)v>TauCWZaWzc}i2bFrnVi!A4P zXJ3n?HF>^~H!djIv;dW)7O8q zQP}ul`#@%`%%Y-`ME~wW1@TKiIkim^McY(Sk80iEADwoAYky248i~r!XQafn>jq`n zx%c*5Ej79i*bwRz&^*ve8q(_IYc~t=A%K#ULs7KJgdGFN9iq-F~tNl~TZ<rE zE<)9@Y_tJqf8n@46ui+#PSR3-Q@(!~RCWyQ(f;chJS2~|@_83P+1F+`LeF&h5x<=1 zUDK}d?Jvt?Ng9^Bx|*B1g%ebDVdAGWJlkk9@GpF)H8vgpI|ZcV5H#TQU7UjejbIp^k>JfM@J^gZD}7HTOnLf zaun2Wtoex^m8b`)nrkZ^EwtkRl)4}imIFSWqX`&9ZK4a9padJ7JSzK|x#}>+`N{>E59F@kh##ctd#vAKoJ& zJM(DEN0`#$;CG6BA`i&T5(gC?E~B!bZFImd;}EKO&`@o;8eq~pWazn@8mqJmQ)!V) z7y*2?jdUsa=Yu&m48b~}cmBAb`1j5;k>_S!4)NEOIiC}7^uZgO@ao^2MBU=WBZ~pW zjvdCq3TVK_KPIylb`XA2wQ?|1Z^tz9Pbv0*AjLO_7~gBZ;st*pP(d7T8kUr{MOK6J zpbr^=-^`F9vCuppifQ~mEOS;)Ulj>w}^ z(r_=eLnzZ>#1i>K>Gn%3^UuSED^%fFFX(wa4E#H{D5(x$dQwGXJ^ogkgwkWcFvd@+ zDG#)@0_DH42i0{D@!W-s5Bh&J9Hefjcoq=;a+p65LUkVPG0qm0`En1V`1|C6*~keD zV^`E6>P`$6!2sBsWd++T_1WUmnmc=ez+yfAADFm zQ!QemRmfe9VE}zY{ObDS&!-`DukFW>$0EFP4~gJB-`{r!eM!*C58w~c$R1sBv)^wl zpD&72*$QT&o1Q2gukA^1lGfZ>os=6>pjhnVn#;uE-6i=UDXLuhI2lEW1KAXS!MHEI z16R%|+4pG|c>GVd``4uHpjA3H!CW5u`^jNi)2AmPv4lvwzc-JNaPg1~m<6w;+q_m@ zEw)p?7{z@ZmLB$}WC&+51SZwmxoMyi@T){y7+uIl2bZab>pMu8r$9ECyWU>@w}#D8 ze~f!~=O;{L6EoCH-Rdd>f$JoPM?-{uk)**#K{$nEYK5ySWPB4|T5IujPb+6IKMpm3 z(qNrHLJd)4ExDMXzw9tqpxIv{06LdQUD6?f`+M$ZC1_H@#UhM^+#m8BG^@7X?E1WU zclNJjIE;T54xti)XG__ChrIyJcQmWl&p8A4Bt6EduxAH(aqP%&IM&J$LvGN zilR_Z6DV)DbWTP`V*9bnc5^j|^sX-<28I(PI*OwmX@d{#S z*RkBsD3S+hUQy!WR~y z?g6&$v6_WrY@WIbbi-+LW6CN<2i4Gbmn`-{RCCHv$f?$-tzHWlnX1n1&@(VxGPe;j z6_v3TtV;#17pqqFYzIwy4*i|j8g?uze#gP3QB)Ue%c*V%d-v({uzcV;t*HL|b8!B0 z#ud($mpKe8!8zp6Yt7WXFUBZ58T06?mRKyBMRM!)`L98LBH>XygCr*m1GDh(vPTa2 z(j$UhvT4SAw*|@quEsxC#ckp!hAL)OV}epzd`2{U^P33fJ_`5uk$<*R@}#Q+O-?8 zKxa@W*Pn1x`@IJ=4C7+L=%A`&X-2jrCP9T9!kbBhz&((i`z*M}dB zPqRiJcF;c++p$j&a;T@kqm*@E90^r!hwEz`ow2$khCz&M2Pi4d?VvAnz9KH4Op8hZ zZ4e0V9vn`gWW}+cUW_~xyH=Vqf5H?~e)gJYPs^4rXdUid9rHLej4^*KImyUq{z)_KqPhwk#h*z~yP zTnd%D^gSF!VZOoCaOd4XcIJ%w+nv>*t@IA~Ft}9t@wyG=O<6ve)cS1pWvvh%+PQ5Jj%mIx8(uN}>i*h2 zCZj>+BBFd(W}E~r$7Ta;tjTR2pr0=1rxxxR%9f`JaSvWn`vFW8hpat9ZEh0i^u(4W z@(b|jKN5NJ$n5^#pwx7T!$`l?qTY}pTm2MM)NTFLdBTA!44qR@9824UkwnyZMr^vM zpb*#Ac0;Qlx#Rr1Yu3c`EC!l}h$+1Iy|jJZj^%ua-^ietVE!)2+Ox2%_vhlk|fHkv|6 z+dB*MChHSmE@csL&vx*Rfr8<<5qD=Hf@Qy>(mesPAgJOdK-Ie)pvq?u=4gB*CZVJj zPxuuw*8iLYI{T_xbb{*Ok2|`FIStN{DZDC@!oOo0`q4ISFRl(%Jj8J21M}zo*#$px zL&!`sO@ndJ2$^ufMD$BtL+hrq8rcq-^fB&zF&dN&GkovY>~_}oEp~mcpU*=_`k&pz z?m)ywpS`ZtuPrGFQp9Bx%Wm{-$*G7H5-jo@%$xw*HMBTgpqHg!cJ0|}PE04V?Z8=% zfz|NP1(TORCY6M%ly*B(1|gM^@7zyP4edJXxs|ht9%S_k0!I~pRL!3skL}V$<^*xD zJ9sv~L5A9LN{oZByNj%I=TYNM%~+j?mluBy`j3YN4-rx;!nEHDs*dkxPL@Vw$1#s% zPZn*behZUjDYZkPgTtf033prY*}&g*+!dr?1_AP{qwc9z@iDbM`hNwVPhtA$>d6Hd z%O4#0TP8_S3xj&k^O`v7o>iS>Q3ZZP^Dni%^|SEXIH<3NT~i{`_%Ee_N~G|_4llSs zAIGBJS7cTIXIoAesxE#7dGZ^5n~44B*o!~xC?Uvu>}l^4=f23#p*P;{1Gn*B5km8C zC*4U>)Z(-_u0|?zudj8!Ar4qgb5nd(+dHX<$m1a=pY}!W2j(;I#-BIK4UGW~nY$fd z7M@Xrcf7~)_<=^3-9qgv7vFv?mVf8KRwIB1)AY&Flf%1V=wJ=%XS`bhDK0r#&CezV z`jD;C7uk?4)miD5$Sl@6JHmr&BXX*&5YhRi2bj+rl%M(gLR9Je>4PBnXNk`Sf?eq> zhwbHXwT2nGnvQQ4Uc~bTUn|nOQ)P<=NcKzAy&z39&5nHs7PCf*PkEUUPZV(O{tF!l z0eJS#iqppP(FgdOk4-fq=WG!q&KR&>x+)UW#VN(RqZ6(X1%$t{r-cguM07mCN$C0g zI%ZIhh{Qyj=g9P0o0VAFPysThFi}u#lxEwaQ%7DnpPBr#f(SuXg136FkZ@nQ4|)7K zWt8*veP4aFC7^fe3XW%?56Q%|oz#Csj(c_4Ri|JuRHPj|-jA$4`P&k|C*Hj??@;kg zElEUmZ6$OkiJe=r5{_lgf;3cr<}1f+bECyEEA|EZ=VYnW&N?EEp&XiQ7T>CZ6zOv| zo6q&LwzOCyH@6C40_za9t*X&kjIQHxVlygdWh~ebDvh-qnkfBv;SyO^keVjK+sZ5* z04p+r^Z>oG1~!h4+K_~#6WSu~*W2R(0W`EsJNJ!qBRmjX#q?@f0L>q0!L0BP_Xm)x zbc|N;_a-qA-DbhV`_68H^3nOL9tf|F`4>^MCP-2vbhE~SqcE*~2L(jCjr2HELG#-9 zI(%`yX(yc&X!$pB41i^&mgm}X?(!;dS1TYjZhX#fUiI?mPjl3ftMYOfTVi3Wyn$WG zGN18E!;O(|2^Q95=>$Z~E)+i{0pSyPV@F7%&#I32U2H^g_cXJy$`)r=X!7$s?U7pY zq24Iyo3wl>ATlCY`nexXlzFGd@GDx#OuwJbGBz;zqT@w!`{GB&h7`b=W-wB-n6sz#F6py?!j}7e9oGvX;00n zCzok{e7e`B2KWK#p<_QMT~5ugsO;NOVrGdD_FrO2wCCuVx->2*pn@Jf<)cWF*!bd! z)WAwe1aPbF;}Ln$U%QmaY0LoCsZ=H!990ir*|H;&eLNPs8L>i}8ZEnMw7*zQHag`#_(s>bYUhtp&v@!t7QNup@% zCNGLEu3*9z1Gy)@qnQ91n1%Ge*z9dLUpu!AGID;EU+^R}={04E-Nj$S?S<`+Z;Bb% z%KG!as4~t+AlVY<#3=>nkUs;`EwzN`)uY21XrXVJ<&g`GO4X4sOBmRz7{4!!Q3OEP zzAo>r>nx|&Q!AF0Ly+c? zAI2eqwCC#RYTEgDUL{C|mhH8w_dt|G3jsQfX5y`#2phPb)m z%v%7>p3jJjk7$F&5b< ze#qpGcrv+NC|Yhmszsq*q>T}D+QAm1$QyHLblkkMjPs~Xdh=}uDA|B+IVe{5H54yt zPxSm!;SfEciViOAr#u;M#F#$mo#lM}ZEHx?KU=&R%2BM2Q~I7Y%hCy?jhx#nWh||Z zcK_+V%Mq#ii7DE2QQ8i4tHZcL{ExEzVooB+(|id83^d;Q=+D+rH(SrVuXbWqI9l!I zH>90O>&a70ti&JrX-Yxb@HnN~r>WDURIB>A9pRl&xLI{6-Y?RZ#M}gV1*tik0Z~c; zauiY5MXTESyL zf{h&Dd6E&0CP`Ni1Ov>qfc77^8mF^Z?ww;-gFF1k6b#?R;?vP?i;)HzpN#cn2*LbV zjf4FRe#w^hI{woF%`07?CD6e6HeV3rL}oHmDqkvqvHMPwP#HF-o70QpA%BT%dfEkuNfu#+H2d#|BV99=k&i}G=6si zrUKGHGd_^n1Cd?*_>t4OoloGxF9diLFh5bMaT-vbCPyp<7?_SgW=o&?b?#gISxZc` zmj5et15fNv$9_DV;YlZ#>4T4Qb3j56H3h`UcWD%(JSl`7Z&d_3&_o{(tU5saS&IUP ziMgaV8G%X5?XzdJ5IokPjGo!$feJ>;HGW7TYi+T)%?uQdE?`_mTLB{!sm zl+-+e9Db7u=ZW$ThuWlf{3?=YZCZ&9d-u+7=9wMRiSCM#ipSOOTeT8l3jQ;Wecqav z7*0Rru5Yyv1a|gEDCwUJ=m9tooKZ5cL*L{)S1-gF5B1CynBYh(M4s*&q9W~x-wj9?CYpMB*|&ElXDI|E^H?FlFwUQ`jDsa}B~g!* z0#LGwzZ@nB;ysys2W`z%8$oLa%Gi)AjK?~M(}>9Dfs|lV4H2zUg4g0Y)#+0!8p7Dl zEthaM#IlC0NHafMz42zo?5M^|Odm*wl~e-@IHdqIs$Rh1wqq79fI&9oVndcok!;8D zJD$9SuTyXsTar2%Dtjja%#=YOw;dG?!|+;rEy>u5aHo|BNJ&g4&v|p9f{k>*(hFk) z-(F0&R)xY9#%C?gOG7GY9LIR+V6E?(2Z~fp0wFM)qXYMB$y;~#AJ36DXOlwCw_m^1 z!9#kVkQK?*DMrtTntl}NxHr%mJJ01Q6<_X?%XLuq96MUxBy5FSZ$J=z@Dml|b1UacDL@C>4&Y)I!f?;iZIh1&K5?_5BV7qk>4ro_l zMN3SUG=&|zTo`F&4O1W;e9)ANzpaF-(NAmTIbULP|<4ORJ#E0hfpPaEPGevM3Gag0|nnwFftLc%PeSjR}X;D37Xl*{;q4k{Mk zgF9xC{`TMdM+wf?rRl|N%6k@GqwpWDPzBDb*L*?627H5lBVrySIl?((a-IV={bBU+Low)K%T;rv@F&g(qzCeM_=U}3g)v4D- zNODQ${P|AMCFbH!2X+m8K`Co9;X3nn2%?$6(T*4!ta9*ey%e1!a{qKOcT7~()7 znytHV?c^-YrUFg!nIWmghg3>d&!jqJW=K(zHzLx|ppV-iDNc3j(V;1H-R}I}(llf~ zGegJdZLqGne%;b0oDxg`riU9&9Jq|m7~c_356zaLp%vQ5O(TQf;JW z)q~q`$0Q9Tx9`$h_@bqngkOy_M=k}hkoTyD=Ut2-fj~i%APyIQ0>l)wElU*5Q_4SB*BX+Ph&dtMdpa5 z00v)cXA-6G@9@Kfmd+!>7tWIB5_PIc#7L%o;ja3G!PVO9#d-C0-ShSq{U0%cEPEa7 z%tR=VI#h5ihkmK^8{#oQ;?X$7qRGwVvCe2_6n&;e| zm~(+(Ki05WqMBrsIRo8%cvE~JtH+@s-3Mz0l);XS`|uo{sB&mFmyb;AN}F}|dd(X} zqy~L*Br_)ecAS7yZ}F+GU|T<%eev3iqe~!dm~q)-Owz|80WBLnClB{ycCX{dcuPh5 zbJ79Hg{K{5&p6$9_C`HP)<5zSci}Ql3!$g<yd)1KGiWKjBY@eG`%N4 zCq)H`0tC}v#J2OCZ~2zm9cDXiMnE%N!0$;cxg3ee>Is&7ZuXr)t;FFl;f^b%h8Ro< z2bPEIJC2RXmeff!6Hfvn?y>p%nU)Boxvz;&Ca2D|5pC4uWDT%fss z^~wKlJ)o>`0`HI?UG4Pet|MZO>R=l!Be(e9%ru5Ko~cM^FyXU@Xt?T58#wm}2ZWQ# z;J5lVUMP!G=#n*1_zMyW-c5IBI)fj+&VhESWg^R7J0uq@tej8dm4>tvzAO1v`vZgt zeD#{%--rp!W+ArXw0g#i-fTs_y3eP0*?IO#ev;E4;P6(X_lGh4TW>_d2&{aIUJ<&q z+=PKH(||=22tG3D*+Egdn|#C=@L#T|eBycDkcsp!KW*)%U`*g!8!_ZK2bZhe?4)-Y zYfAa(ruDUHUqtZnE$Qqhki4@W0iX=NO#6qK6r&+^cSJzu2`k~HA;01YU3s~;VVf!l zxD%oIz1Hw|@n;aLE*01neWdL5%x3a{>G=ndJ?F|6f@7YdSd06p8?VuAN&s+<=_;KupJFnpz4i;J1< zpj?~pP$CuD_WB>hOPJZ1ZD5)Yyd~?c8e@0@tLinX4`1caTmcm^h$nzJxh_kxy*=0k z2VAlhYYE}#<7?*Gx9`SCl;T1avK7BqOff9+^o0Z8LA*E{?a=wyW?H>huBhVbA-FJ% z4xeH-F`oV-Ngo3`Mccn(=*1l4<&BW_f+U}Rz8IlI2pXWqNkuCCQlY!xN5mnXr@)Zw zMPi@AVt1&4KCY0Y(Z?pUoX0SfAy0dtfgZ1r)CceF0sw>urywbl5wPI4OPqcKfYu1jl%5b-0wj|`>-3c!mUka4|AKcEsJPF;|*VOT0Z+OlK zK!7HVr0*kp`~Vg@bt3wYY87{^p9b(XSzjJN*OHVSe~8KMdRF~9)O-a(?*5shGXq~L z+bdIrvCL|+Z$s?~McV{pDhw&wn1R2TiWJ^U>c;~9gUAN{33t~|PQ|lp_M<^@AM`?_ zJEHxkeErr-Ziy4C^ON`O*) z^uws3@2$sy_;?L{IIsm+`cnLrf5Y9!gTb$6;_+Kt$pH=}O@0op2ZXgpEBf|CUH_#- z?fLV0wQ9+Y5yK__LC|BqxpIeo@9gjwX>n)2fZz=Kh4rGi3JJWW&_J>~mH^lz`$RI5 zP!Yzz0o>db3D7jd!LRmSPYC)Q4#NS<)27*HhhH@f47Ukek0f_g+}b`p>0LW0ZQdZA{WI&5fD7;#;12#5 z>*tHl1$4|<>R#_*(@2#Ca#nK%1YbOwDle8`vl`52uFrr-({H7Ay;!DKYOl(Nzf& z>tcmp`>uwQ@0w?TxPk!@eSb)JG+C;5Ja=8n@f91c4T`(6^hRI%XQHJiit95szV#kLQiK$R0U$1|E_gnRyA6Ay&Lln6?D$fW78tHaSdOZTiP3p3o>5SL= zsZZhoACiBF6a{hHzs9KDV4yFn(-+l_$a6#GQzl}u3}V^iD4}_!!dD9bRE~OoRK5{B zv^3N31vAVi<~lD7|DT?%3#&>(A3Tg;1qC951AEu;yf4n|X1sIsvgdXDuztwY@5K|x zmyc~3kY$_0P$NB&)bJ4ftdi?6i1yEQ3Yoq|n7!dNtP-culgAU~1sx)!oID4&!c|}T z``z5$!i}?yvzPs0kDL8X@bqtRER~lfB%Q;)6M%6)zyCQk^B**;E`T9wX)TYBstR51C{9B9}65 zz^>KMg2x!?W_|hS67jb)7wP%n+Q5 z!Fv!h&J9eT&PK~58MXKp%Yd{I=~c$p#@tawRpkIU(+G)WjK#n*Hmaqddz$X-2ws>z z&b+Nd_n*0uzI%jH?AiDn-Qr`yS!RsEc?@0onxHE#oRh^56`gJ!*Tcsl~{`m$?{_CyD`t>Dw!j{*cbmE>=cFg(-#6S1Q3D0Hs{NIi62ziPQtd4OkYL0 zE4>M?3kI#3GrR4T$MtFLr#6ZSg^JY)BXz>b1=F@eFsF*qdDx*b859f=c!wXc;dLQ2 zZ4W5Ik!vnh05?Voa7ioD#=(cSV^|tH4|MvU(b^M70J$ZApJ|e zPJM)&EcocUC@Z#3t*iZo|B>_f7an4mIBya>Qzmirx{2qJGa4R+eD>j}pf&U)&bz~- z?`37Ag3bMUdYDJww}KOAyHjVodxP|Q1M5Q^Xfn#JWOKOu#P#pf z>fV41{#U2(Tk?tXQ~5u|I0sbT^4E_Q(Qrd6H9bHOazGH*2HMb>>sj)@`s*eR_YN`e zijykA#|EHx4;Jeu(t3LM5AwgwTy$9{aSrsnF4mI6*EUNB2-~PyGuQp12)ohnOy+ne z;FaDb|H@qPqu2ech`aD;cvcHsR2dJE8KdX*qid}sFm`4vpvyGH;O~kE$$~fi5eU0t zzGH(3yLl62e}@^QR}4(Bwv>gT>m~wM&H|6J?tkT;irm}X&$3Ur)9=?A8h@nUNA-QP zy^mu0dmT%OXanwvh7Vq+{J)Rkhapk)UYmRPE2h7RCvogcrDNCq&z4C=;562K-gR^$H3c9;_ApE$2O>oDxNg)H^_tO-?h^?cXnQvONHTUVbZ_N9i_E>-#VX) zB9r;I(eU4*fGV7MZU6$x`ZDP6rD0cOE?=BLvf|*6H~M6+S}aQ(NMEtTE$onAUFExe zD2r(gaZUS0_Qm~zET!eTthR46lB-RShs&W)EhE0AMp}%JkHn*ZKcaKfZWr(L5BEaB zL;T-zuUSz&_g_DoU()FHj0^Bq-el!y^8Ni{iHkXNxF(>JbVthCz-3CBJ%_>2Qus$* zL-X9!5V~=ML-I^`)^>7>94@@PMQ-+trQg%AE;8ya{$=C+%}M}^rdrZ#@Ou6;YU1m& zez4JOFl+1c)Yj)JCHvUxh+jRLuX?24o9vJu8;f;c^U+c{1(s3ix>b6;`JKSAm23D1 zYhh8NaUYw$i_}FheNL`VD1EN^%6!@V8c&8hGPl3SWhi2`NiJHi*b~m%xL&Ux`YDs< zms_68Ez<4r6Yafp)p899g=U+(8Klb1MImGp8B)xla zh{1c_M0#t0^}reWNGt=7qJ{AGkO&RS^Y$=@UiaW-ldciU_?w5TVx72NsRlZD`IS!> zmwqK#7ZejZJj_{G+%AT1AAVq;@ob#8r}bW=jO=ZW$QXsMISWa#5j@%wv&Si1hI_IJX+=x$$J z<@Eh&Du-;^j?I3RK|R9t-pTFR9v#8P^xCQKZZE+s9l;)7#gU_iUpB8f#q4?e_b*hq z7X4|Iq;_kH1@R-fWYV6}4^b&gX`XJLJS+1n>8d(aFn%6qn(dCioi8Huy{X13;@Tk( zI)#UvmuO34A3+R#rp}N~=m|wuSFNYh@1e&s5RiPPUXx>wL!?(N%ohCS;rEoRw!F5D z*4MSn(jZT5EzWRXII$sFPY`=wgJoxWv87Q&DO6i#T2ecQjb|zp`4w?_i9P`~#~gVN z4Z=RqMMQ)Nna;VKjZ^v~>Rz4&?$pSLr(Y5OYz4;5Y`gIA)NI=IR$=>xh$_C;4`%HV zR#tlkX|?Q=O9iY36gug*KM`Seuje~=y8J$21NT0|CV^Raag3AQoN48+^jqL@=Q8Y9 zp@N~v{n6Y76WE~*p`7{>4O-BIVs14af)TxH{$gn(s(rRM)y(#o5`9Q{fyGQpP)2o` zdIqrN_s|ADDO(5A>#VZ*F&yP*n&Q_F%ON+i zd0yYs!yEMAGZMu!R5F+g$PQoJM(SnEXL3+QB*b@=CN#I#{JM zvJUOnJoDV^R@W_p5b!rB4U;o?UM&6cy~s@~yIPuJFDcPoe%t1gjMAqUK~`nVwj%x0 zJE{3EDr&(&R${fGlrEw6*G=|P6>eLj$Mj_TdW%~WIQ6-Q@Ja$ms)M#nny71hg@qw7Ifzv`hl6)(s~St7ZIqNGljmi=h(*)s?JAZCisKsResGg4d-q~!xky^HTc}4TAK{TxKg;NNc^Rh6wh+y+BhgV zsLClf@;fRwoKX2UC7Msn$qht|GkG~OdHSRHFYRMVlG4|ELqv@;Yf64alZc&$t$(1w zCjadN&&@U(!h;@x1Kj zN+f1&v$Xli$6>nem7I?pT#RN)*621aYD&Z@i9C!;on{Aa%U%u~KMrTE)ZUgXNLww9 z2@dhzVXKzEI{VbLMugx^#N6|3TZrxfO7lYB9sYm1y7F+S_BVbGmr=GMTZ6GjmKcp} zlM=FC43e@XEtWEjTb5kIC0j(OQ*uKM3E7#kT#;o+Qivh4Oh%FzlBMK#IXm4&}u?F6G%p8s(@imw@7dL~gh{;V>y9 zs7v2zu0z)+jty^44w|knzNHi`p#P}lS$)3KS*MHi;nFq@ocjk9{ShoaH2%&Cwbv5< z~+R-ZoC(onPAM>$(Ox%>vBvKif|EzvE{FH{yDiC_2@XYtA2+haQuR z!kb1=f$nu$UuI{kRjDRTWi;VsV?_8$(3nVb^TB&=d_lpXH4H=)B3vbiPOBdb0wsk) zL|!b@NKB5aRF9;rj+*3^g@Oi4dXL14Wb#^;^R#uc*RbsJ`VE$k`pDZ0WxKkXb%~hM z$1qZ&G2S<2O1kRR`obb#W)R#okffW&?08G;?J4i|dDiuw5|x~Aiyh(zi)EO-q1f#3 z!5vG17Wt#DN7kvkK0uka$=uOvNqbyg-cK;|)ed>+C3DDB5^vbI>v0^aq5!L1G**4h zD-o*mSX z@3ZX;g1YxJ1=kv~8uWRR7>$AcV5auF^xW+fU@AGtUvs*1SIKcVXB63JAR&jh^46r) zUh;3g8NaFG}c4}Tl3G~OM zNL^mMvZ^baDR%_@hGz7Y8J996kF!pRU1H@oa0)DV;n0aRPZr9!y=pg_PGigmi=mUV z7~_Gs(Cdh97G1X(!7{_4b!ZG`aHDu~5M$gAH9>kE!RCEGB@ zy>WU;zC$?Q{-oGERy!7L*CzKY`0(yzMaK9U7t^UGZ``ft5*9J~0}|BevR2iz571~_ zi)Spgz3agxYGs$_x!`Obh#FF?r%m%`Wk|&l4Pq-aGt>g)iiZ2YhL9Y~@9xCrQ z!OAGH$tgd2elFo2v>2QyJ5_U|zKF*P&(7DCuvp>JXXPM*zHlsRX{U>jSsMm#^Q!-A zhR4Si5p0mT|841FmBJc+Q&L@%mgrm5pV?l{GwU9me9{~- zf>^ORjo+2Ne+exeS`W#wx6VAdn#cDyUx3Y^-RFt1xB6OQL3OU>owZ^zhgu6;*X@sM zIp&Sr1RUPkX?R3_eI~LfoEvRT4Ab;oK2Dqx^?2>eu~54gZK(a~?@(^bnddBmF0zj8 zpvV~^*|LU4d`O3%9~9_7j&^HFZ^1hEB<9N9a{Z^eWP>_-^dn*|Wt=pjv&Y-&eX8Jr zjM58XM{sNj`Xsk$INT3>~tb^EZQcLtu$p`nltb#M>XDkv5|BPzP{L>Hhn9N02 zAUXD&AQYwy&&hio{VHoztI+<~-lN<8=vNtMi=3Rpo^Cs4BVPsn)n)jHku%pM?)=5u zRnk$H;*TE+xUehr8xpOTnOXUCuLs-dOJ^}UMVCm7CkvRrHzo&3`XMj*X=cMXb8`@ zqTSdCDp91&+1Dr7_a&)0sy^Y><{A3-pzLXXk_KF!%jx-C7TR6x;5mAl=G^zH6NCB! zW5E=Bv%Irkbd+FLGyaewU83am^b0bbt0HE>X_4~spBBo>$jVA+x?3*&ggWj0swc~R zv*g$YJ78-GvZ(<=04Kl&Q)Gb4tZZ@_9M%N{q-+Y}!4FWFDTqdQ(KM!x!Q?L-0Dyvl zKL-*40BINmN@cqwK1vEW4zonE0|5U|JUq*V)=fcKi4U^=901&Y$?nI)0qkbelYPXq7Xr82KjA!yh_i$3`Un65|1qz{9x5>hT+tw;WdX`V`c|-2dlWzjX$UGo5mq2_i$qoWL6U>s z!}3*rBv3ero^0ElV4(^EX9%9QM1p^yx+j5n7Q&l>LdJLhpBCV#BY&!eXTAG=Ves(x Y-*mjKB?spwNC>{X;ZLgx1M33*2VuNMx&QzG delta 76169 zcma&Oby!wS7ym1%Ac&MSNSAcCblr3#-HoJxz*Zy#3AyR+E@^4$mhO^nq&v<=pYS>F z@5EnR%$_~7X3cl4HTyGMeA|N`i$lOwl!b%GdVu)g(SrvMNFUJ318@~E4<2|tD#ay( z1=MHcmzke>NlpVFuWN&J_bkRD0}zN|kj@`WBy-O5R;}X6T%AFb8S8Q@UNH=>Q7W;< zIhC&R;3YHR>-Ujnd`iQ(a(s^bh)NqLJId{A6WxH=`^*nxf{3|o7>bEujk~BnW01c5 z*n1b18#s)XH8?tG5y>L_qlaQm0#j%$PX?IoJH{GqHh7&5L4kiSW5v>D;Rb_wvyt~z zXMZ~iTKYKsyymhvE8kbXn=d2KFW>zOiBu1F95)Brca^9L=dwtYh~1Ufubo6?yJ$W&QN8Cg*$HUi96$h~TvNnVvv9KS3wJ z9g!eBon`sO*AIq?@2+f!sllW`4uAAD|_j%i5V{@l>K8FJg3-ts=5l`GCi5?0J zIZR>_NrFFSF^QWW``*sD*ah*eB>zN?KI4x! zI}E&Q#$E}_Ow1J3)X&0J)nvNQsyLZx#zPFBup-KLX~h|yVSA%ySJi=@h$E~QACZuF zGChYR)W%dHZP#49s6Lm{x`7w-oDv4B{2Y!74*7M+6Kh#;Cw&piX&%zT08zd2t;|ow z&!nzJR|Fg`Wgc5iql#=j5x#gZ5yx*~BuT8&wZLX2J1mnoC8qUVv(d?UwMMTP=xO15 z*Q`_UG35H4dunE=QCm z0f$i556Y<}^oq_<3l(J9EI`_waz!umTc_|-N2k1Ib&dFWs?gY}Hg@2Z2KxnIn?zCL zYtGOlqgZ7OU)_d4K?6bNH0nGYCA@&|apP*uRPeXAJ&lE#jLxtm6-tKaFdw})Tw{zq z_{MCE(`R9TyPkmb&>t!3`)pZuU)E4A=C-Wv;Rvh?!*@e!y^vdYcZmb5ndGM4v7)&+ z)ScG8O}O?~fTd3y^V6nRqK1JKp7K!zRiDhJsUZ=h3{oS!ojs!nN0Zbj)3vE#>6I&* zcOmN(YFyOc9?nCRl)h0<$Ydc<)`0b~0y7Km@qm}Z{NqmK$@;rQaBE28s z&gDeP`_r#)jJ8?$k*G(5g!hA?JlS1wJK30&_M3Sw#?UMT0AnE4y!Q#(6LlYQ680D6 zOMX8mNt@H^W(aiVQIUf-8l_yZ$Yo&N(CmC)eS^1dc=}~ zZeZ(sw@amZl0b7fhl!4_!INQ#90L!HE=!J>9v0gVkVw@Hp?Cp%l2xz87v}n=Jd$wF zv5Vk)WW2}nZBcl?JuaV-jm%fCopOH2s&2YB_N}w@L=+!^NcHDsLD6jlIu|xltFRpc zIo5**uc74l06U|btxcrvj2!_FhL^s1n(B7MK#`T*LVTlqPzE=XmIn7}duXvkJUUj(#!?;4HWXERWotv}U<;HPufx%-{E{1A040 zHg|7qTvSZ&)5jT2zU2WRk9j?iFdU$yA z-k=BT0ebbb4R^ao+qYkCj(2`uy(yoar<_^DVZJ+beltmOhL-bYe%9uB&{=()t=atW ziQ0av&=Z{bsk$b6{p&Z^wO5zNHcaLd8fOZ(dzYj&+&jdAI=4pi3$A8Ece3ZBK(gyX z*wg?{&drSe8?>C6xg)1A*R{iRk`#rjn;7D&8Q>-25<3m&h{5<^vi3x%2GJ=eSIg`BIA3^WoFfwQ`R-HG)Pp|gd%vbUGl zZigBg^Y#r_^9y$e4sYs@me)4xx7C+#JZF=uCNu(W&K{G%C0`xb&PYbO|8zf4(9@qg znFRDb_5*tN4qFoVFZr%r8>V9mrnnR?8IA04v*}r?QOa>y*c5D6)|+g2n}~G|+2$N8 z2=*5J4T9rhQePozcO^YTxf)8`X(sjzB{kY{R6(bR9rI|zx{k*87C0vqYMWHsed(js zD}{CKSucO4k0#iO#^Oplgq{8)+Mv(?*&DD%Z35pVG1^h~WZNf?4FTKKTT33MEC=A$ zMNZBANuGsSw23xv)X}r)tCCS|xTA~20_w)7}=KesEqgx`O=pwvc2Q|weeTOrr_QWujPgbOF08FKe34(Iyk1z@) z5B~gB&Zt7?4@QyfF=NL+sM5a=iJcs<6F7CqXT5;Yed|Okd#Gurs2$5io3DnYwls`d ztK^QEPnQ2ULs|*rrKwH+aTbAUS~{)DyA8R~ClV29;}|ay`u${#VDS-k>84+@rGIau z5-*~BClgN>rG)~k><*OoEGB&9mBeiCimilKlpY~S5bV}Hw%E_c3g765aS5do{ndS* z4LM!_-$DjGkp1B(Pdv)l^hfR76{^(tU_U9g&5+#3(rFe2^>O1{)@jOV?-1ja#mMCH znbhDHBwk_5A*DJFs?k*#(5e2Un-^1+e$WO@2Th}tivo}t5Lfq=IOLyQzXk`N&%A`4 zH_5%XL?uh)akdCOEm=6#7*1-pqBT+~G+V~+8N^The(Y=}?hO=E0=f#-JjIt2v=#Yk zG`|+7g_PQoARC2@;4D3YFa5na4n4BG!o^?~y&QA`ny6~^&ZzLpbSEtoy66+m^5byr znnh~uLaDM7h2`slh!cRAB5}ovNn-l5r8Q_){&h)d8D{jOmIw!NVXWw~t_&9{m!&16BRtu)j1FSlWRJ`btYOQ_is^Xrj}1c95<$;@UkaqG zvvg41Y{r)r08>R`8>Vc+&Q#%W7-&;Y%WZ3^#8Y{&k5DOK8ATGMT3EV7M=UuQLGKvj3d=9-O?>Dv%BntP^SjP+99}6oYGgI3DldNdcE4wp zM5QLLM(A^(U}wztuf?#x{c53Zmj}h+6>SVf#*=)}*9LVdi%<=%l;J0g_FR%gf;Tjz zir$g%EsY?0K@6m;d8sZfD&pLS*l?((;}rSRc1?TLCG^2{NB?)PEhS$TpFACt$fAbP zb!4BKUr=n99L%L&#_m3re}hs?7sjrK_W|{ojIHhD%wv8g=$AMohjz=97 zE*Zm-?*#dZ#Z(Le#a`6xNaY-7$f$7A;IxH3YT*S$!KR@V=zY@%o%3eG94gN3#E^?{ zcJV`U-S9AmGUFWRXPK9rA2=g@w*+n<^_XQYM+Ax(&!drqb)PgegR)-pI6$E)%oXBu|X@yOR zv_*ZDUlsKWH;oi8OH+KaSM#b}90H4tgGsVUx6h{BEILC3#u&;3rtF?PC;i2j)7WZM2CAqyLaI+ zir>X|#VP#E!yNf=&7b^>fk7rQ>Ff@(febMXmsv}BBjn>k3T~_dP#1%xK!9dqOPW26 z*1OiOjM$?kf(CUBy>wN(uBuT^1Sdtz@{xiM893{N73^WZI&ZR=z2l82|8ar>ZT-G#MNn%`mf1A*3Pbi_vP_5BRS z_Ul~{o9HW`X{@iX6_SX<1>bgHM)?SRpPWl4vb%(Pap`$M;`Lr^#qL)t&$j~ng!*nuH$Q}cqC4%o`{cb+PDFjpp* z{1Ql=u2b%_#d~`5Y%|k=Iv28op&(W>-n!lRWoyYv5nZTQd9%EA3oAw1TFkox@#c0h z-bPC+q*(rqlyzgjFK4NAZA7Vb%nIkY6W)GMBp`2OL4K=qOkXOq6<#V6;~Rz3HNHgY zJWNm5n~HtWbs^bRU{Zg;L?84%b_jf|zO6I2QM>cd?ZPv%|2suSK?kD8BD>yJYhDdW zv2`|AVS?bR2ue(6Dl2E(Be?qG5E5pjVKHi22?=UlD9n)q1+Th)b+O7k z@LAnm;qmuMvKM^1aw&akvV!Uk!)h0kgL)mVu5|G_eh7($6w+_%S&BMgGY+Ep zse*I~%`0}9|2*$*T;>|r70KR#nGL@hCo`x4xhfNy%gOvO&lcq@2Z@NiX3mhpY%r%2 z)@Rwp7H-BuXcHl52%I~07cgg}tco9FKmJPZb_wwrgPuRb^wdcGMEnx`O%^l1|Q+ zao%k7ylg^7Ez^Tm5uThbpxqMK>0`n*`805_C#RkehJ@<>4y=a3!YK5(Lh zTrjW*P6iIdY)R{;+M=x+AYH)5^w~qV25jZ5T~u+y;m?TPF-ev&wz{EtV|ECO-nO^PHKrePnxp zoGks6;xnFtm?363DbYpMNir8E?=m)j=_On8N3s#7;Yj<0$0dVAB|if3o88EQXppS? z23&LUdva7ThT{j^;f(E3k{_$`DgDWNp;6v88#r@#OeeJw zwyhc&1tUq5k@|g@WyTq8RGac0RJs_FjM_hanQN)8Vf5;x##aMXp|QcLwWD=3GtY8$ zGG760Pp)Uhy_e;jg@#CFe4M<-zuRjAW-Y$1=bMzgt^*nnQ$BitB`K3K6MNw&PZzq# z>PX`ree4rfB^JM&EvXSfB>|s9NY=S3#KjSVZ<9W|EGf#f=Qva3;xd3{K$X)^CcC!7 zlLTt$q08b|VVHD|n*+a^!XA-Y61zp=SFv*y>{FEZh<@DHmzFNG!$j=3orZjxyW z&!p-E166#0LkQ&teYW>=4#-_WlnMDnz6*hc~Q9kB5D&#yvpB^cNc0eP%cqCdl)O0fc zibTH_m$F6F4Fd~F$;T>r*0=brpHMfM|9#aQ0NC0NiiBi+aUG{>?4qQ3ZOK9|UkEBW zcV*+1u9j8JLvxC^7gBECqdijaZ;@m3am339D;VW)>wsJOj~{$k*R*7_<<>U>)R??S zIi1v&D`%^Ck>0-D@b2{#<*p-@^LSedyQ-UV9%r`Cwo1RSVP$iPa)j}@Fv0FidNQ*I z1JuH%b>%D#U~$|fQ(v5<_cMwO{PGmQP^~&u;74CAyJ-)~v3rlT@JO*I6Jj;;74w30 zSzfWfb$PI*WS=@5#WUKk9_QVT0eWPwjoR%F$IE6+%2uaw_Y2CR`ywptCU870G%O|Ow4pAaNnKMJm>h?ow1>84cb zEj~FA{ke=p@+*010 zew~^B`Q*kvd9KwPkZE!=?`!;trr&a=bEhBils@cIom;oh=V>FVT1+Y1WHshhLT#m^%Y1-NrX?a0a5+%3?%=uju1=k~ZH04*l0b9v^fprCbb`P9_Ot@`au<0are zxvS49dAEIgG`48lG&dW7*1)gtQmzG@l(~B}5Hi#+q!=5Y?e9BR-+ZmRx-9b&2$eXU zS??b@65+BD#Ad!NjDuHxJyMUbs{*czT?k z-PCE>>_K<;AIAdjwKG4T)CDZ_+3#6VfcUUhTi0EdYoZzLZc^XP-2Sf7{b1o>`*eHc z==yML?eeyJVUC#s=W4e#pjW@|%Ei2IpJ`F$&VAZj$V5n=@Qpn%CrCIkv%U_q+qc@z zESTb2#{_p;eT5cN4lL4F56sjxtKbmaHQCR6B!TD?-g0@XT_+c8ezGfGT|drh@>e=p z5wd+UbFz2Qq;S?LNg^odu~&_jP%_X7>^0t*UL9W?Y?LrIEFhjWG~F#PpT1u2>>qKT zV92?;_{w8vSA16Y6GspckcM@2Z#f|PW3+yV0bwFn+CMt*XuLz*rv+KxF{m#u+B$?@(v91gK7aj<}-+d8#3Outm>gE*4gJOQ*eF=UIKjthoxmv~gUv_xH z{9ktXrLy4vlO5Lozp%q!=umkS_=g?tX(+pQ(#gM!oj@@mFrK>yiVBWmJT3L$7p{z% zI0!R&N78(s3XwfJiq~|^RwChx`VN0AErgNuJ`k^TWsTt;E)r0-MZ~J-w1r8VUoUwl zuC;}^-^r8ojt8%P&1Y{f`5I7T^u06Iz4CKiNbdD^h?WenFA1d)ARco}kWsHyVv&je zWF_#1Kq!y);KLy@#EkEyI4W^^kyz$*$n6+>g+`3uJcaSjLPVwOrPfsG)UV?9!ONoTLl z<9R;~kdBKtBKshUy`l7oy5mV*Qy?Ri=Qk*H5=%<&RxAq1 zt&y>V{Y?`i=0Dbc#tN$HNw6$xT%*HAwn(KFrx?z>R2JXoozAzAc@XuXSUHVHCCx+Q zfHPeN_IWhc*!^s3bnGNit&(HxfYyF1_=-ow)?>GbG>_kya1G)Y{To5P{GYae(4pdQ zbXW+YLwS&k{z8Y3|3QaCf1$%dq^?R>r$xCW{lC%SlV9lY7ddQCg$2y*g&%^5XGy?O z%#f52eI=eW4(ub@7OcS)iw;W%(<{Jaiwz(k%Op_>|7OD7pifr8QQJk3ev!{Qm$BL;nxp@FahU zWH8J6a;GM2hyy+HVG)z0+K{FSFsd`FQ>GeqM@-LW82FqYk2>0B+c}>ke}8IKD79A{ zevv!&g|Hi|{EI2QLlF1mpP%Iw%Mzl8K5Eh8FEuGDERYGM6WAyX!u+d6gW=cjqxZi{ z^OsiiB=^(Z;r1_z4g5PVq2sSXvEadk>| z7%wO}X1oYCDHzmQZ4Wh(j=}?G8K7kgd?05E{uWCr( z?F6vW<%`&XFI?<0Xnv0$DJ7Rpe3vw-)8f`X?HFfUyUS71Kg0gOEb=r^5Y~}3??t(0 z7Tq(14gOQPl*Mi z%ewg=aHu_ebwg8AFsx6P_c|BWm(u68t>lZT@#CJnOtREovFC(J2)|pTOI4)BU#6_Y zW{Py6KV~a6N!p=^GA_bE3!qFn2d{EsLB@+=_<{$>Z);6s1etwH3*-gQ$WrdF9^mSV zcRx~c*{hL6xp9vofUEmjK_0Dh`bdmroIc78{x~m@^tsM7`e$nau}bAl>6bBta#d$s z>IX>do88XhtO7G17`(ETqp;CYRz5s0WCOt^Yc(TJKD()(qp+v{ua*Q3syuoiDjWW| z+krA3w#WgLL8vo$NA#=H^~YbGdWq$Jy~fewN783$WmNusDwafAg+gc;`(l!x&y@}- z58i~sN>n;`emN}FMuJzj8>x~^F6$XRmXF}8HZe5PE;Dh?cjIkPTcZ79RV(yCQ_iQM zxzD_d>DVHqpy>d_?E{7%qQcD5*s;QjcVK7kGvbD9n|2002= z-HGEI8AxC7BXM0;0V^eDcU?E88cRNvFlNlv0v+8Mad|7Iz8Y0X3XzzJ5aw5Q zR3`;N3Q=3Jcv{e>$?yo6$Y#ADddqL?>ial&-%uyh7v0MnNC)J@clRkuyjYZ0)YA9r zAr}Mj>t|?A*-f%@KV~wDAL=>$sw5N$lTeUYr_dnbY*W@$VUb4)TMbHji~A z1wfUe?rB!wGKi;kY663Dxq#?%8wMZf}XBZfYinHYSRrPE3Vt-#VWdRI6oN;wUSOdIG~hJ10_fh zyBi(vP3%)|SILpg%t<~l@$hx`1)(JfYC$fT27Uz&|1g?;`ijxomfJjoTa*!LG>HMOW z<>vfKmR&&+la57mvUe>UYBvaB9oSqm=Z_eM5WMndKj&I+ zOY6P$WH6Vzmg0!Pb(f#e+Zo_}9E+$w_vuh`z<9?~N8sZPX|{l0y5f=`8y)@3_?B}IVzu&!^FS=p zT3u1MvvGk;zPz=Y(o)VFjv}J70L!FY^fWSIleK0e+nb2Ks`b zvCSuz{nc@URGiXpK;8$Pl@N-6DUsTw@aJ3_5NpCH$Rx%qaF*6|e{aK}CqmtjUKXq! z9+n9B*2_rfYeO?lDuyZ3#&|WjY8kK4^#n;w%h|d&^7G#7am&T{zqZ;7*pA;s-Oe5u zHwl!(2n?31W&dJXS%j|(%v?WW@>+ff@nIj54hkQVjxqV>Nf;OuxmdIv$(5-#KjPz5 z^r%-}V}#mi3>v1E=&UBlSrJp3loUjzVF*J_FFj0T57~BjiFr9XFwinNuE*lX!L;dZ z4k;acn=O~JMd!6+TM{etlrjZsDo!;IQ^}dBH2?D*d+4~Fg_g!S;QV3@TZJ|ukapa2 zO-0N-y9h3Qu)5fQ_t|+6trXm*zd0mM+l0xukkyK23Hcr{?v<^mL?U)zDb43#98;?3 zZr77G9CeuNvWC-I22(?jdf1{sYV*YO&NBu2#A85pn1e;mh-@qYWSPIf4H4F#P!SL? z7Yi&h;~3)@CK$#TaJ%#@m{8UFp#Sw)Z1u5c)MA2Lkw2U0|&Rir@u2PvlNv8w);Cj$QkiVA-M zMUW>-{^p4c|K*A6o#s1r1JooGf;oGh((#+nN6JT;`V(J%>N^G^Q1c~)`8kM~CJsMr zbrrED!_!{DCMFY65}MhNWKFwg$(kXYQyXF*j=x`pGy$6k?A=^64{cPE@Ysq@nJ~4N zu)Rfv4It>^oMX~ljkbH7zEy4r0~C)ix`L_GzXW!D4)vVz7)U@$0y$$Y1=!Yg{E2;a zX03(Zxs%>4U4j{8j500wN9A*Q`G=d4z7=b1$>y|3Ac8XM1Q8V9!sl%8awN6u2myYz zj2!R*nGmTc{x+V1PMU#nIBAuRlSKCRFjrTCllRd zDaycZsVvzKpUQ0C_lVb?NbYWW2+=3w#i@q>Ylj)dc&~Qn z+`IKoTFcEdh+%`|^ocb1$}i2Hz~vc&h%0_GPNtSBCG?Am;Jug^by&uvHU%8 zvU>h4u)QpclOg7Ie>r8Tpx7UgFz5opIIT9Y&_(UK`*Z7Em5Y5OjY-;8kINjP8qNhT zsIZ92EG2Ae3X7^&^0qI}%z+FGv|9rPC=fLBAwqzP^lRQzIn(+lE)-<-e z>vNNkYb{7Sa*txHB+3}S2Flz8axx8hG;ohD3E0=r-?F@{($xs5lMj~?6z4ceg@Ba=AXU9NXEA=5_Y!7-nYifJ&puuTe=uY zImSJP{PhzpJb7DsKM;Z#k$DrZhrqf|WdXbEq=tW8YQtgQVJ8R`<@NH!onUAe*Rq@ z{OdOJ2eCbeycdz(q1^Nr_qnf3T!}?(Qyxd3=yO0OS13eDS#wu7l8b6dkwuMG0Y{(s zGh5}9u#uxBH1ZcZbT9LY2#U0t8foW3z{)H;x)GzZghBg3s4HcLku;6RgU4a=pa$)Y03$)gxi2{yk(dAPXE+J%vDb@un4%&Z-q z+9%wAWU#gU=(Kraa*B^6{3>T4Y;%2ik3r{za%p7GZMGqEfw(&1YS;U`zi!vCnlD?Q zKfK^)-3?;Qz5v%9&i$VjBIjhSeIUSp@Sr*iB!hSW>#sj9OnPNcz!&Ye@G$ny;Jm>& z#!ehT8lNFbzVv-ubLtS=(athWpu-N0@zw0Dm%YsN%9=KT<3ZMyiTfC%w-I*D7TH#- z{+*jg{iW^Q_2KLD+k@J-Z{B)U-gyJ(*ZViyo!fU8>k0tUga%W|n+2f-0piA=LV9;K zKn-xaodeueqcxEv1MU}93r%{`em|K=N}3uPZ`}Z=BgZ@2JLj{*y?x_5-P^;}{cGnl zx;xKXkE6q7ci`;e>(Iz@|IVqa%aQZh{>`Q8;>}ScegKm`@!nbM;_XDv&Dn%X`1;NA zTtUU%>P%}GPSL5eP}c0o+!0gL?RoFbBCr_{d492Yuy`_HJhWYqb9DyXUEb__o*iYp zz8hlL+p9c1AW^;DT)e&5cRWA5l<%2K#P8|bPB;lsy*yq#=ea&Rit?Pix~qK)^}4RO zJ6Uva^*Y*YU4NJ+tLu1De|LCrX+L*0d9r;Rv#fr1_2urS{rYTdd$AMPX{xt71H!_( z8#andl<%%Rxv!!1z}@x1CFic=-Pb0Cl+m%I>jnF_C$gIbF?T{gw<=N+>N6BbdVpb>6$3l2;I# zVlZ#!GB0rp9C98y5T1<`tr;Gix^m^15etHwzktA**u=wAL3alk;##V9${w@I*iapTrkL-9)IF^ z$(y{Ie~Plz9ZVBUBSx+o?3k%w4q2jp9V%OY6jGV0XdbN-qV zl4rrYGIRqx%QD`=c}-(Sq@pPTpiM;zOXEogs^@8`Kw@XplKg|9?q=MrwuCC3@4vb9 zFOuVx6%1Nos^WEH7AcQpe`QWmZ*3RX=5%1*AjCW?jE}U5;ZiZBpw!MXlPfjkE$|Qi zRA{sGZjdK?tV}Q?z(%=@h?bmoh>pWL2yH}*!$t=%F~xl7_nqa0`_fFsaIF9L$mQ9% zEJ|4Jv{Q`s**#J+6*B$tOKr~Ts3bUAQ*F&@#wr`kFlG_Z zU8%opFO2=3Pr5=zpTPan*#_AOd=*<=wL?cr!13{lXV*vN@@zM7{MIgUb%4|1^Ts6B zKAl|`)#_w8D-@#$d>VT{dp9^ckEM^CAyT*1#;B^M(yv9AevgNa4CzwmjNxN^>tH$H z-ihx34MYap)1{q_18nZvb--=wrUcGVg;jxwDAx@e{*~j z#QrKNaQ7UsH3gX5-}$<>*hfEq_s=?aR}zR5lbh?eiN2{av2@oV7t; zp;X*8_NN=hwrloOcI++I}67@D{zH&$MMuCFSJfEugJ($k^dzEbYqVutLL=3 zYhTL1=ToCDY}{i0aka=V=*ZpE)#xSxcNGfWS{4k$PF|{HULtTKF-%p=D1X0g8zkl= zM-jt2&H{dH#@5<}n%lTyYudj5^{&-qHiUisYpZ0b@siHZ*cF-%OX@l-TghMgK&5Zp zI#zK_p6$$OG?i%XUGJZAcAs{Dq;+=sPpN7upmGan$`8m&9s>7!B9~_IJuUZVDi^2u z2ti?Dq6k`?@qbsz+uyJO&oG-PXaB_tk#5~PRnn#g`j6fc(nk_AB4*qCVVs>@8(?~; zr0qVo<_fMT`>9uh(V$QvBbPATwIc26-NUHn7T^4!Rv_WUPEc`2nKVGq@Y_`#7Cque zD)D*DU;GlvyNzDYyFlLW?cB!%ch+xTbF2n$>blBMa?lf{;Oq9dgD#~VoQtRdgYNgD z+iNX?#3i{PG;FbmRpui*=X6h=G>hI^+X?-OIhU)1ba{`a$JA|jnLE2vCSkoi0>!}h zY#(7#e||6|{da6HNvoyob`Wtp!PoN$HvElmtu62Ja^ni_!@XKa?l?jrPTbM>YB6#u zS+0t}4CW_~sY)~VSQT!rSe;tP5EjofLLT+=y^b}TGTiSfniCuWj6`6@P~Lcc?}QmF z-e-i^gxZ`3Dw;XU0}pf6>43uA;F`}K>1tNv*@LBncugo1VC0U7y?DBu3{9~ z-)fq{GK$7$XLKnvUh4c3O8etewkRjIy6wB)LLA3pqataOMWy^Tymg|CVc;2IxpcQ{ zW^m>&dF5H;@wAtT&`onw5q}g=K|2%ix1lSXegLL~-})P}%&S=iZFhU^NQdAV%x>~W zF2jiPMzOZ5>FS|c$2C*YY@T~>n3vrW^mqJmGw@&*?Wdi0u<&#;Yizyc)gQZ0RY&u` zG6p9Cc-(q6Q}T3|Gqjt>zeah38L}eNQ~FnU+9Ct;vU04T-7AExHpui}{g_wgu+`^4 zx7{nkTIIF2w5KQlHbCjDpYSLEwNIJs)L)xcAxCP~KGd29s%^}P?!@_hl$@WMbpb1s`o6T~8F&m>`O_qU zAsF~`tB7zU2odwo>NJgIq#n-?Cd}@*Ya*3z-=g2-nEbYnZoH9pgK*AeaM9ZZ!hi7= z$mS4hB28eW|Enyj3~q9m>uhOswna1ZrkD!1@>$HC zTGR=V#=k?qKOWk;Qkti*#}#JCc_1f{qpZ1f5&wtDw#d8!3lk2PQF5Lvo2tn4Zs=xR z_f-e@t7>JX>19B8^!Yr4YFr@asvcd*B57G_zbc*zyJ`A-AfFVt&-@8)*)IjM>s0;? zEwBQd;7c(cPl5t0N76v9cAHA1zmKfnf#(;xnGq@x37!HR%`iC;JRK}Ie(Cc*p_Fq{ zrUT2`0w<4T&6j??PMyx26Q?5QhaJW8Q%+!r4%^y7Mof~c-r#*fz0CmCTUwsZm5Foza`p@h#^Vu3I#ikx~goL5Ja!`-OI!YyJ3G*78b*pmTJ& zqTvK`Y-ROl=mJ zM_ulZs8d-szXSoOSxslRVRGkiSA-%pl{K8u4Z-#NDfpnLBc0T!gg9@d9)MD-T{fO%Tl@rh)rn&-vQ>XEk&VZ_lQ!ekg+RYiW>7x?GAI~8l+mI+%! zk#H8Ld81&xqujc(Tk8I2qy9J>+RA`Kvqob}L;@k^ z=?s@c$6R>JmR{fQ4vu7*DCml=mi7>;!tW}{c zI-m4!Ep{B4mgeK8x8uhQlhdIc#N5%z`?6)0AG~sZs!ebl(KhaFzmGl6QrbbfBEbF4 z--i{WUAqLIk?zoLq~Z?F;!pn66WBl6qN6ZX;6{kqm}Sdc$!bjw#K>WsRNigbaeSL3 zHZ$uKcNi+bwo8j5lU$&5%X&nAN4l{!kxr3 zeLG(hS%P&JpIIT5*ZygR#+zQAJfPE61~kMR#aJUXr#LIxa2!<)1GHpH>f0<c{O zhd50ut5Dus!?ibKQQBa{Hd+f8<%>_5#)+Yr^Ks~9QQ!(|<#PJlmBUA$LIaW;VU%=` zX#|Q0@+tagkjlf~@rVZ_nt?~gI3k=m`WQ8JLNhlv3d!nPEXCNrtxhD9fGekh_V$H- z`XHtU@Jx>)pF=HTbp5q@3;sJwcG7u>22TbN&O@Y5>@U&B<>SgPH_iXvCD5F2g`hd* z%S+G0HK2@@uwIzCy^O7etA9K2ubGCw6JJSOPB}PyeysyD@Lv&QaL0@txt%1QY!loThXXEiGY1s?+X~pi_M4R zFcQemQE_wXl?@FtfjL)q_YK&(TEA*}-wu~DU?mvk0GJ;ZL~O*b?Y6KO8un3iQv=? zJ*;{8X#9w;a>upgk`aFGm# zb!Hx9s5n`gDgd&IJ<}!1Sj3qIR2W8`;`;AifLr*lp86|M`*=C@&0KXn)js2xg1hYP zJv^W-3$vOk{*U*up*d{p2vM?pN#3VmzaL*=(fFs-Vx^%Z2CNrL+)=$u%y>w#Bgb>! z3d?W6jc(UKa1U8irvEYY91!Bk`Xe`tU@d&}l!14Bo$fvF>W;o9GQva~Z4#5l@_v~R zznhZ11A%?`%d>cs0EdSvM8!tHm)MbAdx=hCs2(cY|}G7aQm zKw%zrf%dKj%kLnckB1+Z*G5WsqoaX2MmjbGzK=G5ojJwmQz9K@?D7GPWRZWuQaV?2 zQ1`bD@WvQ)wlT#!X_yQci6bdXvoL!Hq~O|6oV9ahvy1X7txK_LMQLV500RZoV-E@{ zEh&y8qZl3L{ z1qs=FtXFB@`4pwS-wdt9IklC7Y2x|d%c7b^R*pKi@K1~-KXj~4JY7pMaU`!9+}5{cA8EFq0vdkF$aq?pMk% zkk3nhuO%vFnbXYwB!-$;AM~g z5ti@0d=t3G&d-@(bq&@l^lW2v{ro8|V9cM$sl&!&G2mOsOJ`=kC+MGHWI9UZ^^3-3 z1UPZ278C75SID-YB}5p2GGi$DyC_HE;Ky?4#k%r>dEfqK6+2a~h(l-T383proBF5U z>Ic6S9<)@97=jVM%~S#p?vdvPRUa7feqeR}OZQCd%+~ebc8A(_`qjuIvbZAX5J>zd zz7D~??)Y?HWWdRy(qxO?F&d6*`~N$H4miX!+FjrntPG1YFYZHB*6l*%k3l$&9HiGb z``i&MdQs3CxsukGSo)k6nWoUP)D6EA*d z<}t8)J^pk^<$FrM4iq^R!-E6(b||Q!mBRy}pE|+w!oFbkeLcem{#Bj~J!&7m-3zw* zAJ6+jdwHseaBjydmAbuz-~oq;^%VwnDpoM0>_B9)?2Kl zLu6}A90SM6Dgg(H^qrP^nZzm!S1FO0pXTXX-e0pBKT%s8)XY(%Xw4!Taz79-Dv_TT zMz5fdBgmx6F!H{J;9*1g?xEv&JIC^bXnDclXZC3At)M7)c3bJ~dMp?557NRG>?@l1 z8yjg~E0Xx4eI=~(WPg=7OZMY_+E`8ei$)yZ2DzAtOh)eSvD;rWalN*=W+EkXHIGsiLDCtY_BSj#6ot_m4lg@N*RoR^+VYSo}KUEDyIP+9^jp z^{l}wadJXRaLC*c_)%JWcEyyXz^uIkIb^&n_BH@35w8UDS=V0zJWW_A{;K;u=wRKS zR2urxYbuhlm^tX?mBDCRdyFb0@&P)C3!c>kEmC>f1A`Eo(qFLS^P-^M49hy;;m;}l zUF|LB?ZFPd@rWIsX+zB6#M?iV+gZ7{z_Z9OEe#T)jE`X^W=DT-_06q|+}F1aSI3K; za}zhfya3>Je(QMh^^UA{ewS~veLbLL;kZd3O(--!(M^a%PajR;vc&$XZs2PDm`V7` zk-L6NC^L}j{_cinZ+)Z*{{DigykChP28JWzLU{@qrn5l%tqSzm@m7_x<7V_~QcsQ4uZ=4G)9QhoV7)_#XcP3W9)&35gHoDMaKUJQB=5A8Hbl z=4&ubGWMHJLRQm$cIq@_+8R6UY9Q^jWSUM$I!&E5ofZ7j&X_fwucl2qerd+{{q{NM zzIPv@Rae)V^{q9Bwcp-%-+lJkXPp=JNqIKq9~nNCG4SQUIxdc>o6> z4Ui5n0WtuYfGofQKsI0@U=d(3AP2AnkPFBIXn=fx6HowH3RniX1yBfZ0g3?2e*w1w zD9#8fL9YaO0VRM{fYpFfz#70>z-@psz&gNs!0mu?zy?4CU?ZRy-~&_v>H*b&8bB@J z4nQ4X6QB{W8PEXO0%!#^0h$3V0J5nKcqd>RU^`$3pdD~0paLxB4L_XF+)90E}OtECne z#9xSMsCggo0MCI;*O{^qF}m)&KaywSYhV6h^7B7Y+>i^G3gPXnlDEMh$$Hh>HjGGm z`R1!gjrm2ndih4NHh8%Q-rE5$46yxZ3-Skf`})!%rYcnaB8U^do?HnKe>&4FBzGYa zS`kIfz!b+fnj9E`sa!o>Pks-CN;M&=0I2{Ifc$3xP`r?hHb5f44oCtd15yB~fO!B1 zAPtZXm=DMRWCF4P3jo;w(nY>m49Jn!xe{x@`2Z)N0I(FW3~&pe5a0q70hR-91r!5T z04RP|0z7~c0F|*CxD>EPe_r1v@jBr3fZGA(fDM2Oz(#-<-~&_wssPo18bB@J4nQ5C z9!mNsO#D;lL6>7qX9K&@H2EGR^=p~Mk zAGY!SqH(^UDSqQ*e~{OV9yUzIf?f;Hhb2vX&m8gI9t* z*4czve3X@rb~#Rb(7cTn2|5e>G0Cq(4|kXazZ!I1mY{ptN!Bmr*ODG-Pc3AIbA-$$ zqHTgEes`*%e;e4tY+TZn;PU|;cCN?mx=j3lE(e;Typpz2HvsJgDwBMYzmSF>*iSat zqzzl3(?yjApXg~>mj=*vq({nMl5~iDk%bovKGByXO*+RNf=@oVAn9t1*cW8o$%oB` zwkVtJf0RWsn)CzrvusDwSvL>*Q7=lokAuzy?UFW=e+_(MgB}`^rk3EQQ67AXD~}33 z=qz}ySZAlvw}vO+SyDp*W*Zs4JQSik&Ru_ z1wm(X8zfDBo{rKgn~?H$mc+&d?Vz?kC;6%9)csL9orQ^p5B)&rsE?1>GL$ULc34BR z?_@Fd3-G$pJX5ILdw?U=V#81K#`mfpe}$U102jw`eFn5bH$-!DTn-w`rG4sW|9SuD zf96x3@(PRdbEOMy6dR09@L#^V5|sQUB`?2`oABmG_L{$XA#>$(Ya&}5;8B!PPfh?( zx1^*fHhDD+Y@3~JcF|%z-dLk6Kpt)){R-pXm)Bj;^j7X%Nw~1P=Mdga4 zlqX7+MyawWB^nvFmV2YT>L^tgr5d7CbCha}QteTyBTD%twMhB^PY!O7QrPlXj%M9g zi=bCT!gcF|hpx!sn1g4F^5(i5ZMQ{HIko)zu!iDLEuV@~Z$+u;DD@9f>Rgoie|eOe ziBi9aQWv7sucFk&DD`oax)h~8jZ&f>daqD0XM3<`@bJG?_$|XcwhrT-;CSMwD#?xA z)ujlRVqNes@5CBKpE8w(x`$~W5{WoazKeW;Jv8}*62E~TqG_UC21rUPRWA{Pnf&;P zlcDPi^BDW|a**@0*cZlX2HjI@|t#3+=^R4HeJrU`yW z+Ku!i)y%nCf0 zfSNq3AQS63TE@#u#@!_8Gm;DA9;H84&m|bo$nGWBYZIotn);S{pL$k(e@y*^<(fK7 zG{9=dT6j5TgKm;t%(5{mC*%GOexDTZ-Hg=5j=if+STNMX38UaqqTyR%&)6JvA(I$; zadGSuuIJ~F=er!RNWK~EM~TXu)_noLC8rIj)4Rc9|AuSdNl*T4(-(iKE*EaM*$@72fxk0r~AYo z1;2U@{#nFH=^T6)_)D+gujTEMA^9j0y`S_~aK72Vr+c`J%)lpJ^F93j$EYUB)4hFo z9_=+-IsdeQPxrj$NBB7Kg)8`%BVO3`l;mrWrMRd1wS)hVe}PYB6Tct)-Z}Wk!S9%Z zKL&n-f$u=fk)CPr%MJW?Zs+9)L#!3g!Kd#)SfB_0KK zU|gf}?uG=->0WcP=_2eaH`pc0Yd4*OKZ^}~2g)IPe<>{opT-9bJgDP*4Z5=p^&oy3 zcx3l}gIqUwHbXtAygKkGzb@Ak%WcMh2Bqx;_lhw4e!l<2;m{IXx@*E$}?E?=kT!ZWk(PtCfXE6=rf zRNgpX6wqzT<^9b83-tMi{OmX9n*6x`02EPNf07R-;J7jHD8JESk~3aAoxKEhs=Lgp3U5Y2FM>r+Ee$7(8U1G{hiPi#wy7n*G ze`l2FhJNGqsaf}p_pXN~{i6V~kL*7Q{l<1s*x;9lPs!L04q0E~Hv{x`qZsKniS?ZW zmg?&-7x;d2t!W(k^>qx%Isg~Jn*vbM#|M(5^Ct&>sf_jNcU7Z4ichkuJryTexUaW^ zp5KqQn+F+6l)nyfN7xH_ehsYGs~-$Ke}6XQiQkRPe(?1;)%9pH|8a>&B|a(fn8Z}Z zA4?951yt|3m*Xuo?l~?HU z#rqCM~(**^_^)IW6pP+SoIEckx#_4?}kI+Q_vp3T1id840o zc{(CD`dQ~^gI_#HxgPLy=HP=BNxll-2ENfA2Ky;}3gn=1jS58~UbBq9VU$DH8u-tC z8ms>fya+*j>dTXCep~o!JzTC`f69+bd={AYu>1iFekpkXl$R1+3krL9!`xxy)BPzh zP7+flFLqs&ip?2jJ%2o=6S|WaF5W-<$&PfUER|=$dvS11f6H-{`~Z$=ZUfzk2Ui~a z;c!_$gcc{={IEKnwQjES>p6~~=RBAG(=g)=T7ze9SD1YTYc;BOh13Rp*RatDkMj;X z*T|MMooi@GC^aJpU9deP3IczQCekwDNp*l1#P2qjbX{BbB%D6PG_S;hZFhx z7BlGCuz{apb&Gm&o5!Mhf0EcKNmISX1#PCXX!RI(#sr--drXV^;{A{Tc7bT{DN$6S zEPn3k7v)KbQXEiA!s^NrWI|RPUv3*))aaT=^^><`GTgi z%i|e>rn1H*P3L7en2OhfydHD}54Gtmo2h*@(mw~^E6b&GOqZa|e;MdV7qHWTJ!UJz zQeV{3oCW?#A!DN$pyMORB)jP?8;lS{^K(NfL+7FGlCH#CFw?T_S|D2H;PSRQyy0?E zy|R$=&{GoVZ+itWalu^ z;Y3?hMwUAx?8o{3cIH{YWgN3*E=6VTWMLsgB6?Xo&K+bRf8vT_&u@tHvof|R=3Rz3 z@0aov=fflqdni%g(ccLUndSifrsnv?^3_eRLfJ(DlDVdy7i)`K(%Xv+a-; z)@q=Chr1zl9owrO1ULIC@?hg2*us3RjqWy3*S2jLf67u=rT0#hln-eeyCcvS80ZWI z$PyRZt?FyXcGyg|pnGy$hH~io68v%o?-aLn_Y9I3b_av|-6*_GSA;XFE_EDlH;r?7 zbZB0G7x2y5StqxN3o4$!@ZPaG2XMKUQz|4-$F?<+)krkgk4jdPdbe{tf*a40&fSzA^C&+!s~(S z8PFL_s|xlFh6aZAgtRtyQ*%{QbxmtvPy-cTPmSk02|Fl%H)amPup73eJd@g1+1*^hV-}V}f-D48Gud=t$ zhe+;}l(%8lr|aXGgyQQ-9-|tv#4bo=<37c&1DNE`N_ ztyn?*hvI2&KG~^YH5jY^-R$q7iz&Y`pM!-8kN1A~o%)v^KQm7#3FL=5*&bftSouk; z&D~OS2ZFJtr4@WVj^m@3#$9*KR&6co~|e%g5>+qvAbaQ0^Q&&xd#vxDJPs;k0YuW~w_ zH*04Sx3ge<$%^GGN@Uwny~v)mH)@Z&(Wm(uops22bNS)2yGxuVMAFUHCq?>Q4%WOz z)D~pVX4$3`J<<4CeVx9h<}7fcK^g*mE?;Aj9L*`-%B=c0LH*Ljman+J^d4*y@j(4n z#1HAq#x9d^zeC_D;2Fqff5rEgXlOljlqHhbhMjj-SNC_8g|G0Kzeeae@BDVQcwzHma?TL z4P_87jhA*;mALQ3{nO0L>{4D_--WTu%>Eqz^+BtpT+_YKPvc&H8tHYI$Yz>P2Sb4Y z-ToQyqVt`hJL~;8*6U-`wZ;V+qgpkOheiV$D`Vq1cAEMUL&gI6F%6zHj;z$qHiz(M zJ8Tp6x0-HcpHS=Ef1)uf_bIKvtfqBEBu{UQQ~N%tUWR7!vDNg99>0=51wL6r?UW7B z*OP}pi}sqm9u#Y-WNJMs8UCZ0mCAFVJflC=^h^JyuOqLtV-Ww*(#5SH+h*&F*@1IQ z=%x0WG1O;@b?oTrqx{0Ycz+Z$#rva==l3GN-oB*IL;8?he-e98XS$|(>+Pdqy>+z~ zK7**D;UNuHoK~sD(8@KpVo0S{rkO{q-zGAI-f$+BZ(^&~tU)V{V*PzqrF8<)RBpDE zyO<^9XCw|wd~yNVb#v=V?1O!+niHceS>iS|iV$`+z z1&#TQ+*3kcfA3%M^E9o0XdQT?<7_Nn%$gW=ExU~UmHOKZU@OxMNzU0fHIK9e_F;`2 z7%1X;8=`t+YnyAV1DrIH(2Ud;tP2cR9ts6qG&eOh@4zZOCf>Wee{V!bK#zy)(-6<(*DbvM*H!nZ zTYQahfU`I{Ggk$Adk0+u#oFqkBB&Jo8v1p+VyzydW?PuRDwXav7Y47A`AF1m!x+a1XD<}EOyW9}t=I3y;%dbTja6X&q8JkUx|p8X zajWlx^mVj|XR>EJ`)12$Xz#Ur{*0A>Gvkf$$3<`E7>%P+JrCqnvNNIifx@i}ij) z>(z~~Jn?ToJVP_e3BujaEY zre&-dt7#gO=xO42;mtz4+eQAkJ?f7_xdn&&bc&D4g*13H_H?-n14yyfQ>>K~@zGS_ zT4_Glt}`0`!9J(9lJrc#AGGci z`xZR!!L?Xd>iZ0>UcdjmZOjZ;@aLo*7=dX$ImYG1-o}p8P_ZZJfEUeJA@2>XU$>^; zxKCL*YoD?{ZlBVDeaigmkr=dK$e|I0i zs>s!7t2;CtqCL`DK1)!2ZYaM`&wtO&>-;eelDPWV)eW6aj=Z%*Vk89 z8^2*RxBKSCi9F_RtG$-f(Ldw;fBqgXkLq_0<5X;3zA1n4_7nE&^XpCN@sZytkzJQ? z{-)a%>-8}zzW*Ef6ou~kEtS`D$ZUV`)h%y#QIDHzhnp-nuHKrVJz}+rQP-+B#y~u@ zqvfvfq~4!mxntC|^u({3N8{GaDE%bGPab-_dia46){?y|#8P8>6t8HT`@w?wxe){aupT>_4JlBft zJyPr`b{^*j`(pOQsQCID`LlfyuV1gfuwRUavD`5#UO#{OfgK(74|*ai!<&I2GeXigmVGX1pIvEP|uw?9?cIjE>l zc^>2|+UBy7bt{;|W3p8xunaX_S?ckWu6=kU<)J%zgS$I>m1#$7f9D?MncB`?^I#yk zt7;(78M1Zm?#;|=9td=e2KMxJGHZPod)3x9FqBeRGu$79U`9?`f8aoWFT?qCbzq?9 zK9idMOy$sED0rY}?E~g&)2ilhb~s0CwGHjo9zC(EsXw6gxr$QkY)^kb>+RXo8N$MW zxvPQ)4s`Z)d2viX=yHx^4l@1de(4aNnL3NYjy`;MDcC#c_H}icwGi7B9OxW4WM03) zv?j$~-E)9x!QJ;Ze+T=w`TI5Bp!T?nB@Q|Jt&Yl2U(&qFAx9__>{IHR`tS{>fdQ;l zTLQ-hhuE*_Xk<-m*yZGNC3E|$1HFMzxTAK#9b-YPWIakwYq%`!2gT@XYpY#thgg4L z{e}aB!K(X$N9x}wFaB};k-IH9?(C}0{>giMui}jTJAr}lf4$+c?=Kyx-(YPU*|aOg zzN079J!yTFCE|Tk#@ehZthgVtFgGGMUR74J^lnNdOWny z-0ap$?h7nxo$PfV`MlTaZgro-s%LD?my?=aPa5m^B7WvM-A#X$_?Z6G!pSD`)$MLiyGC<}S;s1D1{^t=FP~UVXsgD>wi$#a=k+(dzvC zXsLR~OlCnChdfT4Oih%U{5vhG*yAyM0@C;{FUjoN<`rjDf2mga`H@g~b++P+9uBA0 z?n-znf6-e(Oa9h~_5WDgYBw!h$#$;v(DLcUokw=2*bmheEMJkw^3CsO^w}FH#AyGb zX=A3cNOAIGjrIL>{4;OiyoHMur!s>lAM}9GdGN^u=lYVZ6|dyvDb5*wx))3eCiNzL zT+`?^6~3LBmzAUJH~l0p5%=BGnZ8f0LG^H+fAU7=bQ&vt+#XcH|9wTjJDj=dNM?KL zE4Y4L%Y4=TN*etgwrhD-Q)91u=q=9%xvGcQmw%sAl~+fb9+GS9rxwZX-T z@qql}=BmpH&dA!8jx=Rg(g(jT{8b_5?ZdvqzQ6tQ@7?Dg+tSzcbb|Q%386sfXG6Xx ze-jR;F9@cmD|eY}3d2e2`MVT4M4o4-zr%_42eq`*&DPTW~2NP z?jBpm{)XxA07Sl0yx#ia(v|6H%4?2;*8f?u=UWH1H>KDI{D&_NSF@nDtuNHmt1*4I zQ-*!csB&=MPt>e|$Y@ zzx8EhH2pc|Oej2@X+6lk-!PH0s~IiVQ1Hu_f(>K8^fh)ZvRK|W|KY{0C%0B8505SR z$lSkR^h>69{nO^8Z>pMwQNOO=i^xtJ9>4%T;6S-0reTz+_M4U>;PH|GqqhX8b#d}3 zkAG9_V#CY|EhU z8EtNBlQaD}r@O{Rht7*q?6pDLfY!+#_F(_+#pA20;1IiaMdG7Je2pxHI|Lpa%n6$rD_X4R~ff7nuXZ}05w zadcI6caGeG876r!aI{2OjHayj4sNT$h|AWx!VN`QTX&!@VcrjwG+T|;g2Te3^e0P( zG2a}|o^<^9Sa^x6u1Bi~7iqqc&kW8~_w4HlMe@E^_P_HkQVZ3sczeTy>j$^{%PY_Y zr)wwI?*61UJlGZ9_0;xBf9oWhJn*3Jo;MD(yz$77wpi@8oWTpO0d3;(B5&pov?f=c zC3RA%&7ZgNiNu=Wo`*u3cWJBUv;U8K>*sBmp#$`Rw^P0DBdz~hkiQ@^uyD}zf%f6z z)#<$K#*Y@m5xUgAEyEAiVB)8p`l()ljYtHrs+uq4d zX^m* z#|K+(h0LzUXRl$!Al1QEk!2}{PunG;Y7{3uI9DG z<-H#|Zf{<2%feNi55KUWS{vYu#p<>Cp&!+v((41cA`yJMp5^X>n)aGkrRB>idMw=ae)yV-AlXc@F<4P*cE zCdS1qC85o->i0z^=kgt|su-29V8yt`9~nmOH@CT$_=d{_Q<{j-z~LPZ*EJ^zV49C<@6{+zJ9E?<;vNeqTD~|3q4xut`6*ZY?U{| zJHJ?4Rdn=D<;~mKf2Tj02THLEX7d$1e^V!{LN8%@7)O{MVG_m*g!J~9+TPVDU| z<;#5UEECs>I7^{)0%uN?lv@NoA?0`C?1|DkiF>5ntMWR3k+}bkygnqa^L2f)fBZ>V zpU+GDp~NM!y!v@UPp8Bur2U6-1i!>3@H(f!Bhnuyr2MyJz0ONKQYhqJlK4%DKT4H) zu-B(_NaKi|mv($8%R4B`o0RoQl>D6%cj8$heTOvm{n^z*(GC4N@a-OIU^_tUlENb)O1T9~*@%=DpZ3W#fBfqo7a^%) zHYtVZJ3BUI;VhwVaY^w#Xd-i078EmutVA{FQ!X}T$@OIM$t?6W8=I0pE6bat4~FaB z&Ryx@9M&g?$7SY@h}j=m-xb-wmYG8l^Kc|TB?l(hh9fCmc$d=_ilo%x|D$aFNJ{kk zdkrS>{XGW?e*pAxz|R4cf2h{qt6p%5nz#TL$8k+BaENBm4T(g`!NW%w-n;F8nZ6xr zdiw9a{>k!92Y#y2dwxh0{CDo}?``_n{mG6yj%@zo`;RSOAH2QD0a+^C0!RSpK``t4 zd$Y66E?V@*>-qkkUci4Hrr}a$t^9nKTt3(L_tx^a8^|5P^>ckEe~rGD7W)#Kk-FaR zq>&F4W&HoKcO~#q7FoW!(+QmmnnMHv8-97plmK}E%5obi|)6y1?o&(Rsdaorhp_UAFKI*W))(!KvzM}J=*Njf02 zcvMndRj;aERlRyufA#8p^?gP4>F0OSDCaEMT~_JxcIm!r)&jWdP<%UjekTu$v9J8J zw21Mq+!(e;G9$h7`{Vl0*!N@oXYA2*!2o}yaSmgXa>imQXKa%2{j&eXyM;(+7U>sD zcawDQm+l+VjhBoxQp!;V5*1+XpCH^Xr8{P#2$xFtpmY~ce-iMu()~t-&lK?ZOyM?2 z_Z#WjvIKm;bZfFj__-0n9Vz9mZzOz&svndmN>`;k+1)tiW4FjUzb)NU<(j!rx~EF` zLg~5yKSX{be>>M?_Sbs+XN*sRO@+;bo#yY?vUw?9=QUURlQUQmo0x#_QndS*`>XwC zeC2L77M*I2&+MP-@jI{v_hUU)h%#l_4ffASU*+NMFE&=YOE2bHJ;fAKo-fi8b?g~7?i434hdKi!R& zCH)ieavuo9;v1R2>qwW6C8v}ybC*wGOir1}10NFlL0?fHQCx??O`ckw;dn~rqAA3$ zPV~Q(nSoy1&>~Kg3o(Pf-ihhOAHz(rX*>;y9`wbL7<>&T24CWc!B;o%O?p@>;7PDu z|Arr!e9sZOGHmRh}4;rI% zf=@wOh-(Y0{3dqvbC-W=E@F;;p5gC0Jj_42!#}FspC5LNkFIj2b!t7l2hjD1C?5!^($H;Om+SQe8Yf=K$Sj_p%1L{@nlGD zs`68xm@?pO^O@H9j(*cRFyzE_#KJzw@@;p-uzz}qr}SCk z`UqX`(sT4S!OYFhtrTa`Z=Z^7n}^gX&X9qozK(=r`k6)(|0P0_5?aPe@KKL z{?<8tM4!z)p&T``&*HyrjvDn(FEORhe-eX8d`|@S>U;L=|ID(0S4++;;Jyh6rv|u> zrkLRo7;u^|)yMw7s#q3%6@y5coRX(aiq3Fp080;MVGPkKHAF#=0u<)LqA6CLAi*Fx zWr~JRtg?J*jL|QRS^!I<7QpnZ0(n6_jp9>v#nWVf;*pjwdI6z?)^{V8`XnD@f5#+W z_l-$K6Eb}Uu9=F(cfd!pd^k~jLv?8z0pL%x!vuSya zz2@yY{BAe0;Zx7=cB6`frzmwRKCgs&kjU|JH%sWM&xHW>Y&RQddb!(lyALI${vn0c^3Ox5fj}v)xBusKXb^ zQ?E#1gOD9)1+G2FbGuKM=XM{vJcyDa(D#@@xA!1%ECTVXeN<^o$l!%^gt7dp&gfz7 zrEduh0bO-;5AyPXSnVc{h8vn1OM?*{PgOO^w||)=w#i zr~9sCHfBN{dLLk9LocJ(&cLfraJvpq>jtwb?#k@O!>dW%rfJ=l5vFnM{7K!ZL-Bzs z(>ekj{hXKwg$VXSS|iH>e@(yjE)t}mllyDAX2>`v4b zFPB}U?Qz*vY<{5Yf7n;x$M8)#jQ3tw?<%=^ci-u|m2{+_MIK-S61bvtis?XCUl=fZ za_z{`BFc+}|KcGSiU+y^6WGOr*^HpoQbcB=8v~0Y`h-@S3_lT&6U7`@4KM(8f<*5^ zNY~*5U8n|I*7I6KO$yo~p`uwRdz%k2v{yo179-r~3)E#`f1oZx8Niw%ppPU9prGK@ zA7#<9j|~}>1;v9{_N%qBBCN7Tc9zx&y$e!G2$Nm$;t;+#+8C{qqf3U;Ts9$BiBvj4 z3Q=cATZSfZ9nF#DWDRBU0Q1#2R+JM-=K8}BR6xg)F}(_fM?V$ovnYdYh?eFZeys6) zojkg|(Pv4+e`*}5A13pDn9<=csm9*eqxFD(OWX+MPqokEV?U3!bUEI-x+6EP(I-Yr z1bvLg8*;P$QWuGYTFpR+$out3^59wvTOjHjkumxB3>tz`BPK`UI*lGlLEO)N5-lYn z@P00*d0-y~!Z7;Dj$T@`Qe-+r$^oAnB(hvy+Um8@EgmP2q zsh=>87=A$Nki{dWy?jy}Nxh95GK{$G!pN#&J+LES&9FzhjO-)WM)+^{8QIHlr@^j+ zeHQjzpU`I;ITm{U6Zu9Y(pT>dq^;+fdP{4<=I&kK-wk^ROK=r@^MeUW)u4!eL|s4zZSt{mj-qJP9BD z@AlRC6LX;3KUDM%L8<_$kdP&>zhF0^mh`6(asPqqLH^yY*viDd$BhNN{q zfdJFYFzyu;BcZG`Q?6( zf0`s59#?RagdS~V6N2bw;W6~^h8d`jGk6#e8eytspSPgjm!q#eu+w1iIC7{u2_Q~t ze`xobW$^FK&{xEF0t1}_B0phMPbbN*(i5zO0Nn6eaGy#`E&=pmX(f7c;_`)|n5Q@*2<$T*WMvJxuACI9LqC0l&>FLe3 z67QO#hDIB`()LlYsiw`?&R=QUYqw6Ze_OLyn#nxSi8tC(#T#wL_3DkbF@_h^8*OHs z(G_pBS=!YbZE1Dtjkdw;LG?zP882LjH`-XEe4{PyLSu$}qb;d{ztP4C-LIc$&yU2i zy&-t%dxSJ3wkC>*N2PmEx+iK&M5hzbc_Hh`QZW?CZ7E6%MWx5lQ7iEey^!a9Fhv>7pZg%K;7Fe;v#2kG?2rGzGE97O^2-nU^=E~?c~SbY{ul-!Eh*xu#nEJ=kz6bjYWmN-XtIW-q4n1c z=s?(L%U^}GO8=>EKj~%rYta6n*&&+z>yhY)OsFrvAezh}e`$#RLja?v4birL6VfXB zN9KQv#7N(DAgp8nVnwi0a0$HbPVi$l0r{ct_utc}QWN`@AKop0;+&D2?<=}v^dkS) zci)l~jr{QNGc~SH4^+>-CvWKDZ?^wtu>~!PLVgI%7FzU=^24#q4|~uB$0I*jk)QZ> z0^!lg5iJOde;Cm_l!gpJH8~>l`=o!!VQZxvk;7InAxr$Hkano$xzzOIFuvatF2WQn zUK1pW=ihnXWjP}9{5cx*5YK;=JAf5w;w7iGk{>1x7Nl45!(16A>YaG`fh6V4r~tW_ z!o3Xka@Z?ix4>?Ny%P2+*ln;^!(Ib>E$nr$*Tdcbe|sbBcG#O>3D+%fZ-w0*e zpM?7>*k8jw1^YDYGqBIX{s#76VJZJ!xX-~pFT*dueNp;<2lpk|{jk4>{dd@xVPAoL z74|jQf7fB(fF)c%1amCsAL33P`5^;+8;$(1cI+!_k3Q%rd7?03$HuMi{2?0o;f7I5 z3}5~3!O|@q2k+ee$=m;J0b4{NKZIrrE&9aE51RaaEYh#ge-ZDWiUyMlr%!VUQbdx! zZT!vtKC=fUpkv5Xt};Q9Jp^tB{D_Rx%ip$RfA|I&l|K@=jIdM(iMChgHpCXo6dL^t zdd<^QB=$f3ZvX8-ru2`BZpZIV0M;Mg_mf1gtDe{7$Ly0rel(y@qSHf7LCPNWP&w>k zE9I{Mes)<5=VeOJH`R ze^wU3n#cpw^zEL1===Fa7Ua)pOPHo>XoGq z#|>Y89Q(F$1{d#`kl6nVm?jaZJ$H}v96nvN0e+|w|_=#5kFbH?`sXW3J>xW+c^#&)1Dr7kZ z`STHAJ|eN}%dd~B#Nb3I-!KB8Q($R+366~%dK_RSe=lPT;CJ9TdfuqNMdkQJjIjks*9ae)vX)iJISifB9kH ziIyMMKGsRMH_{;o>m;M`x_H(yEN)f|+iYZYADT>ffRf4<6gw(fn^$?a)V9acdn`r9 zVXNYd3Db=U1B|VPVdmJlA@Rl`T>Re7l8om}GbRjYhStuu_Bwo#e}-`+6IY=(v(84m z#FWfdvNMe5vY1KLGjRo0C*A6re}ngh%%_=5PL^OCXc&SUuvq^3vG#21SSuUroGPwD zZ@#Flx^ivX!nE>*`MK3GUVAnxUUp$k>#~bhHZ*!m>n+UOiD85#XqkYw4!N5KxTEZf zY72`Gj&qbv{?Y;=rPWb(@hcXV5F88WRaaVY{$ioGwW;o*O%|3EoPfh`e{+YSEv>Kk zhlR!A!kgwbO?4k`wy@;jj3VOdZbHt}>_0OMNnP_!)Xl^*8rVFv`>y$v9@nwdw0?lv z4vdGD?wqb756~l z8mLod69(K+J$nPvGD&2Ff2+^3uz|cv8tFxr9doGO{ECF+Q$R$Oi9-qxT3BLmwkllb zGHNh&n#=JCIt_RKx}1kwRnHn&33|E-w??emXJLba^Qx%Ut2EkG)U>a(Z$x&UkwUx6 z!~3$eq1~I31{#|9{a9`F$ze1U5rei^Fi_5^v8W0K+Ivm{SS^G{e{eZl!|IzJ3!`g& zbL%QkSPAosiDUj;M+A42l{VFRI~-+Ubd@nK2igeQxaJ)}f5)=2a+kZZ2%KSL-V07& zN^ZrxU!994Nlw8{arF%yhp#4*;(BH4ojgCTdilJH5?7U+y^P#4M*%XK7~Pw=;XeuD zE=vKrc$2!(z0GZ)e;VQn87gvUsYdI{nhU)*yiNt++M1zjK`B$^Dp1N2+)cRqsAiSBW>g{_oj4xD_=Rsn? z6}Y8NL7qelhX&V9AN}SC!rah?OOSBS$XS!ZFsrB+K$5_Cf57!hpS2)tDi!x9A?sF5 zO`*)XrU@6JG&k)i=S<#KJ7x`eYT6nn!4onY0eY65=+Lk{oB%ZjB0z8}8sY(qOTr79 z4UGloK17_&{Zp2aU%(5O!*5{a^+1^r7+1?Gue^rHqz2Qn%4Ox}WLntZ-~zPhLtSAs z)FPLakEhmXf7r?|2lk$Dm45+Tv8=s$mbb(Fm$2c);a}ZD%&2Z-@m_`Q4&kpr-0Sc1 zp;TFh`*ghdcZ3fm5%ct2szwI3u(|d8Ho8oyM0U^ri~|ndbOXaZE_T$c@+Z_$xHaoP z-Y5FaS{B|Vv&0uzQ7d1w#He*m}eOS7&X9YiljM=$hpybpRo zQD-oaO4MwmkNPJ-Fe1?>S~JQ>3fNSx?i+24fqx7z43#*}0L~;f9%%-$iHIGbKfDEO04PfYr#XnnzgCpdM-tl6jK{>6xxQduFHKB8lE5f8O7V)4UxmT&}-1pT=I~_C6}ho?HUvbV`oSwEm}=*hC%9 zzZWnFQ2aRdHw#$bD|Lv!?d!H5#aF?cwDib1(pXHT_u#L8TWG5V4sMf5u@`vmk5eHH zzET)_BYJB^<$FOtT@!Xs8Qs;J9a!2p=rXsg?e*aS z^e*qrT5xX&Y1IVI%^$k6gB(uTY@Jy8yBGvscbBWivbItOmzWKVk4z9t+GLl%#p6>n$p-t*$RddHX&q^pMBvS}9l|`L{fkFrxoLxQZ(Z<~JviSj zN|~1%fz)!iWbZ`Z|ATbMBc1#9iCIIHKSAGCpmCY$=INe7ya{^QTp(AO#p9ApSFO># zJ35*slf4fRu;{a)z8vWSy|fP5xhYfMn_#^4t@mMd1%`TYd`sq+Cxw~vl%KP+fShNS z>AMc~kJ_To7l*yK2H!jnBg6N4X+~e@xEU|^Or2k;FFWT-rv#G{KD3I?#ShYOR<`f(DZW|j`n8{e+ zDeFeZWV&ayrrCwaip5=05Db47l)2*hSZ!3Pg?BYqEu`?Umcp?v ztr|2tmxo^_9CoFwHQ5)O->-cY8aW15EliEn#b75k?JpfI)J$#ZH07i;8RCVN7qdKf zpJ*L#85AEaX#E2_X*$&QDA)S#nk;D-TCJfS*osyr-*GB*hlQDmbT%*av+8RNtcGYx zc?g2puiExqix`mdx}}R8G~n)Y;(IkaY@SxJCbgda#9(QToiADW6W3;kL5v?eu(7P$ zI#FI%@@Z|R0MpWin`-;utp}QESD#PI%UeQL4LwL+R`{r%zTIx5L|z9l&M<{f)o5jrBdkij}jZ1)pC z3Lyex90%HZk;vqb8}d6`tVro$`c}>xtO6ZZ1k`?YY{ z*{EbLEU8I!6b(!nS@-zS!#6|`@yXKGAHOCdqAKDF;eqa-m%qbjIO|Z$hGn(ifFFw8 zLD0Lb8a&$j*U%xkj(0DOf!4_|s;(hva*}_v_gz#gIGaN^{67AWs6GiLUl$0u>6xlR zbp`DF7WAhn3xE<5|AzJJObO}kC3PT@x5UFCOUf?Q!X5jrt{V`pM%9*(?QY9`eysf?NBw}Q;w1n4n zK3xcu+z%(T@4N%#xth2JBCQ)N6F2ZW(k`^!Ipr-fZBW<{L1WRK6FNAZU*bGsyh*dO z)jTsZ0$wb@*KfPJtXpAr4?UIUMj)1ttR07mP?8p6G6eI7T55!c{DyW-<$)hLi7`zT z9k=8A$GVjebYFb^Y!f;Q7uS|ODd*{>Jw9FEzbGQ7V{x#p+~ls2baVd&$1mm-Y=zv! zQPGk=--{Kdwh~Es4IP#-_&IQe#B@D^bKVj?-8M)OK7zr?5ZeFa>b7n5FYrb`Wc0AC zc*+koR#{|+QMbg#RL_mkiUZWX^pO#N#aU)~_`x1+b0yt?Hf=7ukYN{JV&2HO_{uFv z+N(IU&oHy?xsV|UP`^UTwJrL}7cR9JGr4+GD$7>)`EDJGlBN^D($hLzbG)6^V)=OJ zQ)sH6{cv8198X;9itUy+ya)IP@S~oW2~+4GWwX`r65>=sC&T z=!jH6FOsf1Vuk&ZS?jLc>d~|!xaP`hFYj({uRq^kDZ&bJzCTsJsk(2;ekYX^bLabY7XdQa+Xywx zN%~tI{buTW8Z}9FNI=O(8y!*X(-%5>2Og8CS z5LY*yMq5hBRW7vf6?lb{YRfM~6LH9EUil@ou8U1^-kZbc&8{~^U#9(e{_ef~IZ)Pe1yKAw-lh0}s>9Lz%z}rb_@49EV!CTYd|Au5| zmlxt3Y)Jcd>kiPK_JYSkRrk#pS_&8Fk&DUrKDAh~gcb=ug{bzCP+fZki%BBBV@*Gp z%3Y~^MAo&Q=Hh-wJl_f>%Zb+X`xq;kpZ8mBj0&MB4>j~n2Sfvd;tl>X&`yIl409>*Z2(Wuc@A>QBWto~ZCDkrYBa3lZJOs7S~d($U08KB|0l59vZ7?^*CL z+$j@XDt08^z4GO67Q&H2-lty%72qS}P4?o(f~wUp?xb$Fb4DJV&aaw93WGB!m7y9v z@nz-i)DTK0s-|Bl}Tff-b2Z{X@~dxfoN5j>neIG zHVP_L%f1v?)FzQaJ&IKs?eOomDQnD~;h*=5uK#3ZOg=T@+{X@Vp?o;@$7O@ho>)%(dh2hv_2v53(C|@vzAmqrQ7=W3pVDD zENIg{CK^g*e&RZi`keySh-MPIM8SASm;SSgM-zAS+nA0I8Ua%24tG#!m|MAWKI0fF z-JKa;w6r;?1D=$|XenGAYy2{m&WMC|vK2t4L|uiZk`*po93~W@R6&Y_&(73bm#L&} zxF&Vad&sxP{^9iQ*66NPIvFP4%baTXARy?~_s)p1jOKS#6QfX6Eo!l=KJ5oIOE z$&B@*lJsm()3Wo>oIsEC@BXTdN!|^VNnSo;=HwhL8t|>^;>&$lUR(0fLL(y$eV!(g zH(Pc4%VsMQJ5O#QccgchWY46>i`ba@(SYulg~ne)r~>8>2pA))K3CQ&s+5o(Y|DM( z#X*i_Z$Pm89=bxRhwd1M-6f(z6sdA;fC?tTJR_Gh|4BBsLkclpQpWnoI<^TYvuC^I zCgt;pZ(wH%arqYJ_RR%6GCt=xf8_ytL6q)aaLaE--<`y05XH9~4^l*g1uVDO_1vLsLFB>h%lexyFra>n#vVURmny z>`OIT=XTb)H4gWGoEocUkCd;>m%YLpBiryTzV)kZT9t(_^D6dI6Z@|NS3OArLpq-d z1u%^6U>NX3v*IkbdSvuKK?F~`rm2KJ0Xa}FdjAEbs*ksV&3?^8Tt!rn2O>o_g` zYEl83I9~b7AQuw+N{|S*aQ=FpqP`*(vCprEvkV3-iV+`s5t_8_*VN=Z%HdyEdx{=I zT{r2s1o&qQQ#TC_H{AHP3ueVrp8LsHU@$8XuWbkodPEtS(Nz9Z4JHfhO;^<)jIabxweA00$oH;H`=`x1u$&ksf0`qE9@(l)wQ_PCP=;}NK#BlAo*ME^qz#$m?M#*|BUibkThrfFryG^KpR;0 z;OV~+>*i7X{d*3-N5lU=PWS;3 z2xozNRdM)Yhf3M?Sg0aq=9qb9sh6HycHHqeSE4QIQsm zinBV(E|I@WzE6ykuo&3H)*v!>B0gz*BsWiN*J4!)q(D=PXLUmS!jJ?DDzi|-p)8I+ zl0HJuh+u&=%Xb6&>eMYo?`mn|C=lj1Lo9J(%DxdCQJIXY;ZBx=xN1WppN1jggzzkx z)k{W05H|f)w?ZgMaLE20v@*TRumRO(Z~to!;ypC~rc`hNQ)z}C^=c&(hVU{Zs}=05WL*Cv~@CE3R^ z{qK;tKW-U5E%Vw;N}|HWkC*`{HspPt*aco@gYPI-vU+L44a^!93Niz>=+BbyjqUyl zyV!J&rQ)$>)7blBzcdGYI!72gqI zfFg+EncGl@+gLBE`}E$P`s%m4-O~;M&)WqTi`Q(!(=Ohnb@bb?m#MGV3>~#E(8}aD zcyQH3{Ca)LIccC(L?xBzjr>YTL5Q8UoQwQF!r45V(3c_Bi>&f)Ab%T#i4-AZ!s%g9 zTW`QxL8??JaaQ!?eHEQK!nrRLMgs6&fm_8~#3RTb&`HL0Wv#o}@cKcX0TzU-ZP^ig zTCZ^NyQp5;Mf;^UgSV*os^i*tybl<5VVq-9J;}c@f|1FbPs4Al_+nFN(6P}{qv55o zC(0{A%+DZ)h@%s@11wlFE*NKBqJ8)z3ldlFbVcv$^XQzWC3PZ8k=iWeo(R9`0xx(= z1i>dr&R#S4f9}y!w`6sN8J&IzJGoqa-@S7^WBn6=P9_YGn@{MeRcRth zOL^_42ue4!{0;2EbC1PH+ZTOogn^m?ZLT3Jw>bK|O!NedlnHBln{O`eP2SfLMmE}3 zD!#X~e}et7hB@9!xmBFcYF>41QW}e<0zyv?#H}rc10Ufd_cdeGLphf_5Hs zA`|G8g%cu&#hnn&7_ht__Ey}I2FnP|>{`3u9%SQ_9+^WcA#ze%tYTtgBnP;^P(<4U zZ+jk^KBo$Yz8_w#pD!c!3%ob(V_%jIJNJ9vpRTc+b+Z`PmPXF=f%A(L!H(OTr5C}E z!w!qt|!+)PXZAVW`}@L#GFuvp3w0 z`*qmoWb5VPh5PYgceeMf*t^5FW57r#)wmsJ>i1#K2aBN~|0aFpPTWTR8dAKr?Y+TP z2U=}8_Tfi|D6q0uRRX!O^$f(qyjf0FV10OcUvRwb2)>MrM=B6!^T%$?{XN}5f3j?B zJ|}h`mjU?Q2jgCSo|v;}FiMYEqhF%lUrGh%I(~p2tGVDw_u$6~;yFTcTaVRT-=wnJ_2U!iNiZKm8gBkog|$8*1ph_wYyU+wS6 zxBg6KQ7a^J>_+O^*I&FxLu|}Y27Uy;*G`#b0v|5?XA(>9i`^6eUVGU@#d1O_Z z%55X!fm7oI)1FmmL%ffB@)e$+H7Wd#6XKc1rso)aW6(Iq%<;DTwzdC+ze;elUZk{F zz~;QqQljA*I7QSz%vfsZtW{e(=Pk^c`w0y1BRsN<=0xcSazT#HH@5$X_iacwZ5QS`62l6XR-12c#K>@wf%xAqujt}fao7`5hNw% z1j(M!m{ES97x6zy6(nWz-xN&Q!#XA5w^}QVMo%yjMI)-jr1s#QI%Q#gR8Z?YN}uE! z?&lh)PAEb%@e({7m5#y0Xc57vS z$Co(}NQr(`tP_a_R00OR%Gw0vQ&IbTJ{ueh5K(f@j+#XRE2mW9l|jyB`(nOk2A}-d z5B;PFGPh`!T8iCol2|f(;A^P-SALY(!V8q6|GgmHdl6gg@@OheUuX4})h`XAryfL) zz`gM?fq<4W!lzkk*d%^qc$eDE^Vt@)s z8X)Vw6W!eJKMEKy@44DzB~l|e&;Y-s_1$oHE~`~*w;PQZSVEM>D=O-@Cn#&u;Byw< zy{Z`FkyB+K|FXe>{24h=+01+kGZYCofvY#u@Y@;^#0irtJ~Gp=4MjniN0*Ky)q>)j{ee6sbYI|E;u^! z{0PCIngRrd$~L_MQN=E82J+ap@^!!L5O~1?&_&aWCb-NdcalQB$fpfb6N3S;Q7TOp z5{Eo}eitY1%n0ncpt0>c^@wrjJ z#Fi3ZlQQY6xhHGkJ(4ylnakGI8HS#X$GRc@}DvjT52Fs%b1d+E3#aXLv1B)Z+nn{A{Eq) zY6!WCD^`E569W5HMNX($>M#atutfM8f}vT^q|GOS1u2y=eJ-kyb4ntxx0XtXgifwS zXz}2RtGSr7t%GOq5(iHvkg$?cR{Iq*IvilEmX4TAKx8R3Yn}CZ6$UIpINuE%N+P`z znU0%pI&MOyR6-gC+feyuG3dq`n~P6T+1n{F2nrJ8v~{huo#QFKW?X!dz& zNus0BE?FF~vGW*dWE3`#`#@_RpdgILHq`hjwpXz@GMu1X+q$gOSwKLqnP6<4Y8B?3Bo+QbQAqfPOZ1g|Qc=+5iH@8HKAr-R~ zdchLW+jS2eRiOCdWMD{?jldGeHVUh_*5~7j+Ak{WL6N28XQC^}6O_qoE@}h-h|YgO zvS=VFQ1xO*Z)m3^D|5i_+Q$*$4Ze+>&4L87L^f>B~JiO=f%v&}_G zl7vMLTnfrP?mtgKN#G8SQ6fUbhl7EYucAC^@`Pr#^ih?&f{_h;W-4E2&7l7msM(CV zd^^h^$0_V1(txBbAf|9?r-YtqEKmyOA6z1Q8Yp7guR;_eA|DkX3%RB#$H8BOM1$tC z6+%=3s8>94)KXB8WGSF()%QZ#6LSg~a>byKj6l26&L#n>;$AxAeVpHC5<@C6;i!eVNh~>%ri?* zMLA2DHytfz!44Dux$`5%OkcoRG9W@nx$~5VrVEamRoQ08?yMVJ(NkF`u1>YC> z+dSt|AcZ;l5=u zpM!x46)LEmayRy^Lxa8GOodK(InigENm6MU%Gh)zfG~D1ih(?{|5PE^>9LTis#?51 z(B?;B-OOvqTE6qk#dC~D2+RbXtn*EvTC57&eJ$?i=038%o82^nS+m=mMB9 z_=<@#{AIn1cNU}7Azemd&ChWtilza85$4bjVJKavkQ>)J;b@CM(U_T1Eb-b6xW3|> zUjxRbc~4QFZUQ!x$RTxUxFn_Tw4x^1^TJO=X#jGeOuY8L7$-78k&k^rvVOwWF+yy~tI%0Jy=GzDb0@OD-w2ZLCCy-kL}Cw_HT8)Yl* zeqM1LNAC{xvfBF3eD#X2doyc1yU{-x`O4%$HQKB7!1 zoMt1bbwq|ty5$QAjk`*reexJOplGcsB_KWn6INaDNA@72B@KEA@kvNS_n&Z_TV08) zcajn%@;E@BSxTU;(J+IFl3%>MU!&EOts#x*h%e|5`CQ>bbb;!Xqco@oce)Shb$Mh@ z^HWFk84!-;hAMpVzm2_WASbhYtS#=+jltVgzxR*K221#FGLt-mK>>fifXVFVaH7#g zThhrkR3Tm9y9PO1i?ebmU6g~pHFL{wpNL?bokT}SINT-X(Huo#eWj6�~J8_~fo0 z)h|I#D3a{mq+RD4Q%@&dj$&P`bO)w1-=v41;fOP~9xc(&7T)ePwWB>L77Fs?=?nr~ z80`|5Y+X}PJ>Bh0Dc}b!=WVUGH>U+n|B~ox?!-TxBN2F{A_ytV-&fqg8%)=2|H)bj z@(=kEc?nXR>Q$Z)x-Zs}sGYUH&mS*87Pb@ukee9f>2iw?qlK!i%Y1_2zc*$3_$v!P zT!{&cvkT4FP>yP7aG^!mXOpQ;f8hl25uaxk{pqG$e9RCAFpL7t9z*JkQR0d7lMa;C zMUtn&cxVddw1}7+Y8j~0MG%NKhHFjyk1ZU$M3^de;Ttg3=~~wK-wkf?9ZMuneQw9b zNRH(spTm7pnklVaFbJHZ1f2DhAHY*9UO8+*PI1$##LsT_J7rrwA#Tj*uut#qF?*iZ z6{M`Cop6u@YLZd?R?Sr zb3uYZX9sc=8QoF#xdO!zb73lc`h!|p4TDCm%o0AOK(b#~biafop1NYuM}%*Eny=Yn zyP%$5uPtM?_r8{A;U2#(7qMUcu>tYJ!I0Ts3czfC^5xps@~#zSe=_Lh+}gOi*LO|8 z9oWdpd8j=c67Hq5^B7Mf%J}+%$8ziNhR1%}+oA7w!T3zCxA%?lx!Wz<4`1V20oW?- z>5URRa!*3)>UB@8b%=a#T5@1ytu5%(>9H~@J%2BVb!-H>baDjvGc-D@ORx_&K2X5G zQpqU5(OYeZz<)u1%H)LQPt8IEzXI6g)%3a^@2>}X0pBnS)v46mNOy-EfiCac*P2W> z2Yta_@7w3QLtHx*g%nW*#j?yFx{%Y8#x>wa=3P7t(9)czw;8Ezd|x(fqm^M{6YN{W zsXu*^=Jamg>=6+j{G&>PPd0{CC*CnHsv&~CeE8Fq*qgS_1tJ@ziG8}!5D5rJw2S!@ ziO9jSJZgAYN5=BXjsCSk*yWG3DaN5xq$NJTB-WSJxaFfkiLV?y_2J=2GKhjJhTb8I zd7)m=YplyTKRJ%_b9OjE2PErhQU1(j(1o=lX#S=WBpVK|Nr~E(BlgTT^PojUz%FQm zr3uQ*a@?>L@%y+T_EA1PFkp}u+G0Bm!QW(t0TNW(-9hlX;z=J5ilJ?Yp-qW7y@fwl z?z9@u?zV2TWn_-BeNTiIOLjbGuc_PG?`6y6jeE&Db7xg&Z;}{ZRlX6?HD&52`bn`d zW2yGrZ|zc_+bDbF_2!G|yhRia?>fU9p~xA!a-r-|HD-nO4zxCquSdHW6j>by^Wva5 zlk+r3R)B@pTvjG7Mo(sqHlsa&2oK*u!0|>^JZ`FDAp>>e>S9rosC*nO7o9}&)s&BV z;qzuD4h;5Qces-y^X6}k2TyerhGFl$6+`1Q4C2sdQ$K%<&{qNac-D&AF-TS45PC$N zz+!p*HO0fCQc58p@c^M=nEB6T2xLP>`$Xlbd6+1DsBKg8&i6BO6F=|;=#@!&=%@R? zajEO;+wYaa@Nwux5YqgL(aiZ^)WS5V8$NEE=oGw~0h7ONFf5wuVk()vFH&yT#cAL%;ed0fhO=e}_}T0!n{Yl6&S&H)>TphKvm>udObg|=}* zgwcL56i7bA(O%FO0fHml#l$VNixi8iTdt+<`c8(gF|^b{g(z+9wmQn>_~b_A`dm`h z1yRS(qZ(ALj*cfuzaPtX%w(}|;4H!TK)fH?Ms}X`^d0Df2>MVR$rCjbK~6`{Zr~6q z3+#r-evx`2${@-BC2Gmo;Cyi-+K>6xiq9sFv6+Rv)Cu=GYubo0WzsyR{cn>8SB`WEIY=JR(+@n&&rT%nM_SS>?g!qUfeG+x69r`bo@S2%qOW5g0>|(^+AheluVX7|KpI$&c3}Yn z*=fH7r%0tOUUhIju!ehw0-yRHl=TK4M|cf*_J}pai&)>CCPjJnwq_q&*`8Y2-s$Dv z>7xPJ{}H@9tqCE%+F`LiFdkwTvp!t@)B5ko@?ISU0JM8sV~?%>mH#V_Gza0RQ+}uq z3)ZldRb9u@B7qEPTSXPMd9{fKs;_I=-v}`md~jEoJe#mlWU%4EIj0_hwOs z1zz-JED`EGcX@Kj@Q50Z~PI^_%2z^_EUvSNs z@xqDsQP2(){wt>5?nL~4#Fl?|Lf0{qe;3~~V0#x&1bif80vf@LhkH$5W&gj!!TWwz z@Gk2+K#>UidK|%YSOD1*;eePWh|0QW;P84H4Rl}Ea=zaW_ijFptRN0q+{DhQ|6>9W z=1KhT+S5pTG7gvb7xP#AC_vXhO>1w<@Lw_OSjl%-@PYtD1!vknLD02>Kj6(^G^Cg= znK4#mYDQNbRjk`E&mL8r4AeIT7Qf82^)E>1^|wu&BhI!zM~&;gg3z4BQ#bH%QrS() z%99o3MEmONtgIv&qSagygu#u*PQh~&YT zZRC?4huqq?5JStY!Ao}`g>|b~^Bwx!o_g&*1kDJs4Nu;d+yr0VcHO)FlG`UNAA4xp z#JFvL@Jfe#m~OrUz*%$BrWch}h_mBX;_?l=^D1!kE@TC1vUMqc9);=8*mo?p1k11=AscLzFFV?BS;Z`HNH zX{=M~I*oVOKk0I97OaqqZV)pST%y1l145|e8ZE;E0uCnIn{AHW0ViuxgB_10L}Y55 zZC0Wm?y7?C^pf#=OPgJpc4%)o-imvFb<}g6n+|O@^Mf8jdG8c=T@DXIpm<$ZcQ)st z77n0~9AOGk0B>EVR*8Gw9+UIMwz=;*c_{5VlK!|5TvMn|@%u3)dDKrgj}Z&qsyiB< zUl?O0>wdR~-6~~~Zm%sjh`q8aky(d9C`q%SHj5z?L{OnmhVv8zmVMPmqu)gfp~uUM zDAQDAhl!wD#!nWm^0PlFs3@#prO|g%Q2W_IQ-LMM4fQ!A** zx6Y$c#Kf^42&6z*RHYAx#>t#?-RQ5|9Bg2@ua;X$wz`~6sz#!f_dO2iVJkT^blu?}hX%#I z8l4OqP|CViHe048J9*#nlWn9(# zniw}sx@C-JC?XSx86i~+ih_hPQf>5XqPDinx|%eW#01%*RYlE@n6y*XRoX{yW4{9J zbdn}^rq`*3GmFrYh;qW$cZdF0G9_L^`N35>z=lZmG$tSFJdjs(iI6PgO!W=1~!|T$n`+0g|!Q0^^ zd49g~vPG{;26C@zFiL|F(~z|&z zuMdR8%HshRQp^PHW@k}Glfmu6CsExQYXOw(?mKd6nFdqKOOw8Yi9m+;SIUVuIE(|o z9oE08e=e4#C*{jA4)mv4|GK#x?iC$`48Ywc?t#v*HK8-+Um3V4SZPxfv{)oDEus(< z(sGru>NRc)1EeRrpD_-wo>)2DU`o1TaIKiiV4a7lC|E7n^dh8PhAAPqM~c$tD4C>n!Vxu_^2Wu?>5FSnV# zw>xyQW0|?1dY84R+U2uc9%k&Py@h;TEcP7Nae@!vjlkI5a>0-HBa0)dZU=S)pUjo7 zn0BGI>tJZKG^`l36xBg1Nz7}wmTZQ9tj?QV2u^k$5LP|>Az&8^DEl(o>8h_G`?)_X zTh-W1U$u&*io@1k(*!4n(GBTL6$IZsDCe_&OaZ#(=>1bq%}ZddcFf#)&a3 zHcB>XHgW+?a;y+~BpqB(%JxNEEW}9Ay!RS_9vHT<_rw%UjU=TQn%*~-l4f>o$5B>B z)a&8=e}R1PUyT1Ry4P;snn|moEr_`kgjfoj64|M%Hj5D|kwl1vmwm+x`@5d`tk?SM z@n7BR&oJlsS%+ZF`HlXs_xU0~+PiHZ-Cnza_wx6<4%T|X_VQkY zcLAi}E3aeZ&JNKx#!z0q?)B@SpOC%Dyk{nxXPy4Y5qt=TFMEQwfg{+86noEtpSdaKFB$Uv*5U4D70O0H ze+)}tFjy*y7d2;gsWipq7RHp3oP?{3MQf>L;Hx{XiL;G%$y&C@(lf2(b2^+%V0bx< zStp~J!3+da<4>@&ZTLUmJ&KiCFpBUCp`Azrk&3Za!Cs4G1fEk`ecv>wF*I|6I4Gvh63-=+36dK09;w zr^)iTNZT+RPwvCIe5OedubPnmT!|PYJezMbG!3mi?p*R&InaXWR@5SANhjYIQ(Gh7 z4pV#B;gnWPbLYNMWcCK~84qnS45*U`W+$uK@rj1D5gSG6eCmEBK$}7%jXmGX_jM5) zbwelmIy&&|p=uBW>&ZrhGQ3ysfkgIa6%plZ&&h)iF?Qtl^%XY}|47iG#~Hy=kjEDy zl&n1`7ryQ2knk>*>|u60BAe4;(K!!O zJQV6ZG&8=usFB2LN0yqx+if-?S#xBHfovOO-Tr0clm;af6r$aFQQbcWGd#Mh2h6N| ziXpP=d>YxACT$**C}P~X16sHWV^^TfRAI*)I42kL(mt?m4KqFrMu6&%$Lo#%T^CT} z<|R>t4F*+m%eY{?a>p@si`@j_Pd+8H~8@I?0K|%=>b-|{n`<8%~P@0 zmR>dn=1w0NQ$HSGegj&1`e!JaOQDmu7j7^6H^0x{Z)!P#k7vfE-pfs3VP)mpTkQF``G?g*i?6%}k^3cMrs(tS+snfHxo@W@Nond~C08bWjXuY> z+Eh`5+^ug`CJ&E~uDx$o?jNV)fA5*tZhCw-3DDo)VoO`!=2yqxs3*H^H-V@7bH<0x z)YkdI*3v_ubRc*BXn$z@?XcGu*ywiX;V*r8C%?;lDYttTW&gNw{peY{BtpqmcF}-T z^!B6l?G2JLCIw?(M#tn}zVulYZW<-tWDop#!l=0@U6_EwPyf zxhNmqFK=5d-?jjPSs=T#ZU4&G@9`G&Fie2I_0yqB*5OP07JsS4+=qS_U-73X>WfUl z9^LQ2G4wFUK&ik~YGvG+3gvq`vBX4s9%%|9cZdy+YUQGQTsmxS^u1*#tJuYY7e#z&i*>f-uu=p=YXgg2j zCewBQluYGmnN~8_3g5O1gJkON)m>vcpJ#Wji%g zwJWdCoP-tA@bt|Q;I-V~21&qPGCe8iMKldw*{11(Qy+>qwfDnxBd~PjW%|DO5G|)A z0)f`cVn)RBR-~sY*Y4OexU_n=0C9%T%R))i(nYkVY9~2I(qol|VT@^VCtDmPe-h=X zAw5P_IWI)$((j1Da; z*TK?I?>JzUYN!?!f5aV&`p>b$n_rTIq>on@%wv;MzeWX3%(ps-$^DmazSfWv<(-}x z{1{0p9SP|FB zwXt8qMD?3dOj$JgJd3(xSs=GU=$Q1EiZW|-;Zv;D3WWU{+<67Z$zO_%CZ5$iP|0`) zO&C1gG4Y*;>XDYy#`;;6rP8IM`-nyP*3dG5B0{@j#=6o2f%Q@4O;o6w;`Fg5E`C5A zS%DG)Az|G~rFEDIrmHKD`ji|`O_=L*LYRqefSMp9-AFyWH4h=J1$8tgnxcWkBwA&Q zwkBQju$x5(<;X$ikG3*KaQu9TNz$

$}o6c_yP>sSsoyHo2MOfV`A$P`MeW$S_S8w<(w#qkUOxOUt4D@K}s|Ram|1ip+*f zl^fIqYoDy9i?Ale0F`0uQ-@DCuUZQPt&3+Wai((UmO)qAW_!c^ zLnZ51HluZ8%!9T8c#SU1{*e=bBb!`3-`!_@-Z9x71+rTa9NVqJy7&TWeseW2^FM3q zAsA-WXx(b-QploEAoRyFi1Vv_^pGGbti{#e8lw6TPy7G%4^zWhQ>?0Tu>_h3OkIzS z5)$E`ukfs`034liBRI9>>b|k{XDyF^9R%{phLy*w=lOf{#pz@T_`tiOno&VKg3O_B zJy1858JY5^$4b}Y`(G+4#zDM=vTKe9{s&5_Dy8MzoZNw}_|l#XvPc_tOqm*tx{`0* z32|B-!REL7&Oc@mX^W~@EMpY^4cs-(*U1~@MG?gmc-jIwK&|CKeK2!D%bNj#(Zp=T zTocWtydk;caK)NvNz)6&R!g4p0Kq4&2IwkdH>@CvzFApKkPF#4tMVe)+6yn*7iL#+ z|5N;rRuDbE^Px{Q$NonRQ!&0qyWAVRxfP2sMZf<)=he;Qa-QfL(N~V}>2w28&3yfu zPaR|GYRzPon;GqN|B>&spqn&>EHhki;i=18*`w_R;tH#b*y4L=LbCQgY#$uBWkH^MdqXsZ&n7XDt=c)qk5tPP7l{l+?|@E9+mT_P;$NKWNtlJCQi)= z3VD89o_1Isor;XIxhx}dT1Ym~F;CxIyE%>8Koxa9fu>Gddk&aOPEBhl%q|FXRyC6G zjr^>q6O@>ms!p#zhV8~TUdJjY7r!vp*Zy}_`8(U5bajN(v74%CGslg~xmod<<(^no zQH9cp&bqvVuUOmP2IcQf0c7gR#Z>o`0mCPSpZ@mOb{8cP+GT|$704-`WbVni z{3pu`ORB&C!Ui(Md}Z^@BfO-oHpq&7l)7&gCVW3dR4wjL5CV7l%G#|`)CTiHvMT}* z;_9cyLjnI+5t82Q1@^O+TInsfA|q|JPE``4bzZS8aR6E6NhB8XysYdg7X5xCo~2P1 z8dXEXBkM%hpjggk!SPAmPk+ozEgQhw=)mmjjjg}W&KKmgi?bh{~s`3_XN0{Q~$#ER`8Gq~>{P z4An`?g(fwjdu6q>=C+6$>#`pqlw}#!6;FBoClr-THuac#3yKJ6@`~&RY8dq33$lO) z2$Hs=B44Io#C+kigwQ>@dRjA1B&cdaL!6ezg(L_}okv&|(_gRINACCElp5N)3e6#N zJ!xxX4S3!xp9C7Fco;D3BhI3IOLkD?A+2Dyj;lKQaC=OfOz??EW`lloL08EMKaN$!?`2oqkXP1-!e zDJNXS>lr^$b>$V{YVfe*(!?BmF%kr@oCd135PvRwO5Pp|{0^4q+{*WfnWZf~DqM=B zEu#osm-|#9v#dkzviedB(n|0#A>W+!6SjP{t6JpXSFh7Bc^>aQg^-LH0Cj!6!DB4D z#wLDB%z;o3PK&4ise;gm-CFA>eI)JNXdJj>WAc!da@MYEf}sc|NsD4ofpP}kPl(3k z`;2(oU|NTCYbc0Foa)(}j6Q2tn|t=S>0lJw-Ab%naJa~6dR1S9iN)ayMdkV11G>3J zp?D~^1+}dz`S=C%(}ZOoplK2vvj;mWT!*ACY@DXJ0G?DZ zFgdpZCXQ5uO+7i0z-Ob*Ws=D!yC_2d$B;**xJTC5V=Z44=&g^1o2W)O&8pytmUxVZ zoqoSK6Diby(PL^T2fa;3Imga0+kM1qLdzk>nP~RgoW(Ligq;B#Ky6>s>4f`9?;n^w%#n0IW=ju(g>%Ks1~TB{{-5=^|X^E8e_)o_wR-n(2w_w z+~WnEggw-m=ALChzV*~^E+!7Ina}R_Bo_&C0k;dHcJiRzAH-5H%*) z{Sb>NR(f3c2qzsuJ{yTgz?iP7`gNhusX1FoRDf|Lj{H))qEKNJAwjhc9yya8qCZx- zzH7%c2oZdF8*7B*cPumMLYpZtpxd!fGD&PJez9m(Do@y2%GM{r4sOMuvL z|Ex1?k?D@t?;?qi7zQ%7|HIQ&$3@kA{askPO9Vu^1tgVPLb{Re?ry@ALk7&z?JTYUZBroY@(}U0U{tX2Bx{w<#r?x6E(WP&7~`-TgJB zw+9@F-WDDHs|(U9_20{1y1X2mE;lD+lTFidOm~d@2YjJwhFxgN6Ka)Qs*?-v zp3WnYug^St@BbQ=)!Se9Ri)jtno#LzR&v&QS?Zjz4UU)kS~r0(8<#yB2up6(3a!=H z8AtS}tU~y=Vd8fS>U@QHSx2;XV|(>tDs-n4ju?$jc5$)f@KOu|# zqCd*DckOH%LuWlEKF9wf8ojEPbHs@tRZIQx-oIYDnAa7>-~y(!ZQl=>Z}7|IDGvP9 z#-1+z)lxNLB6+~oMW*6u9;zd?DW-8V10jo;T|FIj&6}~tb`=Kk8RjpCcHHvzux|k? zc*7+T1i7z2>S)$6AS232(4e0?tQaZlP`Cp$x`*G5^k!WPM$W3$SPoXrvyzGTS^z>t zeK&`hLqS>L8pJvtDtaV8ZWHv#$T?T(7^I&>>q%SRZ-(e|(8)GoN01(=d7is`;^Zz; zwhX%%7?63(`({lFmfPup96PZ6LM}UcgA;bk63oME)G0IJkLY1(?(V-$#;ZIENkYw;=JUw)%DRvpb0;vakqn=E^xJ<(-*Y;`B(~nd#B7xyI%Rg(syhJ z1(fM{liPb*i|7Wv$jia)W`(Yc8V86K79-=eZTozN>CL>(4yJ!Ssmt|d{x3yXnEP5I$cC* zBz|#D9b`yjQh&f>d}WLs&s`dw z?jl=JgvH*)2!|w!=6FHKWZX4J+1ChaBN1o;pKD75*La%kW&3k@qKxY&gpra?#NH9q zXwG>O8JSFKix#kq(hx!-J~@%# zA3H?gy%}>8(5CeHXw`J}{+km`^cRu2cYwh>+whI;WQJWWJEcRmwUB6r`1Qo}ulrB@ z{6rypK@$A|&pDjv0Ai;jNi+-M=WMayZ)&r>^lFA*Kg^T;tQ;rA!!SztE4_Hk6VF_J zpQD%JD4ocp{nTVArQxx6ewkk3lB4wXkD^#{-rxsp^h+hpjF8WY-QlY%q1~3^c5Pn1 zojcZxVBHW=4_&r^bM>-o@!4(&&WyMrX0soYX;e3iY2~ETL&f2&J!{{5Fk# z#Z@1F`H8Kt_azEb&12HUumt6%D+ZI=BDUWQjYlqr5*wQfG1oIlbXSrY+v!1+i*&Hj zgLIuK1EQQF0As9473V>ZDDasZH*CXTE+=}4q_%4lK`6~fpXt@J56N#xMoI`JzB)Fh zRQN^z>nNTUn5KWeK)f9NotpYY>{RISNf(|! zmjOUV;6h;3ZDVG>#}TU+);gz+MguW=m1CJ6#c$MUW0&xXwkf(<5S`|*e#>5WJ12sa zD@AW3LKX2cR}ksNVZF73;!DV8X&!5)EkTx>Drsb4x0h|l8c)CZLWY)VE=4F9NqubNtC^?c{UAmvn(z| zqp`cV6juVh(aFvHI}LuRRf(k2_toGE8pI>(l!&=`1r0uDO8L$w(w&bw8H3-PcjtFp z!WRo{+w@J6NmFz!=?lFsD=wZk(1=GXlQvCbQ&ELEEdUO|!wsE3mEa`A<+7)&agnuX zr+Mj3P_vU@Bth-|`}?R|ZATJzR{@S~fL}dnN&=E{IJT3O`6!Lt`3~LO?{-pzU4VwY z7hO5H(&o-f&qE<3+FH&q;)!j5ho*>7Hj35AHMn{^5tn9&QIFTS==%&XGCoh17D&~& zq5JTU(8?Ft{YO$Ml&W$0N0KOF{f~4|C`I_k1qV}tU$V(k7B{zOcN(MQ>x7iTJXgKc z8z7MNd3B2r-vJ{3=OVW~7=*43*Vtjk04E$Hbl^L=mqgJ(_F00a2iwPJYo4E>{ogVF zBUwuHf2V4$H`or250QE46q3l0uXVN;q&2je?dir-zwtOm^k3fWj&m)7K`n(g@C5t? zJDT+w2`mkP}roNtJ~*bNt!3w+z4=}pWne$0hvHnl`@HRjTWGTd666|dq{lx zBA2tjhHO=HMriKX3VB=5+tA1o#=;=2FAF#z3q~CZ!ral7H+_uOzKv4XRM)po5Gz-P zy}2S_)%yH!Y_qJcZt-9d@4HuO5BE|ol>f~ zfsS*LhlH=c*POh++;_uoo8LbKXD;5V$Kk(vf;D=l<0L8!0?h({%E_vwjC(UojOWCj zXZP|D>8I&*!?}BPeVo;>0OIQK_@s-o<^J+q^!|?X!R`Ic=G@*`Qbq=}3FdQu^hY$K zrRi?!;^D02_OPpOs^!DYpR21g9G}bMKV3B~P0jcBcMaZ4(A)FdtDQM-&K6NbmhlIl ztLv+sg!{cI<0bgb-QLOA&=D2<{?w_tVQvZj;repJ@%Zp=iVX@JVRp5#7P2MU0=t~s z+Ttwj>6zM6Q7H|2m~*B=JZLq-?_GW^Y~`0C?&c02j#oBL4%S!mqcWh)_dJ5|o6VDu zgNHv;oSeoPAFd@47ovG*J8zCCA3nQ1T&;zaaxNKt@VSeeZ&DCDTY@zTEymg*LO)%UL%K*QH_ z`BT@TgD2h>V+-Z;&RbCrHycq8=eI{wOGY~x!w=*gS9e1Xw98B?v7R^Fa*2{ZexJWm|kq-+!5 ziW%`#YER|t@8kHG?7{Dm`NSAlbb@v|Zu&8`Uo7#Y; z{qp$=Z7R_e7uA+JQN_AHolvo0!v!_gZ#oMLcUpVYF7xXP_h;iZa~OI-tS*Zi90cJq ze%+gps*E8;fB6R}@^>|2KU};_6JaDtex^+FAPDo_`>%DjKQd8%3?G)tueS5Np%SAdqZI3$J$?}xaYZh9=1 zX$NWvWNY_!EMbx=+)gh^Z;Oo5=3bvKcH3Y8Q9pVYs3ukO(~$oLzkIGJMsjQS!StF( z`GvKgt)@9rbgNMWy&x%;qP7k7)AH%UuxQ2rTSTceVftqbMoE-}cym(`NDfhY3brL_ z-IbowpcER>Dsu2AqJXa$mQ>k`f2ScDr~^{CL}q9xQDYrhR&QoxkW0PZfStY6B&(b# z46!($rVEsGy!4FSr^&DK631Zm*zLm>&2Q%LeJBI8!AbMM5J_4rlM*5!PNiAQ9IoTjGjErI5pW;VI^ ztz}M~FKjtulZ{gJsisCXcwL8qR3Py8TU+7h-mu7B`7S&THdeleFqL@qE_j9XYVC@< zhc@|rKgE6Srs&Gn>vEjJv~b%QZl_aht*Yk{zf3d&^BAFVZzpsS!QOYajt$b60=S^a zAF+Xwubdv9EhL<)oZq&2f;OiU?uWwpb9`QylP_=o7#4HU3h0b21*2c6>0;?@FOFf773Lp7>rF8J;Cy8uT|KnkIp)=Pg=I{!g zjHR?X_wK4dAkd|m>g%*L$cblAfGQ3}n4#e_f|8KscP#?b0k3kfR2)!$Em-{G@OZel z3yzrK)4^Lgs(p5G)<$M@+!m47u)y3nA@yX$7dMgom1XS%A=n5nCWaE2He**s zuS+glOM^p5iJ-4ZoFMfxEf8UqBA>dSzgtecxkl@*tz$MDBLDS{E-FEh`j zEbs{R7tUgA|6}=8B113}*lDG@_ct3bYPfz9?W3soKL4aAkkS~cOY&f$ZHQjRc3Utc z20|ug?=2ur8`-+=!Q&f%8wNRwng8Qx@_roSZ4+s^fJ#JZ*`fOqenQ3FF%t;SPqlcH zZyt?L`_K3T(wudV%+K2&rKVZ=^P7Bs@lizdQa~VNv>F{W@{SpM8LPlEeElfe)f4(N z&R1+s9G_}sh_gOQM$uNF5xm;_a@6$CE&tj4wY_jH^XhZ8AWXpGfEI()Q}0QoB==0$ z>&1v;3}syskWlIt4FDx%mIFp+f=Q$-NC^#anju@+q10!p=GEsHk_2trkbFR!<>g5s zHa82;rkMEvPYMB)IQ^MJBxR~NrP&SyPDKI>GX$>a&2cZgxpwJEmisye%vc_v zx7ZgWn_zhVw^ z5YPcrtqOyfQ&Y-G8}kP*kR(DQn{GOvkh<1rfWuwfWuOqk{6n0MIM%)icy&tn*Ap@s zmeVtIq_eZZMTSjf%3{GHLh2SHQhPz3F&#HmIbLV`GSj&b7M*kuIKhH*{*xAi6*e5; z>5}GprYd(%OS7ntm5(*+pcWD;??K$45sR|;Y*}!sjWOSV)M6G1L6uDyQL4aj6f+P% zJX$jP${VH`S|GUw=%NMxe5p(2n3DZ;jw_rX;Zd6&Bo~a~>9!0Q>t74#^WSh*u;5?M z^6uBY-zfhq>bD@S9WUrSH zm8($ZqMIJm5=^#Kif^08y_kK%Wl>Q=_@<4~B$r+}7JV-iU=MKV#6QGPZz2gwQ&Gi^ zBlRDS9aK>p`6Grt$*9PygYPCGjvhxEHk{p9u*YcQ$|DkKW3Fij|5TAbkD|@32qCgc{99*RC8M9H6f*vy~ zXATPpJm3(6rJYZV1*5dQhX9h|Vi#mh!wW(dFi_!A4Gtv6(yd*zN3K6iZmP2Zy)E=@1PR^9~Fm)?$Dlt@;>fpzJ zcm}Pu8q~_Zo;m8;lNK)T(Sx0K5T|)r!SYuHKR^bv5uwa*FKUH>I6T~-aBq{B6Ue^y zyDpO+Gx@b&{np9Fh*RtV+IRbu-3cT1&vW?=U;Pfr#n;t-bg+^>S2a>8mgBvIDZSpy z1CED>E`G3wlfdew4&ul}mmHplmJ&drsax23_`6S_<m?`(p z3{%HfKU@l$^|5y%SSa%QVO$PG?X75$s|}J=;!cKdbY!f;Ox$8c9qkxj9r`2BFkGYS z?R^SA^6^h>JUr*2eDeOXbDBuiJaAoJz^eh_|De<8667&6uA9r<0F^q2(9fV}*(~~Y zU=~zt3E8W2e#$MiCflwcka$kn)GgNT;O@3VWThgO908KQFL*q|wSRj^zRC&dl zZXDkeDG@Ncjz)q%im6`|h-I_F`c(Xs!ag?dh(*s9OJ;VIrXI!RtmGn|UjIE4gk)P2 zzk!Myk8eC9iWcFt|MLl_eYlen-E&9=y=Qly|MT_R3rN+_XP@XkrVn5Ju6@j$xy_$Y zWlw~u@|?5yMW%LdKE-u+klAnTq@<=RA|jiXM7_42U9YqvQj6aAE9ilss6>Zde-!!i z`j`Lj5Ohb{sq#e)6PtZpL}(b=J1TK}l+-ju{oiGqu|2R<;8q3|F|3F~R}Qr}E9#Gn zGvj)lQT#f>M+%z*t4f-tRf0vT&a|YQ!oM1-)jDHSAQ=xVKj7YAd9Bl!du{%iDcLY%)@P7Z)SXplTKCAn zc-eP5o&6;R1j-F^+q5k4=R}vUDIGAcc~V-bQj*qh>6=)c{+5`{h`NE#J`HZRZm~$K z+C#Da!*bPtX`zbRa)ZO(;-=Uyh5T9b0xm63{wXrJ*d=ejxl-DB_(9lS0A zGj$%6Crfy4^br2b_dVYYaaRvu+?r#lbSze*cggJge4cR@#%yS$!Z}ZmwSM3Y42ikJ z9G*~K^LDu>Vx4$Z)$F;mzFF)gkZ6kXa7Y-k#D-_Dk%dLhSsme*4EiJCBTXEYPb7{} zV~<_!y&*#sM$X*JKkt?B49EW%(tGvL76iqSFzy=)FpaVNDWi#h%;g9C@DqKnGe>-T zRwgB8_sgGB;vg91e`L05K6JwyS)N(~U+0Uk0^BP%5Dhk-BLTTSO{Iz#U>4E&84kTL zntbA*vKQ!fUC=MYg<+;P2az$c(_)jRcZfYKi9r_htj+kqo;va%)4ac8Ul`Ayt&ILq zWbR8-KkYlce4lE0&RQkDy%zt1ViWyBvrE?LCmc}vX2Ho6?3+u7 zY!n}KknxpQp-NbWRoq;OukGR06K3(ZiX!3KI%jEJWzm#NdUx0x+U`9OO}oyASGADt zNZ=KE^bD(5iaA}U!>cclh1cweSGG1Vm*;imcek-iozmI&{UW9r>+ht!mDylvQcey_ z70X!T4AwlaD2#2kr-0iR1WOKGaqGA&)!Wcs2len+e1N+F8bxT{p`^x%Z@(`ld@w(1 z1FEU;0#qs-DVbZpr@CuIIjJRm?zhV9Zu7^ljJgM*1a90^yot-l*v}9`ih3?1L*;*w zIQIA!o=LS%Vc{}IdA7bbeYPK`fbzx2Zywn9jgI^%yz*0rH}$P-FoHF$zH$~`Dm74D z`EMx>d+1($!!oNdf$IcfVfmN_K&2=+SfEya|y(y?Pvr^04g6N91>F~c6FsS5y}L3(P)3@ zeW+-L5w4QShLUj5P(x>(mxP~CHO`G(gqD!G#Ge>B+lWaNgWXVHpQltj6Ud}y^z-DJ zl6-k;iPk#)TL6L+-GvHPgw~RPt2Vcx!AFmMf>0Rts}Z&G5I%-sru34bT)tUFHd`ThMDaB0-nXc%f7S$=`FloyxlKo8(+QQW7f^qLddyhh4nJT zn-#I&j1TbCI_t@(4hP3-5mPBa_Dr0mUAI>w(ev__qB56p@^+aCUUbsX>U6zDep%%X z2zGY^LZJB1Pu;75o}jLP2EP4NK^LK;sWM;{mP{2?AJGS^q7G=Sa|b}lPQxvRF{z^t zz@X8C0lgS#zY`AO63IBvs5qNn<1JodLz>w;wCXwv{Dgridwh&660tV=7v3n;W`sX& zhjXWE>cs?YP*h)H^cjM65=qUXHQH@K*NJ4Z2=o#VCZlN`8>mn44X7$lUu}6`_6UU$ zgJXvI2hIEe zrwm3?<>?s^-rQ+O^F^&uw}++4P8+@V&-dvR_Shnl9FoOf$kXo5nz3% zaP6ha`qk+OPi=wT+FHMw^94;*#0!K^hMIa60%fkf&`wC0uDy%Y~g2{L(p&Bwp5`BdB zi`RHOD|C1R@x8*laaSX=CnpN6m2aFpY1yAVny^%oLBPlp z?_1l(8koL4@mBWim(TL=L@$&toBk9+jl;_)N1)n|dR-AGtx7HTXcGIWb@wMbWjk^8 zq`$#i;K^-;OH90R@qQ0ErBj##=x_Gq2$4f8)a&iCE&uD{Qc*+>?N<}ZGRT+6oyk?6<>mnQV24~7d3?o+>JyXM%{M!ceVgcEvf22~07TTaR zZABR%c0lH0Yxs7l-P=~V;ZTSS+?hVNxw(%NEPM#zTkLQ&Xpw{IQWam zLuLZSBaKN92P;c~10ooBN4f+b>w%5SKoqv2NILENbR3B~(Z}`4$dak5hGHOKotxkF`T6u+rfy+RaVZM6|DR>AFX7yQ?(L6C#<1TvUgg^ZI z9!kLv{rf0>Yb$-J0dv@sBzRFVzDCRS~o{`>j^rv@=jo!62M0@6;FglMK zBD7Q;LKX!Ej&qllHE(#{c7sIee@_FQOaG83z0#-GE} z?H(2pDSBzr5F^lV=w$O`9W^rw*H2y_dELXMEQb`uD%Xb&`4PdaFl|O|j zjBg;!;xwrg){D|^YJpQ()+SJrOu{f5+BWn#K`cL?@cT z!QDme(%7cMHUgB@QR2{LJ)ju;@Bp5l{v7ot?vW^-70tEFZ~W7poQFpOpTQyBrJ7d3 zmwM@X`3K-4s5Sg3qZxDm4QW3bTvE0)p#mWw!sW5@(!L^RGbaQq*8Uout8XW3ak*sUhp`0eTbo&zcR=OtXBQ`W{{uV;Y#04FbL)9uPhFoEMbG26`&92%VD)oy$?4=W zCVbYPt@`ZV2DqJOTdDNL^k&D|*QO#M$#}XNFzriVW`9N~dT+31RiX~3wZFzc4MxQD zl1VgqF>@Tt^6m9^;gEJtoYL4DS$mo$)X_9_*A{zzSJ7xPz}J*XjUzdQy#7bB)8IEQ zeZVrayDsL7(q7Q*J0jGxi<5%u*i?xaT5szcY)ujM$?wd?+ z@T4hx+Udw}Ijvvj@-@}4h@2bKUHRqmQI7s6RLpB2g+)gJA>CwvQ`C_D!QY?R0b3m0Cs!=$|t;@%NOD ztWZxDvm=_-uE@3C%d6a}K9n8LD$~os_MsKzUVDBr$KesGm4asWFL=_v{CTH8Gq)gKDpS&M6CW^`UFJZi{;u=kmRHCJ}cwPor3L~yp#G61)#jDbxV-B0| zNhTeKg}OH9Z8*Zq3%VP_*CQ*d_t(*Js_D7@!~D3vovzkT85edDT6iTesTJ_fDpR_6|@V4p5e_XW``dX`MwDYv~iMc%ob?> z)!U2GD6n;^%fCMP$C8Z`)mowW^4|F2^R0Soor+Av`=i0Xt&aGYzFfmhaRqw6 zmrXIWwrnhyj@dySJR7lz7N7IuP))YOBe~@w;z?PNy64K9?HzmGT0`Tvn{#JLC_ zZ;+?lO@x~xDB_hMaCQpWF9Z_MtkP67l#H)Kap+5-nJS%)7AVIiY3O8?DI>@vJYk3I zux2kji+<`4Z@Ue;tZ}J_xrQ9@q#394&5isb$-wIyLyYt&)OYh<67JYChDT@0=(+vq z)EUz})sEnTx}e-Mtxs@+*x>FQ!gYi8pw}qWtFd|rbR~d@BssuKAo6e-K+T1#a_VI0 zUVUlN*JHrJZc~?qA8(j8`^W2qL@FLb=sUAgu}`c`pC0WyofQ2%`y@`T(Xo?2_^bS$ z*eEPNNiv#s1F_V}W6pO56{KIKX7!*YpRNMsoJ zfZY(5_-|-*^3tbx1O@u?&FUs;9HYN#;Yd(XfmXOBn0MnbWH8$gRRvCRo20Q!Z3d@g zFr#161#u(n=&0YvXaOsDT&L_*eqVr&!^Kj4tv%$ifi!+|)^>vWR1GPbk1i;U&}MHR z<|SSr;Ll&CT!fkxMda6-%rB$7gaTfMAiN%w0$XcfAVRCz`?u)$OyjbA=&&MW>bFL- z2c*I;+IG|6D*Hs{4ALn?UVA*Y3L4Laew(Gte0BoiyD2X_FJQK>2S@!%hkyPN2DIGj zIKuofjp#I@39FuS_8Nb2mxzt&Oozh=BC)q7Qgnd2V*!exm`a8h|D_b?Z0AtwTG zgykTMo%_$%D{@9NlgZC|q5dbBkU>yp{Oh6}!=rWrLOwm+bGbBaYFBA|f&A@(nN=wX zi;Y>ynEfA|yjm_NyaC-*MocYr*#5IV)r(ps&F>52uF9~#Eh6d|Hq`$b=x^FJ_36RC z11mDWyD+41*3{4Yk2Gf;j`#G;ipB22kJ)x4QB*5?dM#XV#HKJUP`GU%s%2q6#G1r( z*-;jE8%70_qj+9)OAcVI@oX5i^{zivg1pgh8DtQ98bQlkn@i|O){!Ew<%LuN-q!nC zEJo8*sg0K`--!sGOp&i3S`5{)S8+U(%Z>9%U9JDo4GNakYuREmdM%Mn_&E~JxhKcbf}pp%Y2AvOrD9q9d==5$!+Rjid6FHN&9 zq@{!d;8Q~5YP-X$Nycq{d;AuT1Pg%hM;Zr8l^|(}IC~d=T1YPx0~$a&Rl^vT&w*V0 zdt~R1WVPu+mt(_($`Z6f6D&5WAv!lcab{Erpfg@1pe*AOb=JEW!wZ6IAVJ23-YXag zdLlGq(?ikqrs7JVIG)_jXmXT(WSOdJ$gDl`4w2CXxn2rn9nAL4M!{mTIA+Yb<3NeY zFEOQ{lbjjKcSb(YrM7-l*cQh1;l-mSMnQZufJmyEIrv_M^f%F$yT&8SCu$h@nshKj z)*fIDj`P}OtKfxly}A0)KjyJ-dl- zf~KnczJ0PuH5pJweOf$t#hV$c{@$=8RKD1Cf6Qcz@SIQ*x&qd4>n#(I^m_U;j8-$y zJQF0qFI$OkF~yh$2##;ry=eLB5~hJ4YZgWuf#qz%%n?-EC#-*F-*6{@BF=lOIF%9sH`CAl zC;!*A1Qv60ShFUEs?DP>z^}|p6-6hOPfFzdq$yVR9&L)$y{?HP1_VnCKM*Xj`;tgi z-P7J&=a}QOkFKd8!YISdWeh+x<8V;VNrN5*mGzqp7nCnAE#32Tdv9&Mu8{xtu_X}q zU0rylN@Ek!5kKf@v9AWw>6|3P6UrOnc{WuSx=1de?fD(R91oF6*vUA`**~lXCVU8U8~J+Z-01I0GSVuI6Qj{ zesGo7H5|}MtSbU^4iE@HC3tzmKSS*+P=e zm?BgI2lpp3w+AF9NeAw>S-&4RS3D_0sGWf+k{nez{|!Otl6BtOtTEmB=#rqw)v0f> zC(?fevs!UgN=f8hhv zgW2nH`XHNRGQa>4YiZWIn83%l_6^S?tPdy?ag99P*<7K`fWlF(Pt%(!hv3*dh@kmkbO^i@#ta*(q3Rl(LwAk!eWuygfk5@VBDJ_fV?n|5MJr*LU1-{)I$O(ESHCP zo{v!R(|XoAjsiu-$zNC~9{`i8l2wteR|+(DJQ@tM!>47@*4L~V%!hp4?Hq;l3LeH zUh<~)?+d*R7~;?1MF24Q2*cK^0f`xEaYq*3a^>;w-MFyd`PN&N-`r+k+Nyw3(B~9h zzgbABRV{}mO-lyydx6&c(&Rv5O7xZAw&!6L|J3vea?DOZ-z|J_NLQPN)x3SQ#vm;y zlQCu{w$?$-2JT}S-QNWg2r2t!8kTAFx_=T;R5y^{_pdQYkXS42{?|WwSoM=66vLK* zb&6$z4OMy|QYKiz&}8K46TZ<%VkXRGM_lkaFA@mpxvB9<9Kq>MMUBm3uFlp={cm%l z)BN%$+rSE47wUG2_0RpNv%4~krfMT+P~DjW{L<&7uq4ihBGrqDTQ7YAh4DlT;j9#Q zwVM}ax;-5U{8ff?7ppV667q$`dWN40%&X3S?q0*}=Ow1d{s9tm5|k2#Gyt?S<9K4I zlHT8?yUCknU(8Ps&J+7Q zdn}HVFAG`ev{4V4eK(F0G-hGsg@hAb<14l;MywR%^o(x~_I=x8aWdv(cY_GE2lZ!0 ziB~yKt+peX^Dyq1KQ*qrLbR@-T8vcIwe7@7Ig33JslgHg3QC9rk}PD+Q-{HsY>62H z(eb~j=s@NAul;84OVowM7vdSrzR1}&_@XqQCWY|Ch(z==80KNI+ZNDgbZt8`*0Z;w zPnZIRONOW&)zV=i9b)(qwA~p4R&xTs#0W%6t5q3{uQ|Ri`q7eu*ZWYFw#5iVNtIImvJm&)i=Z55 z;Tpbs$3^M~wLC(N|3x7*o=gDRI5gupg0-*UV1&&4Qb0j~HSKun4Ey=gR7e|X3=a(# z-mst-pppdWvAeyHBm;`Lpx&iF1%GO(L1XrNLKUe8=NlFrw$5gySabm)HlCx;?WIsM zos#3~X2^nnM&j@6@FBhaVub9;sZ_dmThNnTnvdke86c}X^fX|HZ}{SQG0!V>xCrU? zdxG}A`{dn-_e>|hY~p7HV~f4j@uAR$N$omEv8DGq#{Dy}>qTJa3lr=^Ntf%+)&b)3 z=KbVfOFMY|BF?t2_;%2b8vat@4=S3@5Up2F$xX6IjE7iMPiReGjM>(=viub#;7^H8iuu9+*BwLN!piXy&BNeP3CdpgV-P^iT2THvTAWTY{YVRW%1ac4Fp| z(Tn-J29*ikUf$OMZ+4(qi>vR$#WTmvj823WCSTUPT6qO&dW1G{U7h^E_IseVH7(a^ zMSata%$FqcVX<$3Hbl3ycM zz@0kt1YeC2?Ck=MoF`b)NYEqzt+O62b-#~bnP|^x`Rz6(_4<2G&*M}y5dPlihzVOy zmaR~1aWc}%nqt;RG=SD)f@N$og9}6WCiov7X-cy{5Q+{EdT>m)Z|R#+mvD5KnuMj) zBdNwg(%FB4u4R!7oA^$8$AT)K-=oaPO?3HgQ8o~ zz(y}cq@d>1s}H}K5T^@%!`^5hG{xAaLo4Of!6y_q@vRhZk&uBT&_pS=37zenRgqhc z_{=C{81(HWrdg7lU*s3%T7AuJb3&IP-u5X=!c6Ww|(#Ys9 zo!dMODqvu0$G_^}izDW2@Ad7fzJUw_v0K(f{|l{4CL6g3(@?Pj`RrtHT=pC0dxYX! zm@Mpf`@0J{prO|vL>O71^w7XC?~K0!$x%n1$-tID&;ZSZHl~X+hwt zTaVlNdx@Nv2OD4O|64u( z!{SNsC;&{8A@lM|aL5UYKzK%Q|HafHQ(?-p0M_ z0G6=s8XxV{tQAk;S+-j&r|jy%H`|Ya7-ut9;To2u^Am2r7U{v29POlkGIOOd`hN12 zJ35ebzig#fWpHd7UCc3=A&{cQsBTbgkd$*z0?>JHUnrA?I0%Yb+-xiCN?icc7ls9o&Z}gVxy3ix4jN(BNr@t|E z2lL_NJCruL-k|6yW<(}oX4cog(<|Yqw4QL8*p>8g!Hm* zBkX@hjgcPix;kcZpbH(S3_y0$!RG^J)#Ke7RImylwlw-KepHKhqEHU*Lx9;WBi5yf{#p0an1{J^be*GU~AfE^%R)6oesU4k6ZHxX8 zieJ$eCS^d%?~om<$5w$0r`@LvEhFgUNC4rS|J0qsYPK)*e#c%t=$0f8MAV$sAC5}| z@vM-lP0pn!fV7t_#b$Z!pWYNe7UfIUrv8b6G5_KbGaow<0}$Cyzff-53eW~a74e9x z!K;UkHQm!pxJxS~(%;Qpq#vVmOP!<>f@|k)v zkMUr!N8eS+y`S@F*ne0~k4fO`KQAC^-u}}B5d8NLFiDmkh&3te{T%boBg`_IDvDKa zHoCGhe^u2(9{%ZgPd`ZPS0o6x0Mavk(Elx8rK=g4QYfJN{mV>3dW)9IPVEMjp0E8I zv$*2>z^>j3=ZneJX(o%Mw(9XmMMgn z)xSKUSvR;rf9Y%N3+Z({wHRc#o!|qW7Z?k1K0LCZR&at?RzscKHc|ap;`hHiAld^O zgrB_MaJm+Q7TYPyf^Ff4N1I2F-f3A=x>3u_T%BPc*CvuDm_8C0>L=e{7%Bj>tLF4K zz>;THhI>siJN0xQObK{?{|lEhugXNvX8l6%Ob;21zv>vZ3BHwo&lYob=Ly_{J3~I({J2^h0CAG;{sj?^mOkQYbk5Oyp<2cx+5hRL$e2C zF)KRx$#n1P#5d<^kTAW16kkgIDMQhHXLY%%^Mc1dzm>@_zlidOg}Ut2gtp$NxbWgg zJTjgPD>z!pS+Zj_Z&#+UWD%|{xaZoTIpK(9(mPW}286;@rmZDVBv!6${mbq+_36in z_Oo(K`aN`7Ce*TfFmN~>xE=xg{i+EDzY{H0DIIcdhCUpx&4~^yiJ~&?gmfiwiXvcl zm&Y5oM=C2_-iK2mS2rQIdktfIH&h=G*JoDV5#rnZ=~&<1Uf<_{CjW=r>duYaMRLA$CC~*X@ zNHCklDXyu2BLK0!{W}7X?|(-Cx>MA-!BsTxkv|_y(SD=?8CE#y&d=8CC*;1JSzol) zBcCZwkPOb9YIuN(d?8y|OKa{J?CUk6I!m(!!TnIl-EXEujlNp9)a0M6TwdG$g}&IV zJU?5xeE06|+V)=b;qJt^9p=@%58MYa)HQWJb~|(~{IIuxXu0qE6ScNeb5irOslT&* z?`rIJZsYj;Xmj)SumnDnA^L1-R{JU`e{IJS5!3Ruc`9hGNCqw^N)>p?h`F3${ zl(Z~RI>uld-KC_&=Q$fppJ`1=bZc0waZ`m> z;P$Wkn3I_>x#EEBqm|%44d-)qB<*X+zVGX7t(fO5VZPTMozzU7V$RjCkG^_{*_-n| z`-NUyog6+8wL4x740?1qu&{rilY{mR@hU$4UMzGK@MpjCc<*RN^|I+%EZd*ozgP9P zPnD(()*iWiojaFfDekZN6LjpqCR@?=?lSrJFLjLXIEH-l$OG~sNY?IhYq~0T^mW_n z$Y{)`-;a*>E*{CePJoh?zmic>yMJ(BxbyVcaeQTZ%uIv8HP3@zb9q(*l2<}bbv-$M zSP~=_B7)+#TSCYAzU!szUo5ZM_X*&sY~7v37*dNPQZuKbAC#a~!jdGbY!|D1&n8F1 zrtOX~L7ml0$+;w??)YCbuc<_K%Ae;2v961;9^b!~B&U4VYw_auZqQ~!_p4KzknMNj zU9LG*eOnB7Pm{Vp9=m@k#B4^Jh_nA#w}x~we_trFFR;oeVI>wA&%Z(4@A*w^>#Y$r zXvu6Qj-#huYBvX4e0aKf8t?-Z1~m#z!9t^LFu~7XTo3$#ipK44=5h3R8QP(4Bb|;y z!dQdj*x&JcVo8=eDBtNCHXhqwD{>qZo&wK4L0FZ7|!6>UfEX~Q}aAe2!By|re~;s zFs7JRDv2V=;MajI>9gc{MT3hmdWy6Nj1#n7n^wpoFYYiE%moe|bB#Kp<504GQ+(E{fv$PxLhmTMx;(;vI0k%Z)Fd@{r+vN9`q+P#*Z zsBs?_lEyt8`>cLIN`#vq^3>Vgu#k*2s!a;(m!`)5u*pr=315IKI)p!^(4D|xxSKvc zaKbKb)cUCC`KyTZ${LhdL^ z8*!DSG`txR5o?f?QHO#>@6s(Z<zPrD}ga-X@|e!qe~^@{DrEI zc$^r=j2@?j6L=~*6jtr3h6-T_)K#1zwkUz%2at}g$cq!Ws(0EOt*r5o^i~zrl$R0n zkytx7Rya*8#q4a^-_qMZ2?>wnA`k{vvv!WHT;6vVnC2I7QBo}6I1-lkySN8_Kvkhc zMw|a6(hpSr9B^+Rk||3849tO*rkHA2Xn0sHFl9->+4G(ZG$d_}(I1k`DVYTta~?Ry z_I&S#QjsN*M6!UOlBjRiXJZvGE$WMT?h#^jBIP79Kum?o|3bvr)$o=u{q2TPHtmeo!Pc zV_(`gJg28oM$(#wo+A=LAWTSZLZY~&s?6EOjNlaHCn5~!8LOEbqKAaw?o-q~7Qvwq zuIdk8PUa{RNG*LxjLu)0L$0#V)O?lwYsRI+tqW~tS{9;S2@c}Zwm_sz9?=z& ztck%|VRiS!Yv0|a{&O{vOy0}Q(cJb?_ftlgIBJMY75f{clyd>ga<;5r`}n?%7q>R# z5CI9B9puPT4y0~;P@&;1iG=s1lEVm!X7C%0*FSf5$Kdm6G$|ZnIa*Scv^6$Va!ntm z|4=zJ4u#MOo>Cy|>GeYX&f)4j($5HpE;X(Azk~-vcnJ$^UGY;8^d5IQw?OHt4i+-T zJ)+Z*c{@eLM7f7E>!n%M>^xi=BsY{wq5F!3GpoarI!^VP+2{yYNE#QUK-}xRowykT zl^li+=93`GJd-lxcPMae5(eB&iQeh+!5L{f9h{Pf>LjIp5Um+63tJU`Uwl5Ha&T?X zFiw(FPLC=18s_5!WnO3xkSUZ+r*!a?lpGG&*bWt6lXOOjrOFXRP-m2yO2SuX?MyLwXo0m)%jG>Bk~T+#m1 zhHjpe7X)j8?N`(R*3%bnpr%rrphw@ zE)D&3&Cs^-E*}SQ0h|06KE^nfuodC$f;_7nmFk#mZJUmO$>e*{y_w9aQ5n8S%Q*q< zmhpGh4@DPhBV=3Y+ici7g*Ei97ylgUtJX~1s%cOg2}NUw=KXJU#OOg>D2+A3=~Oc+ zn#TnLz8vs?(tb%E*g{mIh{{^Vf%L%i-shwS*O8KrH7rQrN5qzxBeRmvi@PFLN*2~5@zc{q{MzUlh;bm={QO7RP!UMkGS1xs55xy)yHY>G}M-kg2r3hT?FZW3p&Vex?Q7{2<%gkj^3i8OH3 z!c~c@s!s4|izYuE_eel0E#x3Nuz`gY@%@|8Y;l&0H{Iu?li*kwS8U0IxJ$jg)SgPe z!)*#mSKazx`Owv;bKXz;hF8UA$`uTJCeg|n4?a&w(;MU?o0&qCGhz*g#}i5^43x!t zG|M_DnGFZh!^%XB=o<8tf>M++I}%Asvx?E9AEO?7ZB zHaoQKdutH3rvy5U#Tr8;yJKFoa(+i+Sb+0$UKKF-4laLA;d;7Xe6r49G_`?L}etf z&J@2#qiw)zF2s$rmVI`H2_RyOKc=~DfMU?Y_Er*i32`_#7;Thq3j)-6e>3VqAuSI$ z2rk^4?|=FzLLoNGS)O50j_+uI;<{2)eUR~G+d8;*$rW>{Vgu_Ua^$NybMJ<}ZMFS{5rnd!NfnBR8oP_Zu$K z--}Tmf3_L;4AzlA!l=@3cKj3?g6p*-5MX{Q&%%Dm;L?wkw*>-Rj*l1ZP}bW%Nv)y zOm>ru+eV~o9INy>M2zHaLgGhgY{L7Ljh9a1jv9e&BO-+jrmtemz(UnAr6;K=>x45_ zF^K>xVdwre{}cvkdtvRIwD5+{v#Do(>qJApIr2%OU3h160^8GCGxJaOTDopq`)L?V z!Q9B}D;8(#G_G0ocfqN-$#j3gYrMil4pfW>=es-}TJ3R@u6!tF2pt0FPEu|Nx6@Z^ z#g3X>!jaZ6h!y9rkd;xv16%eXbH>oG7*nTsN9mL4RSs)@J}Ke1(%YV@Z*~(+FVYj} z9JO=;r+BAXQSPp{b4A~3XHHU1-7*uHj`ELC5IGPlB8jRKH~hxd!k5fjBnfg@SHpm{9wQia zvgbB7m7&K_oG2X7G;QiGsCxAFErf`RS2y5G_HNns5pnFqr?`rL!@J<%K=Fe2rdEL1iglbG{CIR6= zt~*uRpjP)Wh0TFW_9^;BQUpj9`U!oeZYDJ6CZ#9Qfj?lgWsF(t(|_L7ua8m6pO$JS zpZ$DL!~LO@;Rg}on9)_PY;H&wh z=&EqNAFdI8STL75oApZO;C|8(TvA+3SRr3MD9>z@>PS0gvt>H5SnyI9(5dl>%iRvm zNOZ3=$hM_TTyNDmTNop0$9y=rq$keDr7Yere!Su3EF6bEIhbaR@lP9_xPzAKvaZSJ z*QH2JHJXRlE?NA79iw`mW4pU>mY|8PrkES9L1c^<6gw_rO z5-n?Jwehmglvi+JkEw_{lN%Rfpr_9{Bh7o^&Ik2HQ%LV4?kM3?rzkB>YJ#W5V=@Ds zuDc-7BT^*vq8Q`;@hYvYx|K-81~_*CVx`nQ*4UgGd$K25@GMaMRjN1)DR)Z!!dMnz zzA~n(+?agarh8j8U1^;{v&@ZjMgm%u36f~GoOzq!M&TZ+k_wx>oN^%^ihX80pnp11 zrQPOvN|Cu{&?aZ--G4l+4f26Z#j==Vg1?KcHqTvc8@ORCc8D!v+DO1jZ z3e8NI5(&C1l}Ufu$A-rBqIJDU1AhAO0kY3k$w$vf0IH=}F)PdUH~ezi+qzV5QxSsx z=&U3{dN#)sR^qrjaUGBEhBByAt4^~9pxoHYJiUsQb{9+()8q4oTM>9A1OLHr1kz%% z@++qHwucdmVVl7FRFq(p`8b#6j{bPt7(qNcB&t~@7nV@r*3}GE;w_$r#z1|Hs%oU+ z>LZEV%}qJe4`MT)xW;HjqjmK+R^yf}m93VQGWRSFSs6K=j(zGF5iE!WqB^pu^zLHV+%+1 zFVrX0YRWuPRXRVtGAH~^3rS$TPzN~OJts>h`7Aj*1r3A=esTP%Q>>ndmsl}OGXcd- zrt|0b^Cu`Z?_sFJhiV+ysaDMFV&cZ^(9MzlN)%gi1bswzNMgaG$agn{24#WS7|e3( zN@Cy-RUfG~k+;EHtz2g1*Xb&yA{x{zc_SR?&gw5i>=pHO&jYoc>cVywDml>VXs)0N zMQnHAt!n2)osVxRvszJGgZ2d-LFcgP1^=nzb~z^3(Su`DZ_=n*PRNp`6Q9z~LICmG zW_{Z6TS_-q8k4OmILr-2P{<@741<&FHxkQIEhj#PorR0+M9C)Z`!w4sxMqHL80jim8-joncF|w_mg3< z`+l{5|0G!|s8ee9O;FHz$JO-Z`Q_o!UPzH#r{00fQvbEmd$Nx%Bri|>A8Ey3nK7-7 z?E4cQbYjlG?y%1ook*>})*D!I`4fM;y!G5O^z>5lRuS!XT?&+^_@{60?=}VNWeWD| z$;Cgb?bvK84wr(@1YS?gT~Yjfyq-NI4y0@CY5zi$?IsDN>->rYVu>l*rr0aGfB?Yr z&J95z7Z5WoOgHcyv3*M=Oo+C=l3b>-s*OH#nucat^YO0&D)akZBa)!4@e^edxYfh; znbXVFtBXe+Qy)9<8Z`1qPf<~p3OJCgF1sB6LQ&9kmf z+DFE8;5K1Su1$uy3_Qm-{a9hesS-m=yI7pon=(uXe`cf=pA>x#THRf?>RF;aB~oy9 zETt`JTsG`$+q0GhDxs0WJNBPm->S}pg7k)pGY%yuMR|g(DDuV{2UC22{!1pa`x#?9 zX#y3WQ_4kzqG;c)p?dCeBR%}Px~ferl$d>59R8#qnECqztq`FOR~v-(qSL}?J{~Ea4F;O z!-x2gq#(E4+C31%p1>x$Wss||FeR|vfb)AUdK8u>@U{2Olj|!b#bB@Kn>ztcX-!cU zO806`?cCXXGZYnB;IkF zl{ga41I2w!s7HQT_M-*8R9Ai@Y-T1F+HU`uzxyRRSm$Bof`*xdn^pKeiw5KHCUm>L z0rft5S5Xuq9pk@Pg-^2x!c16Mi(P)|2rm~du&2nPxXZW`9wtXO215M~uUB|5MNBfF zk0o)T;Q{u1x23YoQxG~F&NaHx(O^^UG)veCzjn`WS4V^)zltL7dGf*mqv~9R7_$K0n}`FSl8%b3+H{IDeNfr6K4_81u$zh2m1+mZRN| zaMFb?^r8NbikI)DI!}pQ4AAf8DISAY;ZkZf6UK0@>L75@|iP2*5}(0D$SAC;;Rd0FW+tjVW84keJNnUGLbp3AjdL0D#aG z{2!wzyHOMfV|l0KL&^mO0Q}+r0ET~jO~_rUYz_$^eKt@GNY4_tj)trdG%|_(Hw?t_ zUzqw|Sas#k2xfvlot6KF@r(Z#mM8`kB6_TweO(;LoINE56eq&y{bvG{$mSCVGO_>% z>MTQz2$~!N0HFUzA*_D3y*QAIMf`5vz$PsK@YwhN6H@VCA#LIWy$P+)>1h7PK&KUu zy(*1dyBMe_g}=En$7+KN5ga*?ZzZD9b;N9{rc~ z-YxwF^0NMK_wnDXq&a}uoDx82cIaO#6UrzPaiSD3`=>aN?Qbb%BJ!8b7Lo+Qvuh-Q z^t}JKq7ocQ_fMq(B=SIjv#*oBzpvkYAqPLd|7iAK4!;!ta*$E|PZkrRz=`PJHjPsG g$Hh**{w{xaNALDYA L.T.LI jsr SRC.GetKeyword - bcs DIR.LI.9 + bcs .9 + + jsr .7 + + jsr SRC.GetChar + bcs .8 - jmp (J.LI,x) + cmp #',' + beq DIR.LI + cmp #' ' + beq .8 + +.9 lda #ERR.SYNTAX.ERROR + sec + rts + +.7 jmp (J.LI,x) + +.8 clc + rts + +DIR.LI.ON ldy #ASM.LI.ON + sec + bra DIR.LI.APPLY + +DIR.LI.OFF ldy #ASM.LI.ON + clc + bra DIR.LI.APPLY DIR.LI.CON ldy #ASM.LI.CON sec bra DIR.LI.APPLY + DIR.LI.COFF ldy #ASM.LI.CON clc bra DIR.LI.APPLY + +DIR.LI.MON ldy #ASM.LI.MON + sec + bra DIR.LI.APPLY + +DIR.LI.MOFF ldy #ASM.LI.MON + clc + bra DIR.LI.APPLY + DIR.LI.XON ldy #ASM.LI.XON sec bra DIR.LI.APPLY + DIR.LI.XOFF ldy #ASM.LI.XON clc - bra DIR.LI.APPLY -DIR.LI.ON ldy #ASM.LI.ON - sec - bra DIR.LI.APPLY -DIR.LI.OFF ldy #ASM.LI.ON - clc -DIR.LI.APPLY asl + +DIR.LI.APPLY lda #0 + ror sta (pData),y - clc - rts - -DIR.LI.9 lda #ERR.SYNTAX.ERROR - sec rts *--------------------------------------- DIR.MA >LDA.G MAC.bAdd diff --git a/BIN/ASM.S.EXP.txt b/BIN/ASM.S.EXP.txt index 8480bf8f..684168a0 100644 --- a/BIN/ASM.S.EXP.txt +++ b/BIN/ASM.S.EXP.txt @@ -41,7 +41,7 @@ EXP.Eval.Next1 cmp #'.' Local Label? .12 cmp #'*' bne .10 - + ldy #ASM.PC+3 ldx #3 @@ -51,6 +51,7 @@ EXP.Eval.Next1 cmp #'.' Local Label? dex bpl .11 + jsr SRC.GetNextChar jmp EXP.EvalOperator .10 jsr SRC.IsDigit10 Decimal constant ? @@ -99,7 +100,7 @@ EXP.Eval.Next1 cmp #'.' Local Label? jsr SRC.GetNextChar bra EXP.EvalOperator -.43 cmp '"' +.43 cmp #'"' bne .42 jsr SRC.GetNextChar bcs EXP.EvalExitSYN @@ -203,8 +204,8 @@ EXP.GetSymbol jsr SYM.LookupGlobal EXP.Compute dec asl tax -* >LDA.G ASM.PASS pass#2? -* beq .8 + >LDA.G ASM.PASS pass#2? + beq .8 jmp (J.EXP.OP,x) .8 clc rts diff --git a/BIN/ASM.S.OUT.txt b/BIN/ASM.S.OUT.txt index 3fd87885..3b5eed44 100644 --- a/BIN/ASM.S.OUT.txt +++ b/BIN/ASM.S.OUT.txt @@ -31,18 +31,20 @@ OUT.EmitByte pha cmp #3 bne .2 - jsr SCR.PrintLineOn + jsr SRC.PrintLineOn bcs .1 - + + >LDA.G ASM.LI.XON + bpl .1 jsr SRC.PrintLineOutBuf lda #13 >SYSCALL PutChar.A lda #10 >SYSCALL PutChar.A - jsr OUT.Reset +.1 jsr OUT.Reset -.1 lda #0 + lda #0 ldy #OUT.Buf .2 inc diff --git a/BIN/ASM.S.SRC.txt b/BIN/ASM.S.SRC.txt index dd3148ae..7ef83fd3 100644 --- a/BIN/ASM.S.SRC.txt +++ b/BIN/ASM.S.SRC.txt @@ -2,18 +2,19 @@ NEW PREFIX /A2OSX.BUILD AUTO 4,1 *--------------------------------------- -SRC.PrintLine jsr SCR.PrintLineOn - bcs .9 - jsr SRC.PrintLineOutBuf - bcs .9 +SRC.PrintLine jsr SRC.PrintLineOn + bcs SRC.PrintLine.9 + +SRC.PrintLine.1 jsr SRC.PrintLineOutBuf + bcs SRC.PrintLine.9 >PUSHW ZPLineBuf >PUSHW.G SRC.LINENUM >LDYA L.MSG.SRCLINE >SYSCALL PrintF.YA -.9 rts +SRC.PrintLine.9 rts *--------------------------------------- -SCR.PrintLineOn >LDA.G ASM.LI.ON +SRC.PrintLineOn >LDA.G ASM.LI.ON bpl .9 >LDA.G MAC.bAdd bpl .1 @@ -56,11 +57,18 @@ SRC.PrintLineOutBuf >LDA.G OUT.Buf >SYSCALL PrintF.YA .9 rts *--------------------------------------- -SRC.PrintLineErr >PUSHW ZPLineBuf - >LDYA L.MSG.LINECR - >SYSCALL PrintF.YA +SRC.PrintLineErr >STA.G DIR.Byte Save Error code + + jsr SRC.PrintLineOn + bcc .1 Already printed + + jsr SRC.PrintLine.1 bcs .9 +.1 >PUSHB.G DIR.Byte + >LDYA L.MSG.ERROR + >SYSCALL PrintF.YA + ldx ZPLinePtr cpx ZPLineBuf beq .4 @@ -416,12 +424,14 @@ SRC.ParseLine.AM stz SRC.AM.StrBuf inc SRC.AM.StrBuf ldx SRC.AM.StrBuf sta SRC.AM.StrBuf,x - bra .7 + + jsr SRC.GetNextChar + bcs .9 .3 jsr SRC.IsLetter Any register? bcs .6 no, try something else - >LDYA ZPRPtr + >LDYA ZPRPtr Check in register table jsr SRC.GetKeyword bcs .6 @@ -704,7 +714,7 @@ SRC.GetKeyword >STYA ZPPtr1 KeyWord table .3 lda (ZPLinePtr),y beq .5 - jsr SRC.IsLetterUC + jsr SRC.IsKeywordLetterUC bcs .5 iny @@ -717,7 +727,7 @@ SRC.GetKeyword >STYA ZPPtr1 KeyWord table lda (ZPLinePtr),y All chars match... beq .4 End of Line ? - jsr SRC.IsLetter + jsr SRC.IsKeywordLetterUC bcc .5 Additional letters... .4 lda ZPLinePtr @@ -768,16 +778,23 @@ SRC.IsMODReserved ldx SRC.MOD.RESERVED *--------------------------------------- SRC.IsEXPReserved ldx SRC.EXP.RESERVED .1 cmp SRC.EXP.RESERVED,x - beq .8 + beq SRC.IsEXPReserved.8 dex bne .1 sec rts -.8 clc +SRC.IsEXPReserved.8 clc rts *--------------------------------------- -SRC.IsLetterUC cmp #'A' +SRC.IsKeywordLetterUC + cmp #'.' + beq SRC.IsEXPReserved.8 + + cmp #'_' + beq SRC.IsEXPReserved.8 + + cmp #'A' bcc .9 cmp #'Z'+1 bcc .99 @@ -815,10 +832,19 @@ SRC.IsDigit16 jsr SRC.IsDigit10 bcc .9 cmp #'F'+1 bcc .1 - rts cc if ok, cs if not -.1 sbc #'A'-11 cc so A->10 (11-CC) + cmp #'a' + bcc .9 + cmp #'f'+1 + bcs .9 + + eor #$20 + +.1 +* clc + sbc #'A'-11 cc so A->10 (11-CC) clc + .8 and #$0F rts diff --git a/BIN/ASM.S.txt b/BIN/ASM.S.txt index b9c41685..fcdd54a0 100644 --- a/BIN/ASM.S.txt +++ b/BIN/ASM.S.txt @@ -82,7 +82,6 @@ L.MSG.OUT0 .DA MSG.OUT0 .DA MSG.OUT2 .DA MSG.OUT3 L.MSG.SRCLINE .DA MSG.SRCLINE -L.MSG.LINECR .DA MSG.LINECR L.MSG.ERROR .DA MSG.ERROR L.MSG.SYMBOLS .DA MSG.SYMBOLS L.MSG.GSYMBOL .DA MSG.GSYMBOL @@ -137,12 +136,14 @@ J.DIRECTIVES .DA DIR.AC .DA DIR.TI .DA DIR.US L.T.LI .DA T.LI -J.LI .DA DIR.LI.CON +J.LI .DA DIR.LI.ON + .DA DIR.LI.OFF + .DA DIR.LI.CON .DA DIR.LI.COFF + .DA DIR.LI.MON + .DA DIR.LI.MOFF .DA DIR.LI.XON .DA DIR.LI.XOFF - .DA DIR.LI.ON - .DA DIR.LI.OFF J.EXP.OP .DA EXP.OP.EOR ^!|&<=>+-*/ .DA EXP.OP.ORA .DA EXP.OP.ORA @@ -280,12 +281,6 @@ CS.RUN >SYSCALL GetChar .9 pha jsr SRC.PrintLineErr pla - pha - >PUSHA - >PUSHW.G SRC.LINENUM - >LDYA L.MSG.ERROR - >SYSCALL PrintF.YA - pla sec rts *-------------------------------------- @@ -372,12 +367,14 @@ T.DIRECTIVES >PSTR "AC" >PSTR "US" .HS 00 *--------------------------------------- -T.LI >PSTR "CON" +T.LI >PSTR "ON" + >PSTR "OFF" + >PSTR "CON" >PSTR "COFF" + >PSTR "MON" + >PSTR "MOFF" >PSTR "XON" >PSTR "XOFF" - >PSTR "ON" - >PSTR "OFF" .HS 00 *--------------------------------------- MSG.HELP >CSTR "A2osX-Macro Assembler (S-C MASM 3.0 Based)\r\nUsage : ASM [type TXT ($04) or S-C/BAS ($FA)]\r\n" @@ -390,8 +387,7 @@ MSG.OUT1 >CSTR "%H-%h " MSG.OUT2 >CSTR "%H-%h %h " MSG.OUT3 >CSTR "%H-%h %h %h " MSG.SRCLINE >CSTR "%05D %s\r\n" -MSG.LINECR >CSTR "%s\r\n" -MSG.ERROR >CSTR "%05D-Error:$%h\r\n" +MSG.ERROR >CSTR "*** Fatal Error $%h " MSG.SYMBOLS >CSTR "Symbol Table:\r\n" MSG.GSYMBOL >CSTR "$%h%h%h%h:%S\r\n" MSG.SUMMARY >CSTR "\r\nSymbol Table Size : %5D Bytes.\r\nEnd Of Assembly.\r\n" diff --git a/BIN/ASM.T.65W02.A.txt b/BIN/ASM.T.65W02.A.txt deleted file mode 100644 index 6e3880a7..00000000 --- a/BIN/ASM.T.65W02.A.txt +++ /dev/null @@ -1,30 +0,0 @@ -NEW -PREFIX /A2OSX.BUILD -AUTO 4,1 -*--------------------------------------- -T.ADDR.MODES .EQ * - >PSTR "(a)" - >PSTR "(a),Y" - >PSTR "(a,X)" - >PSTR "a" - >PSTR "a,X" - >PSTR "aa" - >PSTR "aa,X" - >PSTR "aa,Y" - >PSTR "i" - >PSTR "a,r" - >PSTR "r" - >PSTR "(aa)" - >PSTR "(aa,x)" - >PSTR "a,Y" - .HS 00 -*--------------------------------------- -T.REGISTERS .EQ * - >PSTR "Y" - >PSTR "X" - .HS 00 -*--------------------------------------- -MAN -SAVE /A2OSX.BUILD/BIN/DEV/ASM.T.65W02.A -LOAD /A2OSX.BUILD/BIN/DEV/ASM.T.65W02.S -ASM diff --git a/BIN/ASM.T.65W02.O.txt b/BIN/ASM.T.65W02.O.txt deleted file mode 100644 index 65549d5a..00000000 --- a/BIN/ASM.T.65W02.O.txt +++ /dev/null @@ -1,729 +0,0 @@ -NEW -PREFIX /A2OSX.BUILD -AUTO 4,1 -*--------------------------------------- -T.OPCODES .EQ * -ADC .DA ADC.E+1-* - >PSTR "ADC" - .HS 01 - >PSTR "72a" - .HS 02 - >PSTR "71a" - .HS 03 - >PSTR "61a" - .HS 04 - >PSTR "65a" - .HS 05 - >PSTR "75a" - .HS 06 - >PSTR "6Daa" - .HS 07 - >PSTR "7Daa" - .HS 08 - >PSTR "79aa" - .HS 09 - >PSTR "69i" -ADC.E .HS 00 -AND .DA AND.E+1-* - >PSTR "AND" - .HS 01 - >PSTR "32a" - .HS 02 - >PSTR "31a" - .HS 03 - >PSTR "21a" - .HS 04 - >PSTR "25a" - .HS 05 - >PSTR "35a" - .HS 06 - >PSTR "2Daa" - .HS 07 - >PSTR "3Daa" - .HS 08 - >PSTR "39aa" - .HS 09 - >PSTR "29i" -AND.E .HS 00 -ASL .DA ASL.E+1-* - >PSTR "ASL" - .HS 04 - >PSTR "06a" - .HS 05 - >PSTR "16a" - .HS 06 - >PSTR "0Eaa" - .HS 07 - >PSTR "1Eaa" - .HS 00 - >PSTR "0A" -ASL.E .HS 00 -BBR0 .DA BBR0.E+1-* - >PSTR "BBR0" - .HS 0A - >PSTR "0Far" -BBR0.E .HS 00 -BBR1 .DA BBR1.E+1-* - >PSTR "BBR1" - .HS 0A - >PSTR "1Far" -BBR1.E .HS 00 -BBR2 .DA BBR2.E+1-* - >PSTR "BBR2" - .HS 0A - >PSTR "2Far" -BBR2.E .HS 00 -BBR3 .DA BBR3.E+1-* - >PSTR "BBR3" - .HS 0A - >PSTR "3Far" -BBR3.E .HS 00 -BBR4 .DA BBR4.E+1-* - >PSTR "BBR4" - .HS 0A - >PSTR "4Far" -BBR4.E .HS 00 -BBR5 .DA BBR5.E+1-* - >PSTR "BBR5" - .HS 0A - >PSTR "5Far" -BBR5.E .HS 00 -BBR6 .DA BBR6.E+1-* - >PSTR "BBR6" - .HS 0A - >PSTR "6Far" -BBR6.E .HS 00 -BBR7 .DA BBR7.E+1-* - >PSTR "BBR7" - .HS 0A - >PSTR "7Far" -BBR7.E .HS 00 -BBS0 .DA BBS0.E+1-* - >PSTR "BBS0" - .HS 0A - >PSTR "8Far" -BBS0.E .HS 00 -BBS1 .DA BBS1.E+1-* - >PSTR "BBS1" - .HS 0A - >PSTR "9Far" -BBS1.E .HS 00 -BBS2 .DA BBS2.E+1-* - >PSTR "BBS2" - .HS 0A - >PSTR "AFar" -BBS2.E .HS 00 -BBS3 .DA BBS3.E+1-* - >PSTR "BBS3" - .HS 0A - >PSTR "BFar" -BBS3.E .HS 00 -BBS4 .DA BBS4.E+1-* - >PSTR "BBS4" - .HS 0A - >PSTR "CFar" -BBS4.E .HS 00 -BBS5 .DA BBS5.E+1-* - >PSTR "BBS5" - .HS 0A - >PSTR "DFar" -BBS5.E .HS 00 -BBS6 .DA BBS6.E+1-* - >PSTR "BBS6" - .HS 0A - >PSTR "EFar" -BBS6.E .HS 00 -BBS7 .DA BBS7.E+1-* - >PSTR "BBS7" - .HS 0A - >PSTR "FFar" -BBS7.E .HS 00 -BCC .DA BCC.E+1-* - >PSTR "BCC" - .HS 0B - >PSTR "90r" -BCC.E .HS 00 -BCS .DA BCS.E+1-* - >PSTR "BCS" - .HS 0B - >PSTR "B0r" -BCS.E .HS 00 -BEQ .DA BEQ.E+1-* - >PSTR "BEQ" - .HS 0B - >PSTR "F0r" -BEQ.E .HS 00 -BIT .DA BIT.E+1-* - >PSTR "BIT" - .HS 04 - >PSTR "24a" - .HS 05 - >PSTR "34a" - .HS 06 - >PSTR "2Caa" - .HS 07 - >PSTR "3Caa" - .HS 09 - >PSTR "89i" -BIT.E .HS 00 -BMI .DA BMI.E+1-* - >PSTR "BMI" - .HS 0B - >PSTR "30r" -BMI.E .HS 00 -BNE .DA BNE.E+1-* - >PSTR "BNE" - .HS 0B - >PSTR "D0r" -BNE.E .HS 00 -BPL .DA BPL.E+1-* - >PSTR "BPL" - .HS 0B - >PSTR "10r" -BPL.E .HS 00 -BRA .DA BRA.E+1-* - >PSTR "BRA" - .HS 0B - >PSTR "80r" -BRA.E .HS 00 -BRK .DA BRK.E+1-* - >PSTR "BRK" - .HS 00 - >PSTR "00" -BRK.E .HS 00 -BVC .DA BVC.E+1-* - >PSTR "BVC" - .HS 0B - >PSTR "50r" -BVC.E .HS 00 -BVS .DA BVS.E+1-* - >PSTR "BVS" - .HS 0B - >PSTR "70r" -BVS.E .HS 00 -CLC .DA CLC.E+1-* - >PSTR "CLC" - .HS 00 - >PSTR "18" -CLC.E .HS 00 -CLD .DA CLD.E+1-* - >PSTR "CLD" - .HS 00 - >PSTR "D8" -CLD.E .HS 00 -CLI .DA CLI.E+1-* - >PSTR "CLI" - .HS 00 - >PSTR "58" -CLI.E .HS 00 -CLV .DA CLV.E+1-* - >PSTR "CLV" - .HS 00 - >PSTR "B8" -CLV.E .HS 00 -CMP .DA CMP.E+1-* - >PSTR "CMP" - .HS 01 - >PSTR "D2a" - .HS 02 - >PSTR "D1a" - .HS 03 - >PSTR "C1a" - .HS 04 - >PSTR "C5a" - .HS 05 - >PSTR "D5a" - .HS 06 - >PSTR "CDaa" - .HS 07 - >PSTR "DDaa" - .HS 08 - >PSTR "D9aa" - .HS 09 - >PSTR "C9i" -CMP.E .HS 00 -CPX .DA CPX.E+1-* - >PSTR "CPX" - .HS 04 - >PSTR "E4a" - .HS 06 - >PSTR "Ecaa" - .HS 09 - >PSTR "E0i" -CPX.E .HS 00 -CPY .DA CPY.E+1-* - >PSTR "CPY" - .HS 04 - >PSTR "C4a" - .HS 06 - >PSTR "Ccaa" - .HS 09 - >PSTR "C0i" -CPY.E .HS 00 -DEC .DA DEC.E+1-* - >PSTR "DEC" - .HS 00 - >PSTR "3A" - .HS 04 - >PSTR "C6a" - .HS 05 - >PSTR "D6a" - .HS 06 - >PSTR "Ceaa" - .HS 07 - >PSTR "Deaa" -DEC.E .HS 00 -DEX .DA DEX.E+1-* - >PSTR "DEX" - .HS 00 - >PSTR "CA" -DEX.E .HS 00 -DEY .DA DEY.E+1-* - >PSTR "DEY" - .HS 00 - >PSTR "88" -DEY.E .HS 00 -EOR .DA EOR.E+1-* - >PSTR "EOR" - .HS 01 - >PSTR "52a" - .HS 02 - >PSTR "51a" - .HS 03 - >PSTR "41a" - .HS 04 - >PSTR "45a" - .HS 05 - >PSTR "55a" - .HS 06 - >PSTR "4Daa" - .HS 07 - >PSTR "5Daa" - .HS 08 - >PSTR "59aa" - .HS 09 - >PSTR "49i" -EOR.E .HS 00 -INC .DA INC.E+1-* - >PSTR "INC" - .HS 00 - >PSTR "1A" - .HS 04 - >PSTR "E6a" - .HS 05 - >PSTR "F6a" - .HS 06 - >PSTR "Eeaa" - .HS 07 - >PSTR "Feaa" -INC.E .HS 00 -INX .DA INX.E+1-* - >PSTR "INX" - .HS 00 - >PSTR "E8" -INX.E .HS 00 -INY .DA INY.E+1-* - >PSTR "INY" - .HS 00 - >PSTR "C8" -INY.E .HS 00 -JMP .DA JMP.E+1-* - >PSTR "JMP" - .HS 0C - >PSTR "6Caa" - .HS 0D - >PSTR "7Caa" - .HS 06 - >PSTR "4Caa" -JMP.E .HS 00 -JSR .DA JSR.E+1-* - >PSTR "JSR" - .HS 06 - >PSTR "20aa" -JSR.E .HS 00 -LDA .DA LDA.E+1-* - >PSTR "LDA" - .HS 01 - >PSTR "B2a" - .HS 02 - >PSTR "B1a" - .HS 03 - >PSTR "A1a" - .HS 04 - >PSTR "A5a" - .HS 05 - >PSTR "B5a" - .HS 06 - >PSTR "Adaa" - .HS 07 - >PSTR "Bdaa" - .HS 08 - >PSTR "B9aa" - .HS 09 - >PSTR "A9i" -LDA.E .HS 00 -LDX .DA LDX.E+1-* - >PSTR "LDX" - .HS 04 - >PSTR "A6a" - .HS 0E - >PSTR "B6a" - .HS 06 - >PSTR "Aeaa" - .HS 08 - >PSTR "Beaa" - .HS 09 - >PSTR "A2i" -LDX.E .HS 00 -LDY .DA LDY.E+1-* - >PSTR "LDY" - .HS 04 - >PSTR "A4a" - .HS 05 - >PSTR "B4a" - .HS 06 - >PSTR "Acaa" - .HS 07 - >PSTR "Bcaa" - .HS 09 - >PSTR "A0i" -LDY.E .HS 00 -LSR .DA LSR.E+1-* - >PSTR "LSR" - .HS 04 - >PSTR "46a" - .HS 05 - >PSTR "56a" - .HS 06 - >PSTR "4Eaa" - .HS 07 - >PSTR "5Eaa" - .HS 00 - >PSTR "4A" -LSR.E .HS 00 -NOP .DA NOP.E+1-* - >PSTR "NOP" - .HS 00 - >PSTR "EA" -NOP.E .HS 00 -ORA .DA ORA.E+1-* - >PSTR "ORA" - .HS 01 - >PSTR "12a" - .HS 02 - >PSTR "11a" - .HS 03 - >PSTR "01a" - .HS 04 - >PSTR "05a" - .HS 05 - >PSTR "15a" - .HS 06 - >PSTR "0Daa" - .HS 07 - >PSTR "1Daa" - .HS 08 - >PSTR "19aa" - .HS 09 - >PSTR "09i" -ORA.E .HS 00 -PHA .DA PHA.E+1-* - >PSTR "PHA" - .HS 00 - >PSTR "48" -PHA.E .HS 00 -PHP .DA PHP.E+1-* - >PSTR "PHP" - .HS 00 - >PSTR "08" -PHP.E .HS 00 -PHX .DA PHX.E+1-* - >PSTR "PHX" - .HS 00 - >PSTR "DA" -PHX.E .HS 00 -PHY .DA PHY.E+1-* - >PSTR "PHY" - .HS 00 - >PSTR "5A" -PHY.E .HS 00 -PLA .DA PLA.E+1-* - >PSTR "PLA" - .HS 00 - >PSTR "68" -PLA.E .HS 00 -PLP .DA PLP.E+1-* - >PSTR "PLP" - .HS 00 - >PSTR "28" -PLP.E .HS 00 -PLX .DA PLX.E+1-* - >PSTR "PLX" - .HS 00 - >PSTR "FA" -PLX.E .HS 00 -PLY .DA PLY.E+1-* - >PSTR "PLY" - .HS 00 - >PSTR "7A" -PLY.E .HS 00 -RMB0 .DA RMB0.E+1-* - >PSTR "RMB0" - .HS 04 - >PSTR "07a" -RMB0.E .HS 00 -RMB1 .DA RMB1.E+1-* - >PSTR "RMB1" - .HS 04 - >PSTR "17a" -RMB1.E .HS 00 -RMB2 .DA RMB2.E+1-* - >PSTR "RMB2" - .HS 04 - >PSTR "27a" -RMB2.E .HS 00 -RMB3 .DA RMB3.E+1-* - >PSTR "RMB3" - .HS 04 - >PSTR "37a" -RMB3.E .HS 00 -RMB4 .DA RMB4.E+1-* - >PSTR "RMB4" - .HS 04 - >PSTR "47a" -RMB4.E .HS 00 -RMB5 .DA RMB5.E+1-* - >PSTR "RMB5" - .HS 04 - >PSTR "57a" -RMB5.E .HS 00 -RMB6 .DA RMB6.E+1-* - >PSTR "RMB6" - .HS 04 - >PSTR "67a" -RMB6.E .HS 00 -RMB7 .DA RMB7.E+1-* - >PSTR "RMB7" - .HS 04 - >PSTR "77a" -RMB7.E .HS 00 -ROL .DA ROL.E+1-* - >PSTR "ROL" - .HS 04 - >PSTR "26a" - .HS 05 - >PSTR "36a" - .HS 06 - >PSTR "2Eaa" - .HS 07 - >PSTR "3Eaa" - .HS 00 - >PSTR "2A" -ROL.E .HS 00 -ROR .DA ROR.E+1-* - >PSTR "ROR" - .HS 04 - >PSTR "66a" - .HS 05 - >PSTR "76a" - .HS 06 - >PSTR "6Eaa" - .HS 07 - >PSTR "7Eaa" - .HS 00 - >PSTR "6A" -ROR.E .HS 00 -RTI .DA RTI.E+1-* - >PSTR "RTI" - .HS 00 - >PSTR "40" -RTI.E .HS 00 -RTS .DA RTS.E+1-* - >PSTR "RTS" - .HS 00 - >PSTR "60" -RTS.E .HS 00 -SBC .DA SBC.E+1-* - >PSTR "SBC" - .HS 01 - >PSTR "F2a" - .HS 02 - >PSTR "F1a" - .HS 03 - >PSTR "E1a" - .HS 04 - >PSTR "E5a" - .HS 05 - >PSTR "F5a" - .HS 06 - >PSTR "Edaa" - .HS 07 - >PSTR "Fdaa" - .HS 08 - >PSTR "F9aa" - .HS 09 - >PSTR "E9i" -SBC.E .HS 00 -SEC .DA SEC.E+1-* - >PSTR "SEC" - .HS 00 - >PSTR "38" -SEC.E .HS 00 -SED .DA SED.E+1-* - >PSTR "SED" - .HS 00 - >PSTR "F8" -SED.E .HS 00 -SEI .DA SEI.E+1-* - >PSTR "SEI" - .HS 00 - >PSTR "78" -SEI.E .HS 00 -SMB0 .DA SMB0.E+1-* - >PSTR "SMB0" - .HS 04 - >PSTR "87a" -SMB0.E .HS 00 -SMB1 .DA SMB1.E+1-* - >PSTR "SMB1" - .HS 04 - >PSTR "97a" -SMB1.E .HS 00 -SMB2 .DA SMB2.E+1-* - >PSTR "SMB2" - .HS 04 - >PSTR "A7a" -SMB2.E .HS 00 -SMB3 .DA SMB3.E+1-* - >PSTR "SMB3" - .HS 04 - >PSTR "B7a" -SMB3.E .HS 00 -SMB4 .DA SMB4.E+1-* - >PSTR "SMB4" - .HS 04 - >PSTR "C7a" -SMB4.E .HS 00 -SMB5 .DA SMB5.E+1-* - >PSTR "SMB5" - .HS 04 - >PSTR "D7a" -SMB5.E .HS 00 -SMB6 .DA SMB6.E+1-* - >PSTR "SMB6" - .HS 04 - >PSTR "E7a" -SMB6.E .HS 00 -SMB7 .DA SMB7.E+1-* - >PSTR "SMB7" - .HS 04 - >PSTR "F7a" -SMB7.E .HS 00 -STA .DA STA.E+1-* - >PSTR "STA" - .HS 01 - >PSTR "92a" - .HS 02 - >PSTR "91a" - .HS 03 - >PSTR "81a" - .HS 04 - >PSTR "85a" - .HS 05 - >PSTR "95a" - .HS 06 - >PSTR "8Daa" - .HS 07 - >PSTR "9Daa" - .HS 08 - >PSTR "99aa" -STA.E .HS 00 -STP .DA STP.E+1-* - >PSTR "STP" - .HS 00 - >PSTR "DB" -STP.E .HS 00 -STX .DA STX.E+1-* - >PSTR "STX" - .HS 04 - >PSTR "86a" - .HS 0E - >PSTR "96a" - .HS 06 - >PSTR "8Eaa" -STX.E .HS 00 -STY .DA STY.E+1-* - >PSTR "STY" - .HS 04 - >PSTR "84a" - .HS 05 - >PSTR "94a" - .HS 06 - >PSTR "8Caa" -STY.E .HS 00 -STZ .DA STZ.E+1-* - >PSTR "STZ" - .HS 04 - >PSTR "64a" - .HS 05 - >PSTR "74a" - .HS 06 - >PSTR "9Caa" - .HS 07 - >PSTR "9Eaa" -STZ.E .HS 00 -TAX .DA TAX.E+1-* - >PSTR "TAX" - .HS 00 - >PSTR "AA" -TAX.E .HS 00 -TAY .DA TAY.E+1-* - >PSTR "TAY" - .HS 00 - >PSTR "A8" -TAY.E .HS 00 -TRB .DA TRB.E+1-* - >PSTR "TRB" - .HS 04 - >PSTR "14a" - .HS 06 - >PSTR "1Caa" -TRB.E .HS 00 -TSB .DA TSB.E+1-* - >PSTR "TSB" - .HS 04 - >PSTR "04a" - .HS 06 - >PSTR "0Caa" -TSB.E .HS 00 -TSX .DA TSX.E+1-* - >PSTR "TSX" - .HS 00 - >PSTR "BA" -TSX.E .HS 00 -TXA .DA TXA.E+1-* - >PSTR "TXA" - .HS 00 - >PSTR "8A" -TXA.E .HS 00 -TXS .DA TXS.E+1-* - >PSTR "TXS" - .HS 00 - >PSTR "9A" -TXS.E .HS 00 -TYA .DA TYA.E+1-* - >PSTR "TYA" - .HS 00 - >PSTR "98" -TYA.E .HS 00 -WAI .DA WAI.E+1-* - >PSTR "WAI" - .HS 00 - >PSTR "CB" -WAI.E .HS 00 - .HS 0000 -*--------------------------------------- -MAN -SAVE /A2OSX.BUILD/BIN/DEV/ASM.T.65W02.O -LOAD /A2OSX.BUILD/BIN/DEV/ASM.T.65W02.S -ASM diff --git a/BIN/ASM.T.65W02.S.txt b/BIN/ASM.T.65W02.S.txt deleted file mode 100644 index 9de2cdfb..00000000 --- a/BIN/ASM.T.65W02.S.txt +++ /dev/null @@ -1,23 +0,0 @@ -NEW -PREFIX /A2OSX.BUILD -AUTO 4,1 - .LIST OFF - .OR $0 - .TF /A2OSX.BUILD/BIN/DEV/ASM.T.65W02 -*--------------------------------------- - .MA PSTR - .DA #:1-*-1 - .AS "]1" -:1 .EQ * - .EM -*--------------------------------------- - .DA T.ADDR.MODES - .DA T.REGISTERS - .DA T.OPCODES -*--------------------------------------- - .INB /A2OSX.BUILD/BIN/DEV/ASM.T.65W02.O - .INB /A2OSX.BUILD/BIN/DEV/ASM.T.65W02.A -*--------------------------------------- -MAN -SAVE /A2OSX.BUILD/BIN/DEV/ASM.T.65W02.S -ASM