From ed4490f99e5ec071e4bbfafb4a6391e90b83ce71 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Tue, 22 Jan 2019 16:35:42 +0000 Subject: [PATCH] Kernel 0.92 --- .A2osX Issue List.xlsx | Bin 44891 -> 45702 bytes .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes BIN/PS.S.txt | 78 +++++++++++++++++++++++--------- INC/A2osX.I.txt | 6 +-- SYS/KERNEL.S.ENV.txt | 93 ++++++++++++++++++++++++++++++++------- SYS/KERNEL.S.INIT.txt | 16 +++++-- SYS/KERNEL.S.PS.txt | 52 ++++++---------------- SYS/KERNEL.S.txt | 2 +- 8 files changed, 164 insertions(+), 83 deletions(-) diff --git a/.A2osX Issue List.xlsx b/.A2osX Issue List.xlsx index d22b6fb10eb42d1308de0d0d9cabbb09b7f69031..d39070c7fa92387c1c1ff030487c2ed655a4da1e 100644 GIT binary patch literal 45702 zcmeFZb980Rw=NuX(m}_zZKGq`PRF)wb!;acn;jb+yJOq7vx9GUzejhR-?`(C@85g( zSbJ5C(wguh4{ ztf%5(Z{nmw?`~sFnEwfkG8Y64SpNV0{x3#gTy;dQj}ftx&tv9qdim#NaE{_1zIv4z>vs-cqyj9UV93DX+S643W1(fw`z+cH$&j2Ms6sW#?G@_BXB zqO99Oqg&7^K|)6Dqt+5^j$0jMoqF<-rs~=^E;mQ?8F$29&dsX2taG=^OqO99lecoF zuTPm1l$ndq@gZ~X*jv0V-(FGhQa?n7<_V4o@#zUO54Y6|0xVjgBw#Y#dt${hO|fK6zs8ug#A#1e!!@S z_}D;DJ&`tJ4pMlll@a3?uAL2hKqpGy2wcEwxm}QLsmR_RM_h?$D(*f#Zd$E=|rqjleMIM z-tXP`3Uoa4J(f_Py*`)oz3@EOHM7dvxicL27^cca31p*u9dq3mHia#lTrJHI8j}n{ zyZteXGy)(t0?#I2`6hq&(ZuOMEFC^2v31L{8Q~;wdKy5VV_x>u`I~2_BzRla_{pGW z06|6XUs{PZKvRYaI29gz0s+AWfdX~6X86ZY-0U2!4DIZ!{!E7dZZx3484+0a|L$K$ z(u8F{A!^8DNSCnvW}0#FtkU3kei7rU>kEjXtznu-(Bk{vInD7LD^Z&*848 zOFQ7CeGmLglNM7#36`V)v`%BW!QT0mx05__!#CBASr|+#(2?qnu9YrE&RaXfIJu%JHl@#}f}Eh{Gj51(-7-O;#Lxx6s|NB^0ssmfz~&JVj#+LRU=Ak zPh+HP4LF&{cH8Czr`to6K|&d9Hh{dIDPs|ZBL6T;OrqGVt$fLgMdW) zuM|sCmX%)v&a>(#eiBuk7YAP54Vs_MW{8tv&|t)kcSZ(@n@Cm-FjU_z@hFNgTN+Y1 zS+YIs4x-ImoDpTOpjPb3V3FmCk^O1Wb{*B@2o}wDxuBzp5~jr@DTh%o9UI=C3>wv6 zwsp%wK|l+sBy4QjzX&TY5SFHwqLXf@NWof8&$UlAU{kWN`J>6EiA=MiCP_0B(%yIL zmzM94S*t8V$GL(`Pboz1XJ#~iuT)2~+cG_(%(VeT!&CyM;&Sr_ZLykv!6X!vJzVXi z#S6HdOAg_5=oBhS_18L@W@{I zUipA_RnU#%O&rGWLi3*K67C&M3Ovl`;LPS-30{630yC;~9k~|Isb3;`npR)84b9?` z>`3aDmfR@*K}}>o?CX6p@M!piib0syV9b^IsZ&K$xNC@jc?tQIulsnk76U9al5le?yTA|e6a_SszlStiOtcSd$B4Lx-|RZM;<9?f`B(g!!wJ;lfB^v+ z;RgZ1{7-&4nVXn6J2CtXSpSS=KQeWccSg~_U&_xvBcMKNOU`&}p3p;x5NnkDK6D8# zodekhVfpG{IeeePe^@^n>)e=a8kuQnuUMfrGr*ZC zgJH)p|M3dgj#X={+aQgUWFA95mc3VRtA6(YJa+5&8CTeyKC-T>Soa$GDEn+$r>Li< zo?d%#%P(LoGwUp+ELyY4vp+1(ukL%cN+n9Z=RKb>SI%Rn-Ws=++M-menK0KaE*^89 zo9mQOwPS(%h9>lmSat{G}0nCwApUrm|p_*Hs6QL?Mr^jnt$ zW7pcZ6)%Ht^ueUv{>N=COkg6LyxL|L!$(bzw3j`@?6UJM4gZ>@311g>2VI@&4Rbxq z4GZJQlYUZo3byeV{2FCa}hYJ*^gV5$NK1w*KwX#kDQOV)!SIreKO6G zEbE8vW8+&}w#F2>nZ-ST_3lTV%k&qVdohgtw7?EQSk86HZleG?t&9UEQ-U?X#ja_>Ivq zdFI}OXR?ndj=BDnCmgtO$(=mdFy{L0P z_Is9<;ve|yjX5{dRaY$Bmru1z*l60J18TR+T9_%B+q1DtzM}{g%P&#CL+9z5nj)(@ zg{&&ntbHh(AO{wF^Hd)I8rEoU@Gw<%n=MP!FFw=_qrSlE)0d@F)Bqp)rWaq>#5o?b zgo}*UI6*{rlIE_qmQ2fnT$>7Ao_U>MY|+xtxT6bDqHP%hBBo;T;QnX)qyWRfmEHl0 z;8)ar3a79*jZ%`}eor52+{{ zV)Eab1Vj$M@sVy0X>;-gu_(nPpq_%`G*E?u`>8NNQ5y%QdBKe>efLo7 znYv;kmBqq}7RV?OSMESXO}w$*ZSGdRs+p(II01(<|ppaQ}2ZV-u>u8;&)p!{XF zVypFo+t0ZRB$i@ZancFH`kmcwelZjYNNEZtEd)}YLnujoDSmBTU5HRp8BcNsFB3?C zE$;WkMJl={9Q`CtEr$5xP`u{0FYMmq5{%ytR+bXJA@T9CkS&Lw_oXTfZf$6dIE|0bfK}m`rSAZZl=G2IwU5YarQ#X+ z7?)_j?>I)h1g`Ut&3frgE}5Xzw?@w-hy?u<4Sk={KpHp`3&}rXQ~q8=QPM&_VM11) zMKTE>P1_&yR!pdW_2AV?(w3S@A{g6Xg{+rIE<;$!?1?QXcKOI2QE* z{=l=RkQ6|W_k^$8Scv1mx`;jVH>Tx(t|H?~bUEh=6VhK`XLHmw9V`k;sEnC$yO z0Q(P3Z5W?)=KK>rmi-D_2c(wsyu@bj3vfVf5YZD$+9tqNr~d2kxl&o=&ZBU zi{4m7pQ9J5*_ScjGkp!AmQej><@-$E^~9@@w+#pYTx=Wv#B=)561omb6ep2Rp|h+% zZ7H+>*6!r(#c?pD4oVnvK0AW_T|z{Bc_dCYMPby>t>>dqiFwg=93%*~fSB3~4Aq{o ztICO3uScfK{eU}d$s3ht=X_E@DVRkPDQTgkvPhFPaVg2bq#y5sRMcXBVhNwh+Df5G z@*7A+(u3O6lKOcsv%iU42m_cH!jTfE9VSjLl%<7KbSjsCv>{C+TQJP2yIZIDgSes+ zlc|LyqjZ$-u%;C9Fqou2_X`wG+u=G3X)VER0;|Z#>0VhKFpNKE-j~+ZP4*NCAiZ1L zKuqo7Gr{7j7BB7>!Xzvr>v0GV_t~K(gEkG1dozLSOuhdK;5=xD_p=Lbn=XtDuQa2`(+xsN@|51By<&zz6JA5?P6#za|jZnFNK{zpNGr zAQebIV3J%Dz8pzd+usy%1mM093(HThAmnG{n?I~!G!|NIeO5TwqETEm!yX0Wrai{D5fLB;P6#_!L45xRD`#V~x{mEwwF`$$oV@t)u46}4<*vjlTc5&7`P zm=(7ET#7GD-zm9v!M*NA)k%Q;IfpQ@V2D%F1%c27aan_HWxLa6xajLmQP8Hni?K?9 zT{q_nn{fnz_;Vo^?GsAL0YGAb8d1`MKT#YLRM8#*MI8oBvLI+L9foUT*rT)_Z&cm4 zw>YU4T~9%tM^|C^1hWS++AwcJrKkm;zt@0Z{!M|4QhH1*Y1=+XXeXVwhE;crE{}0dUGV@X`WD z5l7hjM@P=JF`^I2!o(6EO|Cf0F*CIM23(Q!CT}%CDOLEwB;2A>Oe(U^N>YE~A{Ja> z-1MQVLNq#D7x{0ggL5pEHj3&=ZLiHu^vXL6MdGOL0lX13IyrUD)A~|R+?1jNGSGTC zVdabc(fx5$evxtfpQFOS7_PjZJHvX##P?h$q`Vw1?e$pa(8%ObM8WrdWpO*y{E?op z!uKlc^c<6_nRo!TFSCo45MH7NhFQb z_Ol9asYngzXGg*!>c1e}XO!a#1ORJDVootphZq*WlJ3p$Awf9)YB^C_0ggzxY5PN} zNqsiSS%jejKKlv?)JWDHzzQQ?ivM7ESR=1V_}RG3g24Wd1f%l3a_~n;dje8-ocZ{I z@Z&eT)T7%EBXV(lJD(k&tW0UssNmKpl38VD4{FfvMM*;L<#qSZV;{4EG zxd>r_4gBSR%G=i1q&8QR9f{rMmJYeyF{NgwEXL_5txsdUUV9CIwBj6g;CV9BI{l++ z!gqxIA#3Z+?(%{6ajt8`YLlT_O}E~<{$rpE_~QMMY}>^^vutU(DfC-Ur@M^4e%>@$ zhNCI8;&`vP4O<56(ej$sM|!Z^m7xI8#OQbabW8TS+6`Pv{`Z#|<=k6=T;S$$7xVwP z*kt*$*sRRdcG}@Y4B2e9`~cs71ohrmB2rF9M8Qs0y~{tB>Z40g~a zonBrE#R~ncNKi)Shb;Kb=dEiKPWQH)ezdRmHq^*2j-bv_88;h!Bge0@OQutBy)aa^ zjRxVl7ZAU=v3Q!}*XBu6VR!G8%>h1YUy8BeG>dy!pqRyb2x!1h8G04yUQU_kvpF)k zXDyFa&9-fs_T_*X+%;~Hj|?hd8K+6b-FMYCey$tWeR$5BmMr&9WuNem#@4rh>;YaFBzit(7=;ur<%7@lT5xB8lT>2)NmaM(@N_8{Tl~<10uoOCz ztSfqr*H2rEq*woBhlmzP_EFeh4RPC@TpyZu#RT^?SJIbv+3ACWAZuNns~V$EUP++U35BP-Y9 z$=>*vFf6MT!)GtOeP(0ow@D>-NOMVDZiQ8Oi4`A|IQHM?s1hYgpk!d$GEjSrIqwtA zX%nUd_#}`sNS)av-ACJ>#EYqPWM`kg5i}D`X|%#Pvr%!A@s&*N&lVK7kI5dbw5Y9C231!#sh~9#osO{Ahyx7D%*aD>f@hs3HgK*aQ<>N4viwZv;xZ?2M9*Pov(LTjxjrlE1P6UDC_)&dR-E*Dc@(Q?i5^guuA zVKz&}U>TsFkW`IY8;PZ99L+@4)b=(ac$`UgHl`a+9=)-tX#?Ek_w(At*{mYb$R#f? zuoRbK+$}^eDu+iS*0ke8ZAGGD#csNz-GU zV11fAIulvsi;&{~i#%;e(@sKEYqyP-dlF=g)IG_H(Ug8}*nrMVYtlQBMwazhBPuOj z)O-BUcIo^h8O(##lYDdX^9zRXomy00Oe9`vo1w&wtp%~&ULGyUtCw;y6}^n#HUv8x zVRq5Q!qETOoivFd9vM$JTN_q-G=;Bx9iP@BvK!Hntxz1aEWA&a{H|203QJ;IJj#<1 zeUrR9eO-sjNNlq$2YPuYxEIT+{^Y0@?s|Bb*|Otvbm=;^?am@`Fo-(4F-&|^rvkI7 zAltpL4BaeCNo;j_EB%y&CvGoVKzacYY8SgR)~BAJ4Yz0*Yn`m3ZMq=pf%xit)kGBo z^)|$Ek2FWudngQ%Bx@TEqVS%$&;4UNpPSw8Pp)@?sW_m&qCs{bEB4>OT6*jrvJQzV ziZK33`rn0!z$ziB;hYX6!7V+O#}H@yLSZ%h-XjiDKb+c_1L#^9qHU$-IX6rg@`+7{ zx4H$fEemMANMZWavSMafCWc731WVp2gaUCOWsfBn3W$^lBAEtVCx}%4pRhxw|JZln zzovBhuZwZELTTbq<8 zw5`#Kf!!MX1XKi2QE)EVFr|6LBF*1HILQBqGz4L91ol_<|0omv?-DBiJI>Km|0eUF z6rl2ajbQ$%@|}D6;GMSq&vVm+{^n)9 zmSHej_rlsWBmQw8S9gld zoYn=i1=nP<{6jY`di2$6qXYM|iRu&vv>Zv zE4M@*D`U?}>=9?u2|B%;!H^c*2FZ&K4U%!e0zmFG=O?O-x2JpOAKtdVQ-uMJ{Ia$O zvy!)Ub_56v1Q#VAF9q)gY+2u`+f#?uYke#(N;Wgb?uJ6U-}f)1z24nDY%@-SX*<4M zluRz3o}OE~F7tDgtnU~5*ytYlWv|>?xE1|8M5rG-ZC&Oqmn+$&&6bkXqD@AdAbuZy=cA^b6Pcl$*a zD@#reu$sfy(Qvp9AQ^aL(>!~~vbiT?_TxUAJTLNT+lXsTcV&(7;MJZSp6OfP4Iqwd zkpDd~`*x?}*iIL5e6}rzbayFyyT9}1&w%cd4Wk+Ve9+P8?pDyZ|RzPuYPX6 z^Om-Lyz(2D^wH+AA6z`TITjkDc|!*lkH_vV(G{5{T6>~Wz8N2Ys<)figRAXlDPDAr zxoVA0pI0^ZRNe`?R?o!!nMfb6LZai#J?A({DW`fsIbZh?Qe>uaE4`XDvtz4oVsPPr z^G#Rlx6>e2{;V^8o$B*4rzy<&10|yvzUbD*+xaw-CNEm!K`TlZs>bpAO{sc}um4Z-d3VJx^DdIGTTLSd!sDE)g_MmiFQi)`_* z?|rv;zO`o$&wz5fFxqWq_>HvyK}fIk`BvDK13(+f78f0$0LCSOWcVpaQb@vrFiG_H zICH-WcH-=rhD^dQjYcMseoY0?AR9O_$LWyd)ml@LT$A`-A#^G-(#W^|5nquX)D{t= z(H41SHAeJGUrT$TAQP*EWkw9th>B-0%MBfS4>+vS>Q`3c?nUM97VMy*a-jvmBF@Cn zGkw8~`9=LP=w;>y^}(cmsTwe4RTSA=(@q9}M31QBfeJWJEJ>j28(Rq`3>bHLlZaBz z#^o8yc|Y8ec!fY4YJst%8+_|Eq{V3(Fan?HoJnh*4XBN${WZK0XgqzL3RMI)$Zr`w z>`Me*JYbe90LdAZiJ3f37NjY7p^AOWPO%_1^p8NE!Ji~VXZSzrlrcLkpz}Zfga{3w z)^xZk3ji7u!q(FD-{8LR)cYL(#!w?LfH8Y3{fR20itJ39dfQO@P4Rviz9?qA|8@9Y8Zm#oQEnyqwx?O z07EWOLs*JU1{+wUhNXZ2yFf4$xQSXvXo6M4SnfzFHu)@~5q^k-LVjRL5kH>zo#L6_&* zo-6Pe(mugPpa(JGYXI+%_ab&xEk|#~jGk0u9Y^!3zVCw`34S_ud$>Lt7PbE8c8MAj zhXPh0(CD9}ON|9*Q|;5`X_us zR~sqNH&KP$9}aNT`N~3XbM}OUqEslj5>=5WwtjyYo$OCqjaNwU)|? zTDRxEOc9mw_Cg-lt%+Shrguk@EBRHRfMW)cs~sIrMd3=U?c>4bbES z*|O>G4i^TBGWFi&_zSaem9 zEYlSTMk}?= zB7=A$Z;Judp`lzRiw(ppW~LPXw5K?c(c{!oB`j*uOL?kjVfd-MIgDAXo*Y7^N?*}x zt7cD8zk-=k53MbRo~cq#@hVCRpUjZCBB5Q8T}PSm6e3BaCvS#y6i!U9L^25nd*2aU z8n`69b;Mx}iQN0TZ*OW`lmqo+hL%ub+T{*fINfKJeQ>jl4 z5Qial6hnzGHuF+xPu=Ve$*iK% zKuy9?=mha;Fs~H5Z78fB=sun9gOy_D(2dF8*FX z6kD?~EI$7kJ?0FpSRo?#Kk_@Eb+MHDrX}`4=baSHY|yoS#!;~ID$#ldf)7laOB=9O zP|mVia$=X^5oRDc6`+Y@!kujz_W19Zvr>kU>xfO8&)Ks&0z%EjR9NY-1I@2wlxR8Q zXvE4@k|0q<5-SZpD!u@#x0VUBGK)ekM5llmuxsAZSjEo9q$9^kvZ$bul+@3O|k znGw5(snA2`HU8yaQ=IgL{8sKzNZm(J{~O+#$mU3p4E5Yw@07py4GT{M#urNNX%j}Q z4bxICg%c4M%!Z%1GE+hKuR695UMKpn+`2b5Unsv|tEF!V#H$$!%F%>?KJOTK_YSlX z_8pRl;0Nu@_xRWKtKLni?+m82)b80iF2iDc9%Y9|#nZ1PY>p4<8l`Kb85&&NcKmS~ z%{dN=YVw6r&U;YdMGYp->*z!uYkfE;TeP7qd=?@Bd^=ojB=AR3oSNU{g^~cD^-TA~ zv@Z%*W{+TyzM!q=eepyIs{zondMS)fI&F(q$df z7Kpnnf7|~=ryZul*`+ZXkyQXT)eKi+mG{_`Yb@%S1`#k$s~IsL1Hqk z>4Fp#2T15K-jK9AqOP}!mH;(fjDT0zkNq$(F>!CusLSOj_kXQQ(bPJo!%B_tRG76< zVbIWWzmx_Fyds5ur`FbHGxpLpyx|@<#rxQm=SCw^xP2QL2qC)Rn6g%#UoX(jCHR5s z^LV_n5W3sQ<@M8Zcn{%R*Nq^<@8UNBJVWY8{Kf_0rUzk8AJ^$4@e#w_!RKZA+gBg2 zqD4&S&Fo_vY`8b2*o{QSES^R>xZG~cv2Kn<`Jago-nq?Gr5MI*^09a1v17iED^r-w zp+kV<=aL~2&wQKt(cwNFz?1p=bMyM~{Uv`z_q}mG-)332(A%2}^K5i?>Cf)6?jq24 z2M(w27pB{YEC8_hP@sR`zu1WVYQrqu1Kgq&0{Wbg{I^s8e>|D~p8YfZhaXdLY)?cl zBdX{l_`9&XSK>kl&=G`#xJC64-0)=uay2#X5%tZh9@pg8{=WU>K6@sBrwqpwKAv{2 z4J>5O2*bSQ%4>zLTd4YoE!k@O9-&$oZMHU_#Z zj_+VuGTei4`jVAS!`#JG`vq8r@GMW}%_``6Z&`I`h~xQV zu5IkM)DO23gE0Kb5kDXchWVInoe|=#7LQt8|BL&Wc`28~Q67j&cPFqwYFC*HTn|@r4ht5*V$~~{aMJhj^zsE4UsB8{n$bXh(`xCP z0D|QVgcImb^v4~|*wMhv!q&`*!PUlEnB?qqbngqZ#;78S}T?| zi!b$}R5Z2}$<)|2HI9j3)C+1ET|O*?+wu|`RVqZ98u*jW44fqGLY5i3yi|@Y3f&3W}n;{54Vm2GJKcUj4q|2 zN|35tfPuG#pxqCiTUpf(O&XSI$9)al$qj<}3+phh(&aPf?*U$9-$r)K0{}|pF2_sL z`JsF=Nl0}@4)P1s6)93Q%_c?2LK#S!R8_E3Q^qRkXx>``>umwiNP9z)&a#q-Rsxue z$h+4rKRIHSHIAtfOCdHnmuSu;9V~}4c;r_ze@RE5z_cJNMe*IBXsj5{N;LEmUi&h3 zf9c8bz|9h7pwH{8d(#(B4hdKAm7_chwi@!zBC!(`Qp#Jwo670y(84(=!rwnet>>lB zYO>CSyTogs+Q1^1p5D8?L0|5^_iX{=Xo)Js1AMA_t^Vdg)Yz0~K=2;H=S%Kw8-bjm zfGIgh%7>k}hq-7jb6~`*y%n@N>%3AcOv{{YB%NC7?mN<$%&U(9?c;g|yLLFzTk>j) z(J7FrN*?Ruy#!GCXuF&$==}6{xz)VD=iy^DDW8v_`SscE+;4J)#qJv1s$lk`ccp&W zO2aF{ukl$PNYwvs`?54F2p4D|AnA7h+$>M z$N9t1_U`GmNAp+NU%ji>7dy|+p53i&+ zd@q^0det|lr_b}Jt{<*~=(c>nYaQG@SNP9H`}&#}*H^=%yw7x-C@?>+JwAL^LlP{)8NN-&iC%<9;{mzSpirb;%)hU%k;d&yRPFs zYI`#O1?Ls-W9`xxw;+e2Z~8Im~Dedp7oq@=Y19*KH}u3-Y^MIdi?CKSdgysQMB)x zVwxV%{v|ohLs?%tUadadJ-_D#&f&Ysui7z`*gJT%4j z2A^iXW)5wRtzUzZf(Uog^6(;rVjLlN$>~0pnxyrf+r0x2P8>Ya>C|rb!J=^GyGih# z2llpCubthKnesTIA9Lt0lmJEI%deYjpGXVxc2FZ-xO(XyBeS>f+ov!7H|C3wa#Y#@;WAYX8xWs@ z5Ni(Q-DlS!oMCiuw@)4c9==}pcuDWS;@AWUmD%HtzX{_C-e9t1TFOwFDg-Uvf2XhP zpu83`VVH9U6@o56?6Ce8;(>KZz43|Xg?3N8=745Oc6Y_PIP@G7d=qT2MUUMTd-Ew` zlA!A+b*s`X8o~PL*ExIQ(7f>e%mz1avIqJxmR$D#w8 zGC$0Xa6hwA9p^~)U+W$P*=%~@MLaZ7%~6WnI_5IVOBO%&Y2uX_ zYNp5Kx~vB)M8JJZ-hTOjLmRIcgRz2GLGGm&GYD^H5Y^^aXHL7PfBjxbOe5wGsx*R!JqAkYjR0(x_TV65n5kWx+v2gF$ zxARp#t()Rzdb}|5-WXi%L+23csR z=(x@-DFILyeVM}2a7PVA69@D?2vqQlkk!J)G5N*PM||MzETP7u_{NG?=^-sN9LPt< zQB|!;#5#-^a-ouaSdAJOsgs=6-FNjx3qxOC0S-Z`2nt zycHsES>(?hPD&CX(QaK6jj1d%VQ%?}?RFXKK))}6E5tm^u*1*i9!I)rlvUf1Lb#lj zkDGPv3qK6CX}nB?ni7{%d!4~TNkk~V<-XSavLseYl9=Bvr7(C#or+)Z@nVj{u!+5L z?znL&vbImNPFdWF(Wte(nsrc&-cB?D>MJxA6rY~BxqcXG?_DDD48yz~XWe(y_6h2@ zmfBT%rP8sqq+Su{0d_;YiDlgenIqiqXf@MQEx3bZ&U@PBM7?*jCMr91oE~I2a@Obb zh>b((C5#?rhB!>7wrZK!jI2b{P^WlJ8v3woYXG1Bnveu4gu#(z8$?H$D)rmBw6- zs-SMT>pGB;o?70+s{OfThiVkv;Cvdj(HiHf}Y8{WQR5^gbPJxOXhw#zQ>U^8qRFDKLqkSC5a5biI~Li*e_p(h`88v zQ^8KYNS<(++G3~aA3!Phmq2>hdY0FgFb4H}hgStU+=jaXPJKQw<&@J7m>YN$F3rT$ z<-QD|9zd$Vgi$q!v9IAPr^%ihPWcDETs7PS-tPCQ_Yfw~76!#U3P#~Rj$~$2AZgIH z-bPuJ&-?9Vv>joHbLCb_4>j0kNhgcaXc3$}JQm)!=5uC(3-iPKJzq+~3IloMFsR~# zk13c%LI~Q%wu{M4dyjmK!lU^d6lSDd#Lt-mSn7!v^@A>ATvao0()!qKA;FL@XGW#K z6Byru)1ckb#-|v)t=wx*t*8hcCWf8*&Lh$a$8%#0Wl}P+N_(aR!VLGVlh|w>;%0bS zGN~gOJ4M81$3`P6Zk<)*Y}*S(&zZi~lNJ=EjpL`!U7@DkW7L%|5TK8I?>WQneSh7N zmAdDHfu5Dmiw^^eCl<_t(ni+wAV$$h0~5VM(}ZNS<@4DGUk|*LKiJ`|0W}6Wk0ZB$ z3yH|+1&bBg!H_fNAXoylHZ?uk)K;BTQ(++2qVmPtpzIT>A{PQn-dxyEL`~{?uF_h5 zyq8th07-6R8U6rM3nxQPaz^f~To)k$;>2KqmG$x6M)Jres0}GNH69L4XZrlso|k2j zlTtHi2(+!v3QD6FO=gRg@NM6eLO1y0U@}W}X6Xl=HRUgX%}Fx9z@Pv}^k*87G%?m$HIxCyf#<2dhZ~PYM&;V#hGgag;$>+-KD1*jxRKYdFUGNh&a<$}w|JGD=OX zV$|%&K{p}m+n`};4U}Z&#Y8d;eh+a=Xx5wu4BA*|$$`j+ zUpR1Va|S@OR_`kflMJW2tW_haockD7brSj`Hjue+oIJILvxz7v;4FLZoGhEE4LJ|y zs>O=@gJ%lCq}*tqU6z`jnQIM6lz)kxaMUY^3m)hhsGa_zA9Un4} zv!DMMsM)z$iBp9skCd@p9A$ZKfJB@|wV7g*r31t(vOOkQesD&h3@{bvkkxN+GvU@J zO+?X43l`aWR&%UXH`}-dDKkkqv@+_^6xHV_hgegxH$Ido9S}B~vjpadnenz%v^#xzo9_0_hzGpwOmQ8 zhKTlg>^ob~i>jGp2g+FO2{X<^Q7*TM`0i{{I>^tZu#~Lzy*dAUkK=D@+D&+AYrkW+ zSeo!=V{F8~v0)IAyQ`Y?F{MtI9}1Fbr(hIV1HP}KB|q%78^#qgQ^)wIv*kjT2FJhu z0M4`Udz6r`lnctecVjbq4nrKr0&@5XQ02-gbB#O9EFRT{BpK2G>w3sJkW@PDI7Ny% zPJcoA$)zZniHnhN145EQYGHRE9MolIvPGJ`e`p0mbA>H1Z2UM)w6Lz0+(L)1p(uOqm~2$JkSc!JKN`+>3j6vRzhEz zFLgA`56lG>>|SX>7bVHQNX^j#Gg@vjZFb^<-%8g>Hs;SJGW;0iSzJuGP6o{=z!gZD z`Env^aSBj>F;k-YIw%Wu6eElT**SAFvXV-#6Hv30Y1e(%vX%pl12$BIrsI5hYxeEr zMz+CPEHFtDniZ!aCJS}Pm>8C3*4*9{!u#Rt&R4P#wAyRX#fdc7KVTYKrybzL(h3+q zRZ%Lm$cpa@A;NtzaqYc>9euidB_U?46q?%GQxeD`Rh$%45Nk)%W;z55U|LBBjZpT| z&}B{4u+2mXa`>jyiJ#hMVUG;i8r+v;-hKZ0*wmWXbRt_j1?-Agm1-ueB6p3>h@#w^oh>Unfav=dsGAoT@d%h78>#vhI3RuO+eDLHe<&!H& zNaAQFp-`+s6ci>r4^}@F514Xk{I%+5#%*ozFc$eVlnHU^fS3|lvoUbDZ^G%JOueC! z1adO{-lUfS(4~nnDMH>B>~v^2ia+Qk?&d(Sw<@dPhxlcVH%%wYI-+l->LyI17|~!5 zM`;X+O{tsxSXE%8))?V!TXgF6+sZ+MX`OS}##Lp>-kr06AOIRJMpavr$%eZVGSPO;s_GIZs>@h-=ci`PL)4pc+b+=3!j+>YJ;hH6WKpVCCft|88UI<^ zY$rBxsYR0ifFz)(e$$C2%=dHeKb6(S%T($qm7^}jCK%rw&p#-L@ntauo( z;UAMy*kBeFXPQs|)T@Q$Ie9Mq?-t%-61{Z)a?rUPW^}ruGy72uz^V{bTAAZoWu4#+ ztE>RC5X%Ex^X{?W*$hQfh%3n4P}4RvBip1)PIe00a3;NwW3u?a~p*FwSyK zyt`K9Q6tJZYp%6O!R*`oEZF5tHu*e?QNJ<^8;~T~#kVwXHDIz48#PF5-J*APm<<(l zAv>kLX7LMA7E9$B)7cVSOh{fjq~aBU$eI+k$`qD?1%7b3orBaPg=Z|}8kRp1IgUS} zh>=CO55|IUGCgz~B$P&kevny_e=99!ImO&Z|Afg2bsF|n%`iqjnjsh~#T=))& zhx?G2fc(T}Yaf|BQb)ovkHG?}fuITUkiG%Hg2+T`i%b?b7)duuTu#PZcU(#nM#>!; zWg=2v3jtk6ekX+vV!fu~ogR-pydvt@QB|hDdgT#J3UtIfePaCkYBJavO*fmreOr6v ziitEDNBPS&BrBez2&32$qn4W?aWRP|F2tOqxF@0JxOE^1N#Xl&htfw~5hiZ3N@oBO zw+d5B4OvZE*s+AAH>k^upbw>sh@Z67Gx8q>Kdu@xmb+@@1Is~?oG>C?_KV1bYKBVn zIM)g4{8}{SR~VNSN5h#WtpB|Tf}MM2Ha4-3wNGvo%$)csD=mzQCV%ME_d!~)R`_9l z0K&%$%D&TIT~uq zVpkJd(U!y|q*Z4jn8E=Y?X>S8E(eWzL3vtS-zFE8Z_Q?)8|T1kpSGz#VS1O zGPFs11q?qiGh4}t6+ys;F>rbLd3MJR`&giTT4@r__S2%YYQ^$rub9ka91BokcH?Nt z5-Pg+Vx3Gp+L@}AE3NL8SDomUv%x-x`iEXriXwk^`m^-&@2f7Hng)yh#Eqg>H5tXK z(s-_7ws*}C2oqa)95e`tN!ZZx;c6?krkDOOVKe4 z`Y?9rRB}o7kvnbi@c&XrlrLNIwW^}{fwX!jo7IRs9f*9~r!_ma?%~fCPYu0*3;Hdt z?`JOyj^P}B4|bVZ4ZWC?l`%HO>}S;M;FMT;6RCUSt+(CTm0A%r z-akvo;C}H##>tOdyM+)kNoVbv7Dbk+%2QFMH;_ur^tG1lw?dNe9OgaEt=Lh;|4zGQ z^OjR@sHT_Q0*-+6Vz7{O6<`TOKUNwy( zGqKUpo^tNr^S^d@d--Jk3S}M<51hW=-MbxoIH#E))5-82l1HXdl)et}+X;0Mc0a$%JPhHn*BG7xV8ybQ2_Q&$A!FX@)KzO-gc!A(s`|@*F_v&{06Tuo;H*X)s z*!dPo!dBledUE+taPLCwH?X&YeLc4lyUWDBA$&gOaX*cTLckiXH%iP$^x83!D zA%@s@1c(u}{pht#e0+lEp^v4mu8-@<_tTH3pYz5$-F`QESsyRWBYP1HAM<)o?H^h< zjecvo5JAoAZ50&K+1$j&gyHY~@AmMS z=0qfpIC?k3o}c*({b_|ZL6Av-y!;u~sz#k~44aaqPWB1CqH0Dh<9Gd>hq{_LxYkdO zV??w#5`^TbuS}4cqyX`=iW4=rWR*HI$a>>cte*c5hd_A0&|UhySHF7i)q77>H*Y_S zsdt9CAS~(l)f*Mx!^xD*NV4eok0+y2%lCvt$qZ4J;Eun>!oPj%lfT?V2QtDj_CP)n z2dLvmQZ5h5Wif?eByO-JPT;#a<1v!($`|DsN9Uk7rsZ0t(kRD>B)*rR7}P$P&&d>f z6Q$C>wNXB#@R~@W2Bwscm1=BBXzc8?st{r=`jmTTh<1FKXU5Ja_?h%QiiCtOI(|j} z`Q=+T%jh5zqH>R{Ave;0nOr6?JFV$C`Qjv>Gzh|=(arU10F}GAVRP6RHgbI$fTmMe zY0Aac*{t=03~PYWM~Ch~b5O5Zctd}EhjTYn9}BNRss3OGKN|JHiWXjjQhd0B4}0z2 zz}BxpDZa6TZ&td4plRvXpoo&>bO&7t8}zl9g#%@fwx2n6EIwvg6+ct-b+y`}*~pmp{FE`SY7suim`;S2nj+Wyu|)WMRtv z@!jA5{mmEN?>~O~$Cv*|r{2a36aVg?e|`7MukTY2D=tj&_NyP>{q)1zumASj_g^}+ zcR4yS;S&;L;oZmQ-Xj*n0v-JE3BR1gBtpcJKoRJ6s2caJ~ZNeuUvWyFO zXZ6(LI*#~C635KL5SC)H358w2~-xshpsgng%~YGIEg|uldx(4TS_x zFEC}^aE66&$voh&Uc>44Aj7!beoWV~jpUM?x^x~P#!TdZo%SOXFJ0I%Ng@;bsW^oY zMczXuUGQUO?-2D4en81ycRwN6a`%T5!DC3SCZ!@k)Hf^c@Z}xMtoR+T=^SBKmg(}? z%C22boYB!+Ev(Q!!kD6SG{e|?{HcRMk1Z{m7o_h-kW~(`E3ob&J66?uf(5ims;}8i zkw-*WAv?wkwujI0dMoj)Q4%A*PxF27x@q$vR5@oVeL$zDCh$Ii_7CF4X_N;7bQr@nDvPc0f3*q0!KFD(O!-IRH+Z6=6X6BGe6LC|2h(k+Ns z?k_}CUDaYMr`+7$w1pd>F|zudm?R%;ezw}4@W0dcICjIwX?Wa*~U-vTmI*#jJ#)lr`x|hjLRz6NIQ?xJ8 z*{IWw^pr=R`NVsVeCCAGv0PJpB=o6|fL}DT5ezChecXxjBfw<@kE+bU1Qu?=k(Ti| zlb;b8jU%*#qoJy=lq^K1dLg_e6L4arhn>0=p046!HcL-Bt5tQXnO0VTWHDX|^Km$( zk}0~;EKcuo^_uNMpR#TUDA~)9FebA})Ey+6n?YqHeWnXWbCA$0`zS2h4i+t?e&ebg z7Ct04+9VZAvlbK_I#%Nl)8H3UG2<|>FIsOv?2gn>`ep|o^C6ydzYtH zmxkH}JZ+IM!p$b3Eu$Me5y21PEIQTbMQB{s*X_ck7CmJOJs*TtL8IAvAB(H{8sxTf z15M0KK@;!1<2ULdgv=BzJN_I_jNvg}LhK0js|(QuT)RvqPdEHkGRu++IY1&x4X%?; znhjziG508mJHA?e?gpCZ9HO~ZYmgBBwB*{5R{m_{!0EP=@qCV_(sa8qqAZ=hLWWOs zh4Wi2es!>tWGis@IGUY%Cv?RhAqcUsSycv{5djwm)zq3Z0yklKA}iu=t1xA0*Sw0- zF_exYx{Od(QOsnX!gVUo>FBrI2F6>qW-zE>lB^J(EL4FtjcQfXylSKz_Ey~w3n|MP zNwcV#R#s6})1Aev88&5L%a&Iw@&>Doi6N(%6+TVf+bOrb$#q+OLS7}n;JN)ARrdk~ z!Jt-5W>Hg&chj)S+1aRFJ2nga0JV!02_x$_Hfp!*me&a9bTD|O$`5keQ42%!O<$=k zt*^|@TWxmo6q3gPy4)3&Lc0>qb~%EEXsmmZG;P2Zc_y>10Z-nFlaBwq5_W@rE$o*n zt#DWh>OrN{3cK}EHw^35VOXsUdbJl&SY#Ae!}Pj-1Xmbzon6}N@tuqNcymj0W6I(( z(--q)O(jOYS+7?QZVSXO6`85R~j_>&B18U z4_ocg3*S4_;GkRY2aRE?)Ts9RrJzw!>u9x0&7fB62F-437<6A`{S25O%_Esnz|NZB zt^WW30RR6308mQ<1QY-U00;m803iT&mC?*+2LJ#dKL7v?0001ZY%gC(93r=Z;0?MivFjoqG%7uEyf;4@PGo3PEVP3)A*o zYb@@qKzup*{<~2S?rrP++Ok3cNVvgRT!-OiC`rM5Z8>Ie<83S#ep!0HV}@|+ucTn( zTjnC5gB)Ax%5qO~OyY_$g`qRY5OGZZ<95@Td(OrT$&A<}+()!T!I=-=tX$7GXEs3H zDXKY7X-79D@(!8%Uf?am8z3in%O#mxWt6(4OF(Hda<`7*gn=;kwr&X8${q>vFK-s` zu&0VbEcBhX02n|1v-QFkKg3_petz~${`>ihKYz0pe|`9={M(1;qBI#v38%?u>A4KU zTM&mzHT>v$pIw9g7Q>*gO-8{N;ge~@6NM6)d$#8bAy@-YL{D7PvEn!GOqV%u5L9zfEj4<;ihAED*D2HXhA^}~JxxzdG7NAXJWdyl-m^3A={ z)$juX&n63sVs*!6XsEm)p=G5b-zciC>jSl~%6(Pq_PUWuXUY4SrlHbMl#Co$%p3@M z3PHZw?g-I*6>a) z5Od|ZrVW=;IwJk1BcT(8rgJPh)`DyuAbXH{l7OJECOnWMB0Lcsmm5St*OMD6wByqkVNCH^5PKAbV zHt1X8f+TxHwaAfJv619TWU)f~kI##*>0}C1Pt+4id@&#n1DJRWR~;65WZc<^C~xsHM%zw^>Bj}uG~>u`D`S2gHs;EvxqKz^~q zghEMyUsovf>e;_WD8PErb$c2tCw=Px&kKc;0>7?MfF`q!{VPHNI*qggfy=xDQA$ap zkxE&kEN~H)+Q-Hb@_mhIk)9A=RTIY+20X;;31J-H%oBM{B0R67=o6a6DArDz({jC{oy2g88tDcRlou6K7T2fF@E_=&QYfIXr%3SU zct00?J2pO5&uo&#L%pIT%L)M9?{QBlW!WndfGNay{Kcp-kdDxjE|A<$>bKBtSWK@inFWug5T7;Cw4|sepdIxfwyvW!b$C^i>uge6_6IW1j+#}xh~;Ftpb7??!`CHWt@*x6t#(T2FptPcdQ z0GMVWq_oh!R}j0Xv>bate|wDxuVUWwR1Gx8FAD$Ga-*O<(A7B!0~(pPnh=3?_sh%{ znnsPzz{fS;s)MlFJrgI=Cr()?LnGqqsG*r(buBr=ZqY`y;~SNdgJh5G**aKKIK*;T z-{5H2`8$ygiE_LM`m<{(Nz{|d+uy2t7^FiVNf)3W?3B522&jLhn80cTK+T}W@jmpn z30(XT2&Tw~HIVmiUW8?j5uFWQ|7`%#%quZ1nI+2&P&o~0a%@95@9n^|_9ZHWy> zZnE8|`F2;Jx!C0!XrnVoAw5VOZLQ6?fH(_lX>RTCA^UMG=GVVOM^j)LlDzdR`Q(KW ziLsbpzoqA2lpam|utoE20LN9}TiE(!EdKj?+Sl)28{N)8o(?+dt)+EzZF=9))Vt~Z zy`jrp`R+fEiJrP1!g1g;PBllTuVKEYsKda9v(J7aYy$p${%kBVH!=LEYyoT*yWZ`| zuQWyO7`L+0QG4c~Gw9uF9Ya&P_dRv`S~D~TyH*doB1?)AA04OQwP8pc%O-AWtJFg5 zQ!o<{c`QSdCB>C=ao=*AZc9u<&1s}7!dOpw6WSHNl>0&aj zAMEXYU7sYQ=~fubmeU2}?(H9Jh2?bg=VjXTN3Zq|w_bmrk6wSjc-_wPWg4z#yWiIr zufMPBp?}ewO(x8({P-ljPd<%iS^0Tykt~*Z<>^JM)hRzbS&qh)ejDS51{?I9rB%IiHUo1AoV+MYC0dc{&)~ zK8D0P3HmxL?vq7G#@e>WkbWNJi+nqb7Ga*vCi6vZ9WQ2K2J1XzqeU8$Twmw+v*mbL zS;Og7uYGlPT_*B-En%829+)t@Kb|GSbhurnNTm1GES#pFMuRk*!67tTX#V5bfVJk2 z`68WEhHag;`{gm)o#yq`S^G`pd3az6cj;oG&1iMI*WGZm7vQciW26iz$dc(@8g3$U z?S^nV3+a$m+g40xt33#{S>_LsnU~gwO?L4(Pw8iQd;9dPxyZ&lJ^Oq+$`Go>y(WOb z^A$aiPceLIb)S7i=w}gG)9+I^~tgXbQz6(~ZacAXeTEPJr;IB}>U+Qf8Z_bZMg5 zWYdO1zy(jBQ?K9X(+V|UJ+p0R?W>*ljdp)$-xkIb{xrVb%frb@&o~0k9Zi>?!_Dk7 zO&TVD!x}Rt}84ZVHSngIZQ4nA%VK1}_ zB)4rAeUqnMV`5;3?a|W^CPv7Oc3ADTd)esjei7Q8kPL?gO~NEsgwiqp_}l+(7UKSo zzx^K*Hd_u-c@J!&l?rkim}yE9N>J>hhAt$5L5ILNnL8RXMB+QHVx`n;q3>rOn3oa4oCTXET&W2#cYv`!*n^h zK`rsVzw0knb#JGFWSt&U6#pk5rCSizyX6E0VskVp7~M^0NHCjMV`pi9bfjHH;{0QS zPcNggSF6z!E=rssP{w8$r811H%Q*S4%oFq{CW`(oZ^-K?ZGuhKWLzwop)d>-P8z3| zt;=A&R+ZE_y4$pasS^YcgOP1BP+)$Mqs_8eQ97Lt5vSyFC5L3FhIAiDhm;>nAqQ?(=;m(#|7vd@eBBv21k8f8M5tYa|7kz1!M8E7Asw=_7)`3X(Ocf#rTId@ zyut2%`nD`E7jDX26wHAkZag-dTVKEIg{2G-5B@v~;8AhL5}-yjM3uhNAQ@JHua%=geHHowTpE`#<-$!M2xtxA?WPSyesX zGZQwzY^QH8JG;J~Zl@VPF*Kk<$Mw_JJ4wXQ01^xQCdEa%3s6j}>UE_#^1+W~3IThe zCeD6<3@P@r1W2YYOecv%^!aE)U@pU@y9~8tJQ3pUzxwGV^l2OIAWMSX3W;Q743`+k zW=SwDnSyi-)ug6JQ(81oL9sW)#Tpt8_#TBEsUO{ruq=6V0yHuQUrRpvizyeF^DaxU z2IuK)j=qr*YSw>+ti8Rxz5Vja_3&WR!^6_U-vH-Of#+uF#n(Tzx>v1>^3x`|BE3wr zJBbS{<#u3w4KO6h?)VsV5Aat;>v9+Af|!gGRG!?`KGcrBAdb{ zq6|zd8{Ft9QJc+2gOIzwRp{wqnqw9YSB{05)S6|~-L4B7opu#BqF?3uygiq0eu4u& z87In!rhCK*yECeDoC8$IaLSYt@TRhV4RH>}RP^^&oys>6_hGILveDdFY@RH!;Y{it zk`Y2JmmrZdA;p@*n0FZHW*Z9Fq16O`BjYE{t1@&;9u=GgHR&Urn-3!!IRSKs4yegQ zL4qfHM^j?Pi$s_r=h_hP$yTfk(wi{{bF|o%0ShQL!#zonsqmi+Cbh3?H9lCf3A`O>k=+&Ok+321gN^YwDTQ}w27JhYpQH(#7A_x z(@_S63u~&{_EAjnAf&Ao){%LKFP|*uT%zQv?*>EoqxP8z>P2{eG18e`dyraWlw?$L!g?(iakEddRU=sXMUQ#V|sTOWq@B8p^Z7%gQD zPPlJ0LB(QkOF@R(v09**nH0L)XT_JkW!^ZgM!4C4{fdS6rdy4qcajX_*qKlG&$^`j z8DXAaA7#@D&HFH`WO+r#Xj2*AtegBE<}(GTS716Q_&!ZP+Li}2&sY5Po*g$YT$*V% z#geG)OzfGyy&s{Iw%;v(c-7Y*Pt{6)rF}x0W_`TS4u!>k4Uj z6h5mPMW?pI=`~@8zw^&kWn@u%1H88{TA?1^UiI4*GoT@>*j%<~(kVS6Us6`<`*Xc1 zF12?-{n?xyA-a(*2jsHKd#K?nz^{iDu-3y0u<2n1#CUj6K^#4-NShv3SWOSBTgSr+ z;nlK2yGDWCsbRf56&wWt1;Mp<{r$Z?Phe~l8v$|piZKdHbJ?p6B_#YsccKg0!W(1| zfnsxgRvm^9L>JNrVMF+E6K)c6IryD?z`#px!iT--l<-tO7>yjO_*mHk3>`sbWoyuY z<{%)#X6a*)P6N@~ZvSnEt+#N!MO$!y9)-?)jRveM4jTJ(;H~Aj(w#>CT#@4L<#y=w z_O}(0-L04618!M!XnOIG{8E%);0aP`0ZGn(P znc7FN;!}ccGA#18YHg}HChhQR%?Ts@s$`bBctlGV_#j}(rpb8QG=47YMp08bA@7^k zO&i%ZR7h=+EpvoO_L}=wY_NcGwaL={^p*@1k`+1^0mi((^8V0UFaD+QboqH7S}Xen zWsPu>QSu}DLgHvBX8wnqo?C2>7kgoThj)ZC8D4y)aF~XvifEsw>7q<=-pEpkeGaO( zr-7|%_4<}XimU-cko{92&-&%BGnzx6t{)3t@(d_PkAe@xFcODLC(U<9ine=ck zom$|v7F9s71s_bg72+WdvUO|JDUR@#*0yDj%w93`TLaPwMto(b+HSS#Rq`q_=a@lq zrxY}QG!5C+(Ayj+A1nIYOkIRmYLT#swl9V{IzN@E*c0(%kXqUaXJd>5C<2iHXYJ-F zR3=wAiiYqu4Y4Th$9C8u8@??!C%P||%xoAHwF=9hO0X@7YQ7m3v}aI5r`ziFLaWzo zbR5H3W_%|}5~H0P40LB%d-A*G6`fZk_8i!H&^GiT*K^nQiBnb%E<_-$Hx3ObLCWjB zvGLU0cQiA5pdA5a?ECj@MRaghao-xzSZH3!SG?|P?M1xjvK97s?f=dtF{8u>_tA@X z;xhK14Tq$>Bxl@aFdU}C^4dvBGBaYn7^g9M$VMuf67hd@8`{1Hw{pSLsbzv|{+{iP zp0j=79-g$Bp+7!i>{*ym1N&s4gYi^#l{gS&t#3!44I0c%KQ?pKxvJR>JKZ-S^u`Jd z(G4vXaOfxmW$|zEt2DXqG(Oz;k?nr3HCarKZTsTXgT8GrB`4bwPOh&B4zPrG2fKT_htA^Q z9E2S#N&7&+LK)ELVE1TOV1kJR$Cy6G?Bo?|^LR2VSMORC(C?uh%R>VSm^nGSa?q|q>0M7H|u#mpC z#6*F)8oh9aRUY7I#8U?TEY0c*$kJ2y^l7+ctlR@vT+60lmBqRk@OD<(%vbX4efZ1H>6#~d*ULowpwHg zrdoG#!eU2hRi;6|Pg;Yb9LujDE71p_Q+xm)!1Fd#g~YY3$U{$TiAl4G)X)uC3n)0* zT>jlq)4=FiP0(Hu2}sH()I+ca6b>a);y{*kb=_YLMl*!TUiRHrQ)~nU0c;uUbwwgP zscjQ4_KLNMh%yDd?gUPXv0}xE3rQVK$^M}AJB?U-M}Q7g(;s2{+*VxAE`piH5p#YdG@ zzq_vZ7yb2Ql{PidNIp9Myaj@b{%l9E>Q))ynSm}1Wo~M#%(Q`c)`MQfWgdD36mEX4 z_s!|PjPA_??u16cSvHb9DL4ce{4~SOf9k4cTY3@d9(vSdiz()2%IHnBfYrPeg-*2E z_25s@*3BN)tC_DdQnm!F*4Y@yt57fQUlTShLup&t%osJ9s^*KGP^8e()GvMvHPc1r zYS{9C)mWPc@o-VF(3pwJk_0yr;G&j|G`iQ@nMtJjENIx!K0xSRv)k_UHJCO5=BULv z?rR5&pZC^2?8y;W`96^vq?M!pLoe|ym#3RVAs_%MUz&AXppm}CWHVWuqan-}LP!@6 zvfj+(#{WV;|Fc9FztoToNNHWYLxih}RCs)o425`Q+UhG~D??Tz-ztUdVfj_Mr;r2e z0!zGa7YmE>u|=}{SmTUt$LTRi0j=xaaCWP*-O=|dO=ok6nYy0{kZ8h07LhSlkX3sF}W;PSh&1*iG6`7$K1*`Zl0vQe4Jgs-$pW5v0H7|xa zBQ#8=)`?yrWLyUcX2ARG?Zw5HU+lPvGf}?A&5hqF0c}H`pCUQ};@aWCA|8%$b&Wx0A_x8{s@twxOEdN=Jn^wKXf}$gM+4!k-LQ~U^ zmbsCE!-F!-F3~5C@giz+*ju!>FY;zI9YXQvq{ygj z-pu@<5#ENC-;r3AIVtZ^=1@_?2Ja|6Ev&UFG)h^#VkC*&OXvF2;jf!I)zW_zBK3UBO9b%jjMGCi!@D2vxU zOh@3(J*(sJ;vmMr)~51bFpbR=Eh-0?%Ubog2Q<50D=Lo5pYPD(HJZj^9#ibQ=+Z~z zb2w**DXNA>(DT_B(l~nQCN+G3E^tes9b)iA#%zDxTCgMv=q|MVj3+$+lcM%`3a*N= zT;|X+GgEJJ+v4bGJ4(VHlvZLn&LuL4qLjkAg^MTvLOd|{#RwIQxwNto<1z~~RvL6Y zGbd?qZ)*SGn@S^3BP;B#B9wo#>18sNl~>+@K3;eE*?Bsi6NJC7gx^{}SL?marz`hx z)gxn4jSZ6&?lw+O%dMM)|aQ{o{LGCfUbR_@d**bXK)~>1roN46cbcVk~GX)O9ZImp2Ue#(r3vF|{0+gIS^+ zA>W^SeY3hFnh2Tb!B$2X2i?HDRWC%Ed5GQZYO73Ke68}M2AFpT+RZ{Wr+do&hnrG$t zS&o_JD>kQ1900B;zV(A4`pWH(PotEo%DT8^metFBUSQ@=^9leIol!XT5tafK)yl(A zCPPaiO{>Rd5=Pm>SOCK_weJ#&U)cOE!V z87wZAN=AiSgsEoOe*1)&HPgciWmlW`L`dcy4-Lf5;(qbmu-y!F>6DIzpu+iSy?GXz z1Su=M_wJXAAu?ZH^D>wDEMt^%y`dBgdgXk1 zV*_C1cd26A5FV(4|9d-WCS3{e_<}K&-HfA1)3RFdD1~C?^`WCYPiF&7Rh|LikWhdG zQ=Y8K?iLmrgewfB3SBO(L>_oSnqv@gEw;9@zAXBz8bymph;GKWumK^PDjOC!vx3i! zMDO*Q2*UfAKGO6(bZ~>lj$3OBv$G3qHtH8?%piab9+S1R+l8esSUIzd^jP(Z<9@pe zGccfa$iMB1oN3Nxo`4uxTGY0BuY?$HZ;`oSXkS|ow3*|=a#B$B3z``MQ>_Z?rh{xb zTF6i;vkz__o*`y49AwntR$l1ZGC@2AW^R`{?QYcZi z6AU}bO?#>&MFLJ96^to=t5-JYY%5rc1=Uj>$HBFBn@X8hKbRyD6xzx}H*q5(|ATyR zYxw0C7L>9+EDE&xMvQB|clblIJe|oSjsQ^0sRVHKzu_TUyd4}PcV2q5+1G;d49htL!xW*?*4b5m>p0FIz@H>@b_?U! zO_EB$xteXEDP-#2lXzoB4%tk&Wr7+o4v?d(2JNC-!@MJ;g~oH6<<6mcPlb;SU7;XcnbXiCRF_mWvk$6kV>LkW)vQiY8)ABJ3a3 z5BB*J_P;saKRB)`8fwdTx}grQUo|dUB}{(ZcC2I{z=fx#mWi=c&sKcs?zp9$ssq(wtm)*U|*GYNQL3%8<_}rYN;ZRgv5ANOgE|^s2%`B)^DfEe06NBkVDlQC-19 zb2NJ%EaPuU`U_FA{v-_Y>K2Ovj5&#HnO7oXXnRV+i)}3!!;?(f7?N3?pWJV&p&UPr zkU~pfz4T!x8aMV$HLMcBNEC0ak>uGlR6%VTA=G|%2upcZ+$a%8udKS;x+?Dsk3yYU z2ExP-`q!LF=6k~E`qB`E@9f`#SAKbMwD;?AdrHt11y9NB{jN0@5ov4NVr6%B-12B| zx6qcwaCPfW18ZFSpxu^3Tb*^q#J^D9nw2^}UH)|1?jrRgBwYe_d0K#1L>#mPZ08NS zmujqL-BYcy|6bb7&i;Ep9HIji&A_E5ElW(8Y$&=<#4b-t4lylq4L_o76{e4}x1n5K z#$z_)*l$rTjq;`3xH!F5Bh5>se%l-`mGn%8%gOvD1ufX^vf_Vvv3L0E@!P4ydionT zd^F`94~zVbhd^UBinz(pscv~_#?_HdfE)|!tNp`j9AN)taII)8r6{IIuAuIYojV9M z=Z3of1N&LQ&jIuGCo2t?U~GBr==ff~Y+>l#@^H8f!GBn1@_J9_7|nm$TAj;POd5;A zEfY4P5IDB*;MqKJbZz&c5HpTHuBNQRW*5Ef(CjvP=aOtnuNC)^VFB}PxbtD93y5bA zh+z>w6kgkoM1!Tk=j1=MMeC=R_7A^Rb)U>A&JqFZfReOVw9Lw)K%!vr`b;?%H}-i~ zaaRlJ+FVWv=$k2p)nOV6Z$YvJl@$7sL5-HBrg2gP+S3`^z|YhkA&wYMEH{+)zL zc6al`)*1if1jL>aF#ivdH=Av@0!ij}$S5v577^;ms%~Ck*;+unfTfy!`u~^mG4*_t zvccvTL@7jT=(ryw_am$myVu|zL>#D$kV;eKC)w)g6{r%@%s6Qu-*H)#TC-!8q7i&5 zZlckyE{klz^K_HN20qAzVM0@qLoypB8AIvnM{GSebE8=?nyRi#=MQpFl5z&K62Qc0 zf9g~Wb)h$dpgz-E{i8FAvn|up)UC!IJQxX9QDRMX6gAf${(JcF!PtNHv7!THU z#8`=ma{LB%QUF9!32+@%^FEF48`aa=M68_GKE)ePDkH`q3))FhP0JX_#bL&8W#LG7 zsPe@Nm)NPOYa;Y%E6%xFL`^9p!pw!L=1J7*bpjr`d!j{+#&)>wg)1snw^v1awY}Zn zAMk~;+p)B!2$1yeIo(%`R3zq#vnREkb2Id0R1y8_8T(V8$Q;@#jIJa40l=V^++TVhuMpDiu%A=UJO<>tc`MI3&bO3 zFDN)De-qEQmmgo4jK^cCYv*`zbQR|G3!8-KuybWweKhCRa>wl_b?#(ZL(hQoedK>EZ)KUB3uLAjMZI4ipD7J>#h%(tQO1Spc$lh?afko?59xZ9 zep{V8FPlD98`%Ic&?h)Ivl&%U%nM$`z;1I`ienGVurM_1V(?x)RzT94I_tv7lI&V3 z5`UY+K=?R8LrC=ZkM=8c>zfI*W>7uVQ(1`wDnj<8cc>ZVgz^i3f_vGCV^QSZ&lwYz_Ryk zTCj$dKN`1i7MqWx{9`Gv%yc&YIlJh3Bd{NT46v4d5_j1X+laQ&uyAjLBhPHN7`$jd z@xc0$*n!SZ&Xv}g##xJqc;Dh`C#+E>^w5>cKACB)$|!Tn4S={P1x~3Sa*6V%;IHyKki!=mbqEdWnp6(**1?1tWHv2;_o0RNX_YwAtCde7DW~qu3W3 z2dk4Pc(qnPACdc?DlA1@Rc8$zVD*(@0V1%A%9dzkC_!xD%P*b~<#wD ztZk}744cg0tF*8!kHwbo0a8x?CVAz)n%9?|^0S7cJwiTDvXR2ps)kS(a*j|de6%Le z4VRMuWHOdiI0CZ*1yremLQbC4XWxbBik&DL_-tBa+&p0GlUf8*!sP3!@Ii8&&^GR7Qo?WWFaK*TD6<$b&>&l+S zUMqmu^GKpwPE0={Ki#O@Ob!UAe9c2r?aFcI{qfuO>G2!=*J@t(js;K0HePwcs%5B@ zLYNDt{JaT1m_)fT$NW~HT$7=!%pk#r2akeCrc5pASe6ku!VuvbueSyYxZ%;ha!;Z# z+&JOo8RS*}^d4*3MSpIz;=s}nd5IcX2n{!IAnu+o7kuga=cf!-_`S+YYbEeZi=&hm^fT;bBn?}`h6M$$^?f(XA+9X`bUq&o) zkO(x|1Ypfnz#nQSoCo@ew#n)o$9n5pYxOk|X=W4ev3crGnpW%=XAo*<_J*y=#(#Fz zhiEtACbl7~#{HU;YO-3}o%>jS|I%0R##T_REKPtM{Y8umNRc7> z&jXZ-X1JySwM;DfvN~5yyN%03o3aE{#+YIz>!HLBp~P5V48UR>6>g~=Z1F4w7BX=| zFJG3^Tr(_{5)`@;?6aukOEah}eq3Z(Vzs!~V3lm(JJ(0h*1mV(x>&n~B+kAUY0Y{_ zu6X=k;S)n89b(*-b?+c8yGa+Ot)}k*o+5UkSu5ZT@d%i^Igdl83*F4eBIyH|(xU!u zHg-+Zifi^~rj=CYhBu;X*!Z?hvZ`SM7F8&&D z9TZxLCRCkxUs$QqgnH|j*~ed{`<@STeX(Ev7hBAM^>1ON@H|e}hB0(_qHsGj1D%DE z*BPwR;Ou1qO@&36Iz`Xt=G>|UV)(ddO8G}p^9SLM7 z16S}Oly*f-zs9epEi6-B6I(oaUykMwYf3uQnp!_OMkV@2-~rEi&H^V@KBy1M=rPh* z*|19$dH@{JfH!VphX5NbSA2?JrNE%30;ews|JgL6`T;69jiDg6g~Jgk1>RTgfZl=| zN$8SH_sZPj#uIEfchic!6-PwKjPGx_3CmDAo>N;3Ef*0iX7$znt3CH-{Jty#+vC!* zEs`libmSZNo6*Jpv@jwjXukMMxIfdyUu`>pT$I@F_IBG%Y7>K(^=G;O)(@Z}EEZY% zk3|&!3uB^K+jd6LHbh?G{@&NWk2HTC@4LoGQ>B{(d+l_R*yP#yj@%tu!yGf^)7kS&M!7-x-AN-a$j z{Z_c-SQNuBTxL=q7C2@n1nZOhj&ad}x(JT0H(YVsJ}WP|6Rj7M`}P_0Ze8?Rn&FNA zM0Ka#J%iy*$%D)i5~HT=3QZpE9TNZ@7A*wZZ#)>7nBKSsRe-6-RNGg*euo3>(sf0q z9L^Z9gDO6fNqeJnhwLD01>-nbP}xGjX61MTHl|_}ZIJF9P3w3T=vGapsJ5lK9pxk~ zVYzH_k7gManMdb)OnKzMl|YeVs*HVx02B;qlBR=oW)QLg5#z*u@uq8|t|nHP^qQ7z z7M|HE`GFVL;+*!zdgxF$Un9g4E!`!scKROf=AeXXKYF^^zdBdHq97(e!b#)Q^P%!S zDvi6q1#uxAuPCz$^wzY2ZabQnm$@pR=xye0 z4!_sbJ*%44MK|%f4Ua%z>&I9RX<6CKb@*~*LA+>}ISuI>iyIe8UW7!%2OkQVFqlkv zilf%%U}nzhw6DIfcud?ziik8!~d6}pRC$#^JM4-d3#&#D-+EB>X-1{~3+;3b5KB52~HkQcSTa z;mupoyD+Szvd66Hu@#4H{keJ~_zGp^R|epF`rUw0DH?q&S@{88(bXsNWpvwJJ63uL zyJ2T$;yEl8kPdNEJO`jDRO+37qvYKL zMsld!SFF!GeR5Y}AC;g|w$Hy&;Y6;tk^=>_6rm(KZq7ukWya_7qFtO2{mu*pg(EW+ zokYsUi)7g)X~5Xaw(*--O1cqLud-5PZwttn6R&BKW%a3BJq)I|pEmVdE+d$jj)d_| zn8i9+a7qK1PIX7lEAhaCXTKjMDp4h>9AGpe>>_WxD<(E?G>&M@c`v8PW!30NOPXm} zHbP;bJRRqY+Tfkk6zOTl}6lv6G!bX#yI$+GU4QQ!W@aAv^3mQAY zQ927`aRM$jgPS6xN`h9QeQ8*vcU8n0m9<-TS~TN5fg$jq52zwlPQ@J;@{*L<;2$=G zM$~$bCQB}iw`P9#l*MBs1G|-@>J(M8;968rrU!PgFUtG#dn*8tGk(92*MloW*gos^ zyGn1C39XYivTpS<+{|sz+h$Ipi&wvzV8XdW)$m(*Z;tUILs+Rm!gH2$eYNv-uuGoI zy+NQ2tE_g(I1DjpD)V%s2%*xZUWF*LRkzu2*xfomskf}}riwUR9;>~culSZ;581S? zU3yFSLtzWkr84c+{tp*qdbRH%f}xI?dcgv+v2J6B-~CxAo-e{B(iog3mRSR&Hf zMXE8O^{nZKjG`{xUmiUMBO!AD`$v8S2BNIB71=QIX#0EL9Uh*`G}&^+nuje5ld?GT zG4?rTrCRvv=|+^5&3syEBX^3DMlDq975Va|He9EA_&aq1I%50klDGdi@P2U0}5@qHXq3%w(43FTlFOh22 z<#ioLPEb6r-z*l?V!0V6(|lGr4-7wCag0CXw(N?qD1eXoB)%ay13SP*>q;|j1KDad zI11l}-&5LeliaU}NsEwPd4!hk{~dDTA8HhSD{8}uYbm+n^34Rx-#qhj;yiyY~}gr03c#3BWO85)lr6Tzb(YB3m5CY zrbwhCeE z+V7dUd{;ofoEafL@rmM^3A2@SBbt6o(k?eUC`V^Zg8Asd3e0-~Rd~(vZ#~#t9BL=W z_Z!KbmAexmM7PBj!Atu+kXp3uon=s*TbJ+g5Zr>hJ2dX@76`75YjBr9aCd?Px8N?p z-2#E&5C{Ya7OZiX>BE_soWo?^Iv?(>x>fgm_6K;n`2U_QYd34J?pkZx(wRv)FAC*8 z*@W&}dF-ZgV%?D;HA6xn#z%t~|GspJnEfGTJ*ZB6IZV2~eVfCJ22l6uV+OE8F@RKa_R+B4Erq+G12}|@a0TJX;yCV#)xF?n zi3oumRt&qL9=I@Vt2(96bQ3YZMTt2c)*k|8h~>%#pu{0xU#H#9Og(2aDVs6692R1Y z`C3drgYNFrbwrKTM8x8{`TgZ8X254uJ-?ZTW~}G(6A&;MV?L=M5+hJGM|d1$FgQGj zMueE#mQ#8e3>%*~?@rNok8V4V>m_{N+EH&JDo5wKq`!u4d~%9)bBf^zf1{rZpWP#y zySXZ_=uaQmM1wsr&LxA}N4~PYLf2w{qRvY>*1kmW_L_;KY1v6_P=7Nwj&0asE2BYPSer)Iw{A<$e|v zk700%Rt2dPQ+J*3xCVoY5l1k!K;l1Wm;AVuAApa2r=b%>P4-3$DpjTBu~5eGvW z=3$`r+p+4Af`B&PW=V#Y!fi#S+3r5ls8*RUq?|uohQDaiMvyN4%nB4dQb!kZ@1@2_)SrbF z2#J$_&X14MeZONmsHcdM!R0vSvH@-JCn}7-jgG^wvcRHm|E++5e=$)fG|l|AXws~&;9j!_NU*Akr4082T=mEn7b5mNmn?2fl_ z`S?B9RcCN4Fl1an9dSxqKQFDH@H3P0p4hUe5b#5BN)9O`d4NY9FHYPlP9QhLu)5%eHQCayK7 z-eFr@$XzLnOK8G)BM!*b_8XOOk@X_^OMYF=|M_8z+oTuV8e2TnmyR6Vq|P8yQ!lbX4oh^5I{FG45&iSFG=P^(M~F6XZTRKiSrXhy^Om zf;UVYc}@Fv*S;6a-Q$M4gg6dDk!@<5N#77MDk^smfpBNFYAy1WBA5|wUE z8&%EJ7PL`8`D)fl|8-TY4Mja9O(>LEAV*ff&vnAn*G=sG zZDz4Kl)eQa^Rtdy=ZE{y{iK{=UR^2dmTD`RE{s`mdrKph;V!aZiTS9xPy#Yy;xrjb zI>zR!?Z^Pq`Qbt%?0ZNi2sQF{mFmM`WewD%D{s`Kb*zB_YOQ7yA5}xBAufd!=LM3H z%1uI#uf*7%L%97F$by;Cu|a|aLKC6Br7@VXS1LR&R;%%e(O!oVB(n#?YZ02-HFvlb ziy~FGPV#$O^te|j(Ha+vl(G35a}S(Ko3?W)PGls{lE{aFXlY1q^V+Etu1WVx$xLNz z;L#bQmy=SeIHlGBIMMJ{CDpU!48gSB1X{5^d)eLRxszNwxj%f;_9nB=DM*aD!H09k z83REVOc60qG)aX z99<4=A6t$cQS#eFbS-$j2bxuCyn6t~U~>1ex}S7&U@kWJ@Y1oosfh3k-B%Y<75R>5 zFjtLEw@VM9(3hUPwpn#CEL4K-V8k;aC(`_qeBds72)m^9uy1EtXZra1`56W)Jh^M` zOLb@}Bch^-!Z|5K@3K80ZRW?!lpL}_mMm}VbLoKjZ0Zu74h{7DB6l%FyO&}TLqckY=fg>7veK@w=OB(6+i zzHIw1E_&N&qI4D1Q1zYj&E7`$^hX$bpuS5jh?VwH zkWQ-+-Gl3aDYx{Lna!*~r;vdCDf`UACXuJN#c!#z*5Kr_A!J4vXSmnc-Ta6;u%iefD-aHFxf2-go6gp5=FT0~IC& zHtJ^hT-Dvlj8$gV-L#&ckjTbO__|%b@YcLprK?ojw@}Nl7xuF1eRE?qMUy7$#lx$$ z9x8qEt=5kfm-20X+5xRF>voK@Yri>wWOQ;aHZ-4-hihG5R;_d~J0=mMQdju(h3Ea& zsCq41sab8j@Wpf1rtfG>Mp@GkpAR6}3^7+vUz*`ii1#yI#0M+Mfe`~W$HDk_1x)HDVdsOWJNJ9>L>cS!NWAq|FH zt7MK3>g*(v(cUi~Ig-PTUec2Myf;jecjIdR$Po6CgH7OZfHvjIBiI>~orRT;SR3~e61mxeU_Jel};7j}%4bFSOZ zf18{$B~8&=!pG-5%RxZVezK2Gf?bv+s7|7BW#*xAb;x8jEJ=;z?4`@8bss>K+kS9< zMSv7?i~aQ8L<4~OX7G%yD7D*ZArN`BrxI?A8TJO<9woKi>7>WX6o@8NI5wMUcdg*T z_S14Iv>Hms1m6uUg9Al^H2kKPP?j#v$+xy};u!{mS$r@p59Awt#TH|IIoAQ8kp_a# z-M8gWaTM|hovRSrBjT{}UoBXr5$wtwlxY)xp>(WA#8VacHf zg*unZzK02_p435@&gN2S?P!3HZkOsAY=ZFVn=V_&ana@n1XGzfZ2qsfIb z1_`|$g;R+6*^P&$`FgD8!&k8l(<|gx!E~{b8Dx{i<)5Rfa@st6BfwwZXp7PluT6+s zUmnqFpsa}tGC-XUBK$d{D(4+d>Jmv>2bhmgIrZXox_if+|7PdCEX()x`(l2jw*6Fn zMSF2*Op86#)s&KrHOr(=OU7IZs7HDuynv$-c|jB$ZRPE=fvW*=2Apst5rTp6QnsVd zr7ZKclw2iWB-CdtFjNn|S1pKl-lt}VXHqUy92Hb?gu<)tGZU1u7rx{!xA)?~Rr0o4 zWAqv`9FKAjHx87g-Yy?FQ`$*~d#H8>NKg5|d-uvV9)M(S6vuC3HgKbldk-uJ&p8r_ z2wS;{HoX=`0eV&K2lPA%l@U!gI_~FA3CV#(`1|uCR}%tnEIl0JlAGq5J0Dt?YSW@l ztj|$VoZcLp3oK4JvHtwvXrO_yXBcmte?sEztrSA8{xG3079BQ_7#wS6az65X_nj1UFZNcpYxh>X^{G{GwI|IR^r(eFZsY0Ky=*M?Pix*!csbX&l=7rT%+=W-~ z^ts!la^+aC(0cd1n4MyET|!QzS}G1QGkZ{5AJLa$G6_ws8}1tLy6radeUelx*QS8> z=v&m%?L53H7c6e%df(ca<70%NfY?bc1sg)uiWfu3hQ*X5$ayl_JsG&!y+nIfPy!zc zi_ImlKjgLTWR|9DA-jc%A0xt3QWHu+V3z`mqc}vOxheUa(~7BDd8 zQounc9VysC9Cz!=+u0RfNpdJxYX&xa0PbyW* z^L_){1sPk~!GxwGmnJzgPO_x^sRk-*XM>#?&x?)TKmk0$;KAGSPi&WIRj$aEHP9Ec zdpnCZRF$M+2wNJZv(j%Bl`}7M}u5RuQ;=T8Y!OWXcmeX z@FKjZ=Xx`IwUr3Pt3#ejRa}sZK}mq4vYvEQFT-x|-7LQr zzgs9OWMmdoP29AJ94nSef_LWKSApSSA=&)CyrC<{a;8CC3f-->@+BE6s>LtwyA4B6 z*)qV@jsH@%SN_(ws#=2q~Q(@3vO0UwhBYSxb~|K34rSmYJiK>q5YW{#Khj#*38bu`OgHKuM};d z0BG$v*Cb*n?K9<#ST@`Yr=(b3hlYM#O?XR&Lf|653&8JRD|d501`LY9pKR_58oU~d zT@Ht)t_4`~62Ew5Se##DRJJi_?I`>%fDHzk8E*PGh;nOYUr}2c1Te*DBaKT|9NSl* zD( zY`}Vl6|CavI2HFw1wXjjNs6*C!AXvb20isFqN%jKVTsHUcI(_t8bgBTQ($*AFtqyF z;A9jhx#4}3l|@k9uHMJS7w#*IDUn<=H@RcP_vfJ1qwk%aSKK^b9|j;*&cHd({%6H2 z!OqhpS@2A&!9YOJ{5jJO;M^-NW=^VRE-sHX+@9P6n2oLM_EbmZdPUIg6T+}mP9eRH znNMjd+8bq)WF|vQ83a!;Mv0-w=&TSlj?#RPNqT4w*9o>is@Eiu2S{fcxpiKdUnVb^ zeMntHz@Va+`pntQ$(ybxWuF&}0#4(X-e|HXv^u~_oU=QA*rqv+aS)nXejIMcdQz-0 zF~i%*y)Q1d&u5ao^3Lr*YO|$fA7yaxEf?pGC6U0wZiAv8#ZjTn>*R+M;X|nGhll*K zTHfRS;2psp#DnqRer;ay^t!3_&lz0>x=6t~>x&YCNb^$^_|;#G3dNWE=qx_3E%XY$ zSn_EshO=%M;;G? z7Zpe}OInumh(S|=2U1%xCbNfk?Y4>sIT@Hnz6&F2T9Oi9+T|~+^RQaDZ{+p{TlW8n z)nNPgYWzR68tngGjsI(_k?d_jl>vUIGI;!-01s(uZ=&pE@8Ha09`k^TI^%Je6QyKBdZ7IF4CjgT? z`bw?wwWM~F;g=jJ*RVEX4UPROhH)NQEkDmAHJu#$7$_5`v zLX2JXPER{OnAJm*bl9IWLED_Q+qTz*Tqa%5e8mE2B;CzxAId37_Pf|r>pRuYH787| zRa6{Ro0{n3#pbM+w|gDCPLDcG&7gbWP_&Zg8@UFz#&19QWzgwF)|yJjPjH`T`=*>wq)jIYyg|Cd`Q5wy zX1D}`CD>k5!NpV2A^u{~CiYHd|2FAg+t?=o__CK2tN*(qI7uUjsnFGrQa`0QjfGje zUg`%y&ytjeCG>WqJ?||jwe;Y^5=2q8u%jG z>KLo;VYyx;qV7CTU(fiX_s7Fs!)lzkG~IAn1xy=fNVb_}bOXuuq5uNV(DStxwg_H7 z4gx*)4ikbdS;E*Fs6iNKr0lmwOPDH=SZ&g?Bp+Tw1enl@`nzE#hOO9FLFeyfLr1eY z(Ep5S08I}N)xru#w%-_<*?$9FObFQ;U*5uWGLvMzFU_4U(svZ`q~_UxOD$9AFdmcX z7&%-ysP9bB{&-quAdkj9c#A#_Xi5S3X5!s5xnnX=G(gAG0MbGzpUdj>0TgLCWWQfG zkFA?%k+|U5Gz{9 z>WLVL?6W8L!DrK(z?N~bs+{(>D<8^oRHBm357J-JzdLXzzod7};;k0&MQZm%b>11! zn()<(dtQV0QeQyx^!nJxS(=(jD9cB9KzYJK#-+TfYIxvcRCTx2^7uJGeVTdX)X>&? zf%USUpa|_G@uMU0ZG!z)o#GVXEnNc{qqu!DEsERFGi7})^UCd`EQJ&c(kVaSzWwr6 zny=UW@b|3mZS#08kouzTIV2*Tu!2>GYZ+zkyT&VKD;qPdO9ap?e`!?^;8wo8zYOC6 z`M=PHGpuMvMYmymW?_Uhnmeh4l+{?FWOjz?EQ zO)z#!1nrF@ISdDBQ$WBP%vo;SdW<+!c%Pdxx!)>Jk;{;~0nzMN1Dkei7>Q@E5cm-8 zVVUwo)aq}c`1pKbz@H+w(29wLq14VEba>XWx3Vu2K_fkXvp7C6z_gbe4zEq+yv7S- zgh+;*Y-d_&K;4+5(k=&%<}Sb9~dsg?=tr=E}R-8uN27 zoMs51)V3wH^N4>x+mGizcg5`BWn)DA!H8Y1EVCtxAqO|-&tRPVNqImZaO>LtI}wcB zm*7zfE@{?b0i%}=hS%L9ARXLeaf=N1#J&}2Gr9BNF?KwXls3i$x_(w*wVYS|nG=Pk zghE$rEQu_$m!4c!52hn^CL8ET?&tZpEJL zK&!EpCY0b&gsdcW(-7`?8?K2Tx0FU0!#7Z>rR0IfPHGi(pMBAqhy@H}_>5g65HtoY z6?IOWcLKIFGHS%k;*2vvpCs|AtA8*yY7h5gxq*yq+(Gs%m*tRzE=b;^r3)`pmswP}@GWqk@2q%ge4E)O}02mGt8mg%c4z!PRVpuY!g8JXA7?N~h@5zfytJ zU0b_;l*UL8n@@4!&6}=tj&{BL?_CZF#RSf`2?qfWeuWHz3Tj=k9K6qO!MU;jC}{Eb zOIO?;d>XWK0jhaAm^thJvGJAV{|;zSXQem;s}#Tn4z~WkTpM6t4d`U{+L`6CPWn^f z>l!G}-$zsSHCa9_arx&PF<+dJ79+uPgx@$BDn;Aq*`*})GN19MRS#&H4f zH!$a~gr}XDCJ4~sMu33$1WpT%`#144crgDdcD6J#b8%*Q{P9@#{V7E?=R_n2-02Rs zrxa1_-%{9rHxc|9(}Q_9n#GruUuGLMv} zC1{=ko+iKl1t?Q~1pG>c|5W-kOYbkKpw^$#r#X9{5}t9tnR7?mbmM4N?74 zcV#}Rp9H8_p4>kMto}JvDf^#5ALB0n9QrNipFkhO2T!4YKllC`JOqSw9t6bGQ}9#u z-_IC-RSOjUMg3nVj;HdcKHx9FV$q)~_g9bbsrsqc^Gi)r@=xaD(FanNhlTq?hzo92 L;NQB-{awja+qTtJUDb8#{l2~LICq@A&$$2h$saj$ zM9djEW5)C3jEt4B6lFlc(10L-pn!mYh=A65|3;Ss0|9+N0s)}{L4oLqIM}2M#fEcaP$%OFlK4O zlb^MPm&wFJrw(8TVrz_=W@Y9FMxu%ktumu>ZXv#>sZAuUW38|sKv(nzF`g!gG#&iT zDc#|UfDgpz`Eh4<74*uZ1v*k9?OKnmuiN4Hz*o9%1J&%@HQsAe@LFh_`dl5hJ~*4A zbh2tSCcg2}beGTn>u*%TW5B`TL4q$5CT8NB*YEjpW*!8Pg#}=DKfIW;4*}sjaREf^TGgeSrcg{(tD*s>Vq2^j#zS&0g4VdK);K z*}5>$|8xGowEiE=<^QGYHOX=cLyU0Yw~`+bH8K=aTH#p%;p5Frk9_?3q*3sUM*yykGmLKtk?OD+Y&v$VXLWFa#=MP=R0!~dl{J8 z_CJc|^r3$G(#hwJD-*M#{AQk&7$Ylu4$y94y`ReS8s>l=svf_a2#z7B-1*LQ|2s({ z01AGIpg=%g-zgFCJ2IZO3?2^7HpUJPHvi7Nf1FJ7kljpQxJYf*(AIB~f z3$AK%JS=~Zs+{|w)q$FSS}Dh!^xd+Brj|0~{SuFg6X1N^x^$^`dAv`wSV2vRjv*HQ zy&;A{W1Mtnj8jyP22On}`XQ$}nfZ$)qP_0+C>66(2ty!3ZFxgBM z)GzHpxz*C^1EW26QcrE8aa<-)^D*}F6dO&;3zxnvEYUSDXcKa)4FRQ>|DypG-imHu zfkuTZp-j=Zq#k&~O0w^PpbKHtf{3#_eqb|^SE7l+{@2~G^!u&paY4feCgas#c5Dc% zWo>98&s)*Uf_7xq&h@)kKbumU`KFJYlao?rQ!~|buR%5$V%ET-wdQ7Mt+bSAiw0>% za(-uV3a(u#8Y3KSvv=Uotw9m<-~NK{<`hsSxmM(`fOSd0?o|-Sipu z=S6x0_L}!cVWWtzzZ>NO=38t8;)cp6{SIyG^;M0-SAd0cBmJ)DT~56W6ar48MyJmet1qb)YkaCJ zU5u(!!Jjt(rx%7KGU21)y5wuWSGN5O?Aj73%9GvogSb7s!*qL@(Y+8nL_7pMoi}#7 zwgRS{i3)hm^ex&ns;i$tn-Vc;nWW(L-NYq!@e#F>3mzz-$^EYs{?CL5{5_BT*8QJ-bf?Z*hcY6K-iGyt zO!tUyU%OM`yv`}F*>w2@B1w=`N0}}N^!kM;);5%4-lJsxc<>W2XXe7~+a!M%m1&*F zUEmCYYGoCxr9rqo&FJD2Jqo24HDPr=OWMoa-NDswME+$!tmc8{g)WDi>atB@LW=$~ z*m$w%C2e6%os(cA+D%wrG>ldz8Lv5jjj+H)Tm{p4mT(Z;rkl*O(eZ{tjPFJUayX{97+y_m}TER(&45wgxwC3S$|cc3%mf z9bebm1z)a@$DZ$={#&;Ue0z7!z1ITz^!2MD175G~0XzBi@%4W&vAj6*^V=|gKRy{b z`tx14y}p;8RtRz7#zW3-4$15~w)3~{JNbHYUcF2m-Yh=;JvPqFeNoti)%|+Azw`dN zmG5D<#^<0wAkgFA%){RY67w2SF?5VL)3WQcqZjbHf9$!@8xZXErN6YPcl(O^p)vSH zLnBUrnVgTDV37rT7Ht z!SM>&{%qaZv-o%a=T}|(&a}9-V8Q*S(bw_SXO{<8uYX@aJMD{tf*>#6v(Fb7enG&x z-LhR?T#rD2>Q5Nai$dnx*Rey1ksF@&tD?^0&qeU& z)4jUkueKgQt3C>2^PkV5m&HXhC*Swe{l5>Mn+5uE(fc*o{cHzovttYay9E5a`i3`- zsyds`p9E8%UXUk<8E$G9Rk!g9{rLPovyiRv1HNs2m$wY*Zc2z_f^gMuh-@zHXWJEz z$G>BnTCMRwv6kWXaDGhJiKiH;dEyF627_)n&j9x(i70UlQcSo6DHg!)zUXG2xvIu) z%mSGMy!tsFDskQ%m7-)KHtQAC%ne&KmX&?8A!QXG108t6E+%(CsC*3V3xDPuzclr9 zapCnn^^*i9&(`Z48^qY`nZfN#YmFb@H~irgpq_%l7B8bk?x2KyZV#P-x{{Fct%!8^ zLkOo?FmNTX8#k3RV8M8dmply*9SR|#oIo`(n3&2xQ(4X`GX+7f8l#^D%HnqX-k(jd zmI*qd$iR}BmqWZ2|DvKgT*zVaXuaUV3v#ucDo(;qRvJ_v9xmr|{=1!PKdCb-m9uGp z#G4B7LyIcK&hHS7DGwe0-a;)EJO=~iZIN2qeJmqBB?lA#{)8;v_AW9v<3Ys0W@SYl z9WnD}jmkY9s?6b z=4(zGz*Eo>DC1z53I12oFb9B0g*kbemwx&pT~ZhW^|(nGg|XQDBGnX+@CS<&PN@?8 zB3H{va1;dk+VjkVV?ZCrKI=8}vKM!$_~-ssBHBg)hDaUbfpiv|_Q@pbP}X!*-E>1e z=}F2;`k?mXXa)ugdAo-}8H}60IC{8f)xo|!|0m5>JtPaqO_uMUeVe7pD9aJJKiXCp zanN+>gH|IM7>h7q_>H5Zj#rJfWtI@C0=&Pblf-ExH?Iy~TKaBr+F?spAEmigLd44+ zvnm8nS}~(jSSEfn(|AxJqaU=_4+XDZZ_IPjp(H7>K^V%hEj5fTn&R)TDFP+&+PF!Q zv{*kut=6k!CSY8UR`dt|VWeO$7jn_+J*8Ts(qU zPIk}=R+?i&1Y5bS1ZCw*1QUjv>#_L znh2%Hh+BoWZWn|@dnzFjA9t!PDW8PvcOa#jgsY@eTuD5$j4bxx7{u$F4KUYeRua_` zEN0<{c(m4pzwRjmPNY)hUln$qJX(+9uF_hiWu;9jYeh$PcFMgoytlfx#GaK5l(i*- zOmyN%BZ8BoHwCMo2%9EvQGAXNHzUr}Y-G}~9F2kzWo%>xgdSK31>W}|#GawDSb|wC z=*MY|3+F9m_C>;8c&DvpGEZ~r8Nsa|n6aHNL&O^*S*9v1qe%jFzR`zHN^LT+TDdV@ zYNGYNVs?~a%K@fh|KL}k>=-P>YNf6R;+6>B!c>BA{f*Gsvb&!U6)f%|M~xI$if_gM z54s}uHCG06W2ekq!#QkpXN~K#P9aA#$e}nxb&*+|LNg8w326#5-lCO5CS>sf)yGgu z3$$hKpe!F;GsmzJRi{CN5v4AQrBlgOv1t4VqBzTD5g+dI+9!j;^`lQr4UCR{J1!%O z?qI>C;5MOmy0*;q8bEHvj?id6d7rRW6@${BRScV5ITKmQ8QK&`T1|y_`l0iGsZ_F%HKF) zNl8V@bIJ|l?dFs+6L(bq(f_s`TMhneRhW6MWsj3Ufmo*LWEoKgO)nYcbhR5On3uXw zTrpjCL0ri!$iK>w8}vQeVKf7LuIJ7yoZQZ>_%$#V`T}XxqKu@{_>hK=0-H4^I|4*v zsb-D)bY&;{&JC8nO;d;PBbdZ@Dzo$gca!^^srAQ1@AA^%tWqQ-6L z3TsF%F}$k6Cc!KM+F?1$bdEkN7iH*nmXk8psTmwnpd`EUxcW{A!#KvC@Ik)@?1BZbg~a-ZWVawc)CHSejX@VlQs5ne z8O(6XcmCofu1%^W&pHdOMyZTVbRt~_2zODAuItJaq))UalGh6Es5Qi1_a$-wy%_FSXdh-*|Cc|C?&b;*Fc*!0`hoecP^BDo?%E+Ixp=6USr3cwNKop`Y z@aBc{=j6-8)Z|!MV}8Pl8#cKJSU|2bsys*$?f6Dfr6;UA#yR<~iN-jgUbJV6`o= z2*>QlS}J7|bxM}*#C-D2G?Nr7sfm~g8`DABfVZFCbiokcH) z_tEje#GJwht88s6O15(Sp40+VHLC$zlBcuQaMR^(17$k##I1PINXcac6^@r`yQW2y zncBELu}W-$X4l44SWOGs!V-r>DHKIgkQ?3V0s|Uqp>!tsRg%`EDRD$zi-O-gJ?JRd z<9KyEG>a@o9;a|J^H^t-^a8{g5tdL+IfE@AcaZtTZNkp%ymU;Jiie2ocBMWkihz^) zMxR446?#4hSF~&-E0g#UAxa;G4y6lVb1#a;TwXqoikL?0x%pzM2u+G#JeVLmdK5&W zv=0Jk0a5FHDL0QYPn_UMB)5y^y={o~)01zf&U=caI^YbV=%(!uC;kcWG7?hq+C0qG zQ5fVfoncN=!An^wRB{=oS}J9-3VT2sVJ08dxI36(hYQK}Qo{9Mw4k0t(MVrhO z8loxEUZz0|qo|9Q3-6v5L_zwtrAbtYEUVfCf^3Db9J>X-KcEPc`2A-J_2IxH@^HflnZW^v^T$lIW1<ArM~W<(Ph!WEBJgn6RVwxkKS*hr*^=Tva0=Z=^jw-7Qz z++oYs%q6B^=zAoPOB!VuyZcXe#2Lbh1c(fp$33X6rn_gNmjEsCw~k+POr z>6=JMX08}#@Msr7sjl99_Vc)c*fw0a7CIQi&c929Whf+R!BLwXbFG6`>w+lZi^hPV zwyPe>ejL$`?P*pcBBayBxEc*W3;QwB)8JOT?>Rz7E-?Ax2F}0HExgyr;t^QYpm0k6 zq_rIFcaP%XV!{KXeo@(379$r`V!=;Jq}-&?SPwY+k?@jVF#sa2DroyP4*D>{A$%af$IE zk(_V{Xq+5JUK@U~-HuXn9*r0Q!l)u>(y6V{Bh5cA)?|lEkdh+zO^tj;)RKi`Nk$$` zWwS4vbE!wWZ{miy)aJ&(O2zibahpi&M+sU5wU#LmI0HGkUSDHdc#bxVc_MSL0W4mL>|vr|x@ zxH{(UIOBT;QC@RV6m2+T#Lqli#j!amMh~42ucC~^0*|T9G*uk z6@oXBbq+c?2hiM(VMIpcg8>;=)ODFBcJ<9bxVi;!skhL6XlWdHROS}0wUB9s8aT&& zL>dB}w6+hRY(}YVQqPuDaUMoR@+r9FL!Mw z-LeM7CoBmXe!F`QR+O^DiAU7}xMih^lHW?HR1|yZ$1X~518pQjrRU-==x8cAbt;{w7b_%iHmKbO#fcn&daJStC=+g^Fn zHbfQywB7w8U3N1lmoLW^NCef&Hkj%`*o;8`80BoR;yj*~Jq6#E%2r5DlT?{Ff ztF!S%qTHwSM=}QEL?sCjDvwb`6&2~bpzbhMY2(U3W24!Ab%+LdZe}L?v{_>7pwMsZ zEJb2{A>iWJ$sHJu3c8JVNfWhEp`T_#y62%?$`s?Wkq*n>52$%a2IiU&(Ii=!o))6t zno^yb#R@jLRAVcgxe&SOP!9M3wzgr1e|2sChM-;&DuG$a{-B(ZO$wZX9$U)(!HKS% zbPzd!vXA!0KY<>f0y$&Ti|h=lI*Qx9J6?p(48+r{U^!g@ai|q?jIM}V{wLvW_LQDZ z%{3fFa^;}HBnVob@gaAFH4s@xc5aV}M+hO6O~oD3rdPn(s;U?@wXin>ntA&pJoXyS;a?$!Vd z`iFMO=Y`S1#lTI+8Q7Es4R9%GiC z4!%%mf&hOnqp?pv0XBpCscT)eNom4VF+3Dui5cJ ze)~xt@P0Dmg%fb?6EVq6g^WY(K2W&bSGJCwN=FpmqBYukVWvl7CeO{4iqj1IZik89 zl_^|-*Bt>n1O5Yl9+KN;Z=5ydS~!u#MM(d}Tl~T1y$pa~@12E9j|WHnCgLB0pB0D^ z87{Zb`oiH1R~LEHG(At7T}!Z&OHO9lw+Wb@BpIKV$UEt65gDlP_5B9~@e-c!*>9lq zl1_ik0^XiBc=8eMs2M*&x;Ob{_y2Zp_TKEt93e%vqrx zR_*TFef)X}J}wiUyUqzY4VqTRYz?|kUPBz-yzYjEcE8+T%Q*eG`;Oko2*Xz&KlhHE zxpojDPOoMB$A58*Fa?zL@uF9VxEC2H%nm)?r&=Vumk#-3#fhKaC0^@8k_}x~Axhjo zwQQbD8UJw~H((%}pxU*-y!d)E^WfF6jj$u=6mfrla`$bcLy#!`-M)ECzsvgVh^>PF zZy!d*)nWeCU+nm1ySr!6FR`ZpUAB^h=5&Ov>ncu6g$x{H{B*yQliBcQqo1`|?VmlC zgZFo-0XtQ|EvoZwdN3*3G)<=WX{J_kBCpUA{iuN*!0# zh~?|UHZ%Vn;=JGm z2d;iA-c|&sg9>~M^msGZvqD|-jH~?{RbObz`niUJgsjPheL6RBF|E|^c+fL+T~~N1 zg@@z1q1i_t_7Hav3_ppTvB;&&BHnM`HCwL;oarz>V7Kxl~>iLwFzJ-{T5`1|vuw;;@x$;1&K_ zL~xuAE6T=+Jw-XYC>~YS)04J{>jx$y&r(MKlBfqqkS^k%D2Je82rrQmiBv2tiWp4N zmQM3EeCy8Ex}X&hoJO9-F@Z|NR`C(xh8^@%GtM3o+JhS7wAd=|fl``4N0FYrPD2U>OX350t-Wuk8S8K`ihZi8SN(9ob(E>uQ_)V0!ZTmw?`jA<(%3alaHn@fZ# zly*yA{w3jc(r6dm^nE{KPdOUQh>Q%2>A>Nt+%@&26Z6E{JE2JDp~Nj!LQ*4L$Rs3D zTEC_jECq^GBX}+PGwDQ;N@L2PgdMOHU6dPXpSK;9-y_RK?Tz`q6sm!szWRp^JV0w zXU+f#xxOT$8P#&r5X@GK=wmfZ5S|TC<-nmt%0ZOy;*_;rC%}Vh9KIU?Z8VX!#z-c5q)$X^0W#o2Bgvnl%aB}FhXm4zL;leNrl zQhT?OS|l;;9fZH*y!&q9)Qv6!ef$xw%Ni4ntnO1L3EHdzn5{k&MTRv9!HzcC3{J76 zCr=GlC5I90lT!o_8>Wy^l#IGWF$P;z=H_T)iJ3(K>Y~Nq=88l?L*1E_ zT67&ow{aV9hN{QGK%tIidXB7wT84RcbxTunDN0)OZUIVM6L z=^?2+WE>r~ZPzxI0E`%$;B1neG}y#cD-~Q=gFoPfV~!A0kLuEu5bD*!P104XQYd4z zvHfAfK%(VyNmA5{^R6tcTp6?@B17kwpbVj=o=sqqkwDRyUOOl8)gbCBIIv7$DWh8^SBxUGry_$w`&`yjiM`)D&RTT)c+PFYsG z$d;_lUVK=>6!*fYXT6v(> z#yBPh=)uki=OX}jvZ`A&I2|(Eh;n%Bpmw-Wt7u{+z-e=uJ-jyxTy5LJYVq`~ql5cs zmSIVp*g87Lf*Gc57H-lTk^_NOPVe##;VoyHu$bp(dWWmJ2W10n3|eb^q_G?SkFbUH z!t9YE$&l8zII+e%q$St-yS|5^kpCGu8 zFph5H1-O*Dq^fAej!87(z(jZnA-R6);Pi4>$s*~QXT@~(IoMkr}QSNySc z!3|}N`IS6XBem5`pQZPD$NQNX5!E98hK5xNqD&4@(yW6vp=m|d`pULCD>!lB#+@B! zou8_H#9-l+T#a=ad1v6GSoNW%Xwrxw*7x9jm;=nsre0<0YC52WN8fx@l~t^kuC$z9 z2qR@4qt#2T#L!q`WvAT|`P+g7)8Dfar(LWbH*KP1T}+6s1U=~vjZQ{uP)In!vq~H1 zCblhufgb#xn{;uJh*?&rf+_wY&*y%r4PC6NW>Opq4h`8Tv#&`kOlK`fXFRl(EI7LU zcaQ9_+`jsgKdzBbHqG6}ZBw_vP2i3%7(@@GDB1v~&@o(t9E8%H9kH8=3B$UD5009x z%mJy3C?P}>-GJlPhdkE^c{i|>bZ?V*eDGIheu7Nd@n-OYCdmB&Rn}5iBi$KU<~Dp9 zT0<{e@~=yTR%M#EmBL&+SVDU_=!e>YE>ZPxz$Ug(RTa)J9&-6A4__O_{CIGrwsNqy zmA&xSNkuoEN4|G!etNfP2XJZ`=;2z*EJIn%(0%hRIVAs3XzHr5%+!OSHi)!+O&}*5 z=|l^;VHl=xfR8L#-Xcj#n(;t0M0I>jBiJCk6lgG%$tzAtp%pfc5TFw2Jd@$r`&K7J z=2jDg>Dy9(U6Wq>2jFBx!;;38{^`@vl?*y8d_(#gxBt~dD0#TOkB@_Lm#K0^6v-vl zYF6%{v030GE4AIZs9ws&FTIa)^hz8Aco-~I(sXFx7C-|gtI-8sM6J0XCfr5HO1S#Q zw7HHUSmR8CB1EhwQ#c-NwQH%tlsJEV!NGLtQ~l0HxU0G3jS^`^f^6EFnhVUB6DQ8giD{_4dz>-r|Tu)N4))pBuFaa(Yqw`Tr*@J|qk zG}u0>G0M1C`$Ph;2Q+)$wQswPJfeDI?*XU?EOICZMkHa5)JHFjjYWdzG3FXmx*^5| z5fl_iJ^+lkB5>bLjcWRRMeT6Kez|HkSW%X-Hkz>JXJldc>FScp;@YZx+eLFjJysoY zBwyPwL(yR=ky%+oI@Q|}UfnzG8O>rJG1O%@ai!39`JnT1N&O&k%0XI0`3t;pr)2-| zuV!Wc_Cf2itql^eP_-CzX%*;DEkQ6g)1aj~?RmPS>RxwD!6z*wkoLA#Bq7Zm3?aFF z>x|mYdcF_p8c9!ygW#Q*e&xH^XEl)hMXh64_(_Yg<~Eteooj}-2`^AkvC`k<5m{a- zA^v$G-YKBl&^3QQtMUOn=Cg0J>*rpt-Uu&G$q}6d(ul?HG^dABA zlb_Si5G1P;uUZ}SFA6NLvjP|zQI|_{ody+~&IPku1YXzvU2ks{mu*e&`U0n3hl=5T zd@cn!CyaOqmsec7WzU7YM?UXU+8nZ`0TI_TknwIeQeMC5-&E^mkNVT{l}? zUhJ(|7^7?bvkkjA?Nxu)r(iHJAAT6VST_!6qUV?iWJcVyVb2lxAs=qFV#d7bkTwb% zq4rn15}3E_q0e1Pf9d!^VIr7;Jf_PO&;>Y`Y0U5Viht^(;r71RJhK*U?H9oNdMWU6 z>7lm_RjbaW-ksjkap-*LPU7kAIDvVu9^bv#nT@9&A8Y!k``bWVf_z;0XqR8L;Hb>q zed6)vE>mR;I1kvUX_V%?VtxtWH#cyE{?g{U^iAot&FOOf?aMc2~7K=ONgQ&l=mYM2V%6 z+Wt@k$4aL3smgJ#nBmK-loJ{np*pKn`F$vaB4(8PlrneyDTIPeE-x&R7kcZD^QBlI zE#YUqG#jScB0#21usBpeQvWm)c4bIe!VzqI5)B5TajBhNnyxdjKov^ zqOm{J=HD{O2KHcw%2DPUlq}qO(1!2V8?2P(*u3mTZ{o%WBYCls%mj$BD>>I_+|^PI zYzn1KSsi^>1nFQD;8}@Z#Z8Sw@ngrE2$11bRg$l_rynzFxZFA;r{l(ZkhQRqAW538 zB!;XPM6ZhgM|OV8cU zlReLGq0W8bEI|XO@sT@6i~rvGZTr=l#9bfhh)-@uAMYnJ4+Ge2oBq_Pe;1Ik4^opB zoegMVCZtj9cf)((h)yBPgEA5|qf-&>1J>tZHFrezzv;-lTZ>5CuY=q7FVSzRPTYon z!5)M=IEqMIbrafGN`P7Wt=M4p!xJ1pd^_~t$~d6!`ml`nwI21bcI1}Sv*n`rH7}tp zC^-AG$UMv!;;lV#pc)R4NSvDVL-7B&&UKhF70*2i}50wy)8&+k}Zkx!@|$)jNySBNI%8iqjzDHa-PcB4*W3 z`^OE@s0K4(2lJ)xI7OluO@;Pi3PwQ{gH?-#T}G;P5l5obr0WBb{af}g#{N@P_K%9T zUW2wbaCHpSzk<-v8A)jWe=O*a!}@nPG@VGo|A{rYW5|xT$PPD?I;L;$aW}5WmSL+c z=D*dxqxvuP|38xHySuOqc#Q|)8w`eD(n0?kSML;@JLdL*Qypg$xbGou(gWfcMdHw; z>jARATOq#Wu+jSGGM4CHK5t?DbMGgRcBcPu>|ZwD@DK<24|udE3I1E-Kcs#I>3_6V zS!>xp3VXPX%D?NL0NJu58GDMuZLEQV#DQ}77XGjC{&&$SxDyFezQaEuAC4RL>@6Zu z*GCP-p;6aS-v;{*DwWGE(+rcS7yq^>XAtAS1q(|cDrzX|cl}Ei#|0v!-U0;}PN5c&X2WaykDKE%SNne3`6Ysg~&iO@U0-J5u9#=_+;x z^E0whl2kn@y(x-LCI77J7Sr<7(oLg-2jlI-MbzuTs=Rt{W!ycmozzXS_fVfenO%1a z%WqzMd8>l6Fi+O9>ojYQFRIU(XClAJ0IBKvKH#GlNYz7fh&F{m&gBl6_j!v8E^~@1jH)%-%bHo|8okkIf)*&3;QGh<(n{)V;_DD zdTFc`i-vZZ`*XHMbW@a6iR@fP@u|-vyh+rlUd+ogc-V<~$|gK#_bO|h*unqLn!UDz zQufG%y(O2%&*f8SukNpvHf=5Zo0Wa?bup1kja03^?Z2N69Jf;MEIv`i z-DSA$>vP@{kDqQ`dA0h*cA0u)e=IAs^OIe1PA&@0U3fMtl-lY2ExW9`es0@240&4M z;nu03CLEnp>5HY9K6l2szYUPau^ZKm7W54NxjK7kWPi}9*zf9GIrRJ$&dJr1`+-X^ z+7_o_l}x7awoJYL6H#QS8n*>9?Q*M5mfjVoT)^OUH}zg$Z_A`R>d|22SiAQ6!uxNx z%)*^h$<27gVMO(c1i-)RBEf@w56Op_z`329%ZN127Pl`?+@Vpty2bfR8!hkG#1!33 zcc0}7U)H%}*=qg0x8>*R-;Zax&+9XW7mm$EgJ1rivzN2yrW2A3YVJ^=GKbxjuf_}njeD7F@E15C3 zjO(e(_WPppp5Lve->BgK)hF=cVKDtOptRQQ39Z-u!KvxZqx+XZxcV31bPcqAwK3kV zkKz_l>Ek20TBz!ajf(}kL&k1U9VU*Ti0N4 z?Wk;rr>awtw$iI-*HizKdwFGj#Giovg{N=L!Lf1o7W0pu?v;mE<1T^Qr@H1jTL+g% z4+!s=lk@|Eu60h)a_!#wZDfvwn4S}2m87eOf>>}`)<)QsD+uCu~WSN);{>YA&O>$j|`_=zLP4O(1AvYq`vE zl~uGZ+=o=lWG6L0^-3@0_Fv+oe&AN@3cinFk~o7C|*8J_HZJH681-;r1&(eNgFh z73h7DzmnLw?OuH~bobiNPHk+TEK8;7WmQhZ8jAFtZ3f1`*BBXa8km&8yCwR=y|@D5 z)qvSWkBQiqsu6J9teMBmk0{@M;3lfHY_2*oqMx7@6FVw0j8nPl0zs+) z9p~mjaaF+`8$5|{zYXKXDfW5Bcuvren;WeMEIplJrPap4?}>Hi@PlB>n}T2gAoQ}T zz&+wP?S&;7I7s+v9iT?k$*h(MZazqAXjaLrsok>J`R!|s^o{+hLY$S-_-a|0K_T8z z&}=1#!kn3yb(G|Qz*ne$-ig>$H{G;6|CE zQ)_4+^XUnqDc`9c?0xUBlaK3akOIqJt)PR-@b-RY) zhLMe%cw)QyX3TAFAO-?NQ^Cty+O|3`9)Akwcx1^VAPH5L#_N zmjj@5hi^p32vBxpNOCz92LuARjX@vte4^~$f_4{!kkb4@%G<{D#Hvc2e9enZbb`Q3TBe&w=3n zp2>5auo9&a<0JJ86lRNfH6OLRN!%I-%keFZdu7|DuVBk%#r6zOuj({!%*7AA_o%)8 zmQouKqB$~jq#fx4LH39@YBE@LGrB0&XJ5x{*3cInMPPeMtdPNw>8sk|E`yakGyz_e`B@Qsb79b6nzdq2^JfAFBlLATha-Zpn-=O zcY)$@Q&hLdsrR z3k~>BU{iz_N~AhUS+>CD>UkDzZ^6Jrpr1wJ3RX%Cp&?%T_y06lK4?eTBOW+(rbUNe z&&`RgCL&RnoMExr+g@a{ZJWrI31BD~HPbiMGSYwOnD~K*X^x;h7j_-~eP=#m+-cbT z!c{0oEWTc`F|`b?ZgVmvI)?p3nvHhHKMz1MYM@@?M%1ApJ`*f3-^5o1&l-|MS48g@ zQUikQ6NiS3!@S~Z*N{88!%h>uP5p2b4{EkCB5gnGXX(==o28T$YbRvukEd(HnVyoM zm(B0Xc3}{5>sM>q8zCXO`5jz+WU|MqKMo$JF*6pU-)vo(ZlI|I(^kJre5Ygjjh<35UO_lx^A`^8K{(IYqVY3W^`Ri2sf%iT;}6o76<;r3)5Nv?e-@92 zNbkR};g-^_HWImD@c~A@F>R!ZVy4f}>-Nuc6NVWw4(wk&h`}5b>bcmXlqnW>RFy7v zRJ@neil?PfC3`KNjxj|zAuE8+WnxI?(mY4rXZ+_`85klCvR6nQI8;eGd8>$rYbLb> zg+SKVf=SlO1p=wUMNF#lyaEu?OReIL*DN;whak@qq2G|Y!v{vdW(W)c03nogQpT;W z4^>2%9p4e(?#He9{l=vmdg9tKtCf3F?e?t(P@V5z>;93(>`CtNC)4Qb`;&Qefwy_s zr@ut=oa8OC(yI)8NhS4gD6lj&xbd1m8L-`!%&N}tjNveWSnHR3Vuf`Ih_LxQaN#z< ztiP}?K6QM)eC&B`nxWG_XrXq9U3M4=Wh-EwRgwW(#+0`;a}%} zZQHrhnu)>TKL_@LdNy1Oj%l% zA?(1Hx*!M&-}96JP1bbwD) zx57SCk}!NM<*o*xk*uikaHvT*GVDzG29Idt!6=`J2miol;gu2v#ozLc?DG_}jR9j` zom})7LQBNH@Qb-a*3egT-sRzZz5)vQt?eD#&GimWCv$FX+o z4KqJbAc!)l)WO6EoWdMbQ+o_0*WU0Z*&-B*LpTb#VY@07ytLZydj6ol>e}2#uE^`nv-X?*o`SjS_k605fVZ)UiNma1&Q^6ug_a&t|=o z;J$-2KC5255q!%e)*Z`(dk>I3b$92OFT0)c*b&tgHJ4;_LoZze^m@utsBxgY9@k)Y z0w0YMRn9rEpwibU5{p;eB@%hO%Ex>l+Yt41gH#_9xkx7BY)Ga|-y&ihIW=fT7`pK= zvtw63RB5x!=W9x0^z8?ue`MA1=#(YB(v>ooC=(lAZ;a^?vva_J1bH0;si=1})#JMf zZK%u%cf>QcP#+B3A;KSgyxop#J?5F4i9T}ju_jQ>)5&NT!!O9t4iP&sEn(U`s7 zr`k1GI5-7+Vo*jQ@0Pw{i*8HnaUSQYdgsL>$nCL#91|SHEK;q4pD`wP^+{dEL1|fJ zcw^l7>N(Q*J2a0O8tm|0_k#WKwf;f)()Kcgq+}D!YZMLz1BbaC9Etu{S2V9$+x8|k zXDx>v5fn{ay$3brC~jmns)^#mV-nv3*aZSSLFfKYDt8X0*{NtyGxRF~t&O*3{#L(Z za*fN1mzs8ofI9`z`uxpXR~F}Zl#jY9XgQCCQz1y6qSq|%KO$&fBT)8@O~sa?ACKU4M4%7CC; zU&Jz!HOEnM!%{Lp5JuCt2-RQD(wukIoL9WaDAE>pI)-7iLr3>Ke%TZ2IgSw85DGWP zO+7V1uV~5Yj;(|Fl_l<-!cvt5tZ2-@LYUyHvfkS449482 z<(WzUqcz%@6|uyu&|%zx|ZG(3c*CZ4QFvNf!vK6N~Z@>Wd}Ul=BFlBlzkEk)? zdB5K$x4M_jAw7b4y&VHME0oU^g&i_TDBl-w##7l1W42PX;-GfBxhUwq{Hbgqx24zU zQn8KtkW@pIi;#a-hy6cnoI{W>N|0{bwr$(CZQHhO+qP}ncK>bLw&%`!+gVgZMQtmh z@{3cM#|=Ym`lmtX(v=E+-yl&@2X6W56Hv!+LDbE%eteDY_UMFmHWV>BT1I~cz4hSp zuuo^h$MFu4Aor_vszB4$%_`Kam6rmfSFU*BdX+0wuU7jG)@!F>5r^l#mlzgqbHz^K ziXE!esa+ewiqzDvShE5=Rf@Z!9s8%?hk)AH;DplGqz~ZDs=Mv)|1>I09&~eDfB^vX z{|9bh|9|;)cJZ_^b^c!*bF8f$pDl*sSO4!XV7Wl z_}6mZ``1&2X@n4pcAs%)VEpGHl;~mh;M?9yk;h3uW%mf z4w&=tFnuz?a}9>wa3#vDun8xk=cF^KYT8zkxuL!ZvkPywInq27KkX?k_x-6_%=r4~ zL)088RNjs+`%CZwRyTlar9~PoO{!Z3)HH)`2;YwO_^=y>$RJ5ysAs7G(;(YsxV2Q7 z$wqdj!Ko25Wfw`cb_@M*5VNIHgdPK8KF9e7wEgjDq5(KGKbT`3KIC<}yPUpFG*I%S z?><1f-?us9NWH(opPgS{XX2mV%jf)F!qeJ#{;U7jyHpAoFQumWLNStqvvtfALi zGQQ8N_%Sn3 z;7Ywo&VO$J3Zi!44{oxex^^`Ul|!rw&Y>Cy?%U|1L>l*FuZ)KdnxQxv@$_UVU0W%% z%W2kg9afsE%wU^ERol48@D#e2R9NQ&^Sb*2Qs5V}o6c-AD>P3uT#lw8$U)3UMX_FK zNhIkd-{836nl863a1NU%!?~bOwBmXEzL-Q^zPj^$8efP}SbQ8eqAS990venbTw7V7eJ2UH z)ZRoNWF?cysPCdMoSp{*Ei_v`b_6#VW9(uKEOVu-5fhcqUyrLy9b{GT$p`y z)e@;yf(j{?TOV4v3~%V<~rX@F* z0k6NFA*Uw2!{AmBYkqfiJ6+x)0jrti11?(6u$7)Z%kUt%&3W0Eish_ z4uqU4*kT`vr>QW9A~*(xJ84){I`*)tTc@xTAa)-@?9EwR_Jx`coaK`k@=0I`sMN?& z=EW5#25Xyb2zYF5$`1O5Se|z+MzCP~3Fm%K>OruFsG{QqR*c75;2C%~p0bAVf%<8b zeQ#;R4|E353UpTO<$|2&THu};lvj***GwRiOww#16D&(Gp!94Q5zCmhS<#MkzO=kx zha1Mah@XZ>GGR5*!ll~acW;Gq?8li1#c%)RZfM+V#f%fOtra7ltw?{jwb~xPT zlo(7l-5x$vz6`XZH`YZ}&_{$RBT^lXs;)R}_3CL;LZeMzNo+_5x+w%pedDMm`X32a zT>F|$Kq8Iyg{Kf79x)bwo(oX1o^?*|jF5?=+#41I4l*=f0T0Ck$n+wRw9{ z3ixe_gv74D=}-suQCQZ(xfJrePLQ8UMeAz1)|`JXr?Uv!p8*r?23arVH5mVz1b^6+u z0#H~i`Bm_8+Db zlqo;|cjE2zDQbz_4iB4kN6b+J^cN}`@pafAKOFaQ&!MCp#>1kuiMym-#^+;kvH5d< zU}!Q`<@2Y1+VTQwUVCxiU;(MzVs|_gdCfq`2Kd+Fxr)xr4#Nkl1;8D>qq6m=t(&M_ z%&lo@X*+F!dBfeyx`g^tSPP5)l9)GGht88sD;+f?=Z<{u#Xg4T)R;d-m8$Sc2?1#r zk3d+Ol)y%Q2mYUh>;DjyOr1%7fCvHn$2Jlpkq@y_$T56koVIv(biZp8bkj8#@jc zCHb&n$zN~S>gnWLcWgS0(qzFVDY8p%*z=Z+4^QE1^?p=QnZupQhd*P(Hf+2#YSF*K zTyjylHd%7_v$|>V$(X-0ch#dT^2ve^31!U>6E`LpEA+wSdc?FXmH z2l;1~!+c)aTYK;K%8cLl%h$ry6sMKk^KO1sb9~<_C*iN6#MtqSZ7cEdOX0`U4AbiT zce6_cv6TzWBl8%#7bKW*P;V?byd8?KDW^Xr5<5E%DRN1UTGt)f zH2x!R@E0Sz=FaLf<;#oB!~MSt4jP^VEI06XdAdc>9DX-u@RKv7#B^KD(*1DJnvEx6 z% zy28P^ymHDq=KgMNpVf?$;+wqRKJ3$Vm1b}_0PHdfo#u0Lj?iF@oM`NJ9sW!M&nTGx zB1r?+g-ICe*gY=(rlBPVvxZADaL#P?+)}-UYUEDO0yumnc1f{InwmZITLvHcBFXEL zi_U$J4(Xg1TfhIk^^XdNjq@6w9A2(%ov?ctrR4TQ!@vZYrGFaSHv)y?k7Cv_#(9H7 za?E_zp=1kFjMvpJDcEGR|RuD~8E>dXHV++$krS#bb`e3q=3=A-sS1G=u+^X97+d9K(eqz~FpGtZ!Cn`cnzuLMDpQiYB{%4QD0DiCA_3C{l#X6tx#j@~n!_a+g- zzO)ZRGdBtcFGCFwCeCc z0e6d7w6+Dd;hsaNCyzQk{x1KFPW4gJpg>h5*CY&~?abcqXFrx<_uozZpLVuve6a2Z zKr3W5N}2LhOf)Kiagw&pvYgJhsBjeMA!Z#t=S(v@euC=$<#|S$@0x z&!$InSLJy(4%%`Fe12FL+iZUcHvaY|EuCyWT5|u-i@(sCvZc?~Yu9b2FE&bYB_@XV z40;VQ3)z`;chstub=XJgM;a)ruHpDwbIvEwrxOEB88H;De2!_e$uZf}1MLjb>Bw9w zvhmhdB#UNwIHA&SviK&N&Fa?&2AGKPKRS$Ed8TUv8a+_z=p%Tzj;eLXS94td-iNU~ zaai(%q7e|FF^xDysd0()=V13IqQIXK;V1L!GmF9!wg;Icqd82Nu#_RipZ6NiQ ziQFN#X|9PJ1K=S;7%4)GFrD8;qq5~mfGtW-y0?Qm?m;SpZq+DuI6u|Pxq>!u?z)fA zu2L2s6$qvCtoQW|NVRjm+!D_Pjik_W9Dkkkl&ycX_j66Oe;+J~;H0 zWoKx1s59>FDo#S+x##+=;61ckrIQNRKG%=w6Ev4CY#;V7TCJM(xwqpXE{Ls%6;1Q; zyz(u}_kIU!3S6BIukD`GI1CBFA>e*lM4}z;8I1g@wbB!cPyYHjgnxO}3QhU@2&MP= zoq^|{LgyXCjV}-6c{g*ECLGNPg-J1E|2$gT^LH13|7{D3AivjU5OHA!bLJR(B4MEE z3OY|%mW3nZD278mst>y@1}*`&iPa6%;qSs8Xdccmst}JE%qzkC5gh>?^volB1TV8> z5A7~MroQ2G$98vjw^A$&g?nG|ZR>yBV^3{}UUoKmwaU-ldN%idS^~Eb@k&2=+o4CK zd<3qLh7RW11jeg~A8g|S;ihjd*)Jb&^cWoxj+m~asmS2QO{4HZhQQ~MA1{r78x3U! zA}oWi@iv{IOfGwKoIk==t~06|k6?599d#TQ#3G`SMEiXkXUU-sFNn((xPX@_Br^&I zh4v2<8jduFaD@)Gvg{A3$DA+^W1v_p-i-l=j5bI(ar!ZZ-=DZ-Fuldu9{Z3wWaLjZ zlnQzK!;=R7Vf?Y~^E-WMW1Rl9hN(^M>A)qkbxMyp5kP~X{6jyeYEgI&G{9kXx-2lH z!B-S1$VE87ilrcHI4Yoh{3_r@qJcOvfc)hucE~+dz=zo%w*;DO0>)G&#tEh!3_S*! zJ@>{a32@~mS~$eonD9aBl31u3_U=gd9->PE=oXMLM8_}$9?D$9$hdAvpZ(iF)+OQe(TGYD&d?g>~74Ri953g^rLzMC(X{4;DkWeD!zO2gvH zWvnygZi{V+Ly01{+LXGFL&5FS^q2!}Ps;4m<`{}!(q;CHPxv{Q05bVFH0`K{wddLj zz%pkRf5XNO*@FBU7RO7-9}RtcW}7ziFHiSj`lhOU-_rl%RciH6vdEH{hW6yv`SJM5 zNdxAQVBf%OMqI*zd5$v61_TKOo^Dr}bnB)>ynu zUYUD#-(-+puy8WM`jvra17u*U(SUFhci=xkJ-y67Z#aoY2l)-FSFMA9>(1_PD^}3u*8>B+%hl`1~1`rp}zmEnL^h@>` z_4UuKxU@HFKMA{t1gVhEXQQD)9$wfIR7m(9!hjrgTIOsaKp~YFgn%LPUMCsh&BGjH z*-lZn)X~+lfhHClZhXa2N;{C-1o0gi6&7x2)On4IZ9KH?#j*g|P@*l+FzXL?1+>GS zBP=qsUl#a+Dx3!>3^O?BrcLocTAPWRBNow4PUiT)_j*jii+u6`C;MLU@2Jz_$1&Uz z=#L^_L`c9P!n-p^>`qzIE=|{O*?Z6hUl@*&mEH2Qf|Q)(2iLEAFp3(g+;ocUo`h=4 z*mul+KCQ2G0p1~X>a{?`c88e;p3l?o=yiW#kC|-u_XykFz`rJWU+ego&HuthJ@g#t zutNit!&>Ry$BaT`zfr(mNuYay_d=ahX*=yA-GoIxfB>vK1&;iuWr`y)bbkmAvFqd! zzF`|m<)jDdgEDu8pa^GkGhD-Uia$fl?w@yJk}U(E;w9*qIM%<-X)&#@W1=i9pX0tq zCXw>C?FUeUaR2f6C)dl~HnHCJO<8qU^|SQa3D}BdYBrf^v$zYyv7~1$dpS?CQk65I zD|6)rPXf+C7b`2(Ypiv634fLE>G)!&|ot<9j;s)dEQ#g zSsnhsgi3c_0yGc^6?CBWYI^gwj+CJ-AXeZ=2g$gEj`qBMH8Y_BnHCDl*&0)%!YGvQ z1a!x|0Qv&iHTcWt$`1M131YHy-eDw3`_0px36MwiIb3*I^_^J@n1f3tb#Z9`2+Tn? zj}K=l64-02x92j1Le>HrsKDIH+y@p~gB0$v-ZH9Pi6G_nAH94eiu-(|;_d;aqU;j#`igk(l#Xd|wV z#vwcyulqeOoBPf)-XdahYW5x?9B~|`{i3u_me?=F+=Q~-7myb2B28M= zMz;!*CE@__*SJekU3E-Yd-)lXx<#qhY3rlPvFiDpA;=`J@&cb# zsebH-8oR=_8f&xKZbJe&k1)#3n=ye;O`RRmwk2_LFCD&K-8~$9-V3Oy$QI6 zvxoh7jCg`Ddl|B5q{)zbl++Sr!3eOlCe>j);G6oD_ z92R!x2H{d6hhXSkpDF?Dsdh<=8#~O|z)>K+H7mU}fJO*Y5mCk05DHg)a8bGlh_7c;6An zgiS=|uFNCm5d0YmCXhBm#%H4(vJkTaW;To$S->j|bbu(ka>idesI*kwn>g--t^iPq zf1qBY;sfs&ZKmqrOm79CA}wW+ss&^tCtR5(xxodz-%Ae^du@#CzWyVUm|v{k1sl8%NZqOhU; z+fRov{5@1crM28rh4nf%7)F5ZWa2?q6Ub>7a2ZnM?qFNx#ijghdC zsEoHy9_*Tk(3h+^cK0%wLoSC&(-$sj8u?N~u<7S#OQ7LD_6>6{enyZ4T3O?(aQo65y-Uwc7}5M-Tsc4Jk=)KG_35Mm+i#=C1bT(3o?+?# zitn9Hfb@>)Mz71^UhxcCpXdXMD+^X`lg;~_LI^nJQ zpP+^)3=v7}__kxSlXLal^dSbu8OQ=7Q!D#fV{Ma$U@!*O!)e8?->=n{ZZ6{k%bDG8 z9Hhn0(<`Qy7__UOy>FB)ynI$Mf5qGUi(7J#OHppBdq)?8(_hY) zp4#tV5VmpcUVsmQr`q2N??3^n!PM@2e?NGIlRNkYbBR66)R)8;f#ntdzge`00U{uO zxDSGLnV7yEt}YuFEnK`p6O=wm`1hR$%i?8=e0?r#+XA;Ps3&{S6jY4KP;QdnPF;dF zkLKr$uIomAWX=!couHTuhk4rXr5+pq&5eykWx`?kLrQ;py}Y2=7a6GJIpPYDZ2*zZ zLAZM&2d9R%hTYeM_F>4y-gM=};Ef)=_p61aJioI^$Gll>r}h0N23}cRYBa10nlTJX zpC}ykGk@G~XKhgJPkI1Sv3<=owtMtbT{w6JAD_5=X+o(Q$V#>^RPWOhG|dlCUiZzCOVrl&~c+tINtCTFIZqO-MEzX-S{7A8aYBn6A%e$R7Fro#00Dmn~TaX~Mx zET9noi2e3cdd59%#+^&lIq!*#k#&Zop`B01ktbV#B>mvfm*ROe$pXPucB$M<^$|no z1Y98Bc)X13)JC+-)j_OC(Lhcl>@flcoxZUl2S3$LM;6D3g2OopC!QI5$L{z~ zP;_Wwuyo*Cf_1t8@B5$1hip&Ig2)WAC5vPJdCO}aeF#gld$yjB*lWQbpO<1Tg<?PLW+9fgSXYf`>!j7_a9e^?_VwyKYv`P{(iX7y?6zW z7-#<-L>m_807}4>XbB^`Z~R4SayFU z5hwy3n2}0xrlV_$;KG*h1O#0ln)HP`T#&?g>w5zOko-iF+{6_cpuChq~B62A|bVj4(5%S{t}7=ppPt~jacD* z3-yZ-;`^o+0DSe^nvP0Sqk(u$LwC^>aT03t(Wki^W)?I+xk82_z89XE!TlF__1fvN zQAgxc;v(f;fdu}%t~Qmc_J<{A1D?l$o;#ykPrUWXR=z1v>?AS<7O<=MmB_OG#i zA_FQJ@Ix4Ig87j~5DRXT)roJTg4LbsC)(l&n?>>#bMu$b>KmY)t(}iw@W_CA3WiH{ zSw|8Zj!7%)4qx#)PQVM2O~hRJZLhY@3Blgn_fSqk}>*nsvC3Fv`n5B7fPgRgxchZ>v?8~6{jVR0M zj{bef0eDZWj#@WW5g&K=fL^dV*8@Ufw1(0O6cT^IlrX{xyXvfp?ANCchqgF|Fb4QU z!fmsKbg<#)@OJ(lR(llh9ke_70wYC4ud|7fK@F6G0G}SK+TB8^URk!yXC_)j$=o-dDkz?to5bq5=$qhDXFSrAN|{>7onb`2 zY00wkOt4#Br7;&PM5{I`9)KDH2vmDp69A3_nHNK#07lGFZ1v{=z}huPywza{sY#6g zI@C^X5@cHbQ@bz>gvIorn^ytk!;kI@>W`IobDkI(c&qH_%LiT9 zZ-J4cy{JY5Rj+X8C$Gc%4^0g0jwe=EUaF_k0ToRW;!|kCs4k3&x7+qBhl z3dFu+WoW5p>);{2)-oVgMQmwjC!x}eUBX|P5tou%9pb|^U(SM>XCcQ9mP-V90a5et z9ZYZlZyHigcXa3?{3rbZj<2X8A?BoVVu0-)JkUJ!j-*;xI(uo3Kx`Lr4%ecBS0xj}42JzHEIbhM zW{>cjcB0%EF5z@K&@F|m=JsciPEA#$kN?8A@F;0QuQ(QAmN(LJ*a%%iQ;D)0(I;B?g99n5`)5bm%=>r~#?BnO= zD;D`19u=YcPjWKb-bA*CKUx8<^d)TvhD0c>rs)X^FB^UzyJ2Lb{Lh~fe-YVE86-an z`kO9f#h??vY+FiW{L=a!AeI7)Fr#cnKB>nX4k9zbMSx<^Z7~9~`daEucCZTK7Fm5k> zVwVzIYl}DHiSOlB{LvCtmH=MM|09lk4@fhk1ypDP%9tS;vN$Ld9zMJ+cm|!AZjqQJ zoWsF|!0(!NNLY|qiJSl$kZOer0RA=4BB;3WgwE?0hw(y9a;f_I_S+9$pW#1g87m+` zAW}#mtRD+3jZeISx6%e*YO#1Z)VaR=Vf;F1zdkry9$kp_@^2-ZLXVZlpmEs^1*_@H z^AHndR;f|~`*dumL+0Vjbu{S7AgG^#T1fWjH~g#)h&4pfI>-TmlX^`b@6d627xkb! z)s;sf286TLFqxH$$Q)x?MR#UPogPNYRT39L`UrB`$ArE3&Lwis*rJpkq5kRD?z^Z4AQv0jurOrt}O2!S~igN~poYnb2$u6BB zJ8>I4y#RxAZx;Z>Ha6erMmZ3a0qldCy9b|&lEo#VJ3TH?S5@P{JB>3s3T-`QCt(Mr z-}$8diBQsK)*1@RMf_A>AzC)yktzMh0D`?f}`%yH`k&GJsQlQBhqsF!<`ke78w z*Z>bE*_eYv9f7ubgRDXEKoaQTnCHk4^fm!RalTViW2si?k^9PeY~OOPxkhTP;MX{1 zNIZXQ^;!n~z2OI6FX0bY>SyTZy~X`*59oB!guOMbr(MY6{60Tc8(xPt_5%JpxZc=Z zUdpcSUzdvS60&sQ$Ewk_5rJ`rl#`byENZ}{5Fv=F$bzr}ToP#DYMbXaxw`g--_&U1 zpAI8BKSmMT{3&)&Zpl`Vc?9{T#(=Q_swQnB0pemd2QSs45pL{K1AKS|@9a$oVp&Q~ z>TvolA!*ymv>WV_d5Lbjm#tXn=89KCU7(#a5F{T74XzSG%p5_QgZX*k0xk#uCQOHQ z_r4N%AQeT)=&zGQK51zpr^+l)K=KIyZCin^;(a3>u-iL5keQ^2ZT?~-O zulVBt+HZ#-qCwU0-dbpp(^S0`M8j}Oj&<=TY_aYkn{TqW2UN}FxZPGdXLfaQo^tCG zdQZlUs%2)XeM=X|bvSNzaP?Z_;rJhavty!n36~cNZ_#R4D8Ug>g$OYpp0+-x4hv$= zeFh(D=M>D$5Df>D3JAB9nWSl^k}DppAn#-Es}ArB2a5WdB#i{>UocLY?q%3^I&PI@ z6_5;P4f;KzuV@&cj_@sC?@O!mRWMRNx~HWdBnZxTJ!5cCWd^tp#B|U=_(%V3{_mwL zcp=#0H?HY#n!6_M7R4x%q;i>IlCZRrKj-WMXn?N>t^;JzCYV!!KO$y`k95PtMn{Ed z%QKQ;7ZEW4)WU~b=qfae6i{K=#zS?WCNf~v2rhDf6c%hATC5>arX}KP2ym6zD~M(A z98QBrff(Z>Z;Fz8PU)S)nb_RvcWw#i~s zk#iAqmvhL>Z}BqNnO%gOjm#X;Pd3pCY0d3xFdW+c8j|l4((TX%LM4C^B1WJ=I9PiY zF;Rsb7z$W8to9G7K&7^5QR&~1l8(?OfWJk%Ut2vPy)oIef)Thv5jjRRr-UW12HR8? zEm_`MjgEjKt zk@&uL2a!dInR|b}surYZ49u`kxj4c)`fz&yc>zJpOXT~pV?YLWcRWB$>@LbNp{4MP zZoIxZF|>I>NJv0pfk+8fwz2ZR+5>~MKtG&QRPl-)KflvVionQ19+&&R`E^#bJNUcB?@KmeAS)DAe#m6?psv_9% z`=AG^qS;cPG^K0OWS~#F3{bJM1QM^JY5gw}?~YD>tB)x9__|Nz7B6?K=V%wLbA%{u zRecjwJ-*@gXrdNOiE-im{VyT9*0?04QKN5gCcB*VKlG)?z$?NP1KG6`y2+)++}y<8 z$fE2!Y^};>!65TcdLIpaN@%YbE~4!sH3$K3&HKTEM7q||Ej?o?S=EBrj0m`iWL0aY z!chrBttu5YAS6cu?@TQsR)YxoP>ipYJQ@SBv22;``fTz$KW-hnpt~)fvsDFDKL`bK zpyqQdoJu2Bu>TZfuKF7rmijs_X@6-lf}6VN$)KdAc(+@Mj4NF?g#kHjbf%LU)VE{eu9o&1?v@~vBG>|O z$cNmqgw9$m*&GD)`8LxRY-!HKGzB{BPH|!2@1czC=5UZN?gk_Nk_@AVK`;tInPLkl z7)6i$pC;9XN7CSsQ@c_7ukF5Q`H_o)GJHj1`6oR+n@wwkC zQQQrIy<1%07+U%Dv2PT|tiR1?JU3FdF*VArh@Z;o8-v_zX~g0qKCTQn_uc-g5gL=n z6i=OY%U@Ko;wqtzk&ZurIok?6aIl0(!7B2Sn}Z|v-+|kf#QRH8g~&rhtmL|oX7N22 ziM#Sm4`&@j?>Je5T3`gER=t1+0@aD!qXLssCDiDtfDWlzee(RS?Wlzq$auWiTrcsn zcpa&IBUu+{WAY;r4O9!+6TbqEFbm63smc(_G~fe-B0gvK3cJ!tK+gJk`JF0#(*Uc7 z9^cPiwKGu;beOhKFwbdY9WxP~F)dennT9K>r+?br&jmNW#F{g3v<2jx4|;N8pLk7eZM~x7VJc~2>R~C zOLTV-p$5;)GQmZP{^G?m%(mvuNzVvsA z$d)i&iuElOLYTpnOXG<=Q7lI=L>&`HlrLeo=0nrKKf#iz05{7+MsH~(a`rW|&D74a z^b9~73{2%{9=yxi)`!9-(*!04gYk>sP!`&m=;(M?pdN#AgxR2lqA1L^iq4blo!cVz*d=;PI%~QEjB|NM?OLtb-V?;m7yTyV+Xyu%$qOu#{(? zZeQNs#<4@#HWr$Cj_D+Z>jSVwPewA$=Bgg001*q<*C%l<55-ljK2Rj66>P0>UXClv zN_LJbzBF3uvi5-f3*za#CrRsH4rv(#V45mc-TlMXfE9wS>DZHfw~r#*`)MkOh?0-T z^j0sX_T)ya7@x2E5LP&=DxF;1{10lmU{DtyQUC_wS5h4*r9wppz=8lsnD5~BM?(EV zh)xClopx3wm&jW5a6dW0|3-gL_>3S*oX>v?TL%Of#>}BN7>*aMy7_PK@|RdQ7>2bv zU1j^g5sLrWaCB-Su`y1N94eYpiJq&=qWq}%_=zHQw3!;cXM;VgZud1~7>ZF}|bkmPLy6Gk#+;o$UY`2Y-TtM&(G>O`1&et1o z!(6J5wWKxK=$EiW$3YyjjA_!?tOBn22-i4?lkX4VvZlz9+pOnxWkj{&(@nGt$u%cu|YS)Tj zea(-=ZT&V50jWiLwRMz2|GA;OeWjtEHHWN?c~)O8W2CN5eym`&wwj)$Re0}PG_=D2 zI^GF`L!7V8x_AGb>MiI7E5dm3swi5_^vpT!IbOB`JWl(jTjRj$RVC2{u&30Bx62Sk zd}b`)#X7a0REU%sakH6Nc&;{Ty?`EhV!ZNTWzP#cz5=ZU@yB!oMsJe-}U^;&& z@mBn3y=%3|u1lD`z{$S;g<=}VS0S_y*{nxJI3Z@)li{f<)u3j(hXnt^#>x+mAHaPd zh2MaeT{!(YiP55m>A(DlibZXxjUQ#FFGC8^>--@>r$|@oa)`cR*~kBTYAnWO6m3(4 z9#QBa8-7btb!L@+(q_R|3a8h1o*G>ZO#i{91t?V6f(*d}6Pl!zo*pPhE9fVsn@{V$bgd2`D*_$ACx(}JslZ@8gzVu-lyNuN zserWVj<=tY82x3ekBz<|Pa4bRosJV?-YL~KODPOcE-t4dz4rw)+Ydz7|_@%Wjj z_hLwSO*T5663GJWl?Z?tz@J|13L3o}uTgAdOL*OjgM?pnVU-x=3uvERe_f&v#EwvY z*ZYIl3IGvZwAJJUE|0zuxj>4Ft+~SM-7O{yd%KbZArb$sPBf=^YDHi7etWextj}%@ z-e3D}nYtMmejul8oz>lb)hz_pR}~K0(QXFc>Gk^Pw`+1Q>6@B*W-91$v4{!0N8cC{ zdpH2a;|&hjesVg`SG-`W@po-k?Cxqp+x=pV!Ua$rcf1VY=~WxQD`D6`6o+EGZY@>; zb#AnJtH90D)xnrVe$GJf2*}_1W^=(rXf1EjGvb$q(gXx)WpdY9?da>V2aOg$EA#U6@SO{gze4OFy+u1g$vcWmucd8Q}m#?NP#U1)99phSC8$;&L zLt;914Te2Dee>8xni3~;*5F0t28ehoTGNyr{~)dJ+YOy|O@&5_J!>?*1--bPtTdG7 z(~^e`Fd9n~e2#q~B=S#Pmw~H+2rcdj8JTt_Z3WKwf$ln#M2|z5yZR6bJC4p^Q z!#;P&3zs1svfO>>c#AYBO*&KW6Xl&t*jwP!#sS=pFr%XCHC|8i?M8IqJ3Epv!;iJ0 zM$WnXK@FxV*gYEyoDKq(|B|Za4}Rf4m}6oqf-762mbu-f-hYsDn=F?Gee+Nm&GA%r zA6^;s9+AwVBKxVGAc1eD#*W#uSErm3z}fj({vuDlF+O{`$p!G@xY^~tD>b%T^S<%f zlYC5~gOW|Ux5UVDMt#gZ*|TQn`5bja#}A}rs@>qbk38NLLx7c!di>FL`rdbUgfn9J zH@$1RZ)o|~TjY+TrH}J}4ONkw(Qg{lfF|Vb&kpeRP#y0fx0>wGS*>>v74I|s6{v}z zgpiXUD0QFM4W#%HnHdw#Wdj*u0%Dm01~p7*EExz(4g^5g8yUl?JLlA^hIpuQw^r~} z3~%-|m3!Cw1k{cx^A*mpm+j~f)UlOjoRr*CxF2ho)A0dy&-BRgE)d`_k?Jr)*hZ+1 zAzd$FbXAPcfueK4_J3n^f|)I<-bku%B)qD+mk`cvPycx6_^n+yX`Lg4)E$nlLks$V z@)2UJ6b=&yX#nXT>&6WDMB^FySZKCah-XVOHHXY={bD*=Xua36Ui!wOFMcLBi6LHv z%DKs=5h__A3BgB`_jitBv`RWYqUnTyXBNiS8{<=u6@w?}40@^SLx9+jCTRggsst-L zK94aCFu5HoZ+5lrfL;P$xom5IY5sjzpB1Ipud$(#f>oX;4uP=rA(Sq)TMAb4P-_*k zfkuC>5|RL;h}2uUJWSE8+=a2HN3AiT`^83w(2+RM#a!CiaMZlu)9xl$vTdGRdS9hz zjlwLB>!$ZV?i_sgP^Szg7saDsq1tuEpQ+y(m&dYwSS!v#xY!DGsJu6ErSEd2<4#Wj zD>j5&7oz>EXX18XsFtu1doo7Myb}?!GK1&F_W>6|(2$bGI6^-MdI@7WE@7cq=G1!% zuTxtc(pU#Ey9L=s!2nF#_{Hz5t82FJNCHz<7Mlff^;Ok&4FpzYGHP>?k$@CVY=^1R55^j>)$lQ1bC2u&2 zU|D=km5b%t+>}QjyOPr+?hE}O!wqG*UMRNx8a_HVx723uuV%YD+CYf(%-uYAAgG9ZN+rx_r#-&WmTZZ`}nv3P~F zil^obt~>TAH;8&Bh@+Wu7j;CE!=;TE`&x(o@+a$ScazBzBU2>f&!Eao&{&y<$R29& zM%xcejnBtDWIB5ug=5I3smBv3CRHHpIOIP>M#=EVC~X=SC{(naOl%#+{mrEke~>v` zhv4$CsueI=TzG4O=}d-Q6IKbR4=%KpLdG zLF$0yp<7ZA0SQUzZjh30RJyzLJ>v5YywC0TeD{y<8{-}0efIvd*Wk?StTWf#bFFpl z%{382q^>(`rJgVJ-y(TexW+;oibRxSy1sZ>LYWw~;ux_>Tzfq}@cfhb{^xNy^$GyZ zGqVl%*^8{ouT?Z4E@i4l#0UW`!+8mpsd;df;0NJ=XH- zZZBie11SAXaY)$V=+MbKJ!Gn7N`XQ^HA3BibfFVD|K2opVYLF(J)zPvIjdlw@^kec zj{sZPBQd9aC=0-?4#OR#Ag%XBPqq`ILhV%?hW&xODeyZFs3$&+gw$9MZRlXtMZLK! zk}yU%T%uh{lo?sDV1^P{$zs;Mb?iR+T+oc4_;SuzBbM>eF@o@h?|xhfR&HHq3>Gjp zV2+wDMN_IP-<%9ezHe+mM_@g{hL#CKb2=>a>vZC!4L!X?!`427wWv4)rojQcuSxqi z)c9A*bf0Npv^#AmYcAfrpI78j8>nq+krMkfERM$*B5h?FN(=KT^$1xAfVg!W!Y7ua z-oy=DSV?Ym!&=j}E!A%R@pXWeoa|Z1ElRkVK-vo06cVYa0SxfghjS54td}*Do>GC+ z_XUN7OO%@Z$9_!C#-3}{twr3D1LT0=+MX5iIDP5$xzLrvWQ<-s5`n7#pQ!_~^v|J> zTYeCcc;$EA9$2ymqyTv9QmPM^4;eD~QZ-aqKU#9^A{051G%(`qS`nCHN4N?-j5dK@ zNHomv2XKD+ygnqBxqozc#&3$hxg1P%i82KB0uyUPJR92pDn$sY7wSiUEXlC0jozy^ zvT12!+8JsqlDEw`7ro$@jyuV{==gM!uS zpkVtdrOL+{3Mq+qbbDGXSfjX3`t_=e41`9?CxANr5%{Nu>JmP;kD+ymu)Q2Ozrv~w z2uTd-8NL=m??ssg%+IM8?qxQXrW#0`V1yrf5l4!$3rDMYsVbNZ3>(jL1+W-!Nk^1Z z6amIzAL~}x;?r1-mTvQUZ}$n>DB<}K3QikGN1)+uzA5eZXEqi!)3j@dmMbeMPC--R zM~3RgvfymmV*>wg+0b`*35zkk*#|*0mRbsWb>uC0?Y%-znZIu=uf4{XUs-pV>FU=E@L7*20EN8EzeR$D?dRGK970;=+&1CZKJRS zgbL`f%7$f#Zbh!ZEo(7JpDuF%#ZxGYyDZK{oOMW=9~g^!1em(A_vtOWxyaj!%wO4@V`J-Wy8k{Q9}-c=c~dUWb@PK^ZmP!sAJB0?PcqsiL}w{Pv) z5*_d7i;X`Z0~m#n9;(MsHfm`g1AAVH#FZMA8AL}J3q~hyTP$60tda;0mt4N!L-(E| zuz%u1$j!DB8BcI+rdQQ7Zn-yWMvNBt5$6dzw0kh)q^%29_nN2PVR@o08%+7bV?zzv z@j*@BE)Ri4r8)TGerxz=A8+w2$$8ul@oM7@8~8FU8$iqQW|{jGCI!hVw%JALj&+WL zCxwQ}gU+Se)vw@*l`d$keLI$0EJ(jqg~dDQu^PJxQ(iy39UNRsQCw?tO7j%zqNp?h zrSqSSvrJB(w&MhaP#V2+aDT`Ov+sntWY!QVp|Dfh(^g5ht;2*!462!XxfYjck#u5% za~+R+Y&QH%C&4I#SV^Xf0u8jk4GMw@%VC??-+aWilf?Dr{Rtu-;%f}TkPH%z(RHt} z5y!WkPPCYO%DcuWP-Z*9PrNzw`Ke8ZUtI_O=+$%kk{w;Lb`aN{nL>u76JA2-h##ti z*Xd(6eJEE)8xJ2pQYwhJTzkN65kV!&qB{^((Rzw06*Dq+ZYY$dv{&e?{r>zWwX6G=eS;t}c=F^wMDx|ct??m$t0 zr7Bt0?X2<rv9FA zaxAHtf!M=&u!-f+!c|0N9E~9)r$vCGojpC=uiU)Y4Ud_m+g#YHBP7X8X2UeNQVJqj z{O6%i73!P!%m>u&tLIX3IglVy2TZ;oa zT6#fME^n&cf0io$-~vtW!x&uo#JBSCnK}H%)^n=u(70;p<+L%MN-M=@a)9qazzuGx zi`EmB*V*m49!4rsxA~csTu)f&zxi~{omC0;Uie-Yg zCzEHejO861UdXqPPeRKb62gnz(6xu-AZ9cUO;be{|2ly6y_WUeqp7O3*R93PO-7Nj z72GgIh9VOB6o~$AByp4Yi(~ZFtT9X7u%GRnjXRPGDF%pC9zQz7ebM{T=s{H*#b8X{ z_QaFZSG6bMt)uFP9dLcXAl_%D7tsx=OhV=|BalK`+9M>C^!vk!Z<`){G6fQelx4-#}Kj%zfPT$l)(+=<(eS ziuyfRPjkcUmg*ys7zzy}TU*C^4&VCk1Q)kMXNCG)4t1bg-dgAx)xJ6$J9QyqU6AM8 zqrA!Akfj5W-sYY>D$k@_RSG6_30<&7L+Z%J-)9~OL%XCSP ziW6PCRrTJs_|Xmk#z&*tuQ;?@V|~sy$9>(O%giRFEt@b|bJV|oSm{YFXD6NCZ(UyQ zmPaHznUL1(J5ITxB%@uX!J?y0@~MiImvT4McFfrZpd5!^2eC1ips(!+lS`+IL3Qu$SzhQhKD@Ell{xP7j}jY9dSV+x}2Z(M`dp7y1){u3X z=03S8>*67Ol?FBuJMN~GIWOzW$S{D_8?KyFvaOc6%CVZKI;x+&Fjq_3_KEk}PT$te7|nava0l`fJ>{81Jfz$wI< zi)x%ab?~5Vmx8!<2QMNLmu?eTpt*5%wjZq=1pAu#`oZ~t={GgMT985RxNK#lZ{yOn z`ni`fo?k^%$II=#%k2hl{+AUE@jv#ypU(>&vIslzq}{U43#B%OH1u4AHr#+U+5aBN z0=67-ZQuwN11pA6{)%LGdnapWOEWVUXV&LVW;V`XK@@P~PMwqGXFC|w7T(T=C3z0> zUEISveiDc(uEs-Ar?C!Wd^HO{mmG7Bb?aS8WY+ritHYY_p?lEUo_YP+rfe3vp;&^aVOK`_J87myV{-q?E$L}HVlb<;7!o9Hy7DPvFHwyMK=AHI=H71Kobg-_jV%WKrV?iCZE?& zYs#{<1t0nWf^kZFM;eCY&ch;-byxY!H9w2l7~%BUcRQpwo|dRd6`9JLovNCo%Ac*w zDOtKHRPuZ+gPDJ=MhuVgm8~jUaY`>at6`kC+kc<_rsSs!`l#a!`Eq51dNpT!+z^AVe@eEm}f_uzTrW5PZ+H_->y z=db414&Ho82N@+5gV@4|&Cg=Fsy;vmnC2aGS$Zr!)msQyHttQOe`GaH*-_NcsQq-+ zRQ9$rPl<^EsNJD0Tdx(q`>L%x66qa{?_gVk?l)0tljlSJR-;_C3_r|8cuc~h#$$N) z2s+)ew!ZwBsn~Doa;>t5ewTrlV}ly{igEl4bObzoOZxkg(riU*rr~!LDC7L;=k;r; zudcT$uKJ$oEWPBbUU!k5QER&i&Q~u3m%`w1RbT4GRYCwf5eVM{OZEQUVL~k@2>@`? zP=|$rqWzUP9Kec6E@n<@W-cywGa*EqoyGWt-HzITY=;Pz5I`cF?h0D}Bkq-qb*=3q z>Nwm!_4*B(G#ywm)iJD+G5aH)8^o5@#FyE$8l#dbqCA1;P8P%S-n^XiD~jx0a8$DD zktx=Ja^K{?ad;6DpNtsWRnkA3JrgSB+QlhChC>&Sq+DqR^<4?7Jjo;~(m1)w%t}9E zP}yfNNnQ?fvy#4&b{#+K4)y2woVKyEkeanHZ+NJ$bq&<|E_YLn)_D7)c2U9UOL%3P zx4-m!HhNH{Qy`1Q+o4pxKt&$BCtGYw)C7hS!~T{MnO(9VPvV{o_MmMjMuyo-899u2#WFHBTgfPMY{Vl+7ZGaCPc zMuYP|qw)W3G@$LU>@~q@{Qx}u|FcZRbvGL7LZERG3|}`2ST3@A-%kblZ-~?fMhCtWjiAH8W^VD1jwXeUd@X@XjOdapO3`q% zH#hToCiQTKvo%I}DL9(jE*s?`<_E7Kz%V)DGengUK){;93vNZV^(S3ym2({&>cbiR7V~s-Qo;W0X;Y-Md7EMTTl_j zoK{U>pQDyX9CvCo;vPSOwp%?a20EFg+8xo$u`qQ^`I^7egAZS74_XK-OFtN@Fw#je ztuT}Xx@1!2m^u*GQJANqnhkP#l#*UF87c2N8y&wI!i?llnl{-<^JAOv#*y;*l=`KK ziv7YbHO?>RSiT#9nl-{yihU5h1X-xw%QKddf@Zk~0i6ohmxWqsC5$&-G4w8I-= zo8-hEw9v9NyZ7Dpl!QBAA=-%58Z7a0cxuMsRksY*%f8sm1-HHT3f=}Pfw&WFvO7HG=o{jWQBH& zuA!q-VHu~TYU1NGZ_gFQrp3?WI!LrWl%yVBG##cpp0O21XSDLaa8bmq{du4 z9lO*D)t>(9@;EnR4fvMkEnSVZCpwRJ`KF5Tg_7zNK#yfzv|3kPv-kkacWUfNE(*i_T2xqWZ2nvqAU-aE+qHJEl{}`AS z7w7+Ynwu&de>XnGw~KO$C^-Q=IW$zX{^ncs9>kRY49=T31UwJM0o1OmT`zSt3WMXy=4 zgl#HjQ&0nX8*cDC(|)h_&F7v;bTw3L-w=tOtE(cLn-M|RW)RIjhMMF;{V@7uK>0_OeEWfsHAjh76muT$0!MN-5jq5D%2Ji-pjqB^(tpmTEjIH2v`5O7)_mkn^ z?i6e6^#y@|4uy@NBW ziM^BAPhXPd!a~z!LxDf?zx(isld}eIU4!?5-x2Z}CZ?v@-Y8HQ z4@jw|e_7J<>-HVV zC>*M;SuGDz8GRX-jjKjb&7;V5nSN!1w;P7G3hdlBP}H29>&lAr8ug}=LZ>8Ck)$&u z4tTKcb+7}lm8d)-EhJ%u(#TIKw$b&gpxW56lmkD&$K$tYmBHz!2_xv5tuo~|O_ZvU zy7%KWK9)Y8=^gdp2iLJEj9NK*d{g7;WmkNq`lMmi|AT}>{}Z-nn>GU_NjE9Wea|af z0`VIhix&YH=gGypwOn!m02}di=nn{nUf?y+)@2dOvxv>r4M4X$6I)Js zC>!L3_V`43ksKoR^<{LkQ|GMRrr7hlwthgr6?WW2=tL)GFF1?~i9m9MGPT{&^fNE9rp#XW?hrJx?B2Aii_cjlb7Ot>?NDlu2;rg zeR00KRoNROPZtG(Ms|e7a=%(bs0LI7NuaTrMECcMnw2#jp2FUsLHzRaF&&IROl1J?S2nf>ZM0p(1UDMQI22{m=~Xe@>4im zUV7N@P+Z$$z-Ne^(C|HeRT1)GlfAoHT=q&!o^=`ugP{*j%?WkA`gof8)QM?%xzT|w zMMRrddYVp6mlx0yE#* z=a$*yUcSu$nIx$l?TT--fCuMSJVQTV2J0gKH}5^&{5`w?9MLym4&`S7pT8c@#O=ZR ze><1w>Yff}&iX$?Tv_g~0M#07lqX;-d2pTv7m)t#SOVW9zv#w0as|>bv`EP}2K*6E zFaeMLn;8@oEVwUV)#jh9rLn!e^`E}g=2}Od0Nm|w;AIxUUyR$3?~H$a@$V3S>_ifw zjExysCEC{ES82<8C_->Og@1M8U)1S6X$tvMdRH8WhESoPY$PF3YRLbHaz{KuqCi&K zzVFLNmOrB0ad(g?ki|OhQ5w1bh;m1NL83s`b-G8H61hWxtnvg209l#h9zav(4&c5{ z1*9pYfcL$rmC`R$NI7pv1jvUu_XydscL@KdM>~)}kX-sbkX6DR(Ep8DhXjPArtbl5 z68{b0Jy8t_2ubwb1KKD38^C+o7ZMPXHoXUQO8z&1_arJLASA7L515y72M9qbvO=`) zNXCB-%AWR5K<~J+e-7G`{!c*fC?7~rNUq}k=PSJaC!lxC1tcisF7qCABkKgxV179T-{%rjh+n6WN diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index f5b35f193fcadd8e89937a59a3a88fb8ec8634ab..52dc0f93f5b32413f7afbc51ace43995b4c44358 100644 GIT binary patch delta 22338 zcmZu(30zdw{y%pX92jP}!wkF1u*f=$EG}qn$Ra2r37WQof`X|KV5!-|Nx7Mcxs*rB zWyGa0G1QWJrCIM)YFWou&lJ}-+bk*TWmfY4o_p^g>feuF=iamY&N;tx&hPxr@Atbd z{;SJxG0^s{PCF=uto=bZPB$pV=(l#LJ_-+7-?gRs^?2~Nto>UbhX>KJFW#%S7p<$- zu3dZ5cg9-L*KgmQrrmwlmY8(=x)Pt?i9*D(Z_|lRt!UPg>VEn_LcSzKrn;#k-Y2v; zvoNpFnK_|g-|>zrK@3bIk42`MQRw?oyv2QmXF7X{KNpgS7^^i3Rqed_eT85BB`EC| zUi|V7ZR)^5?Smo(i#{|gn`ER`)lP917f;Q~o?P^VGy6_g^yuuIl=g>W1#6Tw88+9dcRyO=YjO^*+i@?)o#a^{>Q6{h<{)L!;iXLStyu8X9$n zf=gN>f*SuwYw^d4UezDkOy63Faqr=3jUFtUhsA{@Zc{ z)8MY8i?#{_glxKJs}LG@r^*qPL0ei97xz4) zg58+lNp@$_CEJAl!UVc+n~*3RrsuZ_#llrOV7n0QINK86oPf%~CHB0S7S{OTLAMR9 zD@k$bC1s@LZSXjJ4>?sqmu?p(dEFnkhn$_>etx^~uQ#0_8RcI?=lW8-@@`Z^AXZ#9}72P|(j`Z*eeG7{H9DuqT9 z-7rhjFFDKs+I<}?;i!1U-D?kFf!j&E-!YS;)9WXb1Apz2u`TZ`*4u5dEpPAkbBOUgxE)wBr^VuEia3{0`+sDV2 ztPmZI7DubE!#lY#$bn+`4RNT;Kd=Xr_h3kzyFIhqJ}nM;7nQi9TM~xI+-lk0c~<%e3kKHjh`X~Xs;`-hIsF-Q6_`C-J7WTv0HEot#D ztBgm6w)KklYuui+`0Hlf*$zji{-}6$@zLczI~SdI9J!YnQIl?r)Ag@E=3v^NK5~?l zWmV)BedoC3s7x~ur;Y!ylUQ@BZM~Bpqq%^h%#Q9{n{P(sz2dxEgU7dbA-NNf#UEYgPW4HxYP7#!`n}y%r>W8In6J^9 zw0j~u^+Q)jE;b$B6S;qp=8AU5Vok8A#O<>uGC94aYjvcX>|d|BBJ6lhV>U(Jciy@t zvSinOYiql8|BEQSf0yRRN^z5b<0f9@XA%w;jc@zk0a~rEX;<4Tuf2Kb--kbBpPoFk zKT-=S(OQk^sBzp=ANc04_|P=I@!0+tB=3*Y8dB?X1s`J95fW*Swr54;MC3+PMKngN zjvyqsq(x}n%hyUoa}EE=+Q~B3pIvP2G<c(M~Qury)CJQq?hapwzr1ZJ#=-)5j*>imu$J3UUI-~ZT<=rmtJVFe(o0bT#$v0sQlVb(XzX> z@k70M;;vA8YnWZ$9&OtEPJ7AT3vNSmud%r;NvpI6)@0En?YW?k{G#E@ z_G5QzwZe{jwWEWb{fjau<`otb(+fg^E6G(=gMUcOi}Z!f+I~U{J+@h!>b+A)I24ma z2EIfMHr;^wT|yHvwhGQc$?GcTE+DquLeuQBQdb-f-i(@=lj9u=i0{in)3mweE|ekq zHk3E)5t`~2loG>Up{cffIuZ8?O{HbiU1}X7zJm0+((ZTPS3zL~W8oUb7*=yd`4>qg{fP0h%2jdPBkHv`H7vHVkLnpInagxb2A+2wOdz#G&O zptE_si8^ryXkvgacI-jWFMr78OacA3kZwIBG<6fon*dV8^7bus!roC53L7_tl-M8s zdPOj43?cj7dl|(`hr5@59o4=i+(!=`)s7cRsQCl!*E>Gc`k0>ndavlMTO%%a zYgdc=PiWs2G(U9E_dnD2>s4{GO>h6}6ut79_O4;CbhtIGM-Q%k-0i*R1bWsi@%1>- z{z}JkxA*GDTZHIEoyIkf+Y8RO4R;$B8z9+F>^!{Z#OlYFFQbp2)J_hXer8pmT6u+? zG)9nZk8S^leG$EUQXA24%c-`@?kg>)>|4&ZeYxWN$;JyOo4dX}(ABk(SlI7w^7>-` zQ&;K0Q`*OcSLkb}w2}BZeM*}uT%hI-ZIt!GspeF4s3NXp;)DssBr2jmq0>6F@xlvq zMTfR8eqQR(#`Im$(MtR~dqG_L+gEh(4se0Jm#oorhTB)s%N^Qr6Hjz}+i{_z{ zYVqahC{b_EIluT~a~JbH63wnOn$I-4ss9=6;F7n`F3ewidPj7pzOJAB?X#@`XF9cZ z->-K>ZXyobqbts8Q|gDDcXu7$qhWupPcQe{xhnEvFTOI~?bQ~{ zmx?3TZNPH!{kp&FZ^@+vL^YUc1j{RYGDs}nu;nlj}63%`5$#ZQwd+hn(ZMyCH z?i8*4>N#jPU!7fb&E2ns@Sgv?RM(*2L1WKp?L)?$ZhJrf#kUR~$+??yAf7x(Cvo$5!J*LwEbqA7IoIc;G5{&SV*W}mA%S95OOIc?!x zSz0Lhvd*_QoXh2BqWn@3?}sEV_wDF(2=Ck8NxDvp{gbm8G&VS6v3ISaiPevGX0+(ej)?_$)ZzxbQqLk-HN+u&b-7tLrfP{ilWJe|qfvQTEfd zir|uG&aXee;e3AHXp%K?GAYa`&Lz}wLAxXU%?_ge`JrRn>FU!#XMQ--`|Osp`_9&1 zdi+wurDd0%x!lr+S}wKwe6HPM%x~5>nu(*?;Ar-BH23bX ze{sov{?fI@&So!1bEu=aucO)SXpVF=M?0Ehc0vZgDqkY}Vy} zd;odtE@+df*FoDg+%GLVe$w`>ubdfwM+xyw&?LB`qFfn8Bp^vHP&BnDGb2CW^9x>dZX)3LqO$@^{O?9R7iQjNd(=0R`=S*?Tk0<&OAYvVsM9XleoR&eSBV=f|xfOVd5Pxp{qjY>adg?yYV1BoZ5vFPL6GFMb|S{ex$r)jFHK?AsF=M_0~oOe#j zD<+nFjeL5?dFtrLqa9O$hhp*yVwiyO&qhxQm7W;xRGO8SVSATJCwSFEe<&-Pno*sS z3O%Bza$z}%__u%)dfn`@`Iw7_9B3zVs~&NND?D#v|J!?&7Z^S)@b}EHipWLH?V*VZ(>(K zX^c8RBNyr-y$&KR=q=uaRX3Fc9ipWRb%A}~MkSRa|I#?qDPQM?e=DoY{w{rXp)S1s zJ&mHGRu|UthHUR+o5>oYGFA+ZgdM(V2d6D5s;jCgcfpiI3`f*8dsLws`hf>}U5?$k&`l{;6N$ zr%6j~|Lcf8Rx4UkNoJ9A;-rF=^14c)51sb1*82`1ityqJ@IcZ;{09*%d{uy!)>Ty! z-@$5;At;iLB8DMEmQub$k-_JQXWYRvh9LtJr5}zL(+I+sFyFCDiGCzf!bTCk)42G< zVk$13HoLqY;v>s3-$i^gKucD{Q;A_Tk+-LRCTW^p4Fco1F>idv6ylquwwRJa{IZEW znUHY>MTNvW2hGVcZVXXmTrQdn%0rW>>-Z!qgLh_48ed3^W7Q!I972raR65DTkWXa3 z8^)_73dnQBHUT8m+l4FNIlgF2GJQMTV6E5Ri3V&F!LIzQ4Cfd=ro6djo0WWQDp=WL~YL?qhnJP)RNK`Uj4j#e1S2Z$*5&=pO`K>uf$i$U0f@6keOc_O zMa(Ysade&w_sqxTW^v}rt0RTjme9}N?PaZBsxGo2MBjjBLnO>E=0~01!kWstbvWQ~ z8b5(tpJkrOFg!`*lQ?L3_dJwOuPQ6gnO!=w_DRzFsayKC1j5TTgJ%-`3Q)2&D#NLd z!h(}IlQ@_dSMo&cB`mh5d2_1>GiD`{OH!3zMmKfLazT@7VMr_Y0 z=~(y*H3wGsv%H;k#F<9Qdp^`DSq5zkPX+*!97kHV=GEa6QG_*FPTK90)-Q2moYDK63`%uL1m7W!e@l`q7 z&QE;H{+?N&X>3QPa`s7|>i2)@b>C_EjA$=?x!2|$ar)OlPkf!=P*im1JQsAwf8I1g z%<~&`%Tp$6`I{(gJfJSdrNnShnI!+W_#|ab$ex^CP`q3gw1?Dbd|N5u_YN_nCRkgktDG5kyCPlvY9opD2v12< zQtqv}cWxxruaxxjM5a}9aBun z^{SRUPt}qi_UN>?YRU6^YRL<3XvsH}Jk3yd@E%FfLO7df>lKc-<;i%WN zr;O0JgdNLG!Cv1&lMDZjzOhN$r?26A*wdi;*?#D$`YGEb_>!#q)f3xKJ#1R4aV+Sv zYQs{MZAGUe`c4oRe^wM!k1}oi1sWjVH-m`&3i7SL_MoTe+d;qm|K$EBG(jHz4kICz zt!lCsDeKo)Z_%#}e<;?)&}#yX*sKkTwf=dV!fp9Wu?{L4cxpW9_qRYl`Op^C>pHp> z(k0NpKePqeNVg_v5R~Z(*U-3Qw!V2<4VJ)7S}ZZ?xPpeWi)*KPJ*L9P%cG)Nd-YJ> zYErm>vl`^t+rQ0}UyMCwG}FSXrse)&#F*+)zkbW$PSf^FS52b9Zh&6o#K9dnO!Zl2 zC$Df8=DMP@larH4`G zIN~gZgy9k);B}7#;9BhDjEO~DvO_G8#5bs~+nf*%QNT6-an78|+2uv$r8UzloD++S zi2eWyg&bVBT?`7gKnf^RD_>_o`)y?QeuuWY&0(Sc_GI!V6bgm>2A1+J{laZd4tq~& zfnS}?hoOAc&4)Ib4v`jfgeGk<_X|D>Vx|vx&O(SjE-#Z3 z6>zdeLknd{NITAD^4m5`2>o=6IZ*fy{b7qaYVs#sXf7b8PgN}`b|{Xy|Dt`qveSt5 z1aG9Y+k6aO7(U}w<`d&dRlH(wr_g{;2bbP_+a;Z*WzA-XaF(uaHirkDPGx8hJ9qH+ zNb_jykbcEWM`sj_OChm8&_}nLV|*^5e)x~PS9J9ni;cdu)$En{lgb5%r95Y`Gb?Z6 z(yYoF*GOW#tab{l?nKSFpK0e-bFA$OD&XF3{1qp|kab*X9QHq&xXpY=!0(FMid9lm zUe0ffL03r=wQTHVO)&g{L36vqHRUYu{*xs1=RO4UUj$YiSK&NbIN2vk80>stL#>;5i{7Z|=~91Y*N@e5#x;vP{f1;j5@siN*QOPC_3m0Yd|^j3<)=3wd5vncXx;XQ=5$3tSjMF?9X z(BYcW!Po^w<$P+KdBi75z%4wkwjttkVEei+iDhSOaynj;HFc;*ln(~+uyXB6`NGeA8Rt}m|KL8_(^-_f3; zX~dE#8&uRRF1NB!Co-G%ZZSvH=XeUj?v|^p72iBh+5lo0tF|+ax08={2He!ncu!Hx zbHOd`_)b8ktP3U+|2t82;JVtn1xh~#5X(fqEb8a0?|jV^cXLKR3C#sgMx8-0wLz^{ z78XDDeD4BP`FcK>7^bK_p6cla^n$xlHS``-9V}nAd)h??OeLoK)JFIrYkZ&{<%Y;k zLu(1o@HCYwH&yB(i`_R3WDV0*pJAE0Daw0n3NUL1vSBmzor!w<%90B8y`l$K25|0I z3Qv%bS!yp}VT2xlKC00{M0`-~hH4nH%vLtM%m7~BK8F*BjW;!QDddT(veq@bv}R_x zt9)+NyqOh5tWxwJKJKh})x=n>md6+#;$x^0dP}%Wu>KF`o%iJCHiH^Gd-yeQC>4op)I}%pn z6)R+wvS9VALAL)Ip@|-O$?Qm2i#f)L=JO1fZ~Q>>e%2$`) z!g;oOmwC9ciRW?g7W*7MwaXkc<#{DR7H=Ey>=AGN8}Xu^PO2!|B&T>p*-Vcp+pJKy z$w3k4rgycPWBYCq5+2Viat(#W8@K$bd2`E%ce5(=LblQkt>)mkZ8x}>Q#rS6a%oK= zHum-#OK=AUZht|bjR(xZ^yxRvdQIAk^v_mv>d+SCSa)&`^ibl`h~Xtw)bCPRBDV5A zx+cwq{N+rE-c4ujHb)s>R=N&uw9#jGn`5%~CjMiQtlO|LqfvSg%k58XB1}>!`n(?zjvsv%^V&4FV3pzIQ4o^8A5{I zrK8%+fvN9#wxYTqg5f?;^x~eymk`5Yg{u7sUDjp}_dcq;Mtnfq+RXO)4|}XBVK{`-6kc_>?p4UDhU`NVp>=Ngxd#@7ERYrI>{fL{8Ey2c^j;8P&h|MDX9xviSV zK;$Fj!Ei#EN5f~rJ+e_L@FbYd3uMo)hBv2ZK`mAb@jiuB2AzuZ?hq25;Mqt;eTVv3 zfRmW`oJOGu^Xi5YzcWI@lFZDZt{izi_B~5??lJetJSQYbnMFfg1z4#{j^Vt*0^0@Q zwl=Mw^D!#DRazrqUr?XD=Fk~ms^c0!Y+oyk=-H3(i^_bA&mQm0tIevMSy{IvIvHM| z=pn9=P+(Pm1q>yo?{HGcF014m;`f7R8fAM=#wEIZuX&W$k6>KTPn7L72YOw`bDvIn zX|K6={VxzWl$%p|(+ZnvaVIcdLEn@cg8|oIK8MENkR+S7h#vP{;)Cn1sxVzuxUc_% zCtnlPTZ7?Gbc=iY{!&h^u)onWv=rB)srs>Vs%6)odb5R#N8X@$N`K&gDXpm`7F>0E zT1Aoqq6E+Q2Fx+wF5#VU@LTP@<^^8-U2ctnVM3L067J8$M z!H4@L7ZAM>F9E(9T<3ezt^FtBPuN5u$*fcP3^NnB#(8wD?ABbd2P|xc)hGGa-{UjlZpF}xopeAdE%Xc~T-57?J zxn?ksSbB4M9*vEwKYftL4?Wz$`YOhP-r-by)f^_+spD01w0DG(8WTyUzH06#MA7B27T24Z#Ta^^-H2d_nV^yCw*YQInW!fY@QmOO#iXp92JwIa;dtoh@ZMP zc&=}Cas{Pn=<&U{4;cn1b?pP`*Za-kHn^{yxkawjOkx^;C6GwK=`fIoW4V4 zpOv~NCT1#bFIl|+4~%eSs|6#6(YdJV3um^PVjn@DZ#Regj_gi}97W$lnY-b)mRFS8 zX;UUQv*5~~ia9dDYc0Q|aZQf9u8leB3Qy;LYh0Deh(4DuoILJ#llK<9)!eGxXB^FV z&D`4#&ouX>DfHl#=2w0BMPGn=eJ0SyP^uhGX}J_{$aJS#N^W%`N*RhklN+~-`C7-u zpQNs6^lCECzl)pg%7|&IG8iYXX}lYSxWK?o{vH$xzL#Eq%^WoTK0N!~ufe|NXySALCUDoNZ_$~nmyGRc^kc=oB_8=m*lG|OX&Oon0WLF9$b z=6=z2ubXY5hPkSRu}ZZtRx7g|`Vc+#x;do2#^zKA z>m!P%*Q3pISp#dRJot1HvXEQV_(J5Hp4;HkimP~dooxOLT%W{T z7Y<%YN4#MUihEjf>q%)_b$jXX)%3A9%zeGrC|yfhOSipYcGN$68=C{yscae2P>$oS zY6c$x(NoT$^_)Yh+V1mQw`KGBo}5)In;VpKK)LlPj_Qq|t2i@^n^jYGGG^7SCWD(> zI5(TSxw%#0X5@Aa&N{mCfH{b(n_j{W?yV1@FTZK-HTng0E)crV!UI*fX~BD^ToJyZ zmz2T!?^5R>t9&+2rNT7g-Kx$))NXq9O>?C8%PL#iXw(6-BW%x2YjOZd+}phzb{sSh z%kdlzpDNqhb2j`Jz2Rp=IE55y&}%q#zNCY$nZxV9Qb_gC_N-qkH2C85Xm=OY z#rusy!}P6(X}E%Vf2Z;*{CliR9_oaet_m3FT*Xx`TvBIOPA{#4TK9uz0f$^t3s#J; zN2$6h*WB_)nw_nj1b~G}V2#?o^kWdM`Ep ztgcAdUw*;rv0U-U8mQOYd}#bt(-b6&8)zuMp+ukmd8XF6|1a~*z2f+B9$WjqxIr;@ z_|Zpp`0SkcVg`!vfBAhqt={sv_NEh%7{Aae@(c}psYMsQ()LuNAkM!= zYRE;cvUPggoaKwN?His^>gqp^+Yp>Zd3R?M@msBYrgY!Fzt^gc8EriegYY=V<;%X| zk``LX0wVr+b0z&xXx4aHp^o!Ds#DEZWKR5&Ii(MlyK3hl9%b6>(zy>tL=f9A=sLHS z^)LvBT=5KNoO67B9&NqmXQ)php}!&-?{a7ye#c}N7f#I1$(sTV_69%x$NBNQGIG!A zBZjL=hmC)9_sw$c7N%PM^t7t<7V53Sg22B~iB3DC_piTx%XqtZV?-yjxw|o=z!MN# zmQ1V~ox)X>0Z?c3S{+nSolZSCJ+-(Bs@KUXsMYJXO2%F~F35c4Q`i6k3Enz-$tuPP zMjBuf6YRda9wv0IB_VhX-idf^V;kwLR_|UV9*KqB(cuH|$@kAopWv;m7EW~45B6PBHtYmXtD-x`- zMJaVB6k~svx}p#1W)S~q)Pz!_UcbO1{j7exY>ZA#S|dlI#3siQ|5!fS={?H&#pzUY z`jA+(Vu;r%0XqqxU`g~)a1MD&J{cTxE*#NGI@yzEchdfWVz_sIWxRJJ)4Kx2p~F&; z3(YkxJ10lV&vIorl5=u$m%udb;&BrsELF`&YfK@!sFu|P3CZGciD zB|Rri8avS?`;nLAS5;M$pn*zOGGY%=X{gJYH5&fr=s`3-NQ@dcSnkPE(mP!@zG_}= zxpP8J(NnxL$pe!ICy!3f%o$C34^i`q^2W${Y3V8H$tmc~AmTk#Cg37zcTgH6rV7L8 z(IBkN;q(f~dyh~CI$|UZ4;F{RCwO15I8?}>>x0D>J^H_u{1eU>?e$)lS4(PkWU*zL2W#34;7<@ z0(vG?jKF7V*F#0SP)NhW#BkwGIxhz%|^Fc;LvOftksv#D8kfl!-j$?w%>>DT7GxJvyaAa_R~>B4E(K^M$2ZwxU$tfYdgK949x z;lr4(5DZwr31;R`D1s+?zg@IGOf^sY{aP4PvlRMjzQA_Vp`Al3|A8(F~YLXzBYFYkdVs zaGet3r4sr62r0Wc$j^w7GF9u;Be0D4&EbvUJjixVn2<{hb5);WmEu$MeMt65R?kMH zodNM;+>)!WMZMrU?xyAPE_1@fY*_qdy~P{Bh&+aD@WXWEMsZNWBkG6-G;jm!aBS9LJs$W(-o@jl&LCg?H6#|!6z9Nnk}`UN7sth!>p zR9pIRIRYSDYLp;i%wSmW_UCdfgCx7?$W3B@e}t4NXAdOn5|j&EN*8Yu14bZ@j9d87 z09WL2dqH#?{LltOkbwatXxS|ts3?@3`cHyHzvYCkdRdH2^hc1`4TXeTAOaizztIG* zB=q=JQ-CIB6&<`;?AH&0VtmXV6~~5vv0Dubwzbr?S+x2iX3V3(@ldgT>y)-5cw89o za3I3MZuFr-)kA`xQ(Ek+M}!y&+Mu+jgn;EB+y{GUGKt+td%MM$AOwiXOK}h;j)#Ej z5gkSbxam~4m{yNyFcR0S^pERk&`y_@&8v2C^~*J*rfQC>y0oTzZXGyDj9a@qYu$cR zw|H~<9Uv8f;4u0AnBKq@Y0i6x%cBoxLK)U0UW^QHA+lj?fvZC4GwQ2au&bsV2ZP*v z|7z&asB>#KM1+?0WUm47W!$gjy-N-aa1pWf<^nvvj23JW!>k6xl*!9r4-d9mK!W!w zeC#Dhk_Eg%tG9@@fc*#nOHmwqswy7bu9TZ=cufgE2u4WA^y+S1wWzKvyQT(5v@fDU zW|Qn8}rB4kcgO&p550UL^11IHx-kuV26}8#{|5iRPao1?u7g-VnNW@ zv`RiLPC49>_#%Qtx$)z&`7TJB-y`yu2l6T;ecq?@o5kJ(5IaJGk6?h@Nd-|MtL!<> zyaIfc5QrEO{;dN4FtMQg5hWazBz`~-H;V~FkM-=KY>x{>R0$_?^WGK_W}?J8DzW1s z$Ejnh7@Yl|?y${I6j5pWl*gInSCy72_aQmz{R9t2n1Bze=p?!XQG7kIaQNgvuKT7|D@!!|M5@->>{*I>AEFCsVa8~VT* zeSqa#wYMHy;&(LV1G8259-%+Mqkd3j7oRQJP85hx6OV;Q4Huf4L41GYw7DV;VTQO9 z5^-7CC*9#FdZ!JcCi2+Tc$Cq31;qNZGW9Aqd6NDY-nT1s^L8;H{nwkao$3dy0sliB zcu!LBFA?MKNaPzhf%N`^UfM2(jX^|;$0+%yXTqF${M#tlGv&i(bVio^sg&U_%sg)U z*1x;UAqZ+EKWDxGhFoSGqrUIly8pLd8sg+3l+8X zTPH$Sh{;d!yT}1=J{HCGG@pii;ceH%TIs?U#Fz-1r;9`%fGWm7UIk(SJw}KMaRk%1 zUl0?85c=Z_VsJj9Qn+yNbTg07lmW3Re7*CK6j`FA!PKr&h4RpsQw`@IuAq=a3X6Gjk&G?Wa;2;3E1Mc=iXx@(NDl zy~&Y89|zh&@$~wOV&J%h+k;+05;;%Q4;*^#7MlZ9Z(%n+tU!c_lMnyKY7MmYSL1@@Hja{wJ!-9&)1>cSEVr?$P2p) z&Yq|O`f;lmNDaG0-}(uP$IQD>=75V>?^FrP1_HSY!9{8pQX5nv!?|;iSSQ`qTf<~d zZUG5IbPM<;O?B|oU9Cl;5$7Vi(eUjFT#fuRp`#iszI5|0(JK+bFPwKgN3mNur{V(@ zBjR8bSw{@_suOr0WxFte_SPE{_<%Zrh<@Rw`uI}LhPbfl>a>-qe3$uLk%eh1SEjAL zRkR2*>FQQ7IYN?&091X)L#i#hSGl1D9( zq=)E;-J)YKVpC+1m(rlpaOUO_YptLP$Oe8OmrkoCraJDyl#3>lehc}D5q@%`TtyK^U9XJ#v6nGwd<3;g z8=d|*1`xDFEvXEgljJ5i9&vKZax^q3F&@zp{o!RX3jdjpUz->pER$Cq?n2Ae5D-L{ zsJ4|As>8a`vwd%f9&RIkr_?r;@}WpOTtRg6WNSH5faQr}tUUcZ;RdJE)#m zn|hM3!O21N&v6;>JYRm*#IS+?!X30x;f~ypZBx%m(`Q%JmJ`EfWicAu{I{C;Z0TkS z;!U`NF>I@cDNcSHUWR)yZQUb!BmTrA`*U$Y&7LU9H)ed^A4v?`mAd_RAnt%}X%Qo& zz2c6&mR0SV(f-$kC$4Auw_ne+YBo9G>04S{ikPZ87tGr;aQ^xK&i!HPy-B|B=&!;Y z-0u$!zsN+@U&D*_Pt}^N>=mxDi+|m0aJKz@vw@j^w&Zuc+oUW96?cJD2vMw_x9aXh z6cnqc=i+0~XgN!xV2gzP(x8Kx6MDK)uU5w)>4o(0URq4js>i%T>%$FN>{YHka_gC6 z!P27a{2bT#30ZkLdHkybZ`Hc$12e30H8vS}67;c@#8hJPRbNu^VlZhH=cBp1uvJ8V zX3v*;80SqEo>VHyT&vgn^L|>jO1zUz>sjmpT6tcrfm-lQjsRc54HdYW8-uj6?FJ4r zW3c*|M#K<4BzbaS+l(Y)C?A*n4!dO}v4m+MAmn#wPWGYp=^x1*7aPJ0%OqlfSeYVj0CzL|?P&hZEAS*u`^_F!fjh@86^tL>yCZsgrKM>;y z%WqIFlAC%;Nx-@{?lU<*rHt2EWK!_RalsU3dSldwZ$%kjnqpfIPHglF*&0{Up8 zvgPjtbmO7Dw&&*BUMm{!8P~cSom9p}JqZ|@Jka$DzOl zL02>f;emx!HFez5`GjogOi4~l9!SDAQgMSVbo3^rXz*rTQK1tY<}$x{5{fEjupsx% z>AVOg!Doxow-gfAOlN~mudPZ~C_C>RkN|6X;I>;UW)x=-^LC{kK2Jg!!wwz&e6R4@ zj!iaaUp0nRjuJE?l11KLxJml({cfbK$85p%zIf*0EAp4OX4SKY_!S-rAgdLr#1Ann zeEX`eVHU-+2dQ_{$0Xc|=-Jnu}Kn}EU~*JHbr7nC3d&O?vdEN61z`g_e<;n ziIqsKRASR4HeF(65-XS342jK@ScSwYB{oZ94@zvd#O6qBuEeS&RxPoIBvvD_T8Y(3 zY@Wm(me?Z_n=i2i5?d&-M{E&TS7Ik5_L;;^O6-)xIwW>l zVrL|FR$}KQc3xr^B=)()zL40L68lPGUrX$w#J-W(w-WnKV&6;b2Z>#h*pCwXNn)2J z)+w={CH9NNu1M@xiTx(A|4Hn3iCvZ09}>GJu|FmDm&E>-*ma3@EoZ_?CIA{h3+Mnn z;05#o41hP_0~i5czyz29KR^U5fInabY(M}I2m}GaKnM^DgaN&QK0sd}9IyisKqL?a zL<9YR7$6pi1LA=MAQ5l?Nq`gR4;PT>UIbczoxn@LE}#|I4ZIAr z0egVGz&_v=;8kEh&Nj-;+$2K`{dd4%LFkvgWMIBZbqRmrt-$0Ea~kZAwE$;BIB&qXjHZH zo;+Fd$?t;Fm6FdszD7GXExl)4lwi>(CFhZ>^kq$Ro#o~8a`Wbt-RsP|&J~lLm!GzF z22XR&%$n<(! zd4q+W-EDEYL*Jh`|81P^+s~7~{cT9upNEzGwR_|l_Wr?dle@l4ZquJ!uQRmi4eK?A zHm#vecQUx5D>A6720k+SW<#k2c!~tM&+EgiLy5j}V%Wt8zqT(ALi6)v@XpLVjQe9u{{Z;f-CHW zahUBh$H0O4Rq~3VYb(G2}j7qIX!**gulPgGii|~Sm-%X zrODQ85q)*X7HJmhCT><~cBQ@8_GjFoKjV(4znIwXW4L+x^yvqtCyrEV-mHmDH9OFP#le!!h(EYT93d=lA4&9kgE;!Vz9kO)Z;y)a ze0jCrZj0}H>Ciwj{r+Z6LW|&V@9YdJni=mNI6{K!v~AbKqltY&+qLms#L*Vuun!Cn zo1@$3P^iP5)ER7_8DFtp>uA$Cx(1THQ`>wTD288ON2fz(viA^H@5PWfGlyom|4{c* zg*&J-$szNsb6?x#6P=H=eRHBy+xoBI3U`9aOe{l<{f3Xbm#uRfHoZsBF41H~U5EP5 z@#PTVo`c6{_@m9;?0NKduk9IAui35{@oLf=N$)0Ipzkzj`Z0g6EYsYi33X6myjNJ& z`jd{fmmIZiF96Rvx-2K#4mi5}PquY9y4IcS8hC3bP1(6U#r~qBf7>iQm*S0-n^{4H+i*Gpk^=HJNSD#tub70lmj?)X65jE+q1l{PClMbf+ z_9aI}b#84zS+Aqdu_$F>%F>i}!hWr7+nlmtOUllzDLWrZ*|{yHUHsX+ImNjp#o#6h z&u&dwxiw|S))d33lUmlFaE5*SemimNk8e#WNc8jW-mxR)zFMq|>GIh#L|W_!y1XLqEW?(X->Y?z7unojEby*u3wnj((q?4ED`=8rp0o%CY8MP-g!`}sdO z_+#5$DQ&GOwQZ|X+SaCY4Gi@A9dHZJ4h(p&MvYTv*pEeJJ-ctwe528}TyE*v(5z|H znzTov`t=hxMXffSIug~fLZj<3t*lD6gU760J=TtgHRc}EeqR1y_fyZjaPpN?ud{dG?>%-<^G%)DAmH3d6#3y~PmN0o z?D-==tM%HKLsb9Iu;ilZO64ecYQ7j&j<)E-|Hx#@iEeP_h}ik?5_YqbIdL2AbWZFWn| zQdjvhSMIIL>+7m2n`?+qhmds7tXrEWl90!Rq%~PMENf??Q1dcZL(T23yy7{;Z$C2l zDVnqF)`n(c=oFGp#-)%^2Lzm>pp@5e+XFW@HM(Xvr`0s0Zb@?^u{L6P%HLng)F*ShThR#hsB^d z$2hs3!HlfxYTn24Wo6Bcb7nU**VU&I{WBeBAB7wqw5*EBToA2aCzA zbGW@6?hy{R#^LTbrPWZ=8SRV0?evW^+L=&L!d}yUYI^X~qoTKNv$)Q!-6VFruJ!3L zy{G+$pwVBT@BUjGJEHpiZoNJ5{I>VC*Jr+Y-mU36b7IpyZto-SVQsfdT;LVk-#ow0 z?Y-%qP9bJhzu)G2+y>4U+9+iwR3{*>fIQqR$Ol%Zy$G?_?dqmU9~@QzBagm z&OWbA1cO`7Ys2xg`@A+TyyJWq@$Vl2LGN$xIL~{>2^=MxHT@Cx1N5Ww+8L$&=QS68 zJ>U6r#b>=0M@eFaw|z4G{BPUhUiqh1`ME{;Y3!}FZ>KwZwNV-CAL?zRy)Vq}J3gn6&%|eI?X8jS zfzE;TtheoK?_T@9NO_&)7$oJ@6M;_cfHyW;VJ5UQR@``q?s4BS-KM!?NRiu zi`uk>Q~TTlr;cdYZ`(6#ybf&Cd^X|(vTwwfn(k1ZPB!V-FZQuY8UB1~lPnuBOP}dipHGUOGBqah_@(8Snl9aTY2~F= zm$W6<=W3zold4NgFRAMkH2L;1JKQFR8|n%6k@m=YJJ(;@x%E=J;b)(M&Vi-tFSV_| zgx{@~+O}S*J;T0wziaEIeyx2*U)TCe1^ig-*Vf~$Hqj4%F+RbL?uM+@wd#-R`YrbJ z7cojajp(%7G1=c?cv1X4NM_Ml9rN-g?7v8L_Tm54pJrBYj;!@+9igP~V!BOU?3eBR z1~Ah;l`ikoj!(Fu@20-0zM{fxl3O~5lw_3`kamruojBSJj&@&1`^fY5*ZSG)b;#SKf-6sv_bumA^#0a>(c`dhQKdd`sQp z#A_;uZ?=-2RW_6O=is?wa>?wnf^1h)-Qo)3m&;S?t1Dg0>#8eAK%QKnY+hMTR#A~_ zNnL$C67v-brFrGEON(7|vWjNs5!*B*@*_N_wywS!!^hf}nd_J-l;;%{=au)E&AR{S z4a$)<_V0A%Zta+sS8%Wy{~Mj`YDPm_vNu;HP<&%Q+qd-UNZPw*+~al$24&quPW5 zhP0rw0`j*FY6v*m)5ne@mbX2sK~r;MeN6)ic*mm(49dk?ME z+~RVkp|yV_-TM9z#iMW*2ouY>zfcW3PsIRTh$ga^+H5-eq>Bn0W;m7d;g>u#(^}Q# z*HqP5x4e0IWpgbt^zq@WAo>r`TEK@wN1$$Ei%)?jX#p0+T4E{G%y7=Y%x$Pzy4)3= zO8lm4lpao)(3(?5^fNRVevw92fpTV*=5bxBq%3ohy$Cen$qrPNcA7|O8ho9c<79Q&wp1@W7WrqGiFXPRS0BGJzQ73=jH zXBu_pW zNzKp(Gw!XgUJ1S|T10$a=2OBqrS=`N>VaGuRaUeuV#B_ujQi*K^k@{ae?_Hbh$TjQ%q`h1hx~KC4d=#7G}< zOKgGxHo?9+`NnqpbNV%YnzV5}zn|8}Yw4fNE3r;LhU_4=v4meTvYgZS0Z`abU4v~o z4jIPr1l+{(UrT~Ai9A~mN(uT$Y&E!KbriYn6u%!gKe_^KKIwA{^kWz)*AQQ`)E1R3ZAAK1Jh~*x-tvMyL zV~PKqq1}kkrL=%3J!;LM>hPmOkELtRu?J&_xV4E2nSJ z5|X2IC%2|*S#?c*edXe&`^m_9`r282Sj$qhZfj7PgI4m3vZj?Kk0-`uJZ*(58jEo` z%J|$uoawZ_sgW2OiL4cwniK{`$EU;-V>2Hhws8ir-KwO6O$s$WC%5rtZYRzR%FpG5 z<++v3m3$et7*>E9R3pPmvZK0YB{AH=e^(ISJ3(-HJ>({avWh6$+FichfQ)i6*OL$ zWQh+=F$LTUGM4)|Cln=9reeGw1^A?75dAt{-~poQpgbFLOurtf);2!+;T_zdR>axx zAd2{H99oeWH}UL3dA2Q^hh~AMaSJk)Q$fEKb;XAWjs``MD|F%T+%{}1m=;POd%$F^ z*^a`-9qKY!OAHSyJoSHs^E7u>-kiMR@^$iYx>M!)E~SLuZeD^Pj+^CE?@=||tz;V? zM?BgQCKsDPe7ZOh^qm+Efp8vv z5BF?(L0ksY)o-^+a}Cm5Z)vWNG}kE2_3fBzN~L`run?|3`BIPNIoo$a&%pgAA(-A` z(^*?S@@R+h0hdOsp9ohLCb#rpVScJAb7P49GiYpv&sFv93%nS=7D#QHzAN%_pck!f1+9Kroa*UpHVmA7rHS(7hm!#@8(Kk{7r3iEHV5p z>(Rver{Ek*hgs0V22cvy1~c;4+n1g|>4^|DbVR#pRJaBT5jtVhavfv%!4<62(3hSN z143l@Q(7y{2dQ3v@buMb$rxEgA5fXTNf7Y))1wKkD_*BPaOH*M+oK zX}8;)8g>dIL>6oli1`ff&h;D7%bPfk&y8YmyR#lEFrZ0F>|2sE`9bfbM%~laq+d1nBG%QwD^fQGyaYC zxqQyR4N2k045u==7`x#duL7;;yejA*Brl)=pI$CU`HD%oNYmTR4xx|U)NYOl`ha)m zL*5;(zoI)I@$P&~A8I$JPyOU7`o>Sy*0G8|Q+j9kTy63TwMp#HFF_>eE3&Oq3<&sI zs<`$N& z&8=&6O(Dkb)jnVm{0CJNexN7znB#5#MFm_ejQ@j}p0JG@Dz5#R{<6n>O~5aTf-%FH z-&j+_4Z}gdk{#5tYlJn)@EgX+uR*^ng4z2Iq8J6te-dsKD7ls2C`ir#pueUQ&j>-jijo)uoxRT-ZSlDx(+nSMU>HmYjveCzc!eE|8h zBx8~?KRF~bnT~wiJbtc2NsuQj1Tao3YdP<)G19@r!*pio_gFI?llICju=ZXKW-kSiy1HMpnm(!ks~JzPPB0% ze}qDbop}u}do3;6Zw~jLq)uzvSX^L`9zBJw+Ha03p6Z#ybYjR-&&O=!`{&?1O^2;W zHS%Q;-&{}83}VTX4G2DQP@0%vXDSgQpwgh<*X8hTe#((%Vxe2nB&AO0hKoM7#G*=~jt2|_}`>H|KP@@{z7O9(J@sLdcX4N7a#vI=|)Z?0e zdK$6ZJcKJ_IQN$b_mZG`Y`?T^Rm(B{=pmjr%TlEi3Xk|+X+TR0t6Zap6!Klh$#d-+ z-CB-rnQj>}CVeAPj7^}=R|Hg~=Aq+Cuz= zL37}ZD|rKV3~9i)3bgqu0HM2v(oUCY-#tiskaUZOG+5+PW=ww%$c|n^*B&%GuUo75 z@C>cWrq)Zyv)+rnK0%&*7_~5o`NaD^D01@dzhB+`>pZ*v0c^}@7ff_qoX=QKdk>nY z3vD#M%RI@rf#-3PZ~TLFS(iD^u~A8og}^2}dxU`hX1u6UTix(m=u2JZz`(6ajbXOk zgoo%iUFP^3x4~bVQ|6lJYFO3`8~Cja)x?{sf*@qOAe)=etM!A13GRIj+@aVy`Qps4 zYp9-6*;s-N@UUlMiT)Arz`m2-a>yJZ?4p|vnbRlk=4^SCi$LXMkrzn@F}PK3Jf?C( zZ0Fr|&2E5z;{1r&L;pBrjyCR9Mgd0bqsfQC2)LR#XJkge*?ff&a4-*NL`WyS>##Xk zb3m1JPpAV-I!K>7Y)%xq=%vHvNa4`7ZgZT_P2;=GFeL6nK>zGE2YIVLVEYLge#9K%4bLxk1w@{t`A5w5mY0UCX2Z*Ze7V;D9o_YL z1>!(9?-~BV*Xask{pZjXF7Fg8Oz&J#9Xw^Bkii1)u|dENPpoHnkrlG+!2wqU6S)EQ zczMvT3vr5nKLa@bwVRyJV~5brm!oS;OIk#AAsQ7Ej;6As{a7GD3HoQbY{ zd5wj?O{X3;kIH#RNRo2OCc28T`ji~QyUJ*7{}QeuZ-pJ6pExQLdB?*3P2ES$p>`PL zt%SRy3R(R0l%xP#&Nh86Z(?_2)2hGL}P*sTy zxCe4Wi18~V$%YW3|C&$Rtw)Gc&!@F{3WZ)Y6tJ+ye=HF1- zipwBZ*Hl(=ejEQl5q>`%Pg?YUqKpBz!sg1AM7{zB2pZ?OVKliChx;Hm1O?(gID{JC z0?0M$RI@YAL%m{2H{xz6w=s@{@hc&EkT7Iu)0ZxnoeV7oTsnQcHL$bswQlg?7GPYl zj7YZl4!3U;gGse0n}=AGGl-wx5Q}mKR8rM;J(gH3g9c@sG5mb@M;_M)aJ{f{7}@| zhhCK`n{I=`u1<$RHhAP!$%v1I?PHYEhp=$Xq#=ylFuA0xywnv1zjhQ6Be>H?eur&0 ziWuz*Evytnq*6ULin3$o7<=@PR+QDot-*#ErBqBTwe^^zbK?db7-jrqwZ&iUzz9lE zW|#MA$mTL6DwOO=^v)i0ge@8E6_mNsbBMvA_-J~e$2`h6buc9= zjq0938Mt`W+6dT8d&V5$3wN%X5;c}CK$%K-apjG$yhQG+3BFqShRiSXrU~kctb9(y z;xb;ti$nE++xc3)tg49~ep})*nY&lyojOJFGmo08QSK?YQ(CNv#FVA1KG{lO%2w8% zVlv19fl;{{#iA$|Zy}~UwK#9ul&=<-`!Nm04F!r5+EB-h{0mx)XHP)6Vmn@K{JQTCiUxMewt2HtXINFLuFZYzyoz@Qq=MQW4E z3AEdcYNlJ20@3lVcoKNq6&i!QhyIFk#ucc?r497im3a5LgL}?oGwq$27?}sF6dqXb zf}5Uip)0DG_uU%h9JaM+lJ1o?tu$B4WLI(4%sk?=8kus8o}WuI^ia0lb9Le1HJ-E# zPJlO4T+XRj*J}QHblX}zWv?_AA$ii|`X!Jzhy~1RsD=>&#$Lm{${14aqZ3~+J6hIV z#s9zuR0d9Ht-;b*wutuu26)cPHqJ|K8{)pr2l-Z$)%uM?=RmnKY*LOM<#r_NLB`FX z%Qp+8{#MmpoEl4v52?xE`!>#ZI>`6!3frR|ras4^Ej~gW$IbTao$5Tnxw)JBvAKrt z{is|Neh#-XJpaekDax&>=gM*h@oraVD0&awaNHc_y;o(#KKkr&v%}UgY{V!3FUnh! z8$Q=_r$3~U@e(92e?&AvpZb_V#1D95%_(&P#g21 zmOA!YLgp{5uWVQn8A*&EY1JDk(SNLk-26l<8?ddPYL)e+cwYIs6F<|++H-=(E&qk~ zDl>M-msr zaXH|2LCg1O%Htm9w=lzhlx_z8prsYPdRxnn!~3THFK_C9e`(72(_j99j(*(vJeYfQ3*wgiYtuAG9>X?f-ME1g1K^VzFU=Y z0XE%?n#P8jdguI-2V}oOHm<<|^n(B~pd}DJfh5T(fTIPmrIsKaCbP;_KDQj=4*N?V z48N`|M5h+m%x5^}q;3)M4@FHFg9@8+>lW8FJE3-m@uDMjYRYECSehD7{73QORt+iY z7p_xmspI1(#G@5Mgig6U*g?V)=^^2q@PI6mqU7AQ#1O5M9VPY{`b3}@;T@|C@R~UK zPM|nZh^OWtF+xb7&LA;VNTh{9V&cdoRDlAPmYJU+O)quHzPU9;%a$!CLCJJOkQgX9 z=)NE^Ixa;fww8=^>SivxwW-EAE5GakUNv=W>iE>`)SUcmGIBKiHb@NfNtMgGNJbj9 z28-!JI?WHplF6XUg2ia>F-o^4kEM?Ui<5+L^jt7{H=f!;#I#YFI__GWkyDzNmFt`} z<6c=b%$mX7j-?am!VvK~VIqAhL>%pXjY1~rT6#G|OcW;3z)-P3m`ra96{CbH^qx>8 zPNfG!#aJPWz8xw$;rbE6#5A~mGQz|dA(ze#6C;H@dPkUO7xL*NVPMWQ`h1v}DHPBj zd67aIGEz(urqkS!;&`7KN`sL_I-2;KCA@y*Ou4TJS>T?74P5&1zK*ay-CubB1Ev0^=#E`LNYBp-a?+Op?xUqQT15ZdAhcJh(8wG}3PoElvfz74oc;Yq-Sg4S)Rq19H70xQjD^5*) zfb$_bgZNh~!_7*cRW>(gRx$48HA+fMHXM0th|eOtW^+$)^kTX)LX2vuRjJi+YJ5d_ zsEr{$H+u>PF7eRiU-!-6lnbX3W4)3JuKFxhio!PCpb!jL#tG&W%_@Tnah=>h9F@yG z6b-j1#WW)u9~x;3%WG0dMW?nFWEJNY<&_dk^I%f;Y#uvlxpgoB9~bh3+mwXVRuq7& zAjj;Y^)?{^KE})2sA#2{Fx@xDwu}GJ&WX4YE}z?LTve3~+){_VrC6Su(p+%}bV&Id zvKQ7=Rq_nzNL7{f^<2Y(`=PlJA61|b+_uWs6$qVE-0#s9^sPt4sEG(&QB4$b=!&sQ z^#I}$fOr)WQsW840EwaDO%aP|@=h__Z!roPY86jgKpml#uUf1vH-o5p7g>UQ|9W`9 zmdoa1P>~~F5U8RmV0whB5I;FS1!rHLbJi?4bP=N>TT5?IoL|08vg>SlJ;JRFh)t30 zBnV6)!MAbuAs4uEpkqmy{9TFnTIkWp9lwJ9uu~kDgkTiq*3-(_Hwmg|AmUQEB$jIx zRW>0$5>w*5la}ogQ-oF2wMz^My8Hhs6VyVF>=FYVh(;OwM5Lt5rG|#_z!Z@OrYJ`m z*Bwae8v4U7adZvBQ3g%lttc0WNEGEv2UCh`ZkL0(h=`yRZhu3X{HciHJ_Ja?J!(K$ z3dT=@5R<}9c!SfSgpuhHr9xuY6T0cJ7?tdgP?Rg)cWwm;L`2Hf0}kFuWRJWiZZrLQ zw-{?d7z!^nsNrm-k&lYjxQBR8J!iPQric*{Davq&p7OT0D{V(21V!#};3Gr(P+L-( zmtQ!S1n*Q@4A<{MrJ&tPd(pCzm5*=`2!uH#{!z*v72|^3>b#A^yg8jtqJIo^#!E;QEgjrf0dqHB03LJ#vWA326<$QqD! zv2IWgI6`lEOtb|&iBf5bGf7oFgP&5^*kE{CadrnE<6CU78)ePad5w)w415u%AaArx zs3HhcApQtXxH2lfG{onIcS;UZu)Z*?7%vxmTxoM!z>7)+&#V>T6KrBRiH7PBG6=Ug zk4YoGFCl?DyE1W`dKoR75zN2?bLGWFM!iB;wu>Xj`~x|`|HJ^(6^Dts5(t7$t;Rv9!Vhr}Bmj{Qav<0B zJkUT6ZYn1e&(kmWh!a8)0x`I;(8uOA*;&OiaK0gK{Mx;uJ?SC{7%vUR4e0yuZu@}8 zWGH?V^jEfUqXQ8e%qdQ-1Hz=Gfibz3XuxX)3}5JNS8 z61t>AjBXiF_%(O~T0#7Y$8#b_@H^ls6;xw5|1Lnot?^pLk)RF)H@AYC%4&R0h>!}I zah?&PRTAtq=oK1F=HI4SMu5mH_Om_!SExkGnAWs`h=^Bx2_N z32qaQ@S_VJ7sCaS-ubu~7isZyo9Gd9L5zs9kXJiKfj|r5faUseFoUE!hqVTwIJLu&?Z>!52BvInJC?;v1!s9^)eVXgHw9#PAAEtPAAH!i5;ql(uo@OG>BW0WmQW;TFql zSCZhd>SV}LaDIt1XI5?=qu9={hr`wxg-^br087ZmaX(J-ESm2f}Lls_&di4%sG zGubC%NQP_RrGX0Jf9+7}wfIydWzt~zpr9#mQ7eux#pob^FcLSFhCd<3=4Pu^3!Hoy z`D;+bm0j21Qp7c}=J0$^1cLIZM6NoaIC%2Vi^zO>?-QauWSXFy0^pcO>EQ=naDg16 zI4W#9U$^p#RNCV4p!l*ET!@}QS(g|{y$_1MEeP)5@e1Au?f?f|#9FMDhx~kZ{8w)4jsw&}o-Cn+X4qXY)pN zHve{|Af41Dn!+m7iL6v-L*{;ME+%r}kfN)*M2k>OHy#$F!$KAbvJ(QkRAFbC!cJme z%$XS>)X{$MRJeIa{ZWU-5rIXsWQ}VHX3o1_W{yNs8t9ZmqGLRQIAqzH)~Zr-78DXI z!Z{R4+{*QU%7sllxI=MiaGO?a6Ky{v#zZ5gp8PVH_v}2UZO!diP;);BL-=m`p@)kf7CSafJuiSn|i>R+lmm#WF0fTEjg`Ku63P zdKvr^)>5%s3=mp5@xV00y-KdnecWp++mh~AJ=W_yBH)VL4|+hLACC185Z2Q-mwE+O zw?P)EpCHU~mgdeXE}BQI8-@ri<>N5xgF|H2qGiju<8|X8cTAg^_~VxRgi32>FZcBXVx>^N%Ks0q9AShoM4f|Tpu zk!#)EVyIRl6lxS|qZSD{`O}=G7%ktEW%qg%u=KHGNx)(c({PI}U%H$}XOVzfW%#^W zZO)>_`E~X9_Oos%8y45_PxNnATUw&DB!BPPC)TN9V=cEUcYD|( zoyGW;j#yTBF8jGT+5EC^S?Nj0o}JGV>~}nL#1>(>Q!y-rtpbjH!Bb~X^78qAOCw+Ps{b428hE!1@H~m$iW|%G!Ke}O4f0V2#omZ~D?%Iw^$bO9 z1R=}4AA?`jwRAwJtnl&?HFk^|DGRB@h**+QzZ8Vn-gW07yWwW!>hQFo{zRGRp7nYWl+h-N$ z*~ zn#9s2mLah*5*sVAaS|IZu}q0gkk~|tT_dq;B{oT7lO;AqVpAoSC9!OY-7K*s604WkQi(N4Y?;KC zOY9bjHA<{WV$Bk}RbsbE>~@K*kl0Fz-663%CALapcS-DSiM2>~V?hmsqF74oK_?i5-+!m&6W9 z?6AbTC3Zw&M8#GaDa(-J!-u^x#%Be7>C_MF6?m)HvuJ1(&k5_?f%Cnffh#9o%z z-zD~n#Qq_%e@g6CiJg+zX^EYY*lQAdU1D!Y>`jTiB{3$kvl4q-V(&=oU5WimV(&@p z-x7OYV&^1wUSby{)+@1#61ya^K8bxGu@5Eok;Fch*e4SERAQe=>~o2IA+awd_LaoG zme^&9eIv1NCH9@f`X%|4Hm8iTy0GUnKUc#D0_5?-Kh%Vt-0( zU>y@SFagj2T0jTr0WV+#U;w-UAHWFs0w%x=_yHnd0sH|gU;_exKp+SR210;PAPg7@ zi~_=e2*3_R0#QIT5Cg;laX>tf03-rQKr-L}QUE6~8b}4wfOH@O7z2z2#sTAjOke^q z5x54p7MKJ~2BrX0fh-^!$N_SJJRl#K1{45=z;s{+Pz1~bih)@`32+@y3X}omz-(X+ za6K>=mz6dSEHg04xKR1GfN; zKoigm+zQ+V+zzY&RswebcLJ+`yMVia7GO2t0`39U0BeC(KmzUs?gQ=z)&UOy>wz|4 z1MncQ5!eK52DSiOfro%?00p)KJAj9QM}VEcE?_tCDBuPj1KNQ-z+PY<&;dLS><2o5 z1Hco&L7)pb1RMssfg`|C;7Q;q;A!9(&;vXJJPSMrJP*7890yJSF9Iilmw=anzXPuT z{{a38yb7EGP6KCv*MQf7H-I;Rw*Us51>OeU0p11v1-u9R8+ac$2b>2k0KLFP;1bXW zd;ok1d<1+9d;)w5dLDYA L.MSG0 +CS.RUN lda #1 + >SYSCALL ArgV + bcs .10 + + >SYSCALL atoi + bcs .90 + + tax + bne .90 + + tya + +* ldx #0 + +.11 cmp PS.Table.PID,x + beq .12 + inx + cpx #K.PS.MAX + bne .11 + lda #E.NSP +* sec + rts + +.12 jsr CS.RUN.DUMP + bcs .9 + lda #0 + sec + rts + +.10 >LDYA L.MSG0 >SYSCALL puts >LDA.G PS.Index @@ -56,15 +85,26 @@ CS.RUN >LDYA L.MSG0 lda PS.Table.PID,x beq .7 - lda PS.Table.hPS,x + jsr CS.RUN.DUMP + + >SLEEP + +.7 >INC.G PS.Index + cmp #K.PS.MAX + bne .1 + +.8 lda #0 tell Kernel that all done ok, but + sec we do not want to stay in memory +.9 rts + +.90 lda #E.SYN + sec + rts +*-------------------------------------- +CS.RUN.DUMP lda PS.Table.hPS,x >SYSCALL GetMemPtr >STYA ZPPSPtr - ldy #S.PS.hARGV - lda (ZPPSPtr),y - >SYSCALL GetMemPtr - >STYA ZPArgV - ldy #S.PS.PPID lda (ZPPSPtr),y >PUSHA Parent PID @@ -86,23 +126,14 @@ CS.RUN >LDYA L.MSG0 ldy #S.PS.PID lda (ZPPSPtr),y >PUSHA - >PUSHBI 8 + >PUSHBI 6 >LDYA L.MSG1 >SYSCALL printf bcs .9 - jsr CS.RUN.PrintArgs - bcs .9 + jmp CS.RUN.PrintArgs - >SLEEP - -.7 >INC.G PS.Index - cmp #K.PS.MAX - bne .1 - -.8 lda #0 tell Kernel that all done ok, but - sec we do not want to stay in memory -.9 rts +.9 rts *-------------------------------------- CS.RUN.DecodeFlags lda (ZPPSPtr) Get flags @@ -124,9 +155,14 @@ CS.RUN.DecodeFlags rts *-------------------------------------- CS.RUN.PrintArgs + ldy #S.PS.hARGV + lda (ZPPSPtr),y + >SYSCALL GetMemPtr + >STYA ZPArgV + .1 lda (ZPArgV) beq .8 - + >PUSHW ZPArgV >PUSHBI 2 >LDYA L.MSG2 diff --git a/INC/A2osX.I.txt b/INC/A2osX.I.txt index 34f5e882..293a48f5 100644 --- a/INC/A2osX.I.txt +++ b/INC/A2osX.I.txt @@ -3,7 +3,7 @@ PREFIX AUTO 4,1 *-------------------------------------- K.VER .EQ $5C00 92.0 -K.ENV.SIZE .EQ 512 +K.ENV.SIZE .EQ 256 K.PIPE.SIZE .EQ 256 *-------------------------------------- K.MEM.ALIGN .EQ 16 @@ -491,7 +491,7 @@ S.PS.CPID .EQ 3 S.PS.hCS .EQ 4 S.PS.hDS .EQ 5 S.PS.hSS .EQ 6 -S.PS.UID .EQ 7 +S.PS.ENVPAGECNT .EQ 7 S.PS.hENV .EQ 8 S.PS.hPREFIX .EQ 9 S.PS.hStdIn .EQ 10 @@ -501,7 +501,7 @@ S.PS.RC .EQ 13 S.PS.ARGC .EQ 14 S.PS.hARGV .EQ 15 -* .EQ 16 +S.PS.UID .EQ 16 S.PS.A .EQ 17 S.PS.X .EQ 18 diff --git a/SYS/KERNEL.S.ENV.txt b/SYS/KERNEL.S.ENV.txt index 31bca823..2427034d 100644 --- a/SYS/KERNEL.S.ENV.txt +++ b/SYS/KERNEL.S.ENV.txt @@ -180,16 +180,16 @@ ENV.Search.DRV ldx #2 .HS 2C BIT ABS ENV.Search.PATH ldx #4 - >STYA ZPPtr1 + >STYA ZPPtr2 >LDYA ENV.VARS,x - jsr ENV.FindVarP1 get value for Search Path + jsr K.GetEnv get value for Search Path bcs K.FileSearch.RTS >STYA ENV.SearchPath - >LDYA ZPPtr1 + >LDYA ZPPtr2 ENV.Search.YA >STYA .4+1 @@ -306,7 +306,7 @@ K.SetEnv jsr MEM.SPtr1PPtr2 K.SetEnv.I jsr K.UnsetEnv.I - jsr ENV.InitEnvP3 ZPPtr3 -> Env +.1 jsr ENV.InitEnvP3 ZPPtr3 -> Env ldy #0 ldx #0 @@ -356,20 +356,37 @@ K.SetEnv.I jsr K.UnsetEnv.I sec adc ZPPtr4 tax - bcc .21 + bcc .22 inc ZPPtr4+1 -.21 cpx #K.ENV.SIZE - lda ZPPtr4+1 - sbc /K.ENV.SIZE - bcs .99 + ldy #S.PS.ENVPAGECNT + lda (pPs),y + cmp ZPPtr4+1 + bcs .22 env is large enough + + jsr ENV.Dup + bcs .9 - ldy #$ff + pha -.22 iny + ldy #S.PS.hENV + lda (pPs),y + jsr K.Freemem + + pla + ldy #S.PS.hENV + sta (pPs),y + txa + dey S.PS.ENVPAGECNT + sta (pPs),y + bra .1 Start over + +.22 ldy #$ff + +.23 iny lda (ZPPtr1),y sta (ZPPtr3),y - bne .22 + bne .23 jsr ENV.NextEnvP3Y @@ -384,11 +401,7 @@ K.SetEnv.I jsr K.UnsetEnv.I sta (ZPPtr3),y don't forget array ending 0 clc - rts - -.99 lda #E.ENVF -* sec - rts +.9 rts */-------------------------------------- * # GetEnv * searches the environment list to find the environment variable name, @@ -728,6 +741,52 @@ ENV.AddAToBuf ldx ENV.BufLen inc ENV.BufLen rts *-------------------------------------- +* ENV.Dup +* In: +* A = ENV hMem To Duplicate +* Out: +* A = hMem to new ENV +* X = Page Count +*-------------------------------------- +ENV.Dup ldy #S.PS.ENVPAGECNT + lda (pPs),y + ldy #0 + + jsr K.GetMem + bcs .9 + + >STYA .2+1 Target Buffer + phx save hMem + + jsr PS.SetMemOwner Set Ownership + + ldy #S.PS.hENV + lda (pPs),y + jsr K.GetMemPtr + >STYA .1+1 + + ldy #S.PS.ENVPAGECNT + lda (pPs),y + pha + + tax + ldy #0 + +.1 lda $ffff,y SELF MODIFIED +.2 sta $ffff,y SELF MODIFIED + iny + bne .1 + inc .1+2 + inc .2+2 + dex + bne .1 + + plx Page Count + pla hMem + + clc +.9 rts +*-------------------------------------- ENV.VARS .DA .1 .DA .2 .DA .3 diff --git a/SYS/KERNEL.S.INIT.txt b/SYS/KERNEL.S.INIT.txt index efaae82c..d5e3fe6c 100644 --- a/SYS/KERNEL.S.INIT.txt +++ b/SYS/KERNEL.S.INIT.txt @@ -990,13 +990,23 @@ TskMgrInit >LDYAI MSG.TSK >SYSCALL puts >LDYAI K.ENV.SIZE get a buffer for ENV - jsr K.GetMem0 make sure blank!! + jsr K.GetMem bcs .9 - txa - ldy #S.PS.hENV + >STYA ZPPtr1 + + ldy #S.PS.ENVPAGECNT + lda /K.ENV.SIZE sta (pPs),y + txa +* ldy #S.PS.hENV + iny + sta (pPs),y + + lda #0 + sta (ZPPtr1) make sure blank!! + >LDYAI K.Buf256 >STYA K.MLI.PARAMS+1 >MLICALL MLIGETPREFIX diff --git a/SYS/KERNEL.S.PS.txt b/SYS/KERNEL.S.PS.txt index dd89d3e7..c59db713 100644 --- a/SYS/KERNEL.S.PS.txt +++ b/SYS/KERNEL.S.PS.txt @@ -90,8 +90,6 @@ PS.Exec jsr PS.CreateChild A=PID,Child S.PS at PS.NewPSPtr,PS.Load.hMem * in : * out : * A = PSID -* we cannot use ZPPtrs1 & 2 -* because of calling K.StrDup & PS.DupEnv *-------------------------------------- PS.CreateChild ldx CORE.PSCount beq .3 @@ -161,18 +159,23 @@ PS.CreateChild ldx CORE.PSCount sta (PS.NewPSPtr) Mark this PS with DUPENV flag - ldy #S.PS.hENV dup hENV from parent PS - lda (pPs),y - jsr PS.DupEnv + jsr ENV.Dup dup hENV from parent PS bcs .9 - - ldy #S.PS.hENV - bra .8 - -.6 ldy #S.PS.hENV reuse hENV from parent PS - lda (pPs),y + ldy #S.PS.hENV + + bra .8 A = hMem, X = PageCnt + +.6 ldy #S.PS.ENVPAGECNT reuse hENV/pageCnt from parent PS + lda (pPs),y + tax + iny + lda (pPs),y + .8 sta (PS.NewPSPtr),y + txa + dey + sta (PS.NewPSPtr),y ldy #S.PS.hStdIn @@ -416,33 +419,6 @@ PS.LoadGetHeader PS.Load.ROOT .AZ "ROOT" PS.Load.HEADER .AZ "#!/" *-------------------------------------- -* PS.DupEnv -* In: -* A = ENV hMem To Duplicate -* Out: -* A = hMem to new ENV -* X = Count -*-------------------------------------- -PS.DupEnv jsr K.GetMemPtr - >STYA ZPPtr1 - - >LDYAI K.ENV.SIZE - - jsr K.GetMem - bcs .9 - - >STYA ZPPtr2 Target Buffer - phx save hMem - - jsr PS.SetMemOwner Set Ownership - - jsr PS.AddPtr1ToStrVUntil0 - - pla hMem - - clc -.9 rts -*-------------------------------------- * K.Buf256= /.../SHELL, K.IOBuf=/.../SCRIPT * - or - * K.Buf256=/.../BINFILE,K.IOBuf=0 diff --git a/SYS/KERNEL.S.txt b/SYS/KERNEL.S.txt index 3d520131..ede41d3b 100644 --- a/SYS/KERNEL.S.txt +++ b/SYS/KERNEL.S.txt @@ -56,7 +56,6 @@ A2osX.D2 .PH $D000 .INB USR/SRC/SYS/KERNEL.S.PS .INB USR/SRC/SYS/KERNEL.S.ARG .INB USR/SRC/SYS/KERNEL.S.ENV - .INB USR/SRC/SYS/KERNEL.S.MATH .EP A2osX.E0 .PH $E000 .INB USR/SRC/SYS/KERNEL.S.JMP @@ -67,6 +66,7 @@ A2osX.E0 .PH $E000 .INB USR/SRC/SYS/KERNEL.S.IO .INB USR/SRC/SYS/KERNEL.S.PFT ********* TMP ***** go to A2osX.D2 + .INB USR/SRC/SYS/KERNEL.S.MATH .LIST ON DevMgr.FreeMem .EQ * .LIST OFF