prog8/examples/test.p8

37 lines
696 B
Plaintext
Raw Normal View History

2023-03-22 21:00:21 +00:00
%import textio
%option no_sysinit
%zeropage basicsafe
main {
sub start() {
2023-04-29 12:22:04 +00:00
ubyte v1 = 11
ubyte v2 = 88
byte v1s = 22
byte v2s = -99
uword w1 = 1111
uword w2 = 8888
word w1s = 2222
word w2s = -9999
2023-04-29 12:22:04 +00:00
txt.print_uw(min(v1, v2))
txt.spc()
txt.print_w(min(v1s, v2s))
txt.spc()
txt.print_uw(max(v1, v2))
txt.spc()
txt.print_w(max(v1s, v2s))
txt.nl()
2023-04-29 12:22:04 +00:00
txt.print_uw(min(w1, w2))
txt.spc()
txt.print_w(min(w1s, w2s))
txt.spc()
txt.print_uw(max(w1, w2))
txt.spc()
txt.print_w(max(w1s, w2s))
txt.nl()
2023-03-18 23:24:05 +00:00
}
}