prog8/examples/test.p8

34 lines
617 B
Plaintext
Raw Normal View History

2023-03-22 21:00:21 +00:00
%import textio
%zeropage basicsafe
main {
2023-05-07 21:49:02 +00:00
2023-05-07 23:03:54 +00:00
uword vv = 60
sub print_time(uword seconds) {
ubyte remainder = seconds % $0003 ==0
txt.print_uw(remainder)
txt.nl()
}
sub print_time2(ubyte seconds) {
ubyte remainder = seconds % 3 ==0
txt.print_uw(remainder)
txt.nl()
}
2023-05-07 21:49:02 +00:00
2023-05-07 23:03:54 +00:00
sub start() {
print_time(9870)
print_time(9871)
print_time(9872)
print_time(9873)
txt.nl()
print_time2(50)
print_time2(51)
print_time2(52)
print_time2(53)
2023-03-18 23:24:05 +00:00
}
}