Merge branch 'refs/heads/master' into multi-assign

# Conflicts:
#	examples/test.p8
This commit is contained in:
Irmen de Jong 2024-04-06 00:14:41 +02:00
commit 88ae3daa42
2 changed files with 5 additions and 2 deletions

View File

@ -1595,6 +1595,7 @@ internal class AssignmentAsmGen(private val program: PtProgram,
in ByteDatatypes -> {
assignExpressionToRegister(expr.left, RegisterOrPair.A, dt==DataType.BYTE)
asmgen.out("""
cmp #0
beq +
lda #1
+ eor #1""")

View File

@ -32,8 +32,9 @@ diskio {
cbm.CHKOUT(WRITE_IO_CHANNEL)
}
sub fastmode(ubyte mode) {
; -- set fast serial mode (0=none, 1=auto_tx, 2=fast writes, 3=both) for the SD card
sub fastmode(ubyte mode) -> bool {
; -- set fast serial mode (0=none, 1=auto_tx, 2=fast writes, 3=both) for the SD card.
; Returns success status (fails on emulator host fs for example)
list_filename[0] = 'u'
list_filename[1] = '0'
list_filename[2] = '>'
@ -41,6 +42,7 @@ diskio {
list_filename[4] = mode | $30
list_filename[5] = 0
send_command(list_filename)
return status_code()==0
}
sub directory() -> bool {