From 64d8675a88dac05c91b76726e841f25978b1f439 Mon Sep 17 00:00:00 2001 From: tomcw Date: Sun, 29 Oct 2017 19:55:53 +0000 Subject: [PATCH] AppleWin.chm: updates for main Config prop page --- help/CommandLine.html | 2 +- help/cfg-config.html | 126 ++++++++++++++++++++--------------------- help/img/config.png | Bin 37119 -> 37273 bytes help/img/dbgscreen.gif | Bin 12447 -> 0 bytes 4 files changed, 63 insertions(+), 65 deletions(-) delete mode 100644 help/img/dbgscreen.gif diff --git a/help/CommandLine.html b/help/CommandLine.html index 192c918f..ed148db3 100644 --- a/help/CommandLine.html +++ b/help/CommandLine.html @@ -67,7 +67,7 @@

Debug arguments:

- -l
+ -l or -log
Enable logging. Creates an AppleWin.log file

-m
Disable DirectSound support

diff --git a/help/cfg-config.html b/help/cfg-config.html index d9b7b2ab..4723fe25 100644 --- a/help/cfg-config.html +++ b/help/cfg-config.html @@ -9,85 +9,83 @@

Configuration Settings


Configuration settingsModel:
+ hspace="5" vspace="5"> + + Model:
This describes the mode of emulation.  You have the option of emulating the predecessors of the Apple //e: the Apple ][ and Apple ][+. Besides running with a different Apple system ROM, some differences are discussed below.
+ + Confirm reboot:
+ Show "Confirm reboot" message box when F2 is pressed.
+
+ Video:
AppleWin can display Apple video in a variety of modes. The display can also be run in a standard window or full-screen. This option describes the type of video emulation to be used for the emulator. The differences are discussed below.
-

- Serial Port:
-
This option will remap the emulated Apple's serial port to your PC's - serial port (or TCP port 1977). The Apple Super Serial Card (SSC) is emulated in slot-2.
- The TCP interface to the Apple SSC can be used by the Apple // Game Server. - For details see the notes that comes with AGS:
-

  • Boot the Apple II to AppleSoft (ie. power on and hit Ctrl-Reset) and type IN#2. -
  • -
  • From a Windows DOS box, start AGS with "localhost 1977 apple2e". This will boot the emulated Apple II from AGS.
  • -
  • Nb. Only Enhanced Apple //e is working at the moment. -
    - -
    - Ethernet Settings...:
    -
    - This allows to choose which network interface card (NIC) you want to - use with the Uthernet card.

    Monochrome Color:
    - This is the color to use when you choose a monochrome video mode.
    -
    - Emulation Speed Control:
    - This option let's you control the processor speed of the emulated system. You - may choose to use an authentic speed (matching the speed of the original - processor from the system) or you can underclock or overclock the emulated - processor speed from half-speed to as fast as your PC can emulate.
    -
    - Confirm reboot:
    - Show "Confirm reboot" message box when F2 is pressed.
    -
    - Benchmark Emulator:
    - This will run a benchmark test that will show how fast your PC can emulate an - Apple //e system with this emulator.  In order to run the benchmark, the - emulated machine must be reset and you will lose any unsaved work. You will be - prompted before you continue this action. The results given are:
    -

    + + Monochrome Color:
    + This is the color to use when you choose a monochrome video mode.
    +
    + + 50% Scan line:
    + Only draw alternate scanline (for better monitor authenticity).
    +
    + + Full-Screen: Show drive/keyboard status:
    + When in full-screen mode, show floppy (activity and track) and harddisk status (activity); keyboard caps-lock status and if emulation is paused.
    +
    + + Serial Port:
    + This option will remap the emulated Apple's serial port to your PC's + serial port (or TCP port 1977). The Apple Super Serial Card (SSC) is emulated in slot-2.
    + The TCP interface to the Apple SSC can be used by the Apple // Game Server. + For details see the notes that comes with AGS:
    +
  • Boot the Apple II to AppleSoft (ie. power on and hit Ctrl-Reset) and type IN#2. +
  • From a Windows DOS box, start AGS with "localhost 1977 apple2e". This will boot the emulated Apple II from AGS. +
  • Nb. Only Enhanced Apple //e is working at the moment. +
    +
    + + Ethernet Settings...:
    + This allows to choose which network interface card (NIC) you want to + use with the Uthernet card.
    +
    + + Emulation Speed Control:
    + This option let's you control the processor speed of the emulated system. You + may choose to use an authentic speed (matching the speed of the original + processor from the system) or you can underclock or overclock the emulated + processor speed from half-speed to as fast as your PC can emulate.
    +
    + + Benchmark Emulator:
    + This will run a benchmark test that will show how fast your PC can emulate an + Apple //e system with this emulator. In order to run the benchmark, the + emulated machine must be reset and you will lose any unsaved work. You will be + prompted before you continue this action. The results given are:
  • diff --git a/help/img/config.png b/help/img/config.png index d29d2cfd71f185462ef06e0ba2a09c785ed79fd4..7b14c9e65214135720b46efa74a15a5693f0df9d 100644 GIT binary patch literal 37273 zcmX7PbzED`^EFOz2?UBeq@_S`cP#;0sNf_(acgmR_d+2!1q$T>O7P+Yr#Q5@yGwC@ z^ZmVl+|TAFyUFCv?(EDtXQN+htC0}W5n^CqkZ7nY>tbMFnxn5F02cZk7>`H|y1{hS zRa3t%Y_ zitk0$mw6<&o$WhvwCH=4z1ZxV=vohf5#!N^e!?IYhdBT_3otAOxzsc^elWbo3Jb%c z#Nzl&1xR)UN#N64K&z{?^qCJHmY?q4z4M$tAF@%+OiNO|kUgIK@8{3|j;7omw#trj zo#vU%=ub*~@*YY(w&mJRPMP!U9=8Mif9PIUg6{ZpZ&%yzE8-rxOCB5k)!LeTueH6C zxm2RIofOsNgTL{nFRSk;mJxA~<$76d`!Z9@sOLd0&wJg>)bA>A`r*8?^7g6XP0*o^ zyWE|{uy&x&s>Q)mpzQ7WMt_**^3w_IfuzPFVYZn@ZbW@3P=> z7G}ujs_d*v!pBYC?vy{>C;*bOsr_wfd0s5o+V+goUGEAoN$^7HP~@ z@wxm_|3dKi&>L5LW%D#aeC4gq2lj22l0Y2FjR1Bh7)AEUgSwu4S zjj-@`ELb8>XMjs*-{#1{tB`1V}4`0S<7z5T~{en+pl83Xu1jNRd*JKm(wS9!fe(qbn`Cow|B*v zGL^i>TiZYWpVyi6mV&Sqv(AbAfsU7izIQb)<(oOO6k2yMJvHTV*@6CGJ zmc=d~0;-tLW9=6M_tdc0<>(M&Rg@Pu3`4xgpq6tijD^P}jq^yy>C zy<|^&s~Y9Mf|?GOO5*-2hi)+9$Tvn|EjWw);HoNhpb%%M^da!hB7YP zN6MXz=WD5IeuTvQ2;k#BbI{(}%^uP#I4v)fFz|Datga!ow9Z+mEp?48?kQ>0Kp6cx zJDH7|DGGdCe!Aoz1%e%-*dI5aq(KtyhD&oYJ6<~tJFNu_-EYrPS$9m0rj0-oX-Nqexjc! zCWJL7aTgRe!cU^SURgYcT6RCNCURa=b^Kn(3)K%%h#CIPM2ByPfeG9z4tG5tp~(8U3ybV9!j-7WA_P1Y55d516kw>&LPi?2vv>^oPFUdh6RQZ8aV(a18KK-0f^%Ze_L#VhR8*XGz^5il{ z0F#T)T>|!Q=|azLX{69fs>L&KpOCJ$RZ;c`N8TV*V$`oHb*m0m>mup8RXUWxEo8+s zitrY;*jkOth@7D#D=Io;(-@$KdrK;d`m>&`O&{t!u#=8(h~ zkxNsz+Km6`?kP+G;I9|IWR$a*?M~eUTJzjh_(~nw%Q8J9a9KN%^b~OitX5M-cNPEnE zflSgQW~eer`4i{_85hpF5i95+v#2{TuOx<(Bz-CXJ864f$`ll*XYjh5jkv+aaD(&Jc#KB~txXEk4 zFlr^r;FkKX;<`u!ebz3lq8;g19au#xNB{=7cVth3uXXyG7?FZCrLhViQiu@S&I-Mi!9<1UEtKKgjptWZ`nH`MOIqy3gl6 z8#sAvk@E2$*RsS_0T=hPC> zfk`u+!NhUSg#a6GB}#!rWPScO2RQfml#tEH28WW9H?(!5L3%3-Uv;yO16V zT6;fGFa;(yPDm7Z=dXhwBs!c-ke$blh0P|&t1;ASsM;?n1U8-#uOQAVK)fru>wX6Z zc%6fW;0npO?6{%0SlRE*KI@KQ;1rFq5p`1$%uo=^&rM(`v#X2|>oWxfQTgql7A+in zFraW1ya*;gV#O_ZxGEtjkr233SU1TPmed)9%N83YAzW)Y1!Mj3X$1$qwv(ZWYee>? z0a@DGr>%iO_n5~q#8v~dhtxFQqk!A%&2@5ZpC%K+5GDi=-<58}Lo@`R(jq3soK%R} zTJ|fIt0ef=LHE3|XyR=9N|D-To$1^d!Oklhbc_XpL}PjD)kGyD2I&F~ zJhn@>8#naDn*`jW%K)7kPr-j$xy~LF(pDVC88j}t7nx!lb5ii7+iq_amyp#9;he$j z!J$buYew&*rfcJ_nb}_w;h)S6Y10d}GAvxDI?;2-92aN0d!syl#8fGFcxVEra@G>- z871*MkB;BGEYCmwBpueL&a9FY_W!$KP=-MjWHEI9y|DNtfwsP|pWWjM;)XWP?^)W} z&Bm-upLKz+8`D=p-Fzv3LE)Q11p;Q`D7ClCSO^UE8GY;^c{Tqs8Vrh8LHR5pYf;lp z+J4lWIlGGQXfQKDTma;)UL9z3nAIhp&!J)zIPMv-xJ6XiK_yl1Pkkr(Mj+M z%)qHd#^752p@a{N-16}|?fERdNNImNREuQgQ68U}wxB8H9>NqNPz2<~hp~0F* zOzRWay(zw0>c=wUw4vm@2O6whYh`2Em5McYSRi;e;1_=}g##^H1{=`;9Ty)Ku!ozE z_vKuT+XGW3=&(4<@?0kvU!z}`db0JZf3`G7R<_=0vHk~mzOeWJwgl8#VwTyIKTlN} z*CSQak)V^=^g7>28Bfts(UE18i8eB&nHa~8Kzy$K@|NOnqKb~Hp35h#wX_;H>{Z5unQFp!g%-Q6|(yTlEVflp{ z6nzX$}!)oQfZW^-%5A;!4yvdrna2ynC%H>CHXARekEzG+Z^7VZ8elW zRmTxr&)dt{A$|oADJajBze|2brGP=M;X17|I*+J^>CF|qe5P6d@#S)EtGU|9e>sh1 zp2G&5+0AyIM|S@hEF}$J6iZoi3Q_)S`CJk?;<3&c@c}SuHAOkU$~!yQV9mGO8;2ud zF)R8{*D1t8vRJcWWDR6I-NmrM9t46!cAN@=T0t z8)zd>uFMC}Ah&^uhf@5e1-&PZAMV507(`0sX=N^2Fys{N(56m!?3{~+0#EDWY_MdI zvozz^mjTTmynt~c9Z^BA&*vjdR-#5(PFG0@=)0$ye65DPH>wq$0Kz*kZ2Aeuu_T9HgYTBTveU>>|Wp>;M);yixw^&F- zHZGJl8P{8sEw-}rASCvYLgE4P6^~t#SDdS!SvAh`(7Sp#MXmPzWGSaK{*%*&KO_Td36hrfyEKf!?6T5rFX~Wi|*`eVhrM$tx6f z(1zvIu;>fu?jSM1Otn zr=18qOnTgpI5(3kf9i$%uU2&3Ix#PKPM@?jtDP^36&#}|{9`l7G!u4|-ri~eC;G?J z|NZ;%i$AJ`h-uujFRE=m;%ZTtp=_rCz7yoGgkR1m2^${6Y_72md|je?T3TrWTkF;F zVMvyCeu%r*x~5YmhxpzkWPg1Tx?DfIl#tixaU9})2Lc^Vx2nHtc3Isit`}3B<}+?E z(>F`ayN;;X-0^!=I9rQM6Ezxq<9(MDVbNAS+m_h?+j^ZfJmp&4FDzkJqh8Zl&#VbZFqy8vKgDiLU5?>p^7LjtN#B>|G0~+j`iglO zGg@qB{@i0G3!h&*n7>bi>^`#VoqoJKT~Q`J4vw}mQ*M1Q*f47C>;MppoqSE;_xL2H zOoKzQCe0a+eQ?fU9flcIUuYLVho#ywBN|58B*8lu&!LNqDkMD(fxW3*7Z+@HBGhGh zyIPd*3OZ;4v-ePW^rSjf%Ihm2$ssDj^g$=FELF!BS+@c0r7Jn;%z@Lq9RPB`z(P+m z7G0c@9b{4;3j;`|mx5Owy;Tq^%@7b-k%01C?NPWAZ&^as&;=rVR@DWy9vhYY5Ei{v zLql`PKry`df~S~Unyonfh(+*ruOTz(Xu?{#l7(su^syfWncU(f^IogWN}<#<4U{;% zNVgX8k*FCToQ*flo%}I!FSf_)wf>#c`H$gv(bR7-`wH)xsU~z2SToftX76aOcSOKk zhkE|gPSM9aOEhcgzwf^5Ms+bu|Gm3@Um@cB*YxK7;Ya5w(}|+XPA>O9t4XU{$v?-K0a{gS9r3ZULbhB{Pv;KG4IlfS?KUc`Ak z4c$F=dEGnp;_GMqrcH#O!^?cGp@Hhx1;j#y1ld^KwG0wwT!0W3QVJ`I9&)Lze_#NX zi-74^stP9?6Z{%cV;33q>a)37L#vduTB4eVWkt|MGO17~ZX)>0nZ7(G)beL;cD?yR z+(-5l5LsRm?DhG5WoK~o><;!0)1lgsd}=~|>t=e@uxz3#x5LDX777dHuA?{#lNdBNtH4DFQ*lQ|BIw7lcc{uGSpIqV-l}zu&i?+Cj@?us(wDr}I zEIVWFdZa{6POs9n6$oju$vX<}EIlZ2koj57OM8r`X;gXueZOae_$*Wg=Y^Q+`@y{B zwAuZ=EO_WigXm=;24z!WfeOC^L5P2`#qxNO;Vj|u5dr*Ym?4^m7<7pW*Cv#l%vem! zAX5s8PB(SVZG&m0v#x3{z7x9Ln}(`iUocHMs{eB0{b~)YPQ#J8<)>dS(e~Z!NxkJC zaX48k$K$sW_4?!yO6O`;mV7lw6l?11WYD#p*8aG#H=Xyw@1e0^I&YrEyEBs6oEl3# zGbz-sb;#%aClY(iLtdxrh0f_*r9m6HW`%fSLyO@*cp}zQm5n~<{nJmkG@adlZbl#! zLcUslM@>cE`;fQVCbiGhUbbOxm(Pj#eY^W^!4R8~^LT)oqtH*P3(5s`xt-F6e(S#1mSYW{UXJZMC0MjM>jJ z7~n)oC8GfPmeia47G`ckY||OUHiH@tCC#7{zNYjbmh{R;C83B-H?;j1r_7ZTOn$NU z@(#t(baab$c86$9{uAXGdKohe?*3)*dG7Zqco2<$F+to>E3}=;%#t$6M{;&nk*f}4 zLiF;EAKg8jVUgl0N|QAW|HNm$rCk2 zg}g??s5Ill*cM9`P4u%oi0MhcqMRd2J^5lYwY;*Jr5d4yfK6#sJ^hM^Mp2kVs`r*V zrL9l@Q2`u5j-ARx$%7qScmi?|wU=4t%@?0H)*B5JK(39~k8@Kok)QUM)+@3r4G}l+m z*3M?dq&xpUwy3$-l~c5wi{N&6ZdzYz<0mwqm8>$DWEy9v$h*B#?beC<)zdRL@y7o8 zm%4D2A%0D5cXiKz{`fBy{B*v8ZtFR^ToPi zo(>H%=a2T1Ee-w7B37tJmC~+j;&as*KRV9v3Qp58WK`KE{V{B(maEcbxZl$uzJv+` z*0D^$W$}TUi%Ytp@xL!#*|3KgG6le9o3i)33B!RgV7{J!-dp zMK_D(rtq33mEnCSn@2%0I=RB=Unc|H#SEqn{%1|$!}~vNRbS?pelH)s_uL!ykDU7Q z;L#e_u=lPW&r?DakQ9HQ}sE{c;(K$UlmQMi3jd-)}Mi->3}EOvpa#k^C4%s*E{r zz1u?oc#+9z9X(s{X?V=w-!lVoE@h3>fd&2SXPEkNE3a3I84N8qTy#DTTainVTE4DW z)tf%Up+7)kCRZH$5ei39b(w>I=R;xU@bQ*h<@h>bQMk1X0BHY=i$?(g7#C33c5-+b zvaJD8LH)E%S*n}Gi-gk(awil-OGuHw+Tb97a!hE%D5QAvBnymL`Fg5v)xYfw8wMps z*qGaV$f{T^g2BvtCz85Vy4L;?0KZAJa$C96%M8kK)GZ=ynQQbAFR?6^A9=s1GRP>> zd*s|3(nvZQEC(ve1oi@gqak(kUD8poxO^72Ffs@Zi!7lJlN0cKL5&?oN{S82dwr9c z7bgr;m{za>SagKME6B%uxZgc;ZZiMPmu^me?vpr>KSR#|x_^sK=ehqeY7;^*z9G?2 zOE@OFa=QbfryIGaW6b=E?(gKk%3Pjv$vE> zv*&T0CMY_alimlP`d05?-;#axNtYO?&Z)NCz3^QHeTC!X52RLMfoQy_ zP3~Bm%-psr8n|o8eHZqj!KwC3UHx^JDv_KQ_Ti|1WAr?$q2hu~7sy{6?CwaWlNgc-zpy1&E= zC+*6_Mp6sp9Pi}#urP*aaec3OLlDcGw1wG&)TfI^y8g_^{sy-pmI_bi4?$bRn~5NU zvP2<_1)PWNU86V1V51h%u@%nlyTlo;>5OC-r|sBZTydD0kLlr#2Cft8JP8=M4?S z`65P{%&+xs<3lslspHuye(dWIr@;J2=(N=A1reQ1{(M&yBah!o&^6Ca;}m;8F;L*M zI4=&rfB?J>hnJQg4dtN|E>c0#;{33;;~1a`}<`|wK^!+TpO zj5?VXUD7FbD7F*rW2?qYB_PL+=BOGhs(h<wB5bam8~ff9@$;pqyJJ;PotV^60b$(U$==AHxzFuapu5({%QLC*n9uX=a`=~b zKX@QV6;F4}48Uv(6ag{tsTkf#>vl58pxH2Nn!KpRaMWbOQnmAZc=FQ2{mm*w`MT#6W4UF-8;?tuyEC1f{ z?R4Ys0TowCmmNn)5~B9G=iiZqcjwH${%eGiB;RmJYica$=9U;mv$XZAJK!rtAG^aN z*3-wsC%zjArSUN?bYJcvzJnoAfw#EB-|6MqxkJbD0tcL{Gn-t{Iegn{W1dbXnypp+ z;IZ7zt@K6iAa881>{l`ECzjM5rc1*H4HC&NpxJ3&KP@CTr(T%{rLFoJ5X556LBuAI znxC6Eqd>-t!)}=9wbiwHQj;33(mARJSB*)8Xe+R@6M*jjSQ&k?OIeKVQDb%k(kw>( z_4f1k@D>sAWn@U9it$mRIPz@umI2eal7|li=NO`PYfDS?B z3*yg3J;yePy-rf0|13qnN_UTcGgwgS5{$HAqD%W)XS3cvH+qmQD3B>;UQMdZy^BY)6XNR^u zmQ=-k%jCb&dyvrf)nz2j#l9XwwCuuFr`i=r3?#TgbH72+fuaHs-{H3&^P6rS-`V{K zre>qX$MDst#xw#5dHw-Q#YWZ`ziNxw<)nRd>MzvK2S2?paZ8DE*A)FpKOaZg|1Ble zfA-m{S+{yhI@x!*)Y~H7Pw32P71hIr8hJfIp!u>Q2N|~?Ygf_Bn=gz@G7;qQj#3i_a+V6{8zzKaKqz`?P!gpM#j6PnLql@r4Qx z#e>Ijzp6|Zod=PB-HSDV09IE#K{tSqvv<`uS6j6sFM&<9<97Dd%Y+q#*L&DWnSsZ$Sb_l(Mx&FbQ`W1_ zHAd=~L;-esVbuP!DoFu)ocbKdy!cZf=rS3-yEU`hOFo?<%ueD)aAKd~5GjM$!PPsx zN#ocpup)WOZz%;=ICw$I8+}=;w6jENTDo{$Dq!ao5lSR|sdHja3aR zq?6sfZ^S~i^JIZ~zIUp!%6GL><)K|)cqNt-`<9n}#=56NwhZseKLNwb%M~Z*Svm@e>%UsX4R{`yj9k2?`WaCY*f z0??}`9jD&XH>}9D0-+IFgi!{VCN|9cX8N_d!D&b!}eq0 zcr2Cf?7Cy#S{()WsE`r+>KbZEUUGw=pqFiNPNZhynhfSpcDLqLX zk|>EGuOO8^iXhylcl~aGCv4*1H32H1jxZM7&~R|M3r7!4$_z%BgtY(WZ<6Lc5!=5P zv8Y%g(TaI*w~I6-D`$7aM?RNx(N$!xyY|6cvy_;%v(rf1!oh><0RFZW&FHYKaGoEp z-2O~RIgqGYTQJWs$-OC66-{uA#7&WIe`L;0_qQ><#5}@1BXX@?=Y~3&G^?&o`3wD< zu6b>a31_oi-L!9byjf;qMPu8idSL-s^&Y+7HI`fUM#Yfd`uA~IAoK9<- zKc)OMqqDlo8vFpc`KlQ(c{MWYcKX?aCaZ!qs^h4!NUG4L2)58R9I(;pG;7)-;eKkB z$=4~Dq%YsjV1NXNKfG1Gmkul5PdO7FEy=6moXD9Io{B2I)yCX zgjsX;TD#H95Oq2Gxz;lwqH-q>&UPAn)PzX^N|j2>N?tl$Bw>_{+{no^i~U;W7urSv zl7tV9y(R2s*Wx%i+3_qtMlCE+^76_87^j%h#E6w%T~eYEq7b4;Tr`+nI;^84PY44@ zv*|$<5G=ZG41?H&Nmzh&B*r+M2Ro7eYki7WGzvcRsE}Tkyqd@bGzxKlN!Uac(vS6+ z7i@&_;K(s;{U{`xd>AzyZ*6Sxt-GFfOf*_kswO8bEa{#TWp5 z8i9Ozc>m*Jc#aOdW98ViQDsMiDuC%MWwv^sQPRyDh7B!d;d{7DW;`umWL5ML-#u!0 za>D;JS}5s`XBwoe?f*&Ne71n4rn%VGapMgA{3|%<9Tui?Ftxzq3ylWpm)lcLbyj$| zR&RoWFtMIiGp{XtXejp4#MdbFDD zlALOzroaq?ARV~w^F>{e=e88I5DqKZ2>M{XYG0lHgWgeN(yWNn8(;qt(I+-Me*&|f zYi(75C%beF;f0;BRlIl+(<1@WZ^{*y7AGWTHHSMi^H{*boovqXo=ujDmG7z)jY z>&?(|q>j8lC&j;8ijbRxvGQfc)MQme(YW!0nmtog*{BSVW|@Ne909LJkz?MFiY}KB zl@f|cdBP`H2rk)+nOUtnq#`%2%n)1al~+=T7d(4T*%AVN!REg?$EbG6B-MUA6HeLk zbRGWS_o~h2ALey;&jYuO;d5r`(z|`ZX8lX>;_}K}oDh};2?iGa>W__{^h$fg_0es` zzZDjZpxL91yuF~6xVqL zc}(N{VWoT1(Yqmi`(66@USsF}+*RimEa@Y=f4|nSUG?W?)_LeA@wc^*HT6oM=4j)J z&aa@!50T$1*NU0HlvE(ZQ*x7q1Z_XP?hN@>b%w7x@|`(CJ2zguVE@P4xQ2PsoX2r_ z^X}&0ZZv^G=Ux(eb*8f)YjG0)xg3GCF2+`q{#kr=QDCIbFL0@iRdiRI{hi8}? zbP@)^J`xnf<h^ zjG!S%%Zu-Kyl&Q47Dvp*Qus{de9{7J*77)71IeACY}-3b44hIQu99qy8W)r-5Xs~< zXO?7>jDs?sUI)^%>?@^bp~YJoB`;|bpvL{rAa-={wLn6sjU0#CFHN1y{(`>Im0vmt z%~8aP9JQ8-x||j2U$R&cFA@QB-q2XdBQ#+-LL|R2OV%?MYJvl|VyCGc81ZB& z27D7@*%+^@9mkLN7w44>rdpq+4O3T0*W6_TEE03Ug8M_5k`$0O3&0k@HVW5wflCEM z8;SwCp8(TG@3b?of6(c{cJ3CiBoBmh>(*l@2lpsIv$tZu4!s#F(6LLl!eEkd8$++YGAxc{;!NJJGd4`Fk9 z1PFwfJr+%-{V!eqLKENnM$(_a9E}s|%EqTauJ!Bsp_Q_@?)OOHP)A8D6x({lA=0kf%u4HYg}kP!mos`Ng{aeOwK zglNMxQT=@4X9X) zZ7wIWR_CvToOODwXzgR3hnXgYCgp9xub=Iy<0x&IC3!4gq76Ex21s2dvHUxsT zY$X2QCx=N_rgS>Q_2pjTd64mCl2nKJ!udy_ZkkSJqu;B&-$-FU_|Jr){73`V-}(5$ z8del_r%{p=u>G3@R<|vvlP$&ZPfl?*J#k|lOR6xvWj?^V)uPe<#?ESI%H(@(bDg#B zRNmZ54V^It9sk_iN5x!iCLxmI$l>uW-(7Iq`T47JKexLTWuM|B7caXHzs>NKUa7uBByI74HIqM@KNURK*34V>NV0TNimo<(BrAy@w|Py`Ip@7NXnLXD|q<>PjdpWeyTn#8R`*LedmM zlX9b?_n-@i8t_+aSg? zSjQD!O_mGk22p%Ei|8AU{HO|mBCGHq=91pTv0UAa1hA0YQpN@r9wxJh9r{=`Za$`z zT3R#2ddXzUG1o{wxzEH9b+tjdQs3mTt8mH8aP{`=&#*VTzAZ0>0_Q4->=WaSWwphQ zXNK+-zEJhrGkxWiupLl8QuZ62wBQ;bh`n~LZLAu+?qsIZWTI38k+mme$_dyp1?J1P zZQtoOaPRB1J^Fq2$kV79pCA9*2$4rmtOTu+ZIOhCNpjLL9pafuhVh%-R{`W1a_OaA zfTmD#C$YFVc0_KeoVqmc-9ww6(b$<)6zRRGL)copI7^duK|l{Jsx6O1-bEF8R}D_+ z_#jClCe9_WV1tc6`H_?j*WHT|s1po0Ci@P?Kn+7syaK`bg%<)I5g`I7`L3T|0*JBY z*Eqrx2tG@Z5(Sir2ZBJoEC-;^*dRAMy=~l_`h4b4WPib3>a>KQ4|Mf|5>NHcuqvC| zTu815_GC@VEVlE`w=6SE(7_v@`%g;`C7;py0voB+2v!yqeD-q0Rw6{b|D2C~_kc?Z zrP1K*QPOYDWkUEYC#JuE8>Y~bUslpu=L$O6P7#Fp6Bndl;jy%>A<;4Uv}_V{bym9E zg^)-`9o~nlHi!s1r2R%BMvK;1qC=8HIjgi|^e4izi7PqcXjS#N4)H*JXzo4nWAb^o z&#mrv?o)1Ex0hHBt-w8#Hyc8lHEWoY8k%9i3kE-vbW1neBbLR}sO@mIZhi(?YO~|c zt~CK94O56LbCw)}eo>ao8Fubhy>o9l^)z4>p4?8H0~F`njvbKLd6L9}JziTWVziy~ z6?$g0=iqKCd%E|k|WQQkX zj_*GG)zXJgZpp`GGw%;V%wpvq)dzR1{0nB!*lk=AD)l6F1|n#w6x@n6bLm*3DDEwl z9qDYwZldN6dj%4=xVd)jIdd8r4Aw2!!UMo>jkm`59LKIhl!9|QH!#Cf!Mu5cVbK)I z43e{`2qDXWFClp&8$}&yK?d1Wb>MPZB3FInD&=8<+epZWQ+2;$GkfgFrwQOW9X`}{ zL2n`TqjXn@%ynMw=7TqfI*N_xazX2ICGb9PmR328`ryC{OA3zaWl<&+R9_VffuSlR z+~6qOW;e;2yB`@cwES4)25}mk`r=87vT&3)yd&fx2AULt>Qp9-h!#vzOymkX9AnAC zW2a-URfrq`#KlT*UEcuK7iqGoCev7kohl~;f{%5)1kRFvw0s*syV3N=kwWwgx(iGs z6gq*+Uv5^eR%x!s8hT;I{nT8ZH{?1V`svUzqv(0==QK6Ht8meDr#5m(!@3}5?5fXq z|B;6k<5BT7OUY=_fh=%!7JXFaf{BdWvh(0VB15Lv%8eUTg!Ty@h#a6gQY00KKG;!J zQsGVuDSQy{d6c;yNZQcB8~?RZh#6gR$L%-6{}>uTgtEKvxopn1TK^b!7olWcBvS~5lsm1YhQ^iF--~S};%Wf% zTo(){mVJ!i8GARF;93u1vPB+B>bNi-(TN%GsM`+sozIeofZ2vpbo8B+>I7RTo6FyZgdQ+KVAB#6xNnIslZT+1mtz2930hl&kjK#ckfeZy6_6B4q z$|)LDCqKn(bgYrgo$;Pq>+k4P!V-rBb2EFG43isrz2-=S7CS^MVKhU5Fgxk(2sw65 z-~M=n$v}X!VaSK1I&Pa&d?N>T{^c}W{`ucI1pMI*F4pRz!~djy!=8^m--}O5@A@M+ z$9?8rF_YFBl1rd&s$taG@M=l>@`Pzld=RBa-T?B(YaZwdr?Zz++eQ3Jbx)Ji8^` zFFKAA@=L${PyW=0mW0QSI?%|*%64KZ@K1Hpa(rSHU=6s%g%ZahN_;odx)gvG%mJm( zT=fQ|`x=>7f9iqc3`N`ThyP3ye%f#Mk%)O7`H+fIBkjAt+qxIO zq;RtPzAx;bdOs5S&th{U-CfMz*wf_KEYL;fU&=+M+|BQ1oxhPr9XhUJHV{c(CbI%z z4EXVHrF;c+k;5SdH=kTe*||Kx%zpLi)n9daybz)s3e+i4TkC%^X{x>5K~ar_K=dbi zQTzhLtK7UH>;EgEX5r>Ry?}56&hK_F;*^7;SuUL%1mE#?4_DME_1TMV8m?y$|Nd~vfIz4ruFG7Z*`H&gf6VOu9X22Rn)+YlfdJ}&5O@|8 zt+prL7v{D7=_08!OxHc7L%EzDI%I(mFBQN%4N^2{#EETNeZdAj@TAkaf7gctDKHby z$$E_lLbkWd0Z!L-hTi`A?`%%3xq)9nPs!*#`i1hCMuTi z^8c?>TU~+kbqoh|%o#e2fRUMbkt29u&VyC6SVYRIn-rHH7$~TJ>@B=uK0trt|L=@8 zR2>fHs$J54u9B!$C$={F*#6`x`bcs)jkT-gy~uTWwM{tf-2TwpOi0OAhV?FI+SKs0 zg4)09L$|=Ei4NsBAavuBGo22&lPE|}h$XXy^S4$q4w)cMw!xCr9Mk`tn~~UgM&Ldl zLGjPyaAfY>_@i;N=Zlx&2Oqi{-Va^J;BXc7on%535`CWoxw5Y`@yOVlV69@Nu@d@e z48b#AZ(0AEOMK4Twk>3|Q+n?7^GOw!|HFQxagK7k^Kt3RdFGFC*WiAhR?@K6OT!sV z$hz_84-^K*KVFD-Z2cq|-Egolt}rnZ;kaDhZSz8ga`tbwrOZ4^IW9DRO;fylv1at* zHllLp69Kv`@j$F3hP|NY%2vS%!^qXlp#o2RP?2!_f$}X+6|jqDXbs9o{s&h_d`$Q^%cgK?zQhS-gp0F`Ty36bIo*#Xm;SZ zqOIMapY0m4ebv8wDBV|;23D)g-_D}k`uDx7o5a9(hh@GC=%!u;_1HN2#?ThG3HxSB z`{l)61|Nqfb#f0!!pExjQI!$8wV#U^rqziK8ub3#bVIvsv5kXn+#~7zw@Q?TRq2M^ zVQ+m5+6M=KdEPXOBH>epIe}8*zk-fsZ}o&@8D44{QP3JE;>blOwx`eC>+p&7I*Wy)U1obU&?!eNQv^2ZoM^_}g>A14n;9r+fJQqA+$N zEH}+{r1);$^jXf)YgO%EX$$X^(+q5uZDb^Z#AF9%U%f%P-Ms-Ch$obdxHAjM>HAxoKxl{G;&q>UZ34lmOu4^O5 zHo_e2bWGqBk$=wm7K-4`S9MBK^3~J;fy~S8A+}eq6NUppgZV|KZwB2s8_S}2i$9$E zn49Rw+i2GA{Zf6SJ4jiloW|1y+WXw-QCL)Oy0p?X{%B5+mB%?{Q(i2LUIWcfnKpAb z2`q=lWUp+9iu|6lZ`x?uMvwn$^Nh%@1pNQC8D*i^g5OO61h9#--wHyd?}G$bae-uX zl*GUi4%=m$0Ne0FQ}2&&Sw}Z*p*_0&{8~nx+h(U{lY=EURSXsNg-1)Rga3qNyzQ`|fYq5WG?vPG#&|zseVXR&L zUW~`i-u)E-?)=E`^w)f2~;>IGdw}wUJaMm`$POU-0u4_t%rrP#`|shO7$G3>Mx! zWTy#4`Xb(9;g*L&_z-@CI<@w*%|HGho;lk*U|Qr^X=w+}CrI~PPO}4MY@Ee!dj8K) zK?zYN_}D{}`I}k^H{r_awF#M|MO>Uu{{=1ujX^ZIQpl`N+fCq9vxmVrJZa@%=&L-F zK;+fjg&qp?9}-;01A*iULZ(t;xG_bkMPIWQJN9mY59r|bypS_n?L25)thcTu8pmq{ zDg)+7SA9>w%xn^G0RQDB!Z(R9d&)#fudD)v^HCf!vBFtcPv41kpbf1k25`RrRARyW zB3I!XTHAAD>|)MwzdK?e@UPN2DI_-5TiYJiW-Ke=AU)Mc3;|M>`?_M4l| zviMd_!zn3+9ZWT=!XNCcHMiPP@+ zc`0?FM;_mkS)q@hlhtXkxeeoNE5e58__^8MU0%kbFE;R6&qf#Ir>1IE zYOvXpIJQDkNKv!_oQRI3n;wLJAMTA0M=y80H}C#@oW{iqSyek8?66-WuC;IQTxtz; z=gl_QJ^m4zxEG~WxYI~eXK`oKG;xt0lKZX8%S^3azrX@+$1TCjgTT54ySdAED3=zUbJX*pWfqnho8sq$mfvToNt$=2I{VzVXR7XlC-50Ld=1dQ z10hNzy<6_wRNx;(O5qCnt&K!i%HPmCwLu-`6s_+%N3o3&0ZpG&ytMLG*AKQAuNBt! zVtJhSs7v)Zo-c-6;I-rU!I;kC4$8kj5!C%_mUfhm${*Lf4oB6gb%HA=f!pby3 z{C0vZNBcm`sHjKWMv5cDL-jf7n80^faGZd?t4#t^laPi=L%uo}343LN?zfrWdTj7b zc8Q-&*T0aDeJ_5un7rsKmJj+&K%WjZm)h9MRzGmpG;jL7XKb{5SG-V{2jWVaMwL?e z#+kNU%~)K|`}k=ouC{5-gYvfN)+RO7wlBf*I0 z$`n@$-^00nzSUL771mwOzHf=7CgJW1WY)YTtRLSUm1DW~D*LEXsewu%+% z6pDtd!23xGVaSENev`(7>0ON#-#VWsrZz|C(|KQKUFSFJ-a_C)+q(B@;TO<>^b55hwY$NBgZ}>~Dr`{pnQe({GfPgM zjSS+tDO{R&a*@p3;CHgy8%M?u_lCRZDV);KuVDqi~G=ZA8mzngWd~|!JL7?)PBsCU+FQ3~! zpT6Rxn-VN6Mqkp2anDng%%{Otw(-d7K!ZQX@aj z^)F|KvR{|_M$7rqdWD}TXTL0|{JOoeQgEMHn)S0u!8FI{^E;K=(u_p{^7bDCo$NZ? zZAq%E$I??5N?(?7DLGBLZa5k(Jbi6QQ?djkZhddQT9= zCeLhcHa1rQzJ)B%0SOA|NoRL9^JG)2v=%8)z53f}4HW#*F$Pt8)s>k?c>P$l z*%0cGujeVyM2$B%Tqp8ca~s!_f3D}EH}BxC*`B_b3w|VMZ`|NI9S8~$N#M$Ak>5v+ zcvS&~j2LSNc{H_k=eix~6w2y{B_EN{vdwdky4SLGooIAyPBO78>Zu{jYGQDpM^X04 zfV1pj2YRbu$A0o97sfmS@E1sNhym+n2lBa|K;$|EuvRDg!S9w+F&YU*b%F#a zR&;`Z8L#)>o$Rk$!=3P63NQ`2gDoS(QuRU z=l}!W9@w8-WACA|0D%R4lalM5)Yp5FygIE75y?6zRrNhBAk8S-pQ9?g71I4(Yv)*y z84i~>;1`a&ue+&@xxgqc9O=`=MMPKLJ)l=uvJR{^vB)caxi&-w0Cp^PIK4+MP-I$D z+#*{1eT2eQGB1*iH}M5nhSu6Dhp=fV%iG1wXt+nL_ZKM~%@`^kEnl}LM2a@WPfwB7U_J2fWljB5cVGb|{-9~Qkr#%wOq zvU*0?vWhxkM*KZHnL5Xnx|fKn zGAPnkrnh(GccxBli~$_pZz3t~aCv|^)j;qe>M5JY)we~DN72i#<&taW{`5FBwgqnd zSh{>Hp5o#haSQ7k)9RE5$A>y)XDAMS-0a0&P2js;(kMw zV(yIYYToPWi7>Uj4}&&YPgOIS;H$!hxjdNf%(V!@+!w{0@HBWh=(9S-YXyVGwQro* z;2I5byVNq%{ewoOoeZZAoJZm|O@_|C7NEOCSke(l9Iksb0AyF*h(?>=2it}TbX1T# zq*ht%e>AAM1F^qw7X{8`hz)-6vD@B2BKr7gq@=<6? zh0Z3b$y;{0oTlrikIpnSDmj^&goJ^%zd-XeP~~1))JbKmVV@T~S?GE?IZpJ?l$Pj9 z@yuz5iW?3jGO5CIELp3;N&9cFaozsO>3R_I%jzl`jV{zFxhe*ddEf!W60Ez4taXvV zKt1Lfrba|p$TyR9N?*++8A)E;hhIz|Nxnm-Z3B(OF%6!AQD!5>3o9j>>^IJ<#rN{+ z^1OvbOM7mp&3dtC!@Hoau?wA#ud|Fzbf;mt+vTZ$a2Xvufvbjx;qU(GkaR2 zY7^8SMLw%D@2*);)NUQ9qTp?%z-562;WF+qXt?*Tn>+BB5_Ph>(f~AM?BN|Rf1MWf z+nUj!XeqHroov<2`f3+UVM>fS?HTj=gRxm|mZ^p}G#+XL#;wmf(A;hmWHh>^_L9ja zwb#R)g(F1&Rb_}p)u6r%9efwmp`h4eESasb=(sXyfx<6NO9&aO+%K`*i4C1^Efvs1 z>^+L#&jD%rV^UrPGNvGq%Az>rr*VC~#ij2pv$YQZ@J$;Db9DHf`@BzpjC)$Q(fzWm zA`TCH_9JNtLhXILJ$wC3$5+L%Lk1ttM!iAQ4xXo*84b&j54xUQyVv*5_$g}ta56{nSR4LZKvdB+aU8) z1~CsAno)J$cqb-kTCjf9c>O%?4pqyu24KEgZ|kJ3hfF{_(@~ zAH9QxP^z~cSU*Q~lsd2DAV^C=dltAm!#2!uZqMbHe}n1dT$&4jGDJVh=E5;XxyyS<_Re4`G>uHoWIUUZu*(R^!pS=8~Ecx#^BPTTHNnl$wn1eR-6x*y`rVUV9ZBPEhn;wo$UlHapUcL4xX+G;IezGw)%JrEtGr~(q~+R+ ztUk@#r`9tYI)S-U#y%KntUoyN5s$25mc&MN=!<9NSay1QEHE9lXYy3jIp%Iy!z z7T6T8^6i(WY&<#Z{o&LZXo{uc9sv^XdO7!}!Rz=hYi|3%;cJ0wK8d&Mnn{v_wpaS( zoHBTR>z}xs6Sv_JVPC2%Anptr2BUhMi4eVsiy z%-HeZ7p1nd^6vZ1F$PCz^*%a464cOQFZ{-8-#rwryp7eOZHI*IV)M^D& z>Zl!QV3+TWJg)vLS#{W&|N5S?gQ)ui0eCX3|1~x%B?lWeM0%J`o`dBcuu4AXM~IwW zPVX0ZV0%j}NbzMiR#}So-8Uz^UFa0AmcL@&zFiq_q3*lWRqJF*|C54sD#U4F60rOg zrP{b-jJWX=aH899k5vxAKR`>~Sk!(4LE1_wM)dgqlYlwVD+1k!n-rBOt-roKMYKoR;Or zh^t*X0;8iHfL-kEw=kNTwNiIXEAC)A|JU!*e7mf~MIDm~XsPK1fPVS&nr)ZuyII0F zMX!D|FPLlxeKNW*{ufz*Z&kTHerIlh;?_MXun8EV3&*5VnlhG3e2$y9zsn<}!7Wpl zB_Eyhj%<(mA~a@y8Qp+qS}MS@e`cQ-q(RwFJ#Sefx=|OsHzy|uH-^&jwD?)f0Jell z{R%RHx#TuIxf{50A2*E`YW6d|drDEqj|hk?} zm-K4{0CzP8q?EX(?i5@H0%ua%4Zj}j!PmioC>u6Tq`#8BEGBjl4Q|?`h_CQeIYme{ zAxo08jnndQJU$_TK)3Entx>Zut|RjCy-Mf%%H5^)2Kz)yf8k{M^thgi& zi7(=aZL+@32tWP&yqzMo!Tt2_bb}T)*czO~C%<#1C!?h`W6p37Wg}SND*6l$&;SWy z!Kqtz#8cvS2(ckA-ICR3-V;#&nD=oY%j(_dYH^w&QG}M2oCqCXJ%8eRvTJ?qOU2m$ zA+r|=eAiA3p4jh{YcyFGJ{!WNarzZZld2_`=}qr&F4QIM5)?#+8aHm>M9ee4z!Qb5 zvdmFvH)z;wMchpHcu8>Aao25C-eEqA;y~|kuo2JeIyKILj3W$KgO4EaJ%Y58v%$EW zS78P&N@olfe~)KPTqvDyVY$*6rwOX2Th5gbkXT3lN~ox;Ajwg6xr38%2c=uqq&*o` zMajBeSIUhDyFTL_XPMmgW&WWj0d=75M2!r!2mw8 zL?$UIjOsP=t7G?<_VT;nw1_}rjmAKm`6){fIEB$TlUUZ~t8&KgC2#xNvK8*LpSg~z z8^w}-ecs!{9YuG?7|InC_e zO7>E;n?g~Z9T3e#kEq8rynTO0PfK2$EYx#$N!~~8dhAS@_+1{J?1Fzn>CqwyQzM6! zGg!8xtoLiB4ZfKbtTsX&g7`V`=w$!S=w@RDobE z-UE0P+PNho6c!I45+E!H^xzgN4&>@SJedMGXzry;vN{%uXxnQjr%9B%iPy*Mpj|AL zu|b_oAOj1{}?>wG~y>oY}5pbczDu{WAG-#&4MP4^+-3$QzbxxL?Ry{8?L+!V$R zUlkWS9`l=$y%J0Scr2W4Kaz)wKUuRaZF8~*A!W?D{s}#|FSXS3Pt}Z{dQj<*xVWru9xag4IU3w` zGU(KD(U9V@)%Nsg(kkV{d|bEfCg1%$)NtmgxW>dmYF*I|w8eJ5H;&y3zKSIxLc3w) z*+0g^wANP{nEFiWj?W8c^K${|TscoNrC&)~HGl1-(g&)iRO4cUP_4hPvwy*MLqdQ? z<@+KcZ{U?ghfI1wpi3q157e_MD?RuphH(SePQuzzZxwWdgb}gMq$6?Uo`>4cq72L? z5*t0Z$-B@qKIi?(!d|V^<_C(s;`?crf(IYK>OAzFxH?<))FvjPm z^Ys46318w1G!DYdvJRlbfVEm^*cbD_N-N}X6&7ewBhXrWL8sy3lRp&5Vq4L}3GKn} z{6MKkz$yA;B{OF)LP$|G<{0%yN)(p?fZBDKq{(AwAhB#Yjo+k)e)oaE+?Ajga4hw4 z^MDVJ7V%nf2!wW<1(_9t{E7roJLt?xb;~$rlzWB}5fn@J9qC<2L?ZOru~IBI1bsf^ zEyo~KnxLz#ws#k-&`e(lZTm)ZgfbnFcK@n8N9o+Cdxfg~3WOwyc1dkSW)k40#Z(3Y z>4J>-uwJOL48Xz>nSg(Owyxy8#CV}Nvauh_fyQ~#SysUV84p>Ikp^#_`hbC4`Vi9W z6b*zJ5{!A{jmjgw;Se&vL;hYuf-nI{?7st0w|;Y+IiUEINV>(?O|u%Xy16GNn30{W z>05pu{1^-TPG(1q7edKMr1~$wdwc@<+5^`UgC8;)Z{BD=v@B)#o}t_c25=aI!jbF& z#57W`oN+%lsbfOELN5srT&5s!?`sC5Wjbu|lIJXtNT{FoFu-v(vfl)Npi-vumKaG% z!IKT>vnP;b(*WK8sQ3@LotT}J1c9PI+;I`; zra!!}b!6z^)ob9kH(;-OSM_Ltoy`Pjsi$*e(4mA7P)j&2o+N5mb0@c){K#?O-kz$O z3AdMY{k#DW&ND zJVG&-mVYauS^iADj#6b(u=7frLhn6@GuAy4rE5?Lf}xkTn;U#D&%PSe_zoE05#Tp<4d-Afkr=UdP4MzdjO3`AIsdk1E4`A}+Z&Ax}wo^WCy$`d@!)V@2u} zcrIJS$DPX2ARP0^{%YeG8?{&x#i|h@ZjRoET8nWE{V-W3K16mhi>1?TC{2a>?*y z8lt_}6;Wc*ZY4|V&-1p$(Ql~}L=rkYJtks1BCIYRaQta9VA_gs)FA76A)PeR>3LdY z_$@NN@5+pl-ZN&u-TU!44zCkcHqCLw%4+PgqLO8D?gM#oL+*Eh`AT^p4gFUN-?a$! z{6S}HV-??-&CFa*&CE8AQj&>nz!k@Q!p-^$XWfabZ-}RZqjh@;@f+U12MK4U`>v`! z5LfA2%v|Wvm3Hcm>f5k=Iq2Kj4f_gw!7Rs@PZbe?U#k=t2^*~PLv4ZzgdDV))O0?- zo#M_fjsKP8s!b^c6Bns4A!gqy<<8}iQxsNq4P1(*o^6(4)}2(xf5GXIKaTS{-$#Q( z%}BEu^^0oPtZQfQ8z$m>q7n5y+N>*SIbH4rvc49_g>OGTE1PgK(-=!RQ$vwp9cM}u zfizbWT{dUT-U%x_E7aUkBcc&cT*-1#n=bf+q4pw4SIv~)VmAHyC#D9Pl`yz%mXe1I z!DsXAFSZIIq*gq=!rZ17p@%OG*6lRthay4@-5tc939vH?>gZcG{6!6ToX5>Q}#+T0b|6Olj*^YBgUx#t={850yK@S-X!(zuE zseGVhQ{I6e);(!@-2JaMF|ln*Y%xT$=pn0qswiC3u;+ zH6OxpY*9olYaxrp1FYrsi2oNAf+{PlZT74aHUMxf+L@_LkH4V-G2l^!`Vu(?JW^bw zfl#s*a6-_^Y+Bl^a9!pSSlh)Pn4`eeN5OgaP0Leia7`>*4Mu+;3b#8X0-yIrfDYb# zjyzBOi+3;AJ74K@aLEAo1DiKH(=C42_l!=(I}6fNPsJSkbe~6=SMcATbg;0!Ls#%5 z-f`);^0U^S!bvzOgd^$^oMZCL?p0gJUb}QUR&c=6e$PshLq{eG9+CgnE^LmW(`Zkj`=O&c*hV0CDfW2yORd2#vsJgT-Wu$i_#_=$@@ z{!yZrE~~(gA+~hYr(b+t8^gb|)FqsJ-(-%TuFZfV;uPAYX&!*T%0@~)RO3QDeFC8> z`sK-w_7dG_X9!y<%_icu?@h4E7s#;{ZzS%W(^?$q<+O1z1pVJIJ)=im{5DYgEYw`@ zYJeGoiNa?Ek^C=Y{Bb@YYnslOxpG6*2 z8r~OnqUx@UT>(_hNkQ-mW`Gan(755$V~Y>Xsd0b%8w=~mhtYjetos(DZwtq^MlQU11gmb=zVmV0UYSp14#Fb>HGunzZ5hh zlr*@BonI-Xq%V*_)fdJ?xsm9#unZReihiSqtY(LTlWA*%; zGg7V1-*)r!B*KLw?!-0{-591O4}=E<4k!M;6aYGqQ_}CxHZA~pIQfkZdWTE(VPmt( za$zT0)rDJ85m5K;2(W%q5E&H&U`DB-2{pPPNQ-6{JSw>8ENiqpJ2G}5yD=I_yh;`9 zVHv#b%#fG*0;^wm+S}Y#ex{f!#2NfENea|X#a-|`zGk$pDzX#iD4p-5h-ha6h|QtL z5$Pw{mOPwSJWX?fg_!}KT_|vGUsFPeN zc%h~+hK9iK7>zO3D57kkOpI%NutW>qCZxc)-GbCTYOo4Mex;@25rE9Am82}jTtcJe z3BNGHI7ZJNUif`RKPdbgS__lbzRXlNo%az$xvLDvU>x-#_8u;H`@sSPeo^R;w831m zo*oU0nj-)Qkp3<_zVU(uQwX!Z7)k)&23}fi04+hWo#+;OvFAtPRVc}GI(c~*SmiWPRLRUW#;U`y z{qf-Gx=aIb{UbOUiVcPtn*}sk&LpmmToG{Zjq#>%9U3bqSvpWs=y_mad5BrY8MY%#M!b}c?3d#Td+W;PN{9UxSC+s3B$EWZfj;XE9JJf@2Uhcf4qC46Ux_-Hs z<8x-M@4SO{jK{TlRW==K^?e*SGolAp;~p5$TY-V`4u5leAl-tP=RO>p z{o5b!+GEPhTVI-U!pI{Hs|$a!v=qn`%V=w<_X0_#l!y}1tBN;|9SEa*#a1yK<{DQN z4SWacGxt9FMeXNIaKl>tinn%3e&e_QjdCsQ;t=k^s$$+-Uy=KBEy8lK(%eG>7BeUs zrf$!^ZkzhXa51}drk?+1lC-8~-3y$(RN#5>{RpQs2EWt8>ofIKSB`Dn7s{gX!O2z+ z6^?68^BTT>$@6G;Bu;YVfVbc7mNfbvZ-n^}`Ah%`$Y<1r!zfb+K)KTm|MeV;i3^~e z#e{9pcGcW25%L5W#&ZwcFt|te0IygP@GuWGMFS@NU*(lNSFZc&jAH$^6IH|KwZQa% z$dxP9-il`Sf)lagf`w-gQjOL#YI}Vb^7G8s`M!4F`c?ckB;yus>+qZoya5T4K%6zc z%(=eaO3;*6<)mC*;WBeAOBag5(w59OKh`P5mGkB!+y#dTN{%}1m{Wr}KTr#Ag@CLa z0Q%)84Pap}3uBe5m01~Hr~Dg)HR_LR5R%K$*bMXXMD$o8Q(5}@s~56mvH}KOR1EzJ zzz){S88kI31%VygXAtv8Ta&=#Z-7?dZHkMuKz(ayni-~Zvr(Ma27i1O?3`TwpN*0) z4R2(G?iOpnp-*i9S&IN%T2{8JtIMR>JF)4NaSu=a*b%!POAX4svXhPm9L(8ugS&H! z2X-lt<6Wy`wr$}eFe$NCY0hoHFJx=>EP)`jJ_%9tM!*nqUa|r|nVALmhybg!H>Vu0Tg=5auR_LL9i5S-bSx6%^gS#B0iI9&z(xu6`)2l?qa?fYQyvIIN02 z95A8a<6EK~fiE!4A3)wEi?R`TXYdVOm1MMVhqeAT_})z#s0A!IrtPCA_&>>LDncsq zU%J>U4hbQ?nT9U2Im7FL+~8XwxdH!~SWa8u^c^+K%hwtHGi%_SGE${!(|K$)l|k$d z^zReFnGi{KE4CUoUyZ_FlCt?um{||UUfhE2esE_c*RdtoBJm7p{$kg3rwV-(`|`bE z-UM|2qsrU&W@f%C~CO`QukmfNlY7DUqbO3Nm&faW-jkdX~OIQ;Q>PN62F# z5NpOy`!`AF8Y^f03*gU0nz6Be*Lav>Z74NL_H>D>yb{hNLMA|YzA(R~-H0r*Z#;6b zpOy6oo!@8U5a?my_$qCuEiar~8u-;XiGruMVM5N9#%^p}eTXEhc;R7etYLn+>HJCO zw#7a?i}9II`Pv)ndqSOy`T;8&z|%#KaGKt4gh>ZX3?`_CUWHH(NGLj~*~)P&yHt{7 zGW#g)u~EORm()dS4mi|)K@9GP$+&;c=bgtwK!*3J?)0K>m6M`&d5=@!3A0) z=Hm9Xhe}IERew-#;Gfsov>ZI-LI+JVTi(Kl_Xxnuc3)0yTsQBE(LX+RBFH_{X)^v6 z`^(xxxyNT*`CUMMpDhZi;za{@&_pUXclKQeh7g*- zPYe23wHyIJSC83LN*as@{8P}&^oFj$Brr&I9*Vnww2QvBU{^`HDgs^sjm`0Z_(tcA6inQ#ipvATS8S01YDM z9sJHeCuwKo4`N3FFk-DV;6`7%D1J#ssTN47XS=JGQ-gy6!iJ!pof6z~edV>A@f@F= z3l3KZh-&5F=`>SX@77RvVh@82kYf77{L)di01cTuHP!C2@iSHY93M=Al7WyTr3e*n zXq+$MZU$Os4>aI@s^z%g_b2>n?Sbwy==U8sQXi6q-&Vh#JnA5nES{`nI6Nk6)-V!XhIGX6iwGE0D=j^0)%-A_ ztXcg7umWvUv;B@A_Xf`4M2tmp~Z<83=Oaah*A>K=wmmLb@Z zfc3eB2^0*_XW1-R;7+pl$cu}Mw<|x%?5D20`)HBuu7B%yXMJjD&-<;W)9lt;tgo>+ zq_EV6UHJT4TunljJw$gEUR?ir7&WNwGa3Z0Ov?%NwRo8}QqFB|?_X7N`9U*+R(JaL zYp^Qtj_;I8-Qs$SA!e@ZmP#ouOf= zbh{@xe;bVa-YRVtgkXTNt|gfGQc(!*$9?jIP+u2!we9o2C6FX-+L+Uk3{go7mSLd_ zkok zhoSXoye)%t;q$olk!gFV3NM06-XWpj6|)T$xsbM7QkJD{q3QtoeKZiDK&bIO7KBu| zXtG&=VH*mS9C@`SKZGS0WQ(*|4%sK~zV!M%?@k?iGUk<<14EjCG&ed;})p z57gy0rBPp0ixw=g-h=#B=iK_$9K#dAxw?N8z2US=&6@U7q?%4AwoqtU)V`F9^cRJY z_R96+CnG7#Auny7Dzb@F0>T9H=d`aSD2qu^zqmuL4u<-JKcywt6hZw)&fZdRPdEEa z?gp?R37#v>zsb&uR}|6Y?+GrNx+gdPXEdyDjM_cRDMD>}xOu85R-lG%#A#--u9DiP zB)KL%WsR?(`-U?SuWs{Z?Lqh_XE@}VyL3^%etY;;3u6X=tGSPIPmuF}H{WJ{`!?}A zY#ajX{?w)p=f6|J-x-FSz2ZdndW}}ET>%+{C$PtWa%0qK)f`Y65lP*>&qdB*D8w&8 z`?J5lt4KJaRO;eUKT{bTR89a6T_aQg_Wj1fZ2-jT4FC~#eiGyV%BBGTP$jT|ScKWQ zIVTQl0VMz<#ZCdzGmBf`uOGyJLjS=*NkwR!D6=n^jHRRpU_-C~j5}KuD8%;o_1+tD0r0BM{&vlpN6&v=gEkW=k6M10>mKwG9M1Z+ z0@zbxEBYKb1uqC6?pz`Sr?dDYd3iP${MhZUaH;1s#QnJWy>eQRyjieF!v4a*TX-#7 z{`D%}Ua5vhvwEIWgGNqV#a2d~soRu2+bpGRm&~l7mh%XKLc&zDx^N#J+|CORsD0lc zkrNn^xE_jq%znJoW$Z7u>=CtCz4`IgM*~+OAM#x1`}OXwS(EnZeR}evvf-}cJ`&k0 z>@Ey-=6V-T7368%ObXa9q48F~7lf5w*H2k;u*^ovv`B+mF~C6U=LLm{LfFYghM|Wv!gbWRz+9 zd)W7QB2k@>2XN7oFfz>oQ!QLby$%cHiEIJe
    ;}%`G$l_m?ujf2N9Bdy?nUuM=-V1s^vIMsYgT!aq5f?=jtr z=9SSmX@WF`=a)7b=UH0xh+2}GUiNR?Q-4S$rX_`VJvuJm#TJ==Cy^>QT7e9R$h*GG ztvG(#t=4yzJmglO9J^NS4IlWvEAWcr zJNCV>mO5up;Vp>o)#(bLGNisOTMBpad*wHnL^wVD?OHY2grQe)-K@$xB|^@7X% z&T^+>%Z#J)$Q+~pv==o?HOq0evdr1JC1d`cG_lCATQ)|vnAD4PIkJV?5fxIo^*eJnYWyAqd zoPt7}A?Bxoy=D|j=*F3lX{DlSF`VZjKAR5Quw?od@ipC6xYnUOlt{;%s8ENg(EcE8{K=BiX z^TM#jao^4B^?3OM4K<*O5&^Q$a1v0*gBp7zi2~4GN&;UE ze-nVz4+J^dOJVS{cJwF7D;5P7R=p?e(6TCN_zpXbc=TJm!ut@boILKrDW@Rh)Rdu` zR8QWadFKbb6e|_wCT_dE+F1-|nOxhecmj)_7 z#jjAcA4dEz*nH1%vRS5=`j5XoC=y7)&pME_^J-w6UNIElRvs8X?WxhSgu&WD5aZ2n zu(nK)3*L|j0QQRn)3Y3uNoc_mRq~}ur z2F?imL@n-d#_=ni_x3Q$MCIv9njblHY-~{sKPr9fHjjGa3Jw673BL*>gA@${fB5={ zmgVllTev?%Fq4pYy9{(AX997<1#sDGfyg3xR#_^K^?9yez%CJu1pfGqb=_}X1Ll%nB=q0rI` zLUsv5^amdGSi<2;*^FuS@GM=ulr0caqpe@XYlO{OYZrL8eVcZuc*Y*)7s@u`aG zJrGkC5<34PdDpi23s;6S9oy?gi%eJJ48|dcS}LAgBV&1LWpH zfTON%hr&%W{V~fi0u)E6B|LC&zt6RM0}HLH*fb3C_VB9r6Y_Twx{;~uX-u~d5gTI5 zdy)=z{f>)6yFZ?&GP+TUdKZ!@C*SM&t?oB74EHOpz z;zk9SBHE>El|$J=L0vcprjoov6YP`ZB1SHjlX87awcN~`tZ6PIwQgf9D*}+Z{RkHOE>HhcNsI{*K&i$LG&Hla z3S)FMwWq&=saRiYG!dK;ZU`jVJ^at9_fG_??IT~=q4Mmw-6b1>rfvuFtP0h+cVAuBqrc#k+ z@%4iMpwDlq#s^!>ST0qBN8T9um&Pt>;4deJ-+)*W1y0|j4KN1G#+}yr-_6o^#8)FH z!C`()(fvI9>0rr=rM!yy$t|83k^l%w3~W& zBA@H#O9lD~U2V-{tL||E1oKHGFMt@Z?NMY38T*}Y)uhF-sh$M0!%qs>?t|3;Ep*xK z9K5LZKtfEa>HFpMPj3j)fGq33`U{M^3tTPYWIuBO67&v00;K;G=o0IikP$z62X(x@ zx_mtK5+EBtXQZAoG&oB!KIk|KqvBpHpgI3o`CFl)oTU0k!H>~EzuNY|5NpjUfR^3_@#Fi)ov$F91C!oTS{R|lXJH# z;NJ-pAx&q)!YYb;CpM5=_xkNZ5#221OM_VswHIlOY7rGjOVMIqmqMhNjN7}@JF1cpy> z#Cp1NIV_6oOb^T0p9&Z8e_sb3jS-oAGNiCo8?*V0?xkeQ@R5~4f4xJG2bN$f1hh@}I?$%FaOwA?Z_auzP>gQpOqhCiq z<(v3@_k_jF{2mpa<_eboIcKYpNP>qVK}}R<=0@u)i-bBWuTV)jQE`cP#YQUj3Zs%n zH~89yl&?XwK=((m77RtFBL?|dt3`Ym%#f;lEUUwoDJgL6oZ88hKx}&v)hk5)>n$9V z&lFuL!Ya$nAt0S#_(8EB#ta>)4vQ9(P3t{n=)`~2z_FLTmkLmN!Io4Iv=3Mr+GaF> zs>QSo)UZ(-nc&Pv`X!lLT@D1HIyFtuL+Vu50|a*#&5n?K2XeV*S68mgOYKFmshlNY z`B$ra30oVMN?V`D`W`MP@>v%JT!Ig*6d5l%$ROTYqlkbQsc-1hLKSB~vLlqC=?|#% z5ttY!W7&pI5p|33pxb3;ljl%n%h@5+LG5Ur@<1Y3w9;=rdju$jlq?)MS>y0nyWr{E z<6`JsVF51ujk+ciMh)=a)Z)KATi7*tQc&~_tqO*iB_c`5kpHXukzG0ecAy{s)!a!< z7$J}XX%e7J``a)2S|mYmvENZZjEib6n1^D?ym&F+_W)6Ow!3#&!Qd%1x>o(bJW0<8~V_|s(4-rim^I~7W-IN-QLFvK(h`F_S!3J`?w z^wB`7C5F9gAHhwh{v*w}5AINC#i3R~xhr9a0Kp{~P<$6dqtY>HbH@#tafI4Y`Nuib zi**Fz0*9eeH{F6W{gZHbgoF0}(GXt-&F1@aIs?ng%L!}4QSQ0C(6*GwwcFrETYwjt zja(g%#s!C^n9X0mfiwA&_CwVz$bkc-qA}ctCW_^Tt^ME>jO6OM4Nkdn;rTd_85e(~ zwLi#dup%YqW^MEC!{2;{4e(RlWli0Rj>Yg6nw(lZkYdS6mn6(`@+Sa4qoW@s!gh;! z;gJnY09#TwxcRZ`Vt%ZNA5rH(c^)86a=t7D0)YVG$^3MvmdQB9X4JUgJ&#c2Ni8X#AxuhT$GOXbk34lv%>v)T z#bj@&nL730{2=b_=Ar9(zy5}!&;RT0{}m@naDic9o>`e73U|h)116_HlIiS?-QRRJ z`J>_3rvn%i@sZ+Y#(Scig-5yvP!r-K+;irmU&bPkE9GoDU7;?VZ!~(ZfE$zLy3ijn zx1^fR1O091ziR@;0S@RpU`#o&ACTvQe@{ZnxKmA~fFr3Cf3U%DP?rZYAK1=aC`B26^&RB!0)yj8BYhe5q!r04os<3^3G^Ag?LW#h%6%-@<# zKPh}e1N^lgOU0hoUJAqEcZx(~AUbt?K%95N^#aA&sK9;zFvM0t3Aqwo>6L75c@6Yg z2~_ikPP1C+IM@6({wTJ4nK7>80U@dW7DGU_#L0Jb#|t2^1^cp_G9J9HekTTVXl^&-=Wm6J;M0v&HorH0tf?4{|+ka8ePpy7Nj)MPm(4 zALi^T4(bO7BXtg|9b<^CN0nRZC9+k1OFJodD9=vAH-RGoL7v`6I{5$c<&5zxeWum6 z;An4y(c9%01HDV9lp`zSaYmg@bqM+Em?v8hus2_xY#Z zehfYNG{+tXG%5Zti8hDp+KO7U%>xuSpE+QIi^CvlNU#pJv+0p_NKqx8`8?7F29#nK z8N*xsReE-rBV4Wt7*p`r`m?JvB0qI>GzOtL{`uN=`DxP$2*!A>S{h4T(SdfFf)D9AYcVRn_? zI{P9_d1IbEnu;)H{N@#`TRk+a?25YmRQK$b)Pa=tv~vnO4Y|q2nfRVlkEU&&dUP3< zWi!MeR{I?!`?b7}HSX8X9E+Y0lzr!ehj}G-N%}<$l$nn9PNhbM+{b%dQIfyj9Qt}) zNev(Z*Z}Ays|)bM6i4+5I(!CK%G)i|e6crYXX@gTksGR=I{3Q%*}a%ho%Bnk*jA0` zwB%z5z?0x&@&Wy98aszGIJ%=Z!|fk!-0Sw7y-)b1eaLhaQROj{o0NCNVLDk%7Ofef zKGf?Kz>(eT(u3o&F9bO`!wxk4I9;-n{AMRU9>_d+rYh1FN~1lWJLXLPguT#ndGCmgEP!=lPUN5^p zOW+>z2fiZ2@OFFcv^1PcY?XtU#E5|tQ!t?VKnw=W*?#$?3jGaw#hHe;cQ=>X8c%RC zzR(VW=tO9RoV%>|@LpIaOn5kkpZos~rPL)4FmXLNI<5N%!;jr{!7?k`9EyM!gbaLo zp;+5kIhE%rwX(a9>te;i%ZF7}RiRJ!Y#(dIcMEHEaLJ=#B#}41 zM_vVf@Xg@wPhd-aU$o9{eft5w@k`p4mg?j0k_O}K|LZfQ_XRKe{6>5yI|{FVLq+!U zvJzXe3$|Gu;sk6U`*{(^(Dqvl*o~2u1_BAOSnkU`Cfu9jqr z)`k7qGNwlXLY9We?;ms{rE`*!U+vEa*?^;X>`cwRldsNp$MQYiOXOn=b>i0=`x0R` zGE_k_q-kLYY7r^kMpX%!%2>?nf#!_8?47(APMCYSXugv;xGP}rSTQTA6)y1(Ms&SW zFV*-r--TSiv)HWHGrr1qXYdE>l7eo%q`WEW}C1Z{*;_`dpN{J`b!tT=JB zhRs{KEc+=z3oVuHk(w^uvt)>PIQd^&4el-Y5HOHmuiAwt2kR zRJ&Xr-BE~bt7oOLne~ILfVYvYRk_RQ1y5s>IZOKQqGF#fpST=rXmaf`$!o*&UhQ>L zwvoX=UT%F$(q@}`fzPD8kT;noRy(-`R(P!nz4S#hGT*t*umR9IO4Qa2jD z_qH;y{y6&63EsTEvuh+SrU!jKtkpeU+BI{EK6lVH?uq}STjaXV?tfEjshYK^(P`>> zOw*l#ngJ?a?E(XO^9b+S=(EnQ0cvfcf8jOg?v>8rveO;bOF#Ur+O!=gfD|r1+Q#RV zsC~`!=2*XU+`4YCNxN+16Z40LQTP`bqB|{mWO}|j#_aFcnap1z8Gn7vWSS3@*2{c3 zj!3UJJ3W?P_TcMZEqlz{@TNJa4oBI`eLXeakWyhwsR=84raVEJp0#ka9KXZAw7WVx zl0hep-fQW{%aE-P5l-=t+f&Z2HTeh8q67)oD1Px8wOV6qm^`H+xTVYQl3JxXjX^h1 z(cHEbY4lJ^Y<5_!`rli*u>1_45cXV#oVh+TQw{&@PmB}#62psv@4A(A#hjk+nI-$P zue8L8I-c@cR?| zx>zyQ9wtu=<@ZMJ%@(@~S!i#DV2#};&O zj`4*j=vbh{O#NHk+*D|&tk&kc4l)wTaL2hCD%eY!r9ZK1u}eWl*%*?fKwUpYATRhG zwyQ*25`Nr*%Xjk#dZSAt9{u^)EXL7>b^K|wmOG_0f|$^4@27OH6E0-Gk{7aA7jF`7 z7*9~EM}?ofg^&_jJu7P0*~J8V&W7~}e5e_6*oC;y>LjD&9uBzK1#%1*xa1`OBs2v zv~d(A8U)JOvn1hl1#PV+aAUWqG-(-atRV@|$(I9J5v&MNPz@UPSf!t=L0vB*<5J|g z#DqguXOBr9fun3N_VVPu{#I0k=YyNV)!^PH zJ{|FHjUFJ3RpqyfuQt6|pUUZ`=8O<@-_WAPa3_kg(l9LWp`M3Tm=V dQVm`UgrX54QV&IfI$}h?<$MO`P+{kj@DG@~^#1?= literal 37119 zcmX`Sby!pX|31#fMt6*X#AuMw-Ca_O0wWy_q9WZeM)wGnZctx0<|AR;27HZ;&NCn5qk-L4@r;O#d^ zA=#$e6Tr`0UyJDdF#G211;|~~M3ac9A%pVD5qx`1{=&f8kBEq-=YI=;_kQa_M1;vP z)X{tt?6BKGim<@Xo_36c6dx6yhJ8~sQx%kylni8aE~j^v>Fb5q?!X|})Axy}QXZJe zW=9NoCmCk41J+GWW(!L-+)4U7C>c_J+P0Tx?e*QEt$Xo~qx8*o)HAp5`FS6v7QG_p zoBsQqu`vH{+I5&~spJfQQgO3C{4?s}o0r=~2{po^_M_zo0b7gOvWva$SC@|`BTc?V z)m8nd%k%nSGt(a>O}II_x6poBViWmygJ$XKJOY1numYl%TJ0denT(t)x%n^?B^QR5 z7g{}8DB1qK7Z5>uHGuIx_-A;cy&u$n?aXndG1san5Pn4J7k<Hh;`9j53$F(-C0ONvnwlm zobs-$#Eks>sjtMRjmlEa_t7ONdth5z?RBu0M#}+!W{tQt{fIPbeTVdql$(h=HhV9@ zw3AEWJxLH-$Hn!7nl29g_u;fE=-|n(=@pip>Y>b^7==%wC$?zuXMrTjmw`Sf*B(1; z*d7J-goDV1{>vz;;^mtpqb@-)udvql*OLLe?%6=~685vc^-_n2=*~jO6?1T?!QLi! zgj?)M9_wt-RO`Q{pHZq|*C<6t;*EIfLPj}{=VzD@`XzWGd#l8g>F&2HDdqa`B+kz= zBs&Dib~Aj~)A|Z}8tk4C+fbaerkdR!#@||N;A@?^vsENDMp~#8!W_D_)%>nz0yhyZ zU434?=Dq$}@uANYJZcbN-E`gb8|3ocx(&dgVdDF!-zo2xto&jC!NTwFWw-N>IQ^QG zbPHxqi#CGLP}Vs9QT*MZzFy4RPJe0N_-|Ft_-_;DT`xD^S+FkW__4m*7!!V-DDGZq zrz^NHV9=VEd2C;;xip#Ao|)%QT@)%S{r8^RR!g4nYpI7%q#k-nO$@tMTQFL=Ru&nW zwIM>0#(Uy-#Uof=Sp>Il-P15wFS+^o#oXNE-}LkKo2!i9(c8{}IhFvHc4vB!$l9T0 z-$vcNfyYI;dj+4oP0ZD%#XU`aMw%_Uy!5xHI^=(|BGG)WUo$@-I zM@5_hD=LD*baziuXAO7hKlp`A(wvg*2d+N*#`{r}lJsHiQ{pxsht|j%LviaCUDssp zdp!PRlHBxska(9EW)klB#tpFR2=zQwF-vR-QZJ8aE3FB7ztOE0kW)&oBh~e=3&fLc zl z5Ck2+ASZ0H5mlb~mxEG-H9M+FzF`U4$gv~?L3&uEjZO_lItaV64l!q24ZZkAr^Mwfi`fP-?y@FWt9x*uHx1Imc9B;Bx|)M3)irbwKgn> zw>L;{%RzT$F$BFli{E+F6mD|(WAc;t!!_}$etYAjdc!33*9?z~fRy)YjZIaw?H)do z+WIyEU^M#a8skUtZ7N=GJXh-|p(V8AU*KHhk$m{-p=JL@ooT6^(7urvnSroffellx zB~y+Ad!Yk+R@pD)YH2FZOJZpX;)$e*QLiLo^Rjnnq8IlxH4fFHkks0=p(f^>NspljO z<$baWP6`1R#-0fVhJWz#Xxgs06n~V!B*R0w5%OtJ@vkpFua-#mjmgZ2_`MoYytkI@ z>7*HeZsf_hg_N%~f@1s1r@bM)RPnh^raJ0mLT*LOWbdtyM{_ z^{!g0l-z~!Q~!{s{?7QW;!9|Z}Rb@-oFzkn^4x>StmEbzs z>H(uoUGiMhT^coEY^4WTgRT}g>cO$tSB@k#WqNt~Ih>T!eD_B&@B|?~y-uq|jA90e zqa*^ufH6gR{}c-I8t*RrAdMFk9_BQxpobhRbrHEZO#W=*y}*?^UtEYgeu~a5)$Fxl zrSk;={71pPmvm~W4hs*$5^==k9PY7wWU}z}f%5FNyI_cOcw4F_0wq}s!xF@BKs03@ z)9pUji@gm3Og$7nMUN;Y9pbJ;VVxX$k!+UmRA2_ou}`Q4A%W@6!~naK8;~QtK76$r z4p;yglE;-H*!6Bd69c>&C@`AJG!z-jbe4nz$APtpopl5vo#t`nNlitnK;e=+ z>*hN9zY>>4=y6uO=z3jHf*9g%583!np1BLM=Lt~lf0r4pJQ{r*} zf-c)nA@JME*)x5Hk6b46K5)-BtGz{-ieIrD`fIqk453AmfV{Ot=N5CWhMIIyst7nqo;g8)pDL zLQqmo2`P1G2%zVca18+B>8zT?T?=jCdrm;-Y95s%31jYhP%0(05{ARgTf8FHMV82G ztV5SWPedkhd>({*niw5TOx!!l{Ok)LEOUfeA_?1tMIUJ0frw->T_9H^0;w^;`668R zmxP+Akt8WN8d(d%!g}aXx@2*>OL+zqn;yCfynR;#-VhxcUdg^heo17aa929=Q~?I= z2KK{tJixQAx>AadqARbPMbrRl)_-?f$Fzqb5Kfw7RR%&>&Y26{g+InS1mo?B z@wUM1&6LV+#Dt53&K;-@JD8gD^B+ShV>ZMd{R+R+ou=tX)5zs)lHR zxB;t3|KYMvb+^&LVKVCjcl~+LydWs;Yv%Sx^xIcu@UYJ72kz@dL(?@19EC=yNsP-f zi(%<+%=ZKq15{jDnxkY~1^vcpws#rW6;bCst5D(Yd7 zR3v!J%vAMaG>D7eX5MG0gcd_9-_n~y8J`jHm9ZvCrI7njaI=&Dr%bZ<_2VQL^8hTJ*Y(5Qd_$=)kOMsJZfp@zN?ZH#kUP^}BSg2sMD`kZjS_TE$ zq*X%K<}A>C__ga+v;A!v$vBZ*Y7HoyOgMA}K$!}^7}hvV01UwOC@506DS0}r>13%$ zU@5|ICw;NLT&LqkM56G3&v%e}P;6hYnFM#7)gegyd{iKwIXl9@MYD&3J-(D4!uJU! zIbi&Sidoq0d?nKeP2~bwpU}h~B&T@J8Bu+LDs4nDYNE}0;DZP$j&|aR<@K2^?ufg7 zekm%iUj~cL?R}`7^qS$`b4}=2NDpn}I1Lmz3RM2J8`zJp>vs42Ff!gm;NOUNg^qC> zihjk)OvZvpu5^6<{cF{Rm6&SF`0mcVmV2wxBCm^1tOLKg&lr}t;=|`N&OULS1gaBu zBfI7zZYWL%v>cX8tM9ni~@;PZcb`P4FF?Oe%wNk%9C%o zE2Jf{Y)~g6VUJQxhJSf)MtB9%3)M<6_Ly9Iq7w3g6~D*bZcbTH!Hg4-9SalBmIRY4d5Rwol*3tgpM5B0)Kp@2K+b zdOx%SEK-PZi;DAzq0<4AI!{0_Hk?B|42@v@OjE(L}RK%3=9}1PNs~C0`tfl8Yk6w8An{ zBJ@Xs3cN64aLgnXJ`DvSB_&HYbolz*vtwYjXXsST&O%PKzWl)_fglD@cXG%I@rEu{ zzS;0gF&^w~qL&lsQ=Ij1&{!P^2;$@Xs&5Q?+gRL*`Ggq&8fqdnM`Dbv4QUXyS%#Ww zK2%wf#4(h@ov;}C(s&SM7pRyn?&fC9IxJ5b_jz`a@#Sate^OC|8ufc)&e0b4^Yq;?mZ4!=iLfQ~OdO>fi+FxV)Y#Ctt~9M^eu)3U(3+83v7OS<UKo2ZCsFHhm&q2A>>2444o+s>qENI=<4XIOkESY7YGwy|q9( z^5l+hGnMPxpmL-3FwaoXs~wg5ABcyJTy6bnO&-@j%YicwdR;z2`cih0Jz!9JSdsTR z#H-sWO>d3OOtHK)7EraE^U4{b={V`W;u8yRl;0KMeZ@c}5AB0_9gcdv$!wi~q~k~6 zal)|z4EH6<9fdMIvMAWgUhyz76tf$;fiz#hlt1z+pjE;=WjcNfFa&lf7$mE8p{Nq2 zkV6bPHblIc76)PNXPUAx_-Qy8Xw} zubJnJy4>HkFpDhO__I+hmzo~$5&y7XrQ;%x#QbRrm#!KV-g?S$RQC0EZXBD*p6eZ9 z6Ct%1XFUZ}ai4;9ND|N)&YnoAeaA9Vj>*b+{~zHWU~zqHGV?IJB~8B({vnEGtiXs@ z?p5u#yA-D4WCp_tC}2G2ANn~KfB;%<>*L2$xJ9LrtEPoI#O? zIS;u}3Og3f^a?<|+053- z#mzj|N3pG*j}2TO)3)d38vN#RhM!F+wan~4DEQ9xVe#U@w<3GnKfWHHf0nsMYk%WO zu;ed{AfZC(O27=Y%&DN*FSa6ESZ#rq}bUq(m-ZfC}F?2FT%1QVF~a)kafpdh@-`@sKn zn5(pfnC39OkaX|InjfJjnOymvRm8h<8R%VxL=Qhcr}uhCuEt7e;>_s$Ch%%{(V$W0 zy*VN-6xEBkr&`pk?7!xcll*vyTo@U@wm=wJB)`!;P}G;Z^SkhCdW?a~1G=Pp&BSzZ zY%&C7J8}S%Q6|(5(>ETa5FdvMAi^~raa<|x`cCcmwAI7f?5P;xm@+ou4_GI~)B*vcEX}p$<=$k!LUf zklJIJXv}HZ*NKF(pOO_a3X~+J3@z%T08&C@mL)3JJYe8rx-x8Og51n6#CVxW;E!sN zEFceMEVx)w7lElLxQEb8za4_0n27iNg*oePQAmBa4V`ed6Cag!B{77~TI06JWJ)aZ zIs(aWk&~Sj>fk9E@;0pg*udq8tiNY!QHa2*VYz1v5-TzzCDXL(jWX9~9h)J;;ueR^Eo(=G5 zYO*a@;A$DX{A8keDyO?Jm*ekkvMc=p248LEvk3jw6@A&(0(kB3Z9Cnu+xh3&QESV> zLdWh&#@zD&kAmINzzXfl)c3m&+X4;#%yJ)8(2(0Nem}KY+^vt2OHL}(Mv+5(D*vDt zIR3rvR=4fa$^6NZZ)j-I_VATwXXTWVDH=O!h?9&yfj_-*tSv z^d(8~J2Fa45+Q%*Lx`U3B)c{)zhnT142oQk~-MkmMu%Ivk-I9DKi(gKm^vMX~*=ams1AXc%H z97Y8wW42z2anjK*L^pOPMK(}-5O=41QM;L$+l~t7| z!QiN`&7Gf!ATN-N`ujXpfL-RXs4+h&94e3=8;Y9`QIn}ADC5PBK0-~ zlX94XpBI}5z;yfbn-RmU$*26pCnYxV>SE+ZR%ZU3<)^`BEL zwf%#7en}D_0-db$xZlEXj7OHx^OiA!1lCU+i0pF2=*@0h^*+gVWtuF20{$lyRutS84})z@D2D z;R?F7QdmZTip*Le{~P_&twqxYb~!0@uiD=_-Z?qFV!&b%>H8L#VTmznccjvC)TBXx z!gm-(Igpy^&&|!lNh&e4&rExi@dhr@?MQ4chg>3iQ&)lJ3n+u+Q_XcU_IRDlBbYxG zIw*am%mhfOL{dhKvB?BV9Q+f!mfL|BeddY$PhaNfknpb9Eg@cmebauP!@TK zj3(S7Vp4yKr&_9_|`EP0J!S%gOR5!@ztc8t zC92H^bL;OFez_a)Z>!YLdrb|*nWCof@icN(Yol|yUGmzO<8n7}r)8mG>Eqb7pWf*R z=Z~nK#DCUEyk;N$w%T?5&D(bW37EjTCaL20=r>yOEKdVn+uGprWDr7Wj1I&DP2NS? zPdPC@U6x!+*Q@hNg4J!&qK=OHEKFIybjOi^i}vMzpZaX*>Nhiadj3{I*?gYV%SOpJRid1cQISS>_NE zQH{>aw)^RAPgkfq7qyo2gLSRLym0=&X6TkczZmIWdtG8e^)EP_C{XL#6sW(${@)4qr`Ad7a`Udsv{mrFty0Q?N)a#p!`Vl zk5Cz2))g_3soO&SZ^6K2Y(ch^lr{do`SLI3;KdwW$T7j~3oD)V@-Ia29P~5Jf3zLT zebh7f%-KVUg!O|=KF92Ocd`!%lEd^~f@sCDLCPdPPU{v3cE$Es_4xVH!f9s|yaT?@ z{}4X=Ore?)`QEg+9&Gt zi)x<7!-f?>W~?vepG|gpRwP%a2^CAOb`8;!;+pi>^JK@_x#C7fF9v0!l_+IaULvx^xMQqV zhTYc$2}ylvcab2nCbl$vevM#*+jhAU-uKR7OyW~%G%>fc`CO-jb^A*$x(wtm0rf!&Ne+<`MK1)r-~a$3 zn{ETFFE$c^X;pzkg}ZJClg@OvWi*~UmTA|KNNRpV)z>4t|CY`kw0L8hz6l2lTN

    zzK@1y13Yv$J_>$(I-?Y}HKq8lWhAfN@6Y;zpWudAZs8Z?VP{-+3X93&7mx91{%ji2 zBLCiJs}EX|1n2EcrP}iS^9r^bT#;{;H!*YTF)4z3`ewp*GF8Zxoc8mFfiW!Kr`y8E zaJd5>H_KH^RAsps!!qP5O);ISj#;9>u1az124AW&5XmIz{Ls{=PtMnZ*?%qZbJXb2 zf?m4t@g-9~rA`S67i@u3bM(lFjblJZD2`s~_ICfkp#Q@C8JlI;(Q(8`-}IQ`Wumn{ zCbsW8g&e`@6;8@kJ+G3VLB;80atdei8PAid>-FW5=qbjIW5IyvO4d7reN3102QUf? z?;zh!>8X~M-%S!4J^fdW(5&=f_0QaFk}DZ+L*U&9dnUmXkccM=@V92)}aYrjDvZ*K3gfb2g-r@r&!ej53 zi*hDu=n1W*%n}2y;&X^<93@eC&D!af1ElZ)0&!Ypmuz%yxhQJ{N*QB2;9;!U4+~Z& zive@ax?oG4G4I!hkKaSBF_-v$?H8J+MXe;^N}i(Wng$4f0G8|zbtTGifC|;uTJK&* zj35#w>G|KVl#3MBBT;)BM@S;A+x^lK0~k02`91?HKGH;%8Bv6`=45rNdwM?~9Hb3B zzM~v~JGmm(#SibQBETf!n31m?v(<|G@03$oqE6Fg@!w2cTytDq3%}k|?*K%&X@~ap zAAkK$@Kb!ZoL@LesrZh?&s<#CR2sk3z459!#diEj;>bp&g}eJB@2_uXb9~}Mzy0nW zO2xjcA?=(CT*5uP9p(rL2nj0~s~}%nttgJ|?i}7F6OtP@k}!e_@5B0VTMNogNV4T7 z`vBD+mW2K6>`e;`@=q7fQRErLyR7qY$oTkq?>lt;RO0LD~IxoZo$td+!3kq4ADiCy(=-{=ft0ZXonYu z?>{~go9V9+#jW4lB|CSJ^=)HYB+>F#uaHMA?_w{3SqJ~pUp9oPR0N7q&V3Z(b2lZ5 zWyoXfnCQQS%QkH4{*K2#{dhvn9-q#vFJ}nWUJuOd#f)|C-7-z=&o$`Ad@&4~qcnp%FXw5zD>gW=*6eW*jzOBcAS8`4HyFo_Lw1ZE){Vq14$-i1D#nu zP`Jw=xk}Q2BJ{168hLlRB^C=bh{hrTV24E6XR@IdJLwS#B1LW?0o&65Mf`M_N% zI}t3wd>`5RHGc7zdru;2;_$DxjqIJNtu)8FCHBSN-|3$fWO&@144jDS1$anqExf8& z^4dIh5P6ecmo%5UIZdLLx8$BQ#xbYhdoDrF`J>_Qz^P3}aLbQ<8qtIg-jdA`Dc-Z4 zs+HeuV{GKPrnji~drhB(>(dA;KIl-Be(VPN01+Vc%|2Ln+U@1QX9aPYX`AOfn+^(< zzQXr!*OuMUc7H$H`O;3~vqeB&AkDu^qxJg9{=@bbsR^%^Unw8P)+6ciz`thVhK_mO znjk@dMr1`ecZ@$c$9E;$zt6?(kB`b`={%9vd)MhS)44d)mqX80dQ$3N8VNEo)0M7i z9~ckK^PM+(v4Ym0OZxtj?J!HV4>9>e!7Kk9d&gW`nw1hg6LAn+AiU^#cLBUdi!zvQ zyBnDiG$fWWz+v>$+ZLLtFXleF60hG_VcR_lmLx{eOHW0~@vk$oxb!BZcXDjLaDFQ< zr9}(N*$33Vrl;WOx@O`PRzS3$%Q|WCfIs%9TNDUPT{FiLa*GNnEy+klgIP8+^uc z9ICG(rqOXEbX_v?@5t!Xn$GC8v~bHSDSVa&Dll^E?as5N${GO;Uf@cBBUx9Q)?kNi z*}522=pN*A1SJn}3m7WxL1Yda$;ee3@0RBd3Q!4U4)FkoJO_7tVBZr8%9u_^kqzdx z1s)B_-Vvz(`ocPMYo}O-!ka4XU#MI!{r0V5a(=;8^s2x$6>7@bP|oj!CXL-B>!yR{ z|Mer5HXgv9nWSzB^DM#axqcKA)Vj93C2!RrmP#9{P%V-)=~?rzYNVO9 zGgq(v9$*CKIq--fxlAJTcYd>V<2BRBiCGD+GR!$Ws^9Kr=re#2;)Sy&XdH(h$AcSV zy6&*}IxsalJ|GKcAoaGRqM7Ms{|`Yc5ZQi{tCC#Jr{H(fnpU+^K&$urc7pgZbHHii zU~)ll{A77|B`>V(^i^hL4b=ON{FApL$^j$fzZWKh^+PCRKi8|UwjX(n4_AyI!PEIr zEitq)RU4KV&i7Yg_;1-xjYhcj{<}__*39cSD)HCQ^QJJFgDAJSA0uzijMuvKKYr4@zQECrKsC=EnvHwGH7n11K@PeTu7ZLG<| z7Tbpu54@i}PwoVT99OGxhV-yqUoZE9P^^!*L|_X%1x94Fh_7_162xDHr$v#e?;5OQ&$?i5TO0c zU5V+TxW$baCkBT`@=DaQR;M4;CyWwvB21T6Lh%{%NmL$_M3N#OqdLDijCVbjMwrRi zBU=jxYDgv5qCk_ALs~1BB>&>s!`jXTA!Bbb0weR-tsjD2< zlPn&rkGkzl@g5J#kgv~3dl(eRspXL%DtzI8r+6DirF^K=%HtK4HjqqEE)2gqQ;iI; zoxGikD^eGJV}+mQBt4&m&Q0t)B=65OxfozFeAN5{W@>xB(MBN6G6(HnViQ!1+%n|V z%9B;4w1#tAbU`yuOy@Y?CIH{0!OER6FvE;@Re*(gQ7lY zUzyt<@L8X}Z`^yI0&Q5Ic3?Pacb40!|P}sklg5f^hc9zq}5{SSV3TPNT zti_0UYe+AY4V2WZjU#^&cPpH0mN@9?z>6Ggep;u`6^{G&PSLWY&Q={&|TmSA!}m*VCk;sB+rl8-JF) zN3WTM87(VQPw#PVWU4^gRgzR6hOw$0`A&|1y5;y2R)~Eo2opYGBV8%HYDG-r5n3>! z)e1?k{rQc=m+MH711sRB*>?11o%mp<$Cg;7ONFEB1F&~Hf?1xoIu+L6IzX08F42{E zChAGhWR0$t1gFOE8GGQ+`)Ky2{U`GNx?w6qn?H)#H~Fv#O&&_cPq2Yf9GLSn;zyt7 z4}E9LfB***Jd7(N!7-6b2cl|!eLJk;sygjk?-GBr4EUey^}qWy66#J_dIRh7$cjKd+%_cpgfO{n9hS0<7)OFn@D z?{MvpL4CjV6Mm2-t_1t&+&O$~;{-Z3gIvkq*nCvec;PQWL-r(@T*}H5H0P2aINd0b zPoz~wk3fDUo1uX369n46=X9a%I}ODeOZAli5CTn)K{%itN`CT3fggqi<})trKqc zXL##=DU_%UpHGGmjMMvzTF=BboANlGsu#TY7&IFg%l6&-;#^s*A&~zzr1+=TzHfGe@ zsDhRTa=2+uK^d+D(ToqbN_3wqD}MIn;Hf;5aXHo36nc?G4jLRd?N*_2t3H9ZE2o{D zj}9j2LZ~1b6ia3TwVNy5NSuKrxI1}y@gXamFqRzMbnvcyr#m?=Gx#mK5CAYA#a|H% z4;W%%8~z;o3-f}5c_N;J`N3=>1*>Hy?GujzDRQ%%w!Sh!9(D;<0_a3o1&p(R&J>)C zu}q0cvIIpcNU#E`lQaSsFVE>JWsV!~~Bu>55AoCQTh21rp@_yX@e2#|* z*IGxZjq5^-(w&b`4(FxpeH$ny#;L1e@>NDSxjeu4^yjBZhfAK7d{kmo31ANEOTTiU z3Ku5nv?E=OI#w8Q*+NU#${NrE-#E?9fP*(e}R)lO0g!x@Z(^U)?h;%uHG^ul?PAv{=K^}V4O&Z!cEjAn8A?Bs$=e?FdF?Jpq}r`81|wbO2b!a%ATm?u}Gq1dFeE(ZzX)03BT*8=u(c1nbKrZ6qS9wdeJZ&%lr_gCp z$O@DPw&{;XriiIT9(erv!D})3(}k<9vhzuL#-rT*m1L2G5ecsG!(ZCJ2r&e2+TwpF zsP*xQ*STiV;^@wG-d-&!D&fw4ZmGz>=88EDIP`mk& znw_o4w{aw^HK63CDfyJr?~E4RGI)#({-zJfmQ|c<7mK z`&2{AgDCL7wvV3Gf3IBauN;Vd>h(5$;yAwhN*}*YUXpjU%(8rB`{NTJDmt>B^Xl{L zUissR?Nh3P$;Pgd4u-aR8rMnRn;rvnqF}o}+QXQb z^yRIDrUADEH{Ya8p9Foc7P)rrG&Llbj^Rl@(3qVs7dZw}mBTp#0sr@#oVYO^#XJ6^ z6}ceBs5iN1)<`V_1H#|aU}LN%{tKb6*D;!$yG%g13q+&Drw6COg(*$uzDUuaG$tdL zHIWV5^Gg(fka*j~$_G82iXs0>hAq?7zwcrEPUtLQ3?x6yqk+* z#Yr4=MjB&-IOVCyjmczX>5c8mQ(E;LY zvQbXOa{4$7nsx;-zjEcZ04XSd9%0D^j9$O5H#xGvSJ{3XwJ`|O^nW%J+mYymc94(G zC7Z&5Vi~%~&T2&kj!%t%wEV5G4te8bMFR*|w1>wd+z%eb@RB#4RUA)aMBcx@89d&s zeV^s-VRB6Q@zmYa-kSQSD7@lCX>I94%?+zu+2e34N9#xTU)Q1fAKm$Fxbzij)7tJq zHy7D4=hRQamWg|&pSk;U?vpEJU0gGZ&d*nWslzf;$yd{u7n(@BmRgiC)l>3UImvWC@zIHD)sihF^1c#U$lYczruAhjsZ@VaR zV+_b*6>Sec&kAHVz`}W~((I)1KV%cC;aQz8C7b=L_$GnoP@7KI$C+hS63~v0Y*v%2|$f6K{ko5Ow@4Fil?F?#c z%x8I<{9hZWeVED~c47^k>KPq>`AXAn4fC!U@2WNsUv(HTlvP$0LO;+3oQdy6x9^g+ggOx zrnT|?QxT0&x!79B-~;n451RK-D-y4FQ!i@<^W)fsjcn+c?QQ>;PKikqEG(dBT`ho3 zJjhXpLn6AvEO}LPovym`{^J(E{}|Z?J!r!3i8^QfHqg!4I<$MmV=--a^nWI!b;&)4}B*>mESxEDu3Y1;+5~AF+ALT z(f5-0m3j4nc5W?rcj^&(x1+me`lyFiHRU|E@VDQ4K4nj}W8*2|9zm*kl-oiWoV;glhgr_-zpzW=LOx znFu#!FbDd{m>TjCXZz|3PhkEpB-L_m=`)-Y!nW38fxj`!98%NQh+vg^_zG7g!e8}k zoFql1XqsKBsQ1-dc(t5Vvwxj;nrvN^Hf(ntl!I}5agO+ZbiH+0lwJES3`h+r4Ba_2 z%pf5x2uKVe-3mT|-MtDJ>u&B1nU}@8Nmg_xJ5@@BI%4$IQLr zUU9DTy3Xrb6Fr)|y}?lA>9`;3eiEV!8pfSbXad&CXQ+=@T6GLu3KiMyzY;o2I^?7G}6UdCe zICdA-nQQ1~`n|I#D@sV^utpv6WE+dqEfR~~f#A{SYUWf$CI&77R{QuOJ{YUSQG%l|Jy;)>$m}w$zthK%pd>Df|tufD%4*c$ac2GAU_!lmY(n-PeQ%mkTIM9w5r&(fe-TW5!W9 zz#1B$o`;$CQvsPu2j*0uaTzv%BG`2ahk^X@?clm7(G4(;VgrCH539}SO3tyi5qkD%g*_d3380kz04(g>8++a34o$5# zr3QcE;=U%)J5qo>uu5fsfN8{!AZfoQgp#SevFNu2G9<8K*!AZv4?ktjezhA!zKV(Q z^7Xak{-ll5MRKG3eV)7yUi=P(Qk)BC@p9Q9c?n8fXy1Z;eDs7S(^$-!-uNlmc5`253CRSopnM>TO(+CkL%u{sTquAv|v zW)By+USIi2d34>r@oUQSa&Ua5R0?uI?O-K`CyE7cPqQ%DeZXAsadXX5vtAi{Sw5?yJg~=qp|cef%zh7c1kVfpeL5d<^Oaf1qCK>s8(X6$VGLo-W(P)* ztrg|JmVhsXw6j?=FYpC3|LhfpM{cQi&|5Z=K{OuZJqgkx5KCj=+0gbHYKQ}UEa7<# zkeE)I>7JemRIHHJWtO0A{)r&gJ##bXMwfa+(Jx9ogC+E7&QS=)mZkkZxeZ@#NcT{_R5>4SA6R-{*hl?Ld4^N3>ALPx!y=$n=oIj7t9wQ?2#;oUsEk+ zhg&6sb5ANzf{8qwk9sl7l!T2)Myt8tlhh9tx;88_{Qd^ho{7j^{n5Mo~o419|2il$ia24*_e*m-A_yq7+V6WrA7PEMuOWU0e{;Hb11pah(w4--GZ%&i?TrfblWQW zM_ohzSmtzb`2zg=>r9jvVokjK4rE-<@`K<0U@lI2(4eyBv(<|kEnmF|k{x4d`XPFc zG4Na^j-GSAVLYgL(nZ`(QS9PcDv<(S3Fm*nwO+D?wBmmYioswah=#I$)85@)P^JK% z^e5#?1*A_>=p!;y9?rB%i1a*niC1=~mBmA4K8jbJt5TTJQ`0=AF$*mx8itD{y=&t) zhz7-s81uW9$7u9tlugw*sW$d2jy2D_EnVerPtS5`<+bpS?8wQsZVpB98CI7=^Sf-x zUfi8prcsYlhv5xxu-_$Crc|R{=wOuzl5`4(PhvY|#R$Q*iN-bHSmhBZbQg8{{}hEeD+ zGD&Sg<@UWMt7DvE%4q`VM)RFIBqq6>GLnV8NK`~Y)4)3^CiMh|u;rBLLl#xT!{0D0 z+dHYQhHL26wph^{$LLl;JuAP%jkg*r8M&Bc{q?*{9YWQ=f3l|!0X9^2HX$#3Cl>$1 zGNnILp$eYemKEe6DruPOLKq48Rl~_6PpEqo<@qK}FWaW5sBoNjcR0D+b_5w`yD^ld zK05a#B8+Tw{+pwEa;Q-znRiY?xwDaX!gleU`JCX=NM8v*$*Xu4XLdCo3-`vNHI{hY5AA<0lF+>cj%?)i8nk|oVVK}dGN&G2bB>=xDrU>KV*E9)53~w}(+BxP}TMA?^Z&j~>i$l?5?6PU^`lwG!$Tau8SP8OLiQ2?%qsecXBdlDYL;J&0|Y;U0y!$vsI;tyWBeVD?`y&zsG% z0McNPDmT*(o%jo#oA{v|-4Lus#&H8(R`bPM}B8mZBdU-SpIoJ#+8}`2N;Ft3)xS zl-fOB1Fcrpa^S6fT5pcG_{80oi8Z(hv=;wv?#AMgGOu*~UHh>dzzYwpc{Z$bMK4aA z>Q$XFQywW{KLk(v!C{(%8$mx?*cyk8wanneJ<(jn_Z4~H;8Fk+FzpD@X~7$L1{;}b zIk?D+_hr@_PPQ+aUBT2h%GqNgtCOsZ6?x$zqFKEt`|2TAzS4J1PS$KJ zL0xh*R>=lB1~U!N_3iEF`*T%zq)auwLjGRClC1)KZJ_!j4Az@q4wb)G;xid1{5-*tjqG-V#ViyK% ziWgh?z0?GJ|}z(kUE$ z|M_4d0fb2wG$M%sh+uZ#W1 zsIk#R#{dF-9AvN0k74uDeMz&13Zfv+M6~Duy}yrP1UOHI66}FGDyl0JzJM&iT~9T7 z-!mWbAz!q*ePso17_j*Yn1HKj@5y2X+-l>yz9klZSLAXqqKy*16m;VKd;8(OSbiAb z>`+j6&i@t3Efqky6B?(oaFF-my5zcx8;L(EOJvctd-viaCz@QfrK`d*7_m~$w4YkD zNq>XVsU!z|*qC-je;1JM3D1%Ab#*;{(>UA`#^WdeS9FuWBH?;}VSw(c8_?B~2}?q? zVWpBE#F}Gmze4*IKh3>MMv<8&7_dREYW;I=-}yl?)H4?Yi!o)udShi`qM(^EcEz8c zfEeI7vsMbhB=Jc}Nn^m+ZHdl@k*rNN+)Ty=3cyh=atSNZg{AE-V7Lc9i*yq6Cn0ro zyJy1jh?5(iwYZ=)DN-gr7P;%&dy?nV91%j5hm3BolBB?B`XMIy54<$gM}Tz<#w{VvoOd0Z(d_{b(qlNJ>v z0xmR3s`1bj+ovoB2&H(RI7K+S7=^MM{|5AEw7yWr9?_-+`Js^4arKT932kp}`1??c zi!qliG%QqQ+&b*etoNz9%nMC?5J*)xm0|$)*zd^UQ*l9QywXqFj68=R;=)3^H}m6{a-VgLIvioU52umqBb?Ij@N7Ma-;KX=Nn1NvU)ytiz#Q#B8~|$f{4;XSBSjLX>&vA1#pfa{4)I9K!j9U|Rq#EQ zQK-<0i`Tzaz>~x$l+G`1cRH|XX*0Hvr7iwaLG$Y;=8vX*nTHpGPI`nGAYNyN@6^k~ zs53j;<_n=@6buYxWS-x~b62D2%Gc(@R`G**&Rzqf7=?Vn2=zR8`BCQ4y=RFI`H3={ zu-dAV?{m|80@zd<#9%i!w{rk0FORpt`O&-=K5OE_-+W{>%ra~@HX515zRTc-hEBx$ zg(98+*CojL)&A~oam5?gF*56%ZGd9J1a7))uHT_8k$=sKQ2s$w(Y~C^h*T%+5IfLq zTyETYV>dSU<@mwMqrmz*(l2V`ezGV5{YU8N>ui*Vl*B*rWwWisKT=4D{ zDXD8DsC_NFZGo++i^8eC31L6^aT(;PxA1j)kb2Lu6r_p-{ors{EPw!R%q6RA9p9-B(BD%yNATCI5Qp z|4H5()Ut%arTzZw|2eL>*0SVb{qrM%7}mq2sbq_NrHac;LAr1RE84WmFpITQkuVrQ zQ5KBncBnb3831$}t}uC;2Rsj?+LsRG7k>FL87hq?nLkR2BzpSFWuED?R|l%OVApOPyXz!~84T+LxdA!iNGfkzVyyglRvU%FWj zo;rHAX7dyAivaRQ_V20oR$~Mu>dE`%)?dH&nNOaa-Zv%$$Ki-r%R)98o)SLq1gtts zHr|u(l~eaQrxEIzVpSwIIku-YzaZ2!=O7@d{Zit`? z?@tUJ6)*VYINJ<#aq(TZO6U8Nk7^!vRfJi<3Hr=V0^;`jy1mHRC_cqXx-jsybunVwseS`+>=uecBc97&pFvu$AU}JbBOQ?i$oqVsYB-_R2>>RX`!X@{c45mB$^JUfWdaXQ1o_^4*MN3! zyu41dlzPC#!gjszHxH@ra{4X{D-COnZz97Qd!2b|Fhzj}p)o!dR^;=ojbuFe< zf$Y|Q`IR1lsNOBRda)9z3T$`gAF`4LepweIN9BAI7B}Tq8=s;~?L{3~lyv(PJv=;& zj1~^QG_U_n*Y2bEA1BJ4IxNT!87#?AtskZ*kuqQrzsCWl+!UFJBx(`-{GykN$YQxo(tD$+#s@Q}CbFziY6g zJNA))FH8Gtx)0Qo1SbDGM+yA*Y5?3`^7TsIqrdSErC58(Llu>w;<~%wu^}18>rug> zj32uf^WvRd=P#U2I{P1A#Q2hQ57Aqs)+yl$zQs&kFt<->#aju}A+(FEL1BC%4i`!F~B`(9;B(I;-H*as8 zGTXzKuI-e~&S^cFwPFv%1fD<@cJ7!s2N@wH9EyO0uQC#Jn7|(B2=7q>iyvaLcXz)^ELL>q#exTp z?RnNwL4v{V8};%0e(S_6Ygw|t0TTcBVo(miG)$*3M6^(a)kX&ypJc*Ga0o?80WR#w z7YCe1W)7LhgBZms7^H6@7m`hf+A7<5?SILihgKQ7F9rX(@%!41O8?0u(<=~c@Yoi3 z2)I0v=-i=D+Zu~z%7>s2HBg{tY8&2hSQY%qV`b~fSJ7Gmh^FD3cBY~Ei;JDwneS<` zw(NwYdh!qQ<3YQZHr$_uwiqs*A|)jzU;4b!f7KfZQNO<5uc#XpB?|TY-eLU;aqh9R zUKI~QkmYhIEz*I7F2#=?PxnPH;H|aXRN06X5gmS=mg~`o64s zaEB*4VBRbp>bbrxlSTFlq43%^y!{{^+{r%C-yarY&ordwK30)Q{j*2G<=CL-^AInx z^z!U;ys6wLv(~H4-Fulzw7&-*WiqsQZ0+gmBG({W3A|e{BAV~r<;A5esi19Ef20by zu*@TO2S+KhH>f<8$F;U`YSz`<58;In98wh^$OQQp*?{8mn3FCrPN&0YF&kb%vab z0R1X=Q%>u!7o34lO4qQ-X+?18L9xJKO*B!};i6uAN|DjQPWJsydINl(`md$pe_UvJYB++Sj zD3(f(^#-m@cr-aPJgz|$VwE`>Ef>w#+Qyg;nv2@UbQDGH+nQ zA0?|cyM#0=!W3S4TH%+7Sv^(D(MrbLK>MIQL3N844`H6aw|##Zx`p8v9N$#Yj*63J z(=;DGAQlNY{p`aCA1e5rxE~y7n5J~iF0ee- z@){xA^#YQ7pfD7nDvU^_hg8ySXon?N3h+e+0|0I)pyuhuTc1 z5O@9g%DEZhyPshVpZqhJA9k1n*U8X};j1FgdgqLJ-6W#%HBS}`TH=sM?3ub3B$rHsrp3v zhMX5E&YWNNs=^xq0&qY0_)`a7S0o1osdqfRG*7UhwP=Uq#vpTuo~;7OWd7IW70&ZO zo`_UwEwv$9?ot-58n?=2+)+E#*aDDQ-PvbzP~Q87F>wH;mEaA`1cj3WD7^QNF~=~`lwundM76ob-ZDVw(@{WM~=(UzyD zTp{P>di40tXwUX$aR5Be?d@Ong47$XJS}h~tW~7N^&s6jr;Y&fndHpnI-ZLYQ0%km zeWh9yy|I)(D0a%R7BE43(5vly*>Af&bV8f9iXr~pOHIm7vZV4>X+!t$^iPf#RIe_w zT$IxIb2Co2b=S>;AD$GQ0{cQ+Uh02*945%S&Pf1=+rldyNL;e=Y_cqe% zFrc2jwzEJ$th&<<1d06qunC1aZDZt8e9piu@A3>TO^Jr-wOC4|<3=4WB%z6^fg!Gt zW+OieaXt{G3vU+}>@7xm+=BTUA7%9f80el;8x4^1G;W>@^|a{4hHi8mt&8kAjw3$_0JUy+qjh>QptAk=ed7b^CB z+4Dh+N4Mg3XUx1DL-+0B5g8fj!l4eEB7=Hj!vF=xAff@6(f2buWRh_Jh~@x^8W`h% z1@KzgA^1EEu=RE(ECLZ5K;*tX)3vB|+sptDh04_Pk5|vft^cJ9 zJ*fH7B#Ks~;{nCANw1>vpDan+jq}wI>cYF^{+!|O@feddXfk-<47keLzmPA$&?#qc zm8XM~Vh;#Pd@`)C6jfRI`OPN8UXroQATK^2xGEF4!W$SEiICl)y*wi96Kp_FL85lF zgNg+GK)|dkJdS`BQ%QxsWb&ll>mO%}Dx8JA(?U(v6Cmr0Pvtu934hocvSLx9A58Kl z(*Qg^)q63B3b{ra+LQ5DEG#(K6l~dd$?I&h;t@s8@OBv+*I2T!!#JYwP&N&;np^wQ`k7q z)6j)GMgrjv9sujp;o+P%JXH5A$G@W<{YB6S2V~^|yvFk-0XSHgCz}OQP*AY6yu8@= zB#Q10+ERb@+e9H_7-*Z{@729X6=#LD!~*r?{GO`S`@RPB zI`+S&c_ZKjQw>F|gd&tS@%vMp4{X7m)ucx_Mxg?|z^&D1bHVYuZ`|L+88=nd;>bjA&Kjz?q)S<0rR ze;s*+?KPI~xAljF|Is_opF)Opg;*?=gWHd{J~(R-7;~Ua@=CUPDCXA$+1N0ODDI09<2E z@yP953od$Z^hCH&!)=QrR(T{y9Wiv1_SZHxSjM_llZ2yU4V^*8yy%{DKr99w!;^b4 zAh^14BD>T3SrMQe6n7M*PBre-ie@Wxv;fer6k{unTU0CKoy>M%Ym zKz`7|4qC2`gVy3r$r7Gh{t;SLdy(jaTx?eJxR;hC+Xx^^Ah^-%U zkHYrS%tT*DONkY1(^bcjp^n{^+#RX^$qE|A78d5_tAFaD(pPe`YB9e4vHKec5mU-LB|0O^-CxwU23H+x}q7jzz4NXs#xH;6aZp`!x5qeJt!`( zr3uM$VM!|;pu%SoNuQWCex`BT2i{0Wc7Aou-{ zEANl%qd=^Qo?1ONo}|6wYvfB#pMAdY+{4RX-!fkj$VfdE(L{J}OcyC~!EGI$Oejcb zJuGH19Ols3+M&8z_uwA^d)qp^<;qkB`M}g^a>`K=<|(fehL@y}VjJ!T8I7nMXTsqa zMs4lz2-#%;D~z)qdPG^T0qya}Na5J@pF2 zrs%;@g}38|0=G*b#Ow%2>##7z(*7|XH<7&L3j6q3oRU2)xQ>Qt)=>qa8@FyMhvp^ z3oxf1X0rj7V0Ho;mP0{uHZh1g2lRRJocAkIMG+2FE`=VYMuHm9D25JwXkugwHDb9H z3IJ#hxfh9WSVenkML}6nAR6Dh70`83bfjfn02(nKhNRNuGlNBn@1j4l%|fA8yG*bP zSss$IBNy0jF}j=+vKiZWYGre`Vo((g`6PN=@EiI>&|mS+qh@UI#_UQ{(hKJ1BuaY{ z$R83UOJNc&i#x!kKN=9n^cjeJ z{i3ni72C25{XnB0J)D0!20{TRs*-}RNJobRxy)15m9ND{Rc8iGDJW2tW+0OiG%z45 z3{7Y8)+z*qu%p`wI9A^B*a;W|vB^<@99$Gt3G8~-r)6$P$Ak!yXhfqsNj(r6h%?=& zuM`^b@Bz;2yE=fgf5@Z`8yrRsnKKDTWZqPC=x?m<#j{oKN5ii^yGdA^@49A~f+I#Z z`9Aw|jwQW_CQ~i2Hn5)kHd`oEZ}OL&;}A#^7F<-L zE9z<=7a{AE&Y?22tF&oKf$*uWD!ILwswD0heP0iF1}Zt9T5ovQ3;Li?guAmV{(5`M zQK$55S50o0jmvg|0gNwV?q#W4oM{Lf!8+q4wl1-`xL>A>)QQK<6t2XS!!JJ7JMui@ zVW)naq4xwK?nnT1&IXIdS^}~_Sm;x9PQ2^|k;^Owl7*kBj;MF13kRAsx0fT;jw?_a z25B=Q`BLgy)>}$j1^_4}HQK=dDwXmKI6MNv8s49D=D!Z9ZkEn0_8HyJSf6R#lJ~zy z$tmTOlk#ub*bpZPIqENX$>-^&5cC8ME5P5rCM9J<(tOLjJP2)H#uP{IQwWV*E!1 z=0Kf9aQ?ZMh^M~Ui8XZpyw+*C``v&5-*EOU4{tq-p8{Q$I=h?r1nS2Q-+dZAw!Y9UbpiMzCIs8j5*%KF!nd8yrZQYJ+9=reP!S%x)%lV z_Hy&fg&pa&fBqsCE(8c(L%hNX2o6)*UX9z2s`c6vO@Vqz!JqsAM8cS-{r~PGTu6or z(x{RetP&$>i^XAa;7ZtI$h$HqU?-6E5~K{6;_WiLo32lRU8_yG7UeNbpo6v*DiNMew|9wf39@Jff#2LOm zRC*{X0<5!eDTPK@B=RmDs;pttYL)gwTsj5f~b7RcRIbcZg#hQ zt=OlHnTOUEwPX8{ElyNHcN8=p0~ivM5^hycCkqX`K0n#r?7BP&oPO;XvT+`eo#AD~ zN+FPMXQjR;Jd^uU*jNzVK$LKoZW7^Upw$Jg0KU`e*Cb*8$_C^O$PdPl{hWGVe$3wqf)mr zJ!XKfGiUUD=2GS5C$_0~Vl2acs%k;rg}=N#Mxey)EJLNNLq)ap%?om=t>En!SdSwRPuCF zyj8ju!0z)Geo5gofa;k0T98aZj18_iS68aZ9KLKo=1k}mLSM&*h4mnFv?8oh1RiDg zQ(G~bIccY?Fc=WiX+87Ap7wRZ+l8`zXN*JUKxg9_lF%B$XJIf?dmPbId|G7 zVL!((dBucGJFboWd0A+j?R0Y&B2bpD%%ikO6Fp&-`&f%dMq)bqh!3K!RiTzqo37_h zm!90JpkV>nrl$#(Sm;A`rz4FiU)!238od@qsF04>j9kG?0|E7Y7hhRnGLA+wp3YVB*NYcZB;!NtWBeErG<1_ zpWeSUwLOfnmyA5p@XKh`uIe>gMO}jv;qC z2>1CxBU7v;ZlUYeGA`ATMXAphk;-z9Z_cT|0b4u8w07>^pUOHL1)oe}sHf=w>i60E z&&VAUX5`TDaEt$`v-R%OTo6R@yF~EDpTD_27dIhibbsflHSM>aSW!_}DIpr3%R41T zcA5OWJ3C`ike>FZ=WvdHY?jOXzFDh4`YF5qbz#PHS|7r~pj{>%S$`IvUq$+%$z|l5_eqPD_y9;*95`Z=74YJW{YvY&^lu88fXQ3F5p; zd)~5uU-sVMKw1l(&N|{ir8H^LhZjbC}?fpY}uXVeOuLT{=yyV&r3R76$n0*tdq zy2V*eV(e_;aJZu!jLCZ4Bm}vTlN)sKxiMmJ#47D*UI=pIGluxP6|fN!BDQ`YG!PhY zD)})StCBl;POx;P#OJv&W7(Mpf2l9^yaq5JFV&jk+!UIrC@tfjTeY;`SelQoo33$P zVxe_SSPymS)for8w0vKzv`ssk%Ac|v4-VD&L=WNR<&BAnWtM({OloYr{H9qgK@`}r z-`yn{2B#S`=;sk4&)tCw5^vet4 zn({GyTJm6@=iQfZ=_-LvLCUf9(?W0~FG}N2e_OcoiT(*<7Kv z;cMJU`gUPlfco2n#H8{iLs4saP^YnAm0MUvQCG9Bs>HFuuDiVCbeZ0|++|?4)#(ty zObd4|ZD4eJcI&Lqv%=ZgtAR+1D3>^dD&l}Ee4i4`!h*Gif%THl@Vzv9tN$r4Tw%MS ztG&Dobk()98En&YQIYpfO-D<({}6EvpkIg zx{`JKzb?=i+7bg$G9Ct#0IA4ZCmVaeH=fV0Wa6T?-taw2l451$8=ga|DC@vA_n<8n zFzc5I+$xBN1)=q?{_=D-F#br zX0O462wbKShdTP9X~UEgvLf?m%r_2y6i#0q8Z`w>=PWK$?|YrQuXFhQcvG$s@tWwK z3w<3vt>JGgEOU3{Thf+?f($%T=El07XEtL+6^7NT(!PH-F0<*DUoP=XXrx57aZ)b2 zZY>+8C+ciq|5@i6u?^S)zC!1 zsf$JbB*@}7zcjC~`oyzyMoRuKGpTG||E z(n_ynF#O*Jr9q(JeIiQT5mh$&o@s?sK|b4p#DLQ+AT0Zm)%OW6Z&#ZSAr&U_#m&Xh z@9T5ck;$N;+^c+-!fNM()aYZMbBA1_yW5^w_lwtJtW&&c4hHv*G2i#DwmIDx+$b_!wxD3NY#_J8P>zKl(Q zB4DkRJ{~zkS{15LqDfuhKPFSYKX83bcO>QM@_92UgG6VL7L)ssU42v};P*f%^H`xe zu1SOE=l(o=w3eC<7(;I=BM_Zf;8VU=jG_1^lHSHim%k?D>d6%p=28!!M@`+42X^@2 zL?#&<&PagqnA);w3@93W?NQY6I({E503_+wSl{7ewVU_X>#}}F4D)1y!YH9VIr=&? zMo>(-KCSZ~qIUGXsG`wKeNG@_=+a2zLbwLn1 zQ<15kMX?AVKnKtn7F7T-SCtSv>IYxq0yL~p5G49GBy{iXNc}g#-~gOSmU~#Gj|11% zz78fD19sy6uro4A1)!@Wp@34F)S48=W>)8f}b!*|tk8LhL=lm5XyK{}FpXYUCi{#olJl;V2d0u?KK7%X_daASmEe+ z$)~pAiIDfJPbFC2r>kQz4dvVh%oy1}gy(bwALbr;RzXnBkU|w93iP5)tAjxX`kT^J zk}X~Uo(`2#*a$EpFv0EIqguNivOEZS58StBgrC4d5zT`G4<5*?PH{t%1rZw0Z0>Q? zE*Y}2tC#HK+RRj|xNs6x;=VpFH=J&@dCh^?qD#-}FLl;m*aObCp(;yBSN~+F5?=y=()%ValK+DyFgL8AvF_opM?w)eZR)l^jWC98>znS zhQK#&<(Q*dC!E=_Rv=|cK6(yyan(gm`}o3wIUe$Lf9pC<$KEx68QqjJNA5x>l);DCEmFFHQ2ew>^hv;6C?{mw|0PwlYjK{3By$~acp zbo(ZQBsHpJ-%_j(71ADbMrQ*gszo!EU6-Q;m51u$A-`P(*wx+d^EsLCy2a)TM2Y0; z+1I5tsTljLUuCAl@|RRdkfDyf2rDdAvfSzWu>98;Kzs1Uljx>sf9mB)gXz8LWh!=4 zH7;UGsPTtCKoo7tbB24b5aNT1!a5?j@X)ovw>C49UCflPgDGvEPSVUJsf`zc?vCNr zI<+OOjOD*p?bn)aVF^wtmiOn9FCV^2JAm~JFakBuNu!-N-uA?BhW-%`8Lh-?1$*KkIDhDKJPAL&z>h5 zfSuRMnc;8$VTnLY6X|cHqb!6D_+6#P6aUQ>)DsG?rt9=Ln1R~m{CF@1>vhT&Qbi;Rq!8mjh;r}xeP&e3gk$-kJF;WMADDp2SdjN`+i-ygr4|4t z3<41g`0O-1L^fD?7W!Stipivw+uOc^F3 z@E|@T6FohLX>P3s<{!O7OWxIZ>M$t;Q1|f~XFL znKk{_HRsXDMN198No1OX*U=15sJ@w*3ZLL&rG(Fyy#ZDER^f%Fc9Y6D>8M_Ypo3qW zP9`JPQQ8fWDPc1s6vi4lu~n2#9~sb1xFmuQXj%pUzS+GpK!a=WeG3H2Dj3An4@fAz zA@nOG8V%1fBB(D^s3fbxLOwK!P?eDX!&@-AzORrytN&R!SvV%HLCZ7=NQ*Ds@sc0m zcTpPGtxjJI7YfYpj?5iVL;n1fh-e<@?-UiwNWog2nZkhOf2lU|E%efyGF2YOq~&=l z-eZy>DLrQBuBbTX4Ft z`NcWF>kLT9@*d8V3o8?plK(7Ajwx4DlNi>vgA0#YZH#IUOO#_o!GQOF7 ziSUH2r3In=J?Kzc;WCAZasIn_5}bPu&(HWHRWH(>0PK2TShobj5-ydDFOyPQpPFi* z+6m?1eDZ6QZSQ-QV@*&?WqHu4mujB~xFk&}Nl4zU3GkDfS@l}j!ys2Rj#z+#l#d7g zbef1DR5;}h14(62Lgj_3g+medM_x7WGlD1H$VjaU0JnL(u6F1_}U90@h>&Qb;Hn5W+i04Ov=Ra$9aaUF(TZ%l9V>oc$RU zJ2<^iQHcQApk3^;KMp)U^5BFbk^w8v)Qau?{rmj<-_H)d{1nnGW%}Q?wJE%Th8eH8 zrc?_aigoACdLDgbkHRU-Mfp166U&aIWxz6g^#n;nio9={_YXmIK_KYQP2nnzFxEFF zXb?&+|MM?jyl>A5-{~kpL(`BT;Eniz0V%yjqY7Y6D-(nxe%ub zD`b56!(Wnq>{?Fb#qaY~9G=KX3&h)fc|1FCG+M=*o4@nXdP5EbF$0@^fP}7kHsXU> z)CjDt*ZrF$;xGV#O@xCV1(?d(4>O98p;`c5vR-HS0raaBHZ?7ZxJ@z|x0i)<>ze>X zB=Wfi8ZYbIfnfGEk=uvU7lDTztqUmou{}-JCD5M*q|+ip?<>S3d{3-xDE=!p(!*br zLzDT@AaY;20maumPAb6F_b3z>y3iTVFK7?idnpOCVKYZhORzl!I0&#& z9%(w-+VJ)(n(Pld?e>e_KFnK>US&NfKfvjVLnL$CU*l~6%t^tzGu`M%p7TFLUvC$W zaH6<9lUSt;s-UcL#`LhM=O&W3gZx9E5PqU-%k~h0HWzLc;Gr#j2y_a~u!i2-gCN3< zFj)5NR}S=W;R(lsyb}8ELWDnC!;}AgvpZmmE7+8qzeAhTCt6z0+lDJztu`h?5r<4o zdC7|;L`dWto_~h>4p=gR1OzsY18(&q93!?;03x(WTyP;jeKwOOBS2~d50P&*2tlC5 zmqvrzc7l*@AQ>01X;y8Jq3hAXz_r2;fZLbRqKb320{XH8V<4CoL2^$#^veXs?R`Cu zcddGX4%VTEBfGfaUx9{vI)wysLL!OJBdjJUc6fVaZvvT5^0L1CSi( zesr3J{|c;fXC}Svpsz-tM#<$15x2B75Y7{n-+|wR)AY*qb;4cn_sCLNc)L`9EgrPj z=3y}~e6mFuIoHxx9(gl~*XCDavP567OtHW8H)%h5dgso6()n*|z;B9m&2RcRh*Aa? zR|j27XN}h7*Twlio_~*Yuc0o4E@Up$UlbTyT3VKYxWTQ_Os(%q=$_P=yAU=OSt+nZ z5rAKZQczF<-Sx+L?B5mJC4cCDgVOw;Chr#Yg;>q?0p%lrSFl*`padBIP?(s192PY-v;IW+Mpl81H1HEpjG>MDbZD#KvG!k zAuJqE$dEbaeuazysv@NM$uS_tmF(b{`C#W-GOtgE7rDq{4BzSxk1(ZNkdPm)ES z0E8fms{G%)oKL{ZI9P`#_1=Yu0duxX>0KgA{Rc<_d3nxkGE;KH5K3IKV3$A${AR$( zZ`}o2D40CSQ;o-6sjsP<3J6Y!kOVcWe@z(!$a@>NQ!MsD?|BbokL@0G9oT0m^m|7E zLCu2)0i-`&=f z-6iVOdB07v%4|h!Q(;}|)Eb$Tco)V7V!#4O_Jp^;INC5_1hjdjuQ|Pt6P|wBZ?>>9 zhE4AyLH+r{tyfkg6mRoHN;Ja-0L^#)3Q!$W!fiG*fEC3k?|W6ScX`P-FKF5L=Dd1m z$xo@)z#%4eKmv3uYA)T8Bf8fzCxUK96>e4*q1GJIQgW784UIan4KSGc(`4j3{Nzoj?IcWX89+aylxoHa6V?qiYu>ceJNwjO201#71=%bI>Y)&_bJ*0|3K<@M0`}@-3 zI}Ek%60_{$7H1=byOMs9rZ5=nD1&?p#KH)(>}clcOUpd_v$2>>cxH{>0$+i=v+s+3 z*Fftn$elw@+XBvhY|$hI09C8GA)0wrWs<%t06^G~K*m33^7kl`07iY1JTPx3A5(Q_ zzwu4HsV7CRTHyAJnG&sv19f2KTZN-zT7Lo126x=N>eWhIq7T^qGdxoNZ<`aZKltp= zMc|S09^9gZ@*8o$kJj;*AdA8T`N9$~fqsPotuO&FwNL9=^KB|XWyHh2q$!U8H`8^uI@tj{yiOjbc31N~)H?p?n>u-ju3x9_V#I?@yK`+l$N~>E=nwUJ zfOY(V%3s&X{cGcXuYJ%DJ`K7P^K0h1ua@6OkD9R}D0BathzUjt1rz7K)564*$b&!% zh$&=emR?eOzwC?Pj1uq#aJrUj(u)FS|<1 zd6MNcH#a38TS(n^Hnjj31SrSEW+tQ&&=_Ha&w%{Ic@K0 zZJ$cZWlja}DI-3aB767A;LK7-kMuVOt&~YoedR~Q#2^3up5OZTQ9;E>iUo)XVs<#Q zUK*w{D0&YZ`@5J$fOc9J|M z`c0=8$qnVqd!`>XV{>@SAWWO;29QwwH5a!__&CtQGQxD@E#JkzBU?}#+h~PIzguFQ- zwE4(&Z;e{__UQF39K+smifB0|vXygG>#GjiD?O4*DIX<#Cb5-JUr@?XfC{Gdmk{n3l)LUaz(j^*WW? zIfcq@ucSk?>=@E!G<9(6Q9+Ue!L7g}*R~q5w&n1EcfiB^TY!hI0ly9Y#`mqkzRd@( zZa!#LbHD^4MV~pbYl0&+e{G@pr}szw*IVtfk6fjgkYWmYIFntMse=hd3c?76iFV-W zU@c4_DP&k6CMYRVkrWCnWK3|RKujdHa7hFcOeE^VL>yp(nu7(!ghUDo3z9%UP*TX4 za0X1owip-RVtm3o6B1h@DUw=4?}a`Lotcti8b=C*g(*^Cd8j3kLc&A`VjdIifJcA{ zY7P_Hq(E4-mN797FaeHcRxlBzjR`^u0tqaL1iOr4OGhw|3HmM%i4-y>lz;+BA!CBULLxhxkQ5LIKvyR{e>x=vu^vo-6;e=G$fS5z7ZcPIwMd~o z^dK<>GJ;@&1r*vt4;d4L6lCZTp&EJ+Oi)sAm>8);iV++pjFAF!nn740kpe~^%}0iE zLl2%5{Lmv#jw#+X6jOk;(4b`Sfc7HU#R0R<(+*YguH_MQSp{P}ybf!2Yz_oh;LFq;C;@BZ^*u{@>yM9r@m*ttHIaQK1;1r7#dftgK9&l zYpB1IEa&K0RZ++H&%+{gtXhY2H|{CEuE)~K-$h?D;yb#ozG{wtGHfhx7R(yN1)T$5 zmwoR%^$lIa#;S5`V0i`9zT5D8N9}7C`JCE6DZZ-Zv*;gXuMUiWu?EHwz=)Rp|Il`r z=1+sy|EcfFfBtOIKYcLfpE?WzSp4}v(f{(F@4Pbq2e`$n^uNDtYyYV>{+EA$3;ZW@ z{BJgL^Lq8a8uFVB!*7`DzuL&nZ0$e%tz>% M07*qoM6N<$g4*bEMgRZ+ diff --git a/help/img/dbgscreen.gif b/help/img/dbgscreen.gif deleted file mode 100644 index b65aa24434a356537bc54fe5b569deb59088a62a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12447 zcmW+)WmH?;63y4**5VGqp;(JkEG-n5;!cCR6n9djI23mX?(QDk-95NNi#reAS?lK9 z%$k`!d+(DUITBLhe7ySXZ+!l{2D~oF{~yTzH^|F32n6=BmSNN5{U9I!3=VW00IR-p#T^Z0EYqqFaQt+0KouY7ytqTKw$tF z3;>4#0B`^h4gkRcU^oB*2SDKf7#skH0{{RJ@O2yz_!R^MeJusS0ic&Dfj|%l2m%8^ z5FiK&1i^qHI1u!b`x1UxU=Rob0zp9_7zhM^X#fTR!LLy;7z6=>pkNRT41$9}FC>AG z*HkbB1c88{5D*LkfR0*L*U?-mM_&Wi7&C&1%*Ih z5C|Lsd2s>=g}m?qzl5L=C=>#NLf}xyi#oB@YIH!XPjh1P+6|cm{++UYLVl zis29_90G$w;BW}w^<2ErdvW_E>BYkryI!1mbrA@B)dCEB?Fa?JU_clg_+rkB53d4& zL9Z~-S9xBPc=a0yepL+&e#M4@VK6WZ4t^2ih4%}^7dEd0K_M_0K5T83;?ja ze!TuB04UD@R1`AF3TTHP3hoD;{))`5!1pxLsgjjhJ)uOLU%6nF*?o~f(GW7Js+@rs zM&%-%fvQ}YC=$cLRH^E`krY0M1J8l#{ILv>AKLc9U&zGUw~^Fzu3UwaA#!OXGq-j{ zutMn+ExHQ)b>%XdQWM>fpGPwVvI9!GL-C+NU&<9{K{FU|0N{F#^byK?`aRCs2kV0A z@2S*pgD84ui}%HgMUjN{at*2+>J?#3fvPS14(g%NB7XQnjWx2d0sMJo%q}*TjfLug z-9u44YpwN|?Ex!I`Bn+-`dGReN#_fj;R2#~)(ehW+ZmyjCRUC7W_wX_0&D5m+_v=y zn^(_jBlm1JZM9<=bGjT?Hwhh1eGEl^YIghjj}_Pp@Y~PxGpPcT)NfStSH5K$GXPyM zl(xt%iysM6EVq)>wI%9G`zWMNzVs(sRs~3`2L@n@>jlw&C8E+{XmioaYt6qC5= z+Rs#dC@y(7dv~bQrgal#-NK_)mUl>UxCgCEHaAN&jW9Aa;N9^s6uUnef;tK~GtZ>; z;2#~^&n3_7)UTbG7=RrS>7(ORvr=p%-{;R~<;l4Rndd}_FMLX3uy0{X#>g)@8)RW{ z`#1FQhoIJjrDR`#Sr6i zM#Ho2%-xwv6|e6?h!-tDyLIA?vm;#^%?-~aP^4%4Z| zYb^X4?oXJ0wQ98{6W?xz$P(3t_|`0bjmrzsF3Q3=x3M!uM2Hsv^^4#EfMfLP_H_f< znpO%T*_;n`^K0HI+)oP&NYt%GG#>-^(`%{6H59ifw`Hr5UD)%yLq<_6`w$><~PLy!3Tkp=nfA~S45tP0=qFf|P+0Qkf2XA?9a%P}R zFbJGKBTIV6;_e%bFUUOO5D_Ezf0g;4(Qxd1 zzd8cndlbNECr4-~meWqZ;`Btu+B#E|WQ~1q5Gk}8RlsW0-vlBz5dE$Mh3HcfHR3n! zipjfA9rj}ryNXfJR|W~|0C8~5I{y3mC)mYtWBqehPYhm_|937bs(d0YwpDXEHY5Ff z!8lRQc*O8){_Hw`WKromg$UtPL)>d3vH8nC5jkAO!1Mk=MI>yiRYM~dk-g^kvr6$o zn^{g(Gev}K{i^ZPF^_s0;(f*@F~(su5<862bR?Cr^8R2(UDST$Hv8aW&+R!A^B&RY z_s>yqoh{z3TLCJJeEqhRWO*KU8Bhci=FSqtkx?;IK=AI1(8wDCA>gbfkq zc`;Pssfx4-|*qtnU0S7(!^m^<6t94Rd=CPPKBX}b5bnqPQw(m4GkIrf)<68UHM zL|qf(T9q_hmET^oRR|`u9qIUKA2Iaui@Kx)ym(?xhESC#WtK9ELX99yki92^c+6QIDI>on{Zbwo26!{$q;I)m z!{@B+tVzHFenZmSbOD}8lrqybIxW~K=G$atZaWsWj56CBA|9-lFwZFyT9Z_odSH(= zRi^u;h`LFXuhP*fO;B<0byXS_ovnjOF_Kf8U83$L@lMpn;(jV$U7xM=Qn#$}d}oUW zzuqoU;)$KHnpe!1q$Y!SnIRl~uM9r|5k~ zbNO8cyH{>z>u9G9EM%)uMku_I?E=rLPK7S(|LM)xN}C!RwQdaJnjHtr=kMB+UH2&P z(Gkc*Zsl8S?K+bl`=vQ~3lXcUm%8VS>*{;UTi{44Hh*{Fkvedxb#Ezm89O$r`PJM1 zP`o*PmEPwS({=2$T5M_55ErW*km24$xOQ{@#XH=6o?vv-^(;KYs8FPFZU1md>+KWgR&Qzm#?z_{aIML5%@y}q=5@KN=XI;M+>eJ; z5<)b0JjDV83j#b6-^$J+TWlyW1E^MnVq)Y`&zS7E0YRDe8hW@fT}X1PESw$;>G^ z83*XxNcAKHxIR~_>hk@T&-MYk@)}6~wkUx7=$A;K2cyP+S*Z=M)ClA;{5_>&XxOE6 zlNS)v=VUOa{)9}7I_3GR-|Y-h#HijSb@K-)zK~H3xy?1L-L40Ug#W4ponVJ7im^Rd z&9*Sd!KCB!Q~av|~8Kh7ZQ4qDABSD2H} zABhMQO@D^xf1l6tel8l>&Rp0D$NpYtfRHn46}&M>i1G`X_x)jN1BnYF+xans{O7*~ z=6O@*w{F4vUtHQHJz4*Ya;mWc^hl3R{~5cm&rLKf+w~6J(@c$ulAR4#?+Fhk3bnd0 zm8px8eB)Ij8N1rggu$ne$RCl262tP-D%M0!*V#m?FyfBKDhDMpn84ubOQe5X{8XYh zkE5GX9RqM6#5*wbl-`HV%pHsG6S9W zC%xJDw7hrYxuaa0gRB>JT|H34oD*<-8Urn=V=^QxiEe^Wl!F5LoT-1?{N1+MrH{Uh zCD*++ElO|X_T{i^*!NhL_>?%GlzyA!Cl>F_mx{?5(7UhNos_ERkUGpCJb0UmF_}6! zz%;Iz7RsGAUuZqsm}V7~wwh$OOq}j?o3_f7zQdos6`ZyvEI$1^V35>4SXWDW60uz3-zo8~-jjWCwv6jYM^=ASIudBf-^IV?XnodK3YF zbk-$Vh!nn5o=1&j^fOT=(Lfe2iajI$pMC>t)34dV2HCtMk&hCVt^UbA_9E17t~6R+ zSd01tE;*Qi(aOYjw9!c<$-ynCxknT3%4nhAisCV;8OAd|Xf3)aEMyBVh|~tF)$`}U z@~KTe_>(j-S~TSy)_&wi@k2n#;LX(O&&dum`|;S2%@hI^r(>jXbN~C&RdyOpOJ%{y^mN> zP@l;?SUI{7^YOlbB}a$ERjZz{%AD>`!hmaSQ9|QJRX5dsW~Yqi#>^$h?Bd2;8OMC>^1@<=Vwv(%bcb^E z^2(u~hvq?H&8*_2`5nnd7fCh2GMV|PEu|qjQOxvD`z3LfbjgV_gdwShPW7RACHcga zdB2KcvhY<+AOnIHH-~O35SjbG8Jcpt%2?#!BXDcL(O!|g~sNgj79Y) zmW47NRUk8W4m9Y&M1m4CW$F}FNNXUr?*tBZTxh1T%h*B_=tXNwo_{L1r3 z=lq)2RYTI*JsJ8-OR!_`OR&YRebRAE~e>2OsKtTd+dRsHWi@%mzqIb?wnMJ#i%Ky)5iO)`=?CY zJhHV!e??ZvFY3?j@5uUO_)8WAlE5L^xmtbBp1)m}!M|j?4VeqxS`|P9*-!1QGi2Kc ztBWZaQ=~oA*P02=1`>-bYW_?m=a3|E3%-xD8j!J1dDhg7Yv`It&J*NhjEvT#e@oq_ z)X6Nu*UY4B{)HE8r@?D$#*?7aY?(XE>?i%zg_q-M*fD0rkaJ{OR&BO~BbCpbFwn}f z*EoGop=Unsb~@M|Wpw>{S#`5zn7da@G}iWCuPrQZ>^-l!1MS#3OX4L4kSb_=Gz_BB~7EiV`Zg} zRUI_ULVB?JeSy1uYefX=?6SbG&#wKlR#Nf)lHTq& zy_l*Va={s7x3=l$q&n-Sy5fRxdmWVk%)G$xdFj4ck@y~0xj}N-dM?g|IbI)p6BdHb z7Sw@-z?6JR7U$}RhUm}pCR|yZM6|_Z*f^yevK~n!UC~D9OO@6$c;YPNewFq;13%>= zC{nchktM z-;fe)dcD-X%9KwZ1{!bjmq@8UEt7Xjjq)n#y2w&!(uXU5YfI&l8&{{0)$~@;j)3V# zY@@WT>W^+4wxyZ2sR~T)*gWmnQS90a?>g%3I(zTBrtiA9?Ru{6dOz*jwe6@P?)mHO z{r27qO5Y1<+Y5Wz^_5o*r`V4c-jCJWw~kPcPv4IeR<$3^p6H=O;@f2>iaq5&Nb){# zKiQ{H-7T_m&OLET(CaC%!Lx8*X$qU6GCb6N9MXM$#QE9gf!SQ(Xk+GP>{{)8$$Lo+15|@^iGV^j*26u2HgMBW+)y!odPIRD9sQ4m7VoJ6?$S= z&tOtC1Pl|EuidYnqEH@cBm-SW)ho&dX?~p1zPm7NJr`_0OWW#{qWo9Ow$f9Q()Wgm zH)Clj4TtRX9E1IML%vH~Az94!@7o_4ni&~Co}Vv`eNGhCG^6O&lRYg&Qr5hdaVD^G zdPZ~6Gp@$jj`V+AsCu5IjharV7V$+~Ba58+E1iB6?#|+=m)F{+!M?#wZO)@OW_c)J zTf0VP_tT2Jh<)BiTjMXYIXN#oRFgMq_FPbw-v(vgmObB9WSn`fp2@fG2jSi~WZXBk z-#gjdf9<)4Q9g81-naSOclkW@XFL?>J>Vqn>NDF`_m-Dtd ztC1a$IT`oe$)8A+n5@}|5|f|ohXwK__s)1;J033Ox5PBJq=YYt&bQD;J<8NS0=|IY zAYWuG6fQ|%W~UzpGMj9}AGlZu3h9TMXN{=!Z^4Az-UzpU3`PBLIE5Yg&Wt1iSrvXi zm{rk8B?GA_QjUR1L;4*0J?tJGc9Y_zNrlRjnfuPAA>1tUrTUFcPoJ+@01dU4Q*5CE z_13FtUNp7)Q3h+he>}c&vE5eu9qBqHdHE~%_iQ7OatNU$(RL(yO54DOLhnI&O;!Sz zg~aJ%d9G5s-E_sf_GqQaZ7su^0Mc{vc(eZW#7etSVp!6Y(Ud(4=l`{7A=+$`#Rd ziYDgpk%g6PT$=3*R~X&LU5o*-@nK8p5BMVjtlXr}sn{iR7!8=xqTwa%6O1p{M1#N# zF}#Uyba8k}4B51n&x4}~I#!_ls;!I8J^E~Aezt*&Az6ha%j&K-)ig8w%B-QdO-ivrGSV4o{bKE?P-5&+!>$?N z(xs?xL>R}jZb2G8D{Q^HfhzxwiWIj16IWKfGNMC}uqj*wuW;!T@9OsvGzNi%Mw_>X zH-;Xz>GsqFGos~eXY(@FZS%eapZC^c1Nh9%9U<-4P;YB*~>zEn)oeC>vx(g z%iEv!EGqlxJ)Wg=$erRPY7>l``z`b3acpYXjWq_VypRNJTd#MTZ7WWZ1nsIGXM z4oC6q+6hfte)duB%GwPuTp!yFvHLKuTj2+`IE;xP>sk&BBVOtWIFtsd`}mxk|Hw`X6!C6hj-G=#30<+}r#1DZkKP^E580E{ z5Tc-dWWNlNo%{QZ#Ou>v(Jho3iS#+}& zga1>er#^pHEM#LoP%n3%6($-n6UOh(JZ9UY*Gx0_<1|#(mqz-k6U^>IOSS%9@v>BY zAyQAfYYxK)zl&+|8QU}lJ6%_=J8wAdS|cOhL% z38q}Aq9{$LUgC$Fl;1S#$bAE4!59hs7{On@-PxL;iW!r((n%%vps7^SPTZdK3kxnP zMpl?(ew5&s9nC!pvhAjOj4=P8S!W+*Og2Xnx7VvY8=`B%vQ|paFQsQv6$0{$LixyrH^`$;h2)5+FKb*!kSEf!YLe9u60Ob;xr;Lt`q;fEgRyIO!cjV=p#$?6g1i0 z^eg`2pdZh4=B~Dx7+q6ypxSiSb3=-mI5$aCsB=vgDWbU~`L1F#g-Q;Cs?h-(A)Z_z zo(SDBqqOm&NCHJfu1RsBHQ0S3>8i$+pLJR8KZNPUqv4|sBhNbjt_~0MVG}9#zh8Hq%+g>&UHaT))qg}HI~XQtz)H0m*c1F z=q>}Lp7*RwKa%p+|5x8I?DSkVBW|hmM}wfaK*(AHc}lCxM7_4EwbYqTd%kRzplDgH zL_6JBr%CbHTvl7lb0B(|bfUJ^ER;R>z+tUhZVsA@$!^1HxsqOURZ%Cz?Ak1&y?Q`U zi%4(vdB(x+vvTo!!|Huz+=-Rh5&nD|#FJNu7c>MP1(|=)`htYFA22K@V zbT%ZV9}rBxEac`{us9?+NsvWjVdV4gU%jELIVcbv5z$M+7XK1=(z36z3?DKXzjw8m36Vo;xv*A)C+k#G#zunAN}8Y8@Y`$v-5Jw zIb%N4@nj&j&B}3az3iHIS@ftM$nY#&{8)+0Rq-wfT)q`WY9V78uvgnY`^;jjdh!^Yta)`}S4n28FTM8PW2 zgd-G>vyKbgpRpp++qM@5$jFW45@i-1k_fy4nM_WfgdY8x&YQ;=0!a)o)Bl2mTy`eU zTvb%Y&cXSIt$ z;vDS{K&927o?ilcpKh;VQNpRP6X%2HXrEgSr`GF2j&|1Lwuk!_T1pKGBt<4y8b}sGv=jqr7RH|-tw|?Yz%;fi+LfM1uEF26;F*FHXlq=}OR2vKn zSpthOdC&VTj{~4tMOX%@@E3DXqC1RiqXB=I@jGcgUN;p%Y)63o7X#l8wB!yl$2=0U zJklH>Il@=!jSj`LFSn?jG=k|=c)8SJl(ddtR~0)&48GpDb$*bAF-~VO8Kt9GHL^`N zzQ=&Fv%uV{gc7?N;byd}%9(9bae`T?aP++U;xweJPDpf??qFOxXWr9&O9FGof`G`M zXxz8M^zXJl7h9%bdy4u}C*m#_WqDx>fh1zu0lw!;IP=`7i{h2IJ0FuHWJ#ug+lo;jof6!2BB?;x4rDq zup3f|@})e)?hu2*D3jPUynlIC7j%u#E^Ge~%jLeyiaw*~i?EMJS)-)=^Px!&(xTrn zz76B{9ujBybBQxPG~J!Y7{~TangeCB`>ZQPWt)1>-!onS`qL&pBfJ3xut;*E!7g!g zN=QW=y4%X8gbAA@2C$p1hzQ^Vk{L^qo?8jYvAtGDbed#?#~$qlWZztVHT`E)|0Rb| zo~!$9?7(eGcT@`rDo#FpK`QI0PcnyEx;s&8i+*{5&U*dprw3{@M`HhF2EI4FV(#f8 zVbW>ZU4|vnvr?osE^dtVEBO zk#Rg~m8=qU#NaLIf?;sQG{(@xUbHqNwD}fc?@Y*Sg+a5T`dXKVevl;NV94n%cc%{h z$&qE~bREJw1M!+OICWsm8>On$NQnW2AWE8Tkq;kUOV_PUk z{00oru?sBmQ!+nm{e#X=OF)N*z#Lz;@tQAF74+@LkyXEwS}5Fc$=HOoz^#PT2gZ2$ zB{J?59uO3gJp13_f7x{GLo8L;#?HS-7f^f1Mbzyja#A2F9gTHtUAbuIlOANKYV8eb zYuN09yZ z{}A=ZQH=OZCpGyh5@P&n0Gwj#3wkl=4?XJ-nzD+V9T@-xGB5 z=VEd|wL{LdX(?991mrC!0b_RdV&c|4BAJTUEs2j^3Kj=S^7s-Pc-^@V^6p>z6F24G z=1PU6LIvd}#A~J{<5Wlt#?-y0CLP2wwqljiU@Y4NwmOY=Mgv&75}N;1_(;@>F8Vi( zrkPvGp`l&crPBidV%8BM2&)Bt7mI0cs4MmgODz$Rt+;+wU~B6p!R}5oZfj zY0`q4Ip{EO@yUanx|;cytGU0Jk*CnhE#E*SG9Kr{(&k;r@MeYN`s8p`H&pEer}!Fm z|3)u^=z9F8mjOc4yMJZB#m$?yPV=d*PR}foqG=Oz>n!N%nHSC-D5o+Ht!1_jrW>!8#(}3FwfU`8vYq%F1mV`?#OVI` zkk%&qEed}xtWT{%|7zsKW=LsQ1e4BdGI3Jnd(+?wRr-5IItRn+;Um+Yh#Q|5)JHHj zsC(Iyd=L#is|^33$VDG%Ba6%L>d3nwXjXa6s$yu8 z^K6-VDNXe-Cbo_uoXnYY3OMP^>lh6!8q*wiqX>sD<5tN@^7M#o8@;v9e@xR#{0_^y zSmFtXmj;P;ctvKF&is;){K)fDv=b%^^ z?`-+Mqp1NJvHkNOCZprRC3Ms)dRF#^s!h+rygQ~cGBa{YYrN!J+xS|l{(AVVyAncQ zLc{brb(g={=(Losyc?A}!pp`Xp)ufAQW7$WGt%cytw&XY6`_ie#Hnotp z@%YDG=beM!o{Ofx={B}Rj81l0h{wrw6O>y_e+X+Gdp4=#SjFjWry?1lrR!xN38p^o z+!^a3=C10rt?l-xN;+HR6U?T*wX|e=&MBcWlUHkxs*{&?mNa8C_c>8i3@~XI-mt1W zVj37!m$zsTU)5ZNYYCrRs!j&a8lz$jLcA=vh1I}V`bobHIc%&~cE&oMI;+~=7xfA? z4G9>q%vf3so$S~M?(7|R%XuFdW+?U;$JshKZ>3!7HJe&ft z{xXu;0om*rgzY@#{!;1KA=&;V@w9Ufw?oaa1C;-zJ(-Dh9x4_7b6U5|sVBmHW+TVa z{#W)7e?-4Eex!9LO<}|Qq;7a;n7)WN&?0vI(R<}<#N<=F{FgFY(zV?w@k2_3!Aj@( z;4@>DHywOz!1=NS+A$2mT|)f-{Ix5!BW*)6+hq5y5VUsGbkfsT>yR8|oorH1jF;k% z7mY*+pNuhUtmzr_^6E@yuS}VIFm&&vnfs2)i3SO72Pz%SYA|<$D3&I2PdR)hTJu&g zjIH1239rQ&A!?t!k7dC9(BDfzC0MQ=>G?0C@l5~rToR#R)6*<+#!{txk2oACTl=if z^}~V{U*iNb=FIyX$2&cac3;oa`VwoK`;_6nL{r?x$iMBM#c~d)vHkbcbK-XwmyO-+vHF`QN76k~ z6L~i`BMTwy)`ztA=O@?I>7OYvxxSLS3UgU8D!9#v{qQo6`d%N!GM4Q*ZIX`Z5Z>-) z!fW%X{D;=6_+FdgA(HK(jV(5zidSdj+RVw})%Du&mOxvM3hQMg{?dB(&zrjEpItgC zl(wTG$3H1{Pf30sqWAs9h~%hpQLk?2tWj6574fKJSFe|-yv(|9s=sgUyKkAhZ{59b zyS{Hnet;1^bTB-0@;-D)Janr+^q4;Mx;*p+KJ+I%4CFry);|pOJq*u1jO;#)UO$W> zKaLYVPB1)9@;**QdWthw`c>Zd2t+r3MW0T1T*!Z1tbbhU^J-Q1!dUV$DU82HYomgUv3|~n%2DcdHL4+p8gU(|6_PQ zyY{GixmYg0UomBy<$b;me7;F|UY>h$YrbDK^=S%uy4`(#LPi0+LB?URH2Vwe^hYD) zu-f}e)g6Sxpp<%Zn$Z#ZmYaGD1(Ge{OC@4JtND+1F!qCbmDT12ty@_K(b zokPfSFt(A@FPdMgEEX4xlR44}9M%WZbP8#Ne$J^M94-1wGzx`}4=$PKD-8OgpII%h zSQcw6=Blg@uUMBG>~|+iEw9;DTimbDjt{Td;V@rhTsEtlj~m?~gq$`u0nU_SG{pRi;zoDO22%^R+JLCo7JOYK8eu zcWgEfH$zL=KO9Eq$@nk!CetXpA6xD&eG}^)PEQ^MZvMjaW7x-(xc28L)9&IX<%P>$kZ!3I_c22_n+Bz+_Vk&F$YL zzPI$Li*$uF(Mo6iWGNux0poPXuk*&Kzl7s|SRv3OnE9}GHqd7asV!{A8Rs>a1;(Md z??cBl^C_J79|NfhGEWvL@^XLAF6X5V?(b)2HGKw0zHd+X(*hpq7(HB*j_=7&&rSdsyQVOpd6oh=M?Dug9?@v|v;A)1Wu z1GD6rzA$rR(;8J>4EsJh2BhVjAxtu|A?%a~d%fC-7OQ;DNes?W_u9OI zUaXZ6yU{Q0xu*rfgdqjvQp~MfQ*r{2T+@m&LfkW|+FsnVnwF1LT^Frg=&Tj zQ%2P0O^dD77A>0}RhMjs9<>)7mPS;TT@G=)lvh3OA9>-w(1rQd14z>yK>&gO0pR(( ABLDyZ