From 4dc8723dd00462252b280b0b2f9c6ca540d1c2aa Mon Sep 17 00:00:00 2001 From: "JASON-6700K\\jandersen" Date: Mon, 10 Jul 2023 20:29:46 -0400 Subject: [PATCH] import: snasm assembler, and a .bat to help me remember to run it. --- bin/snasm/CFG.BAT | 1 + bin/snasm/CFGORIG.BAT | 1 + bin/snasm/DIPS.TXT | 6 + bin/snasm/EXAMPLE.658 | 39 +++ bin/snasm/EXAMPLE.PRJ | 15 + bin/snasm/EXAMPLE.SYM | Bin 0 -> 56 bytes bin/snasm/GRAB16MB.GRB | 65 ++++ bin/snasm/GRAB4MB.GRB | 17 + bin/snasm/GRAB8MB.GRB | 34 ++ bin/snasm/INSTALL.EXE | Bin 0 -> 27632 bytes bin/snasm/LTU.EXE | Bin 0 -> 15276 bytes bin/snasm/NEW658.DOC | 729 +++++++++++++++++++++++++++++++++++++++++ bin/snasm/READ.ME | 83 +++++ bin/snasm/RECORD.68K | 164 +++++++++ bin/snasm/SCSIL16.EXE | Bin 0 -> 4018 bytes bin/snasm/SCSIL2.EXE | Bin 0 -> 9478 bytes bin/snasm/SCSILINK.EXE | Bin 0 -> 4018 bytes bin/snasm/SN659110.EXE | Bin 0 -> 38626 bytes bin/snasm/SNASM125.EXE | Bin 0 -> 64213 bytes bin/snasm/SNASM658.EXE | Bin 0 -> 64309 bytes bin/snasm/SNASM659.EXE | Bin 0 -> 62203 bytes bin/snasm/SNASMSPC.EXE | Bin 0 -> 61211 bytes bin/snasm/SNBUG658.CF7 | 26 ++ bin/snasm/SNBUG658.CFG | 26 ++ bin/snasm/SNBUG658.EXE | Bin 0 -> 45705 bytes bin/snasm/SNCOPY.EXE | Bin 0 -> 14275 bytes bin/snasm/SNGRAB.DOC | 89 +++++ bin/snasm/SNGRAB.EXE | Bin 0 -> 44232 bytes bin/snasm/SNLIB.EXE | Bin 0 -> 4927 bytes bin/snasm/SNLINK.EXE | Bin 0 -> 27217 bytes bin/snasm/SNMAKE.EXE | Bin 0 -> 55786 bytes bin/snasm/SNRUN.DOC | 34 ++ bin/snasm/SNRUN.EXE | Bin 0 -> 4045 bytes bin/snasm/SPC700.DOC | 93 ++++++ snasm.bat | 1 + 35 files changed, 1423 insertions(+) create mode 100644 bin/snasm/CFG.BAT create mode 100644 bin/snasm/CFGORIG.BAT create mode 100644 bin/snasm/DIPS.TXT create mode 100644 bin/snasm/EXAMPLE.658 create mode 100644 bin/snasm/EXAMPLE.PRJ create mode 100644 bin/snasm/EXAMPLE.SYM create mode 100644 bin/snasm/GRAB16MB.GRB create mode 100644 bin/snasm/GRAB4MB.GRB create mode 100644 bin/snasm/GRAB8MB.GRB create mode 100644 bin/snasm/INSTALL.EXE create mode 100644 bin/snasm/LTU.EXE create mode 100644 bin/snasm/NEW658.DOC create mode 100644 bin/snasm/READ.ME create mode 100644 bin/snasm/RECORD.68K create mode 100644 bin/snasm/SCSIL16.EXE create mode 100644 bin/snasm/SCSIL2.EXE create mode 100644 bin/snasm/SCSILINK.EXE create mode 100644 bin/snasm/SN659110.EXE create mode 100644 bin/snasm/SNASM125.EXE create mode 100644 bin/snasm/SNASM658.EXE create mode 100644 bin/snasm/SNASM659.EXE create mode 100644 bin/snasm/SNASMSPC.EXE create mode 100644 bin/snasm/SNBUG658.CF7 create mode 100644 bin/snasm/SNBUG658.CFG create mode 100644 bin/snasm/SNBUG658.EXE create mode 100644 bin/snasm/SNCOPY.EXE create mode 100644 bin/snasm/SNGRAB.DOC create mode 100644 bin/snasm/SNGRAB.EXE create mode 100644 bin/snasm/SNLIB.EXE create mode 100644 bin/snasm/SNLINK.EXE create mode 100644 bin/snasm/SNMAKE.EXE create mode 100644 bin/snasm/SNRUN.DOC create mode 100644 bin/snasm/SNRUN.EXE create mode 100644 bin/snasm/SPC700.DOC create mode 100644 snasm.bat diff --git a/bin/snasm/CFG.BAT b/bin/snasm/CFG.BAT new file mode 100644 index 0000000..6d9d6b6 --- /dev/null +++ b/bin/snasm/CFG.BAT @@ -0,0 +1 @@ +copy \asm\snbug658.cfg snbug658.cf7 diff --git a/bin/snasm/CFGORIG.BAT b/bin/snasm/CFGORIG.BAT new file mode 100644 index 0000000..6d9d6b6 --- /dev/null +++ b/bin/snasm/CFGORIG.BAT @@ -0,0 +1 @@ +copy \asm\snbug658.cfg snbug658.cf7 diff --git a/bin/snasm/DIPS.TXT b/bin/snasm/DIPS.TXT new file mode 100644 index 0000000..51f092e --- /dev/null +++ b/bin/snasm/DIPS.TXT @@ -0,0 +1,6 @@ +Regular dip switch settings (Snasm 1) +------------------------------------- + +A - all down except 5,6,7 +B - 1,2,3 up, all rest down + diff --git a/bin/snasm/EXAMPLE.658 b/bin/snasm/EXAMPLE.658 new file mode 100644 index 0000000..2327a6a --- /dev/null +++ b/bin/snasm/EXAMPLE.658 @@ -0,0 +1,39 @@ + +B0 group org($08000) +Break group org($0FFE6) + + org 0 + + section s1,b0 + + regs pc=*,p=%00000100,s=$1ff,d=$5678,db=$00 + + mx %11 + +TrapEntry equ $708008 +BreakEntry equ $70800B + + assume db:$00 + + rep %00110000 + +MainLoop jsl TrapEntry ; service debugger + + lda #100 + jsr Delay + bra MainLoop + +Delay module + dea + bne Delay + rts + modend + +DoBrk jmp >BreakEntry + + section s3,Break + dw DoBRK + + + + diff --git a/bin/snasm/EXAMPLE.PRJ b/bin/snasm/EXAMPLE.PRJ new file mode 100644 index 0000000..341bcec --- /dev/null +++ b/bin/snasm/EXAMPLE.PRJ @@ -0,0 +1,15 @@ +[snmake] +t7:; example.658 + snasm658 $! example.658,t7:,example.sym + +!ifdef(DebugStr) + snbug658 example.sym +!endif + +[eval] + snbug658 /v$$$ example.sym + +[debug] + snbug658 example.sym + + diff --git a/bin/snasm/EXAMPLE.SYM b/bin/snasm/EXAMPLE.SYM new file mode 100644 index 0000000000000000000000000000000000000000..03d6acab952e00ce347f97ae34adf4fcad935395 GIT binary patch literal 56 ycmebEb75ovfd&Q!4rV40$-oCBnOIX&a}q0sAk6%vqHK^H6Gv`hW?oKyegOdV^b0Tm literal 0 HcmV?d00001 diff --git a/bin/snasm/GRAB16MB.GRB b/bin/snasm/GRAB16MB.GRB new file mode 100644 index 0000000..61ede5f --- /dev/null +++ b/bin/snasm/GRAB16MB.GRB @@ -0,0 +1,65 @@ +!demo.bin +8000 to 10000 +18000 to 20000 +28000 to 30000 +38000 to 40000 +48000 to 50000 +58000 to 60000 +68000 to 70000 +78000 to 80000 +88000 to 90000 +98000 to A0000 +A8000 to B0000 +B8000 to C0000 +C8000 to D0000 +D8000 to E0000 +E8000 to F0000 +F8000 to 100000 +108000 to 110000 +118000 to 120000 +128000 to 130000 +138000 to 140000 +148000 to 150000 +158000 to 160000 +168000 to 170000 +178000 to 180000 +188000 to 190000 +198000 to 1A0000 +1A8000 to 1B0000 +1B8000 to 1C0000 +1C8000 to 1D0000 +1D8000 to 1E0000 +1E8000 to 1F0000 +1F8000 to 200000 +208000 to 210000 +218000 to 220000 +228000 to 230000 +238000 to 240000 +248000 to 250000 +258000 to 260000 +268000 to 270000 +278000 to 280000 +288000 to 290000 +298000 to 2A0000 +2A8000 to 2B0000 +2B8000 to 2C0000 +2C8000 to 2D0000 +2D8000 to 2E0000 +2E8000 to 2F0000 +2F8000 to 300000 +308000 to 310000 +318000 to 320000 +328000 to 330000 +338000 to 340000 +348000 to 350000 +358000 to 360000 +368000 to 370000 +378000 to 380000 +388000 to 390000 +398000 to 3A0000 +3A8000 to 3B0000 +3B8000 to 3C0000 +3C8000 to 3D0000 +3D8000 to 3E0000 +3E8000 to 3F0000 +3F8000 to 400000 diff --git a/bin/snasm/GRAB4MB.GRB b/bin/snasm/GRAB4MB.GRB new file mode 100644 index 0000000..5de3243 --- /dev/null +++ b/bin/snasm/GRAB4MB.GRB @@ -0,0 +1,17 @@ +!demo.bin +8000 to 10000 +18000 to 20000 +28000 to 30000 +38000 to 40000 +48000 to 50000 +58000 to 60000 +68000 to 70000 +78000 to 80000 +88000 to 90000 +98000 to A0000 +A8000 to B0000 +B8000 to C0000 +C8000 to D0000 +D8000 to E0000 +E8000 to F0000 +F8000 to 100000 diff --git a/bin/snasm/GRAB8MB.GRB b/bin/snasm/GRAB8MB.GRB new file mode 100644 index 0000000..3671389 --- /dev/null +++ b/bin/snasm/GRAB8MB.GRB @@ -0,0 +1,34 @@ +!demo.bin +8000 to 10000 +18000 to 20000 +28000 to 30000 +38000 to 40000 +48000 to 50000 +58000 to 60000 +68000 to 70000 +78000 to 80000 +88000 to 90000 +98000 to A0000 +A8000 to B0000 +B8000 to C0000 +C8000 to D0000 +D8000 to E0000 +E8000 to F0000 +F8000 to 100000 +108000 to 110000 +118000 to 120000 +128000 to 130000 +138000 to 140000 +148000 to 150000 +158000 to 160000 +168000 to 170000 +178000 to 180000 +188000 to 190000 +198000 to 1A0000 +1A8000 to 1B0000 +1B8000 to 1C0000 +1C8000 to 1D0000 +1D8000 to 1E0000 +1E8000 to 1F0000 +1F8000 to 200000 + diff --git a/bin/snasm/INSTALL.EXE b/bin/snasm/INSTALL.EXE new file mode 100644 index 0000000000000000000000000000000000000000..213b8b1d1412a37b8a52e8732ba3296f30fa9369 GIT binary patch literal 27632 zcmeHv4R}*ky6(z6-*4?CO^V|=_qq3Z z?sK2#2Ab^kt-o)5|La>TS#aMaT>&#NJL|(#^?7p>(_Y2+(6Q^|?)QWN-}|8h^d$aL z>EZvs{vV{kYlBUB{)|cb=5dFHig|h_W{+WeKH2wEw#&SS`LhGepZ7eIr|I4*-pRyv z@%RC?vHS8%XDepq++p%wYdX}_al)UnlO52p56}8qt-iCJ{_I_B*C)B>#p3MDZ7S2( zTHauKKNZ?{W_d;#iZe1j!(KLicyUhQMb7{qDwtsL0m{57Z?M$Di}e=qhGGaltP@We zKJjNi1vH*vPw!#5$M>`}-&uZW=*kbj?Amiw{PKE!OWq#yB>fxqtOGlY`;Qg46 zIpzCvrCX?nmAzqQvJ~!-@oaxJ<9Yo3u0J0__OSdG@zQ~@OmA%Zh&j%5j(sjkNH{Qn zy*8G~Z!_^i^ZbBAe`x4|6!w~f1>a=Z5}R~rs6X2SstQap@HNb3lE{Ng-K>! zXh5NfNo>Tf_x619+A`gtp+j0R#E7>uJPgm-k`a$}+M@}N4{48PJT}l{YqKhTES@Yp zC8Re^R|VT0>vZ*|z&f4yp|91f$b}yZcJY&Ji@9k!YqO|)O@bvyU8x_Uyq%+#9_z6+6QxL3Te?ZW#OS9!amld*QkH67lr(%U7*@~`Eq zz6PVNp+HKvIK>rawO%hzD0RCNTfFIN!63af$KsSSElzp*INw`l#VXCmbN)DYev4E7 zNs?PqRj0gnvRhs_s@*&;Yj~DgFfL2J_YSw1JuWLS$I{I9*|W4~E1rjCNxuVPKOgOu z?Ke5Ei}^XB)6PAs%^Uz0$SZVCZ#gxZinQQ{sFW7ya+P`A44$2q^&>)60qBG z4S!di^2$EGx2)3R7AJ<${>$?@=-FNk3pn&_Y{Od#Bp;bZh?EKDrUs?j`+(F3qi>gP z^h|Lz3C$8)1S?G}|2mP@PW0WqeOGr+tJ4_F7HuUYy0t;DB&VD@%Z(zn=EWywy4}h+ zB^MJ8@+R>0C%TneP;_%FHhWX0OO|lskEpRBn5PCmW>R;rMOCEG>PK5+R$sngJTwERMM1WzHZL<1vYnZ zw5n01&rArNup+{G4fyy}&U>LmE52GxZN^AN)_5s!Cu4=YNsk!JCYWM^JTVse=qD|) zhUvNm$niQ~LpKu)!RT=CG9g7; z6G6y_KC*X`-*F9VK$jQ^^w_YJsBC2=5aK$fwIQ*R{}p|uwKNiyrl3S)K!G&CqA3~` z7pn#Tsf$w|JMo+k)I&Hf2i!#5gh((U7LlJ=-C@FpX}Cd^YOPNB$W*s@3F!8fHlx&v zQYT7=;3F{RXXEGJn}`9`g3sfj0S)@4t_P`l4HS$4tsxM{Q!buZ0=h|!y~JWBwU94O ziLo@FTHK1x(Nt6@bSb(yP1EoxB|w)Q7kX6L5Vy+TTR`rvabC5qOYU^I_vPzhi?XE` zd(Cx>c*HHlgBG`3ax2)cW6DE(;O!H;oO0rHw_7bxb-Q`#7sYNjWa7wK_0Tzw?s`@J!!W{*V|Z;8 z#N`-xGja-KTjrC>zZ&m$$~n^kIH%T~lbecx-#NqawmB-~8X#HzEvDy;9H7(4-adho z{nK8g_zTgG58A`OR|zJak2Oora!__cjPZJugYJn1HE@tW7W4ptLJrlsVsHIu68SdHY7?-!YB2Nzrb6J!O>Upq*(17h&1f986ki*; z4ev{>Vtv&_EV)Nq-Z5g`G|2Xe-#O(qSXbDFQ}L@pEIRCswFah1nlYKB6^BpJO!BR^ zc$3^_0Vcdj&+7h2Foi?KOUjt&(+~QH(MET$4Tg7O7i)uJAV7d(1ru~Vn)NbpR0{@c z3LzJw6xOT=XcRy$O;aAB^-Q;6v7}Ia;@c9ad+OiOvHCF461fWf%-|0GnDyW=79*(z zBf>bmib29SL_p616vjbEILwIPAjY>P93BLSX1Q6>FGCo5IG19GQS`xjQgk^azm*+T z^yCrHSQVl-JFKz&ZznrBs~4{ZlGK#<@X1DHsm>OonH?s8-x1{i(0gaP=~>H6eXJCooDwI6>rjlB!o~@4QutJXCWTM;T3HF9-Af9`5G*Wvolz<5{NYGt zpUxfp^G#CtaEt`x0!OuAY*+$bxg#n85zsRLm1}aR;!*k^5ee|ewa)tQ$@>Xnhe8u@7144>?z5I{GZne%RPaEv! zRogBw9hIM->;_@Y@>7%D_Zbdv>tebFV}6TbN8N7hIh}IFWN@<1tQ9U4kR&oyVUZaw z*j(lL*Z6MA*I;8_gqSv_lm8_bVWZrP_ikTl2I<_VO+_MP064UKp23*04T%In|W<==Qxb7q$_CwN5|t%xnnqRFyeV9a}?Iu zwi<$4RD60(*uyD_sA^+ObaX1Lhn5$TT;*beS*!zh5X|y$SzKYGpydRQ*vg5Xf75{v zZKc??VN*m_#3g?m0tlRh(3SfduBqOc7{A@3WAe{$^P8k28Yg-0r>M7D@LA7zy9gpr z1@_;9I%c9ytxie8I6AFVsSEyrx#W|Rpz}Q=PoC=>Ty%BbS$ME9g~$BhvTV0 zAr{tQJys?pOB0fu@*f8P3A1VeCO&L$tSI19=tIEC0IHMa9obm!u2GN&`4@P<>XL7z zJymD$pPB3(h4mQ@7iJ90YMA@#Xuy*8u^-(^S=)FHP21g_ciI%ZU7=yobti(b%1i>k;Wc$bx?a$-8yl1X6}S zvEV+~cdPu_1X6(U(t_w1|RI=7;P}l<2q%XuOW#E%QS!b0Y`3puI3?1Bt6^r z7=zjlYNw@rk>fW?o1(*Cz5(2n0lexjRJA8s^)ask14#I_kFd~x5-&`yfD6>JijxBe zAD;#(vP>HUQBqRNATZ$JAMFY^NS6Kfz~RRgwjyl0Z8{LX&KMTK^CX8C!onz*j@lCDL;mO1m8t6=T~~Oo+)Ba>>^6^h(EOudN-)NZ^^Kq`+44)K5n>?5bXAX*XjS zqzw@uUJF50GjG!r{HU|ZhFw!s;Bh7=m zf=|J7k2igq+Jx)ZjB~q#A2MI@6z<30W$`oA@!`ITonbYB%gj^&_n$q~F>*58a*0<{U__5SVfDMiX;3_pWUQbF{aLiEc~h61{fLu=r>6*C1ojMk}h*Uu13~6F=*u#gp^f+k;d~OeEwi85J7>ju=2SEcm zbyAbgDd~M6>*r&DK0GgmUF9o&jOo3%NcZ&`eQKoR8#-xQuexlii!qcbyzNu5^Iq>F zR4{Lo4*CI7<{xz~DwqEUL<1WAwcmA#qpd-IOVD5kTxs_c;))Am*?Ge|{XQvNacRqj zMpI$g*L9Vm`!9H z_7f;(Wt*uS=ac5o=b=gdHN^%e6JX-WojM19BCnChy#(`~gRfv-i+HjPxFym@9^Pi? z$b6Q|eIbug)4uJ(GDA;}oJ`AAdb?yVYj=E2*>Y}fxZ#nh_yyITqo*_UcADN!66|mE z_Wl>ia#3IIvbn>g*b?bD*^DWr;VED%3XhJVI1?4mVxNs?X*^Y+@E{e6Q^G3J1t79| z-cDk{wv-rEUPT}|b>vRRr?72E4Sc#8)V{=|=fX48^moI>9fy}#z;TiL4@J-+{jS&0 z18E#WsYm@Rd^Ra|wyPb40My`-WT`cbX$qgOg6H{Y$6_b= zS!9CY@CRz$F}3cvyki8iQdcu6em>qYM{j4s7X;o#VsuP?q_11)M@IZpZp1$&BW?(` zhNS-t6QDZ17Xi{ae&>4OH$cNLmH=js%+*-@u3QTf;Nc|7>s}>9z8vl*>e~c}VHm;B zMaR`b$2G*wj;n|zR7WS5rD%OCqUsvF*rd>Utaj+o--!UThLNx9rn~IGGKs)VlXIixd{fbKepJb9Tjl(s8 zgh%>xvo~GZ(+9rhS!_hDw_#U%O({_dV>os@WI{@&6cw6~%jVMlDA1$ifFY>Z&;=>m z*PgwRCv<|n52(^(d_-j7{%thv&Yry?9y1(Xga?2MH4vWMx4CIl=*~|7%fA+!Y>8dv z^S8ho`5O*Pzv$yEm&c&7{5INwaE9P0W3;7c@jE_H>pqaHM?(ldKo-AIwR!s!WLX$O zIZ|jpc0fp;PVW{*xmHt61^^;zr(rT@Q2iiIMs2+c znntlvC-+{HnI`^GH$4vyXzLu1jqO`6pg^Bft;c@B=8 z2LtaS(CbkUY`Zc_xitoV?8CSdIDJ+(2HiMFy}*RkzJ~KmF#8(LFmJhZPv1Dj zs>HxyX&N;UPe&n8NHI&x;+s8~LyqBS4AbRG4J7||tXnDL6QxV*<9p3S6FzymR2yG2 zir1WBLb|jiz9t1V()M^*7m|k2sm19E-0J0~&FWb10REsG-;k<3Oxa55Zcr$jmqTN{ zT7|liwpvsHq9Q9VIxd$OI;EXNS_}4L2zKx^B*%SU;bEeb%)C$XcSG=JVP0<>NTL|% z8!w8UZ%7p1jAiUf4!Rf%n$JO$XE~YZmM;&9<$0E5PK)CRWk{85Wk3vvjWK+!HU}RB zUr!S065@M)I2`f%XL>0y4U5@DiB>WkmAV+x$x~><#@8VKxDP*Xgql4%fYz3p7QeP% zUc%af&9;rIBPuP31DGm#R5XGY;}R4k8kKYIDmMvo#V#0)%I1e#%6ANN$JP9p zvYEe#Z(R)-LTVi=m;W$|!)cRa}>qh|N3q`o-Yxsf|_&$>^_6tW&AMk2&(u@1kQaXDrWyuAS%8}_YQaPJI z@|A%kf|2NWCMkYOZ^a)0I%taDsFNJ&;VG{S;rP>WG{tV`P{d)$NEk|jB1Uz<57V< zBpurvm-pk;_L`C!+wtWQT0cdt`$&Fv1TvbZWAd}xE|`%W3vXC2(?%4rrL;NSDbE=| z1jSlRNDF+7(~pq6zb`=8mUGZsI2Pph!EiGy_zNBFYC6Rg-G&vN0n1LuH@GDMyZjCF z%6KpI;*fxwrS7%@l2j-dl#Lccgyc(U|#{F z&=-hMxfAu6F*u0O0Lr_xZU@Z7g0F!ioeBXnI`qZ5f*-*LB#Z4P8>7}c7z_pe|3LF$LQ8uy+Bh zMf})s%y-aMcrd0q2}>ZB;7m^GFa3m8*d;Hg#>j+0%L`Ps?rZ5C(#ag0J^g{Qgs4d1 z2XcmBGNO-bWDQn@Xty9(&|2Q3hp2bU|8y$^;cAQ^P{xE#WD@&f_)C;&)++-$9A+dG z%^gUAc92WN>l1ETQe(kgtl%_%RUvVMs!Hx{%jLQr-t|Rd*IzrezJGx77kYiuu%%F@NMM84gP$fIy3I*!A zIjUdw4P^5^%?Yp4HC*{vU)<8c+O%2IA`@aLgZVbZ9^F_o)pc*Z<@<=CbIL;!)v|7| zL@gtcF$B}qnEC4a0>5TDwLEBMOL8l68*?ARUt{j3T%40i19Hh%@br_}DQ_9X`HUjT z&%*=VR4MNZEpH|IBFDxBL;cmntf%*;t0{ZaK?)cnqg?lqcDTy3^KYo?6d^^>D?jpW zfsN1h6|t>}F1eghB%oLB>?qY6NrVNfe9G=_+G1o|5(A}l1ort2=tDbB%2<1;8E;0$ z+DeV8ZwpQ;`VpQ&l8mgb{QN+7dub9ZPy0$udilJ#U1O3@GvxuAogW{p#?)IzV?HZR zLd7=C=Ed^4 z*=5VN`TA6*{5roSjDePk)J{kt+lpB`Uc%{zO&AHzGz=3>bN!~omMux*a+^Ba7Qn4o z#Z)doo<_!*wDb@ftKNjdIwRN6zHLUC-ilktoro9*gm3L zO*S$x5#4kL46Lb3@S3;%m6c%k-?fTAHYt6cPRks@S`s_O_TRH6!MN?+64q{hzW>T1 zoc{Qy!^8k>zeRkLqYk;H6MeEhlq*M~ly)mf=j5vXQryB5ZXprcdMnHfcPM80csh8s zv(#v7*@^>Lqsk=;=ug*J*_B3uXaWbBUSfLZBc*zX3zn~kh~d9Wev&SOp^e2QkG|nn z-qM7P8!uqv0?E15LZm?HY3DOMmw1^-gfMaiDGN@&Resz^KpPHfp;BgfQrOzkQbVbyc-CCBJduAe_n>%5d^8yLGUV zfP>hk={B!!hS*jHvATq~WaPE4ULEBy`?XC}RWcK%M%; zXoq=#%erlier1U3BG*9e%mDanT&nB~9{9RcuZ?trt26kcEm;!=qt-ArfkGMhA+j^c zE;&QRkwRMMFk(B_T^HI&8V^qALZD@>aCr=q~d&fJ!!ylOIGcX+B*?=aRl{v2(Q;EUoaTzup#R<;I>Me_YhxOm{^i^ zqEmXUf7I)k`*W`Yu`!FrxqhPy+<-GMF*ij3nn1yv8lMred`0Tk$)d3YNA1gOYN>6n zkhRmo?Yl6zsaVLe2^RWD_Cl$?{Eh_B3;_%tV8NFen*9`~K={z(L$lv!$O!1Tn<|C) z2#}clyqjKWhd1ar{yh^7h?@vK8p1t(p)BB4-XWNygn6^b|4Uh!VGr`-0kBHb5PoTJ zAu9~OKMWW8pc`C^m7^AfXbM@);gfGtDI%Fmz8H#7C4BaU8gLC=af+U!3`BsY#W|p0 zE8249LD-L@NbV6%1)6_xm{)Ji#WvjBf~lpSYf3)NQdT-k$L`hsQGn*Q&~L zPW%~40q1IB%hn8yvx_6^Sh|xOWuNG}obu5d+OiD6993IXtCG<({9U*kN9Y=Y7B%Aj zG#|3tVdOCx1vMXh8xbwoU?&~gy5yO3=nGXa!7^P8+u`~1;dqb8;yZgMA`EkiZ{{Ce z+HnPjqnQOVCT9K{>o^}ca@*mZi15~`frAuh8MzVEu1p!l{)OoRLcM0ae8J*R4;`F} zULIqp?T^ggpG+oi?W5ada-|7@Bz7vIBdO3lB~y#WVf3^sRInWQZK{!IfLvTPw^t;$$DT1bkaDNOP%ZHCq+i z0)Im&fW`@Op@o{-a{Op&(}NCb8Ci)NEpl!6^NN7Ar3yrbqC2Tc}U>;;! zhLYABW>l9S)H)M!LF0V-I6S4yRzG}7nYn%lj8CD%+?YAVWvx$};xg7J)50Cb#)!XO ze$X1GcAb^(s3pCOnj6g+e>d}Ye1!=Rz)QjvvJdCvNx0Lg0-&{tZBNhg z37lQq!cDYF8b+Rpuf4={(}wODLjH!j1)tXNh|I?)nTxrim_!iiLh}eBv55CP%g*md z(!Huv%X6!Gh-xh3=tvZ;O`7qYeodbDnWByTWyAdK-0;Z`(AsJsy zVcx5AW;RVj)AEbZ{3OCz9%8#LYDWA&s3Wmd zbQvkyt^@Y|D6h61#Y0CC{Z{ zVf3;6?3dV5%Sm)6hRnr~)07h4@e;qZ`J2cfVwZR@v865tr{Q%f=a->pkW>t!R}NqV zgCmsNPJ2tMwoAm7W|cOSsn7vzC{5V^TykTNGsmZ45ZL(6fN#iwIxXcFgubB_Dd?)d!H+9>aOz*K3 zzF%wJ0dVgQMBHapEysZ2pIGFSUsc^&xw#Ocb7-iC_EHe%Q$}!jz@ed!6DrLq0jhgk zo3+f^JPWo{Yi@XyTEC3e)UFsT!x%}C{F64;&$L>KzLa!0P1Rw}3E&=)W2%L3-K?ah zUqZgQn`ut_Qz~wu2U?Annpx|;*>@i(VS7%voAAZLgr@F3g6mMKa(|J*J5XW+52Y3j z6iiJyJ6Nb$cfevkl!|N9%E_V+w(aI^VhBfFTm#I5*CT31GPskL;a{C|`sQ^z_QB5^X#S=0ykAyX~rw*Hw(ykCi6+&@4`)cWpyn1x!N$>$XoVTi;oy>?!8E|R8Y;w}_r zsgvYpo~#C4$@)K2pf!bQVEbWBn6z(7CN5}ZGPa*RGdA;?F`01HAias-^uTZF4P;Q~|@+sXUfo&ge@iMq5xSICo4 zk4tjh9t6RfY}D(++nMa<+Yb#jFK!+Pkv=rExlAmxatV9V-8}HVA%}+E{m(-pvN=o7 zr7flhgsyqvp`qW?HWO{3ZCZk-pIE9N!3@)w!3&*C{)%)`3w^)PbdE$6I?MEeL4HS7 z1#?{TioXAXI6bkY!RlM1KZONQQqG$~qc(<;?F@+&#Y$UoAJSUTQpj_3E6)RS7kqEe zZp?_@IR2;2nij1GEchA}fLmyLm8wJDS{p~Hfv;;~JjPFQ$@i*oje6w^<*f*=x8PbR zHc8tfo1wc1Q^k6xv^tHmw$~z^*LofMBd>g(ztQWDNMw}pA447;! zLU8J7Z%{nZG-aCi3>bFh`5k}po|r>UJ1k4hrDUIwc`37rE-M)%9$6U0gNm8VmA=RS zA$#867h2L_c)gtY3mln&hv#NKj^*Httm8P+{AK#t82c5m zEG6;Xt+@A#{bXXBY3!Vi6CKBWC)n<~lV|%pntQb4%A?pdr8~|hDBn;CkKppRyUR{? zoG^UQT^2BovDF%lQx${WVSM4t#r^CB3xa=bf00BXK0+s(7nkXbPben5=N~2CNC}ty zI_Lfhr@TUQEt)6hF4=~Y0;(y!xdsU-`pQc<=SPi(XpLOuyYRCW+TDIA@{wTomFH@8 z&tk%G3>K{~LrTILINjaR4gocuO?YA6k>Hhosnxx(lG6%&1b&pSgg!#1F@ol4ro?%x z@gWWs%Z$xM5sHJ)14H3p3cSdDH}`0B$)TZPm89GkqFvB8tx`^4bce&*6izLp5u%HZHhgyrxgFXM%lE6a zqukn$@+NJ}DBLPJF_7;DzH?A6AHtpPOf;l}#2H${`>|KzX=MsV<%f~Fq5fMIW2|y{ z1UBrjslUg3+1ox~c8u60WRFl8EDzt1m&gCHcx|)9i*%R*ovlqe*g?0yk}z}TW>!SuqJtI92B zE}0k8a(6p!@D&%*P)jY{0mqFO3a{fJ?+L}u8+4o))Z)CGh+Rat^fev+2?A36(%kF6 z>MZxF9#XKnm=eL4cdsQ^# zj~z#HzuVD4M~Q;|SrU6vk;kH&6w;t>u;n;y$UDN_JC0zrWby)~YGApV+Zx}zSJMXL zmG9BH{FZJ$|Dzp;=Z3ND#+c$UC2`D*q5<_LW5v!=^~GUGP(K#V9G2rmAz*nNwuX#` zawo@))EiDPyp|pB(c~AyUY#P4nONmtFRkg?5&Gi?2GWI6At9gud>@3FpMa;_)V&7+wESZNI>8exK>Po>^xI zK4stss+;Bqe{N{H!RkAGWg(q%m>$2vqh5KN9?vv2e48s6cDlY*Uh%CBol=abv%g|e zeoM7?cEE8m?`XiRujrJY1?L&Su>#TLa~SnaH}th>i7lIvo2Buvw|d18tWle87`-1O zPZoL`H^)$@eeV@xaEbcZm~oGzNBw|T^gA46kUqlo=EFx$A31F}sKb?m0^aed9rPW= zEmCaVwctP%6Ql;$tJ}&#$Pup%T?{T$U$rW>S8e!9{Zml?Nt@$aw$pVz|L9IvmxiK} z`l_uB-;D!Q13WQjjvvO_5yCm1sX*+HVuNva!=J&X+p+J-r39RJG;yS?^Xx$1cdF1I zHev*lxyC<^Q}lnd2M)?fp)=nF=ZAof5yu9B_Q#R#>2fZXALz`mh^}jiM;i^nZ&$1(@f0UFR=D{Q+JIQUw*vI8lgeBX`&2j>V6Qr zwC*L|z94?dU3Xy^{Z^`E%use22tZDg6!U&Nj=SzEJkfX!AjG4I`^U-Uu-Vt;ySqi# zWuP}Q_r2UtastMpv4R!XRE#)2wdA}gPw1X^7YvI9?MHT>`3{e1xhHa7jA*1m9@u^6 zQsSo#3F2QchY?MJrQM($WJ@D?VwB{HB}{O5>f0O_434jJ{3bMl+*#(#$!Ry?28{`N ze+et!KSGwSL;t;VIK1$9q_dlcv;!Oxi8M`nEUG75%X@Jw{e~@ zZ}YslH1FYgJLhHJ`QDw?cka2(5<3^Nlhj2JnaL;_;qpvGrfBpZU-3A=+|ys=3E; zTZMi-U*sZBdYNv;H!qz~pF--Jg}$QkcRFt$g9Tf$ z>4ximuUh zHIR-L+p?H2L`37nPqBgzr&wwSiYv{9pLoBO*D__O9AL^V@-0ls#OA1BV)zYOS5L_fskS~9L(h_I>r;Bl*g+~OhLb`a|kM;XO&?O~) zi?7uvwze+KZ$W=*_GGwOhIstxq%8j&eSE_uXgJy;UNKA-LxxVAC4w@bo39Pu_GlF^ zEOy@Ql>4hovB)9WmTp`JsSAlosCD^*CgL0T)D7xDK5odH+1@!>vIo}&IA`O`?u(4`umH25l_ieLgERa4x*CQ;LETM zx_&ts)Ef(V5&O&&5Bm<9d}l(wE^DpfH7hH84;QUW=%QHV9V)ndw<@+OiAbL#Qe7-R zc4O&s(9reE#~#5hyW{Lx)1w_<7~cG4$63R%6|HKH9Zdd-IX&wq<_!F0W##S4E_V70 za3i|-maOhQ_yNJ!o7jYNIWLy%dV9yQpNR$di2w%-eN*vInZeU{j~U;u3%%S(fymkF zrf(W?7-ncKfe47*xt*S6;+N(j8J4@}U3qpw%L{ux+i`iv39(f?JWC$H>MfoUIgQnS z2h(RotZOVv@X8Zflyg|GW#$VoM7mkqPfuw1?ri@T=ZzUA^f3-ATIRJh6%F(1U!0eC ztu>hQvfJ<;e)g#jAcCg*}~}wQoCXjnRHQ z>VIAl2B?|0vg_n6l`UeBr;`>fnt`&j#|noXNMRqNIZ_VH7ujI+G9Nfl5I0qRo8en zR-mb;W}T;EqaDpRR#jIBRW;SgwwQ^3;BjZxPDo47tGsf<>Y9y}cA>_;xNOGa1$lXS z$u`@(YI}`m4Uhr2s=8LF0DiohrruQP*|6Cztgp1s^i)-@wO6jG5^6m5+VwTw4QuQb z8$6X2Yc|`HZL2CHeJcURVyTNZigT8Ib%ke5qz_uItr7$@0|{#En`^utyK~kUjDg3P zMiuoHo=SVoT0T<6nl+xv+FJh12dk>Judbwi_LeL?=`P7Z!|w-e#E@n z{0sA5^NVJLIjq(EzWF2bXL$P3e9_#APrb#4tLgNj-*0tpsH~_Z+HLX*8ZB1U)Cl%9 zo~pV^dvyhvH5J?I*hWOyHC3L<)!@Tsdp$6()H+r^Sh?CORIJ)S1l~|tYbW}xuLPTD z2u?m)Y_DaG=^;qvgH^&0&Bf6nCe>HfuGdJlX|p!B#%XO@&=3>Os;I8ULe^G67Am|# z4PlqJs)8Uuu%-!BAP0j;udaj;SFf|L^?Eqp$J#eiRaG@wY~=by=!G>wD?{4YI&g%* zu?yBD>w9R{!@l)38~ALrHVxG^RkebrYL%BX8Jw(rz&`bRMu>7)SP!DbE_yYr3zu$9 zBR5v8_S8T#IMshZQvS|=$ltl z`Jhl)T}$iL76Jv@ zyYgl)nGM+dc{9ULGw+>KFzJpcINAc1I|478T~3ds3um!KvuBj%Ett*b-*a!c%dCY? zH-DVHWX1yL{MmWVMR&5uGrD221vBoN9d50S$`;I+wP@jDV8tE8teM)w_&hxIs#*}O zS`bsU;I46b3(D?gw@=rq;q^2*L zBF)#-z=Dwl5h@<2aFMZ_8!lE5C@7}uA zYWMUYY2u8H5lC*-7;zcSW9jDGtC#=axTKuCcXegGT~qj|S&Zu#9_!v}Zm}_Z7^NO; z;+ijGu~wALbk4YEE}J=b_IxM%U-4?JXFmA(n$<*v%IX^Ly7l&rm9T@G?XZHJ28_>e zPdr8KAQv%()CD!Ql@*@V>+PE=V0^i!Mm6lwdN|>55a6w4VJg&DLF?C7z^!4e{Ig1^ zg%PG`i28Fasohk$x@v7zJh4^*znvqu)0|DJ1j9HVq`TI#+ce38_DdWG&lkexMOaExxWJ{8{v69 zm80!9<8m{bm;HCAkF(JK=Vp(n+-e@v5qm*YI~RFkGUraj_A@sHX;9?|FVO%V4xr6& z>l(4RM*^h6BX~DOJSK|(@>Z`R8S&I`Lgv};Ar(Yey2(>j3zerCH<0{{+)z`oMr+7M z4XdrLsNFbh^hhpN;ph`C!~>bFi-|aSaDmte!y(LA6E;C%Bae0n>hjp4N*-fX*VL2T z)K&_1($&hIkb{*`;01x!Y`;)bW8Y8%DTxqjqqkNFi!!$#QE!hHbrl<`*2Khb;BBmh z(ne%9HUhy65BfA`3QT@@l9=!$Mu)@V1{WMP8$CEgLkLo>-U7a=V)X-K!5(5BH&7Ho z(*rfm19WsmbnUfJS_E;3fdFRFpdY$Z3!z^K9j*6N36;xcVo2|%WnhR0;aH`8280BI zEL=8o*^K4jf7~#$X`5rm{-T!kiGO@d^}yQ=h-rp`3)CVuxtxLbAH1a&3iogD@%&zGyV;NhHuA zGaBPX;;nboloLUrQP>FH6e2?_utNb(Ko&F7#;bD882g&AA0zWe(n|)FRoIE0;3k=^ zQPHu8i%|>Y5n|+q=pILz`X8+Q;l3L6y-`Q@cdRW@WR-XATKNAMmi%vZjuD&M=t3zd zShbqXbj+VIXE7VQVA1Tw_bgmA8&BLkMLx%CgdeH38yVeXkh59s^>`3zAiO2(05U_2 z?g6vc*1%`hlf1w}LU3xT;SRB#2=i!T1@`Y%Yr|#`jK(hOraVxxwg9p>6lu?~jv0oX*XEoXI%83>C9BPk3c%%z0u6E@2fZsR|>xI8=A zu1aio`KS@izKJ3l2He(iLpydOe;&`fVS`;=i|sa-RoW=6wllKPTz#}{`c2M~Y(}+a z`KcLSNZx-7mGIP1#wbN%p6QXJ7JV4c>}&xGQ>wmV(r|Q7ly-$ ziZyjrwUNk+g~Jgd7lj?+or$)ZwVs-doMqVh@!?sXeK8-9hC+`XYQn6~yk~(kB3O8g z7pt%^L6m?P{;vY7BNE0lLL@N6P7lukMC~^`QH7myK(t%LihCOYvJL2m5itWdXAzvH z0i{_r5a!iF6wjHCdGlwpWsC2banJ0$MT_r=piAKI#Wy1S6soO4P=@qPBro$l4Ciqk zQ5{B$t*fAXjNMy}%{%#aZQZn4Tc>HwNQ4POWuB*27zw)0;uWMqJqw67utj%DaO(^1 zTDj=nGB%CXR&RV@rN>*1o2@(|Ci)Yd*|eUT|1BG1yJ@)E)wS5lR6h{sQo}KZJ-S2H zcDEGchFt`+!#ry#E1p#tl|NT$$ZIIQB88q$T}k{)AAN=4>HKBj(BK-`1-;glMJ0* zkAKF!`n~E8zF3J0vLtJiNhO`k8$Nuvmhy~}iym}r*1`qO8R2AlKfT^)GDC*a`gaF>h-2R)B%s$>sI=>6=gQ68pdlhW9jL-^!_BtdX{c5 zrYD#pFHOApd!NicRy*CtxummR$F?yIXx{gB|W`wdQy6Nq&{wGJ%#@X D6=rQH literal 0 HcmV?d00001 diff --git a/bin/snasm/LTU.EXE b/bin/snasm/LTU.EXE new file mode 100644 index 0000000000000000000000000000000000000000..aa2d35e248a72608d3d4ad59e94f6249bb1e900f GIT binary patch literal 15276 zcmeHue|%KM)%Wb(yLUHtmn3KiL~ODIi9!Go6qJMr5-(6OtbiL<1sfn}DkOAsH^CY< zOV>hnZ-P`T;`_7~rS=D+FKX09TMP*#R!a-`Jb>6Dg4(;iX^CKykRLnmckbOyBI@Vq z=l$pXe4fv{VfW6QIcMg~nKNh3Idi%DzP+YQVj@m5no#-2u#6o>r( z$NxkLyw$-?4yAXS8mAl?qfF+Ak~xuVJ-z!$QZH;Hq0D*`n!J~^2|J)1>dmG@1!1DJ zDW~?++MG?ZZk^%F$WiX)0zI>Qse#Wwra%kCJU*TkF1~!eWNyx_7T<}$kwDjnq2w^x zZz3O@54PBX=et9hTS@)t+`lUYp9`BP;VP}~5H7?jZSUH>H<$}P6};K6@E=^5mv_ON z5kv!#twBJEFZmskns=evs`P8-=opjovH5f;^OxZDH{`i(B=^+TrpE6U9~txD2Y>6? zdR+Ni@3f}LTZL}ZJA1|b@7iX0(%ZTh1U9?J|60b-M8I@b9;+597Me7*xq@h)KO(c<*MUAY`84626BT-ImI-f$qTXve16?~mF(eMg?T*Zud z^#oNu!yGZe*)H`DsOT7qB)nq#z`!^%hyj#$22pH!2S*2*Ej&bfFwq`t=iJ4SB;v}9 z{MO`(aKshKLi;ab!9(J-&gjnwM3Ty6Wk2p)ZSRz`BFPN5QFJ95%u@1v4B=28(P?O# zz}p+ehzmVA@dc)OF*SHdXcf$M^!AKd60UaJ7Q5(l-mX4C(V}GX_SSi}nL$vBn17hm zNi^W6#K-TOteL|St^4r>kycTi*Y>E<-O8Tkrl*!=lAoxosu4zp!^HMc`|BR6{A&sY-}b*bpxZ1IM|pX=ZcIpSX#-T!B~mUa==71XE5ePi6dHiFt!pU zGtnGs>>8Ik`Ua2Ep`FmoYR5I|2V?C`zD{F$69@ZBXMHKH*eq-T7^~!KtoCGsRTvcb z0QwG})(J&5tH&rqH-#l0lm8mgVMe4r8DpD%mWkB<(cbA3SSKbZX<}~%+f&`eieFIN zq9WNs?u#L*Bjo>5X{$dSa(623R7FY-xqCuVw<0;93ixF$u{Z~dXs`fW`I6WcUQIDu z3{t?j;u@q5U_!ho>>l@Z_HuOgF7@jq_Q0&#DxEn(f-zI=^Nd47B_l4*r9PJGiI}h) zc@_^7K!WROO}ihp@2<4B3G3`4j>jL^3Ew7+u@jWb>?ZtX*{%4k)*(LqcccFMn4P3z z?V>+7DQAwIr#GpKay;?UK8I%(M=H(g93ouIkwrhPi^)jP;hu2{5#L@J@bc@~$BX_`ITr9PSk^AbHlB9Ee_U}(#Oddu&K`ukY) z4HEI8ea_JK+gZCItmqCBc?|7^L)){A_A-boit{oH?em7V(@fB7%OgKP!*_=^e9jtz z1y~E8J#shLKalKEZ;feFBkO?r;84`J8S18jb#|(+K6_%yD?t+m4Ih*8s`!oO=>4$> z+pzCtdW?PACUw|I!-|XM6&X*j35m+5Lz;SnvoYkQ#Xn82!TxjNfw} z_05tgeY*AlB z>?F|D62_NP=Zj9Sw$tfYSa747dw}&EsE!-#sqb7K1h9UR7I zrkE-%=tnb0hzSfBL+Fe!Av%Hu&_dtcjEgaGE;fRT#|$nu4jEKu>$V|)Z{otrxOlG8 z{)|cR*@vfB+n;-sl^;VH-AWx0P#z2l4&FmCnC@FfsG~BO>1N{xAR%@tUAZW)K@`c% z?%BR(hi82Ub~sq@6jp4Mp*!;jm1I;WB#(1TKVeD-;e^4NV(6-QX|%^Pa6q%u+1^=` z7K|;cG9M@=q3J&o!jC^L?A)OZ>pByRjjjHBoA4un!fDw36p2vEY<)AQpB$Q~WU2xT z-qQj5bE-g-RRJ^8X!;p}rk}<4oWLykPrmdlZ!yBG$xjH|9h2)bf9mMlicsW0fK2@~ zXYcI#!&^>lSEh$au$4nR5h!?)n7zZd3iU*Zy;3{131LT7;EP%~UzmWo#e8sFj4FM( z-QMphf2(iWdaC~D)~0(FT>9nIrafE#y5-`Q50w_>=v*~}R9n5X)vHML<$R}X%O585 z`AI%?YLoUURM>jQ9(cK?xtq^UZMuAZ#NGuHv*i>%JO2TnHISd}yu|W^z6`R7HY^x#@ewrn5bA$F!!9L?+Xm?G6R8 z(LL%slSk>sVAo}4TDKzbn%3EO>6ckbw?LCdr6>cy)Lyd93!nEV#DBX09U_+{35)aPmV2t{B@G=TLgbF$4d!s{igSt-r&BSkh zg?L10`XM_bGRWiO4q@1C404~+X0elT-Bjrg797HUwic_;QIB?qGQHWZv_j%HDZFwr z*nC4?vp1>Otq!9ek9M8H=e2p0-RiNJha#+DY^5r)kOv~)pNrLO^pKb&6Z3y=`a3b@ zHCI}9KT9w(cm_`qo3RPv0R^wP1+(xh2_7fPyPFOY6AEyv#k@aO3R?jGIt|+qzhL7e zdUysBd=>FU9HK`U@amNrN^3}pq0}{xn@wb}i$fjoT2ZqrAI}TiF8a(A2(3lXoqsA) zEbilAbH?K~xB3asPACi8whM!P(d0`F<`ci&t^SlgGhPxh+_p`txd&ryJRwSJw*C3jISr98))ALE_H6Tm7LvjO{H-8OH6*$}r1j^s$rm zVH~w=@C?NrQ>4DdF1MOWpHWUJ?=8D8w`KG(4PGN_h`X+sLWC4zzDLGH2=VgA&K)~C zM9oDOmKG-GwfY=Ezm2O!zJZwPJ^h}L1RE$@H!Vabnl7->Eb=K78D)(CN|VomRvS|&UDw>j@9^Y6yH!iY z$Yvs2-0B3gXHl><6}sO3;0m_?H?@Ze_N!!@&74UKf}BnQ*&I9HwPj)w3CLF&i^g>8 zJi)0MJ!v#=`t`&l+Rqr+Ttk^lzIg*}c*9S%b#&_nsytgmDH_7oy3LQ#e9_0Z^24Ms z%5}Wdu-Q+Q6S+q>^#n*wfnJN{b{DgP9w9%*#tCh%qIqrd=-`1W3clFt55q9I7{-jI z+%|0y8!mWY17&A_h$i|`+U!kLlLvd7G^DqYLwZX>Z}FZiD8^NsiDYp4HaV3A;!NY1 z0B#`4XN|MjPARfscODtzO>ybSo1TEIQkQAS09h_4_8$|XA*IGU!V(Lo2go?h>QX=I zer8}}X1j|8#V=-Bl@+#N?{(G70==Af34$1&`o4@pDS zne!<>$vxh6=_g&6>e8h1N!k~zh9dUtzZ#0pbbV<4Q(sY-pD0%H{7lWvN&KF37xs}o zRz*U}LqDdQ9c)8Gb3`A@Xgs4q60pBW2_TD8W!q@>RgvU0HN=zK$2!HB!K9_hF~WX(>oP_HYF)OAdW zVN+iERk)Cbm($#qAq0?^A96(mZwsI8>uNK>oSjeFv*1|t(u}8pV9uX6KEK!i#ZA}aaE=A&_i*$@IG>1po2r|CcFrXZ zipaTi7fcP)y#@)o72*bTOQ0#36e4PvQUPi?ph+CuE(S;9hVmPyBPBw?<^y8gJgT!T zk48R6lm+|_^QE}e49e`dZr-8<*o`Fm384<)Zo|=s2Z!(|!CkbKpopWW2I`o@Ox$p{ zdNMZ9!>@@ZVy7xgh1}*4AKgf!KaHEr+YFOA)iB(T^?(G%YBfjuBZPD5LV$#knqV4( ze{Qt`o~kYS1WP}OzARwOW_~FPWx`2uAueV@WD$lAZ$Qq`=zeA#{&ndh$AcLT9t6xs zWfFF)2a(JgJbjRgV+-#W=3!tPk;iHf{UT;s< z(-~?{zcKFnN?T$p3j=2}&fq=-O_-K?6UDf?@w}GR^(gQpqZM%)Fq>)yNS`*%`K8Eg zpPS#$r@XyAsW04mTs^rb+?stDzs-8NnU$ewh99u0eFsjCHfawbt-TBhRv&HKyq;40dhx57 z_D=m&IjWorXwE?I_bTUwf3Z7BX_+;WWkSBg$1@>+95ph7j#`#s&)Ar;CF2hnA7q@* zD99Lf&83X4jNXi&U$ba*$2F;=U%U3K{x>1x=8Whk=0HEG?hay1llC91+|J6J_5>@R z4IJ>%T$!)i;iJ(S8vNDw>Bp~IqF%~yoG3j^8Cqf2W{?oy7zVbzZi?PRqjhFx#VkRI z=K}p)Wl{*UdEFeH0RrClNeHuw9gdD?waO1(=c8Hl$%#{T0<(Jb>)aOL+M8;QA3LiY zJ$ClkS#z@qkZ5m=(Y~++v}kP{+UljzzsA_;sbK1Z*d~;)rNQn6)LUYDi2(xy_19u= z+BES^c!qDLz82+9w{G@P(z>~VlDZw`RA+nIac%gUB7>O*V9(5(7pfB2R8yIQIRaHb z-*DhDFyosBH}=Nh0WZ?Zlk_Fux}KgN9lT8CbOi+Cu;2Jsr)b=(&TzB(M*q3X(P%$o zmtX8E4!7-eg)x$AiF&v@-Ky-s^p4k>qiH7G?Xb}?YQupaQk=GQ#@x9f__*lO312K; zO}DBe`}fVpS&H>gm3`tzOu31;5c}hLAG=PI~ix zffV1<@a$uB$zmA0v0&cCg!0<}kww_YHYo=0Z{%-@2~``bV*lFkK=2?SLox%I&zMQ# z4L%u9kml9O4(P~nzg@k*FZYAfrO!`e;;L;T^`H%0w(Z+LS#Rp0GZzyw&mKKH%c(>Y;2 ze-ff=C!*_IpEYN%`kUSbcWrs^ycHBb?>%=J#o@Uh=Io6d3bVSc_uLoukNio>`xxah zU_@W;#S%hI03VZ^nFcLhBr<~1>d7%(LBAvqBr8-bY4 zmcpAXEG|ae5Gp`iJWS!uMsOAj)pBn2M;-Bmiu<`k_B^4<&(vgR8 zd=@pIGTJAo#|ZJXk%v~`s!%{KlxvEFBx*VIxJbL&;`Q|Di z-zsza%+HG=@P9{V3Zf{d?m~^IFH?YiOVL!9;}8P{qG+yiu!j}LB=~?=^87HQJ?&zK z0nK`gbVUA6kxv|fYqcAhS#zlOvc~{^vfhss0J4u|3?sXTi)})RNXS0&yhVJT7k4>C zo<1+2U#mE3c}vSaf!)O+!D+!)z}L#$Y&F{+PUpoipp6`6$5B0R({5{(`iVL&2Ulbr2a-S$x3DkIC$a*-{Gtevd(1@XD`0Q`9)S^@;rZ?=z8S$=xn~v|&`>9abJb6sh*}sNW2dCDbi=JhbSv_6h=wmjH1k zAkiO~qvhr{=?tZ<(n+#+XQ)bi+D>cchku?aPNndXMlc^~hB>Y(9b>Yx+26I<+1WQ_ z_l{kky*~Sg*?D90v*%~uk^M;aFUH<>-STW-_MfsBWxKPZ3urXe94IJyd6j-n)v`BU2RU>M6(VWq`%;%;k9+(G|eh<_C8 z%a=l>Q|gx3i>UB2_vDMw9kCj7^dTW>MJi^}OcMNU6 zul^%#G;3eV-H*1^#Y={^-hZDyqNt97R-bzE#qBRf$FsqDOwseE1kVv#J61X~gy9OL zv5;#5!?)^_H)|8NsVDl;gU+%gf)jrjiZ<9H$b>~m#cBbF_~`rn>TO)~aKAc@tNB@U zJMN}Q^eL0hgrII`UFZ{%$Lk=|9_R}T?5UggSi$~PV$+7C?Yb^)_giSunc9=A+0YkU zO`X=s%t#tUOZttnI;&r)I%DtLXvVdqe9h1f<6}TwQ;KRoH_*XBG^aoM8Od$xgHPf= zyFZm&dT}q-{X+f~_s{OwF55tmD%-Ra{h6ebAKIfG{Hh#q!FOh((TFqa6cdQ6PY1HyZ1M?! z01nw9s4~PC^q(>XSDYen8%{;>iqMel?7w=5+~v(`j}aZnTYU?2C4ML6$_GHvvYfAOTcI8G?{## zZ>L3$n)~x}Wh>S)Jtp??{%Fs zcU^t(>8noo<}+O96}Zm=_ry@W*@kRpO63=cVUP^+0m7?DTG)UOf z2#k;+iTw6@S7g)mF7HUKv zygbFzIw`ixA`%JC1kY*|hdGfbUay%cSVZq=yNcxNR4?&xuvi-9M#XQ-_4D(PEzmMD@y-*_-0F`lh!EB7ywY~; ztVwcUe~SKdx*M6eUc$xHAe~Ng4`^5i#p?avP8V#qHAvkq_4mAoJq>xWvnC12Wu%Vd z+y>i|k>6}6>I@ZKtapFeDs|r5Ds>%!M^Gnqw@H_mzn?$OJ0d@)dU&gJd3u}F^Mnv8 z>cjFbe;;Q*y_w1aCWPCi2RLORk1U!}BPy~j%Su;V{MpaknZni;?Ld5jf1(hGwkHbl zFZqc=M{u>n6si$JONG!vKJ)+=;q*oIPql8r;;0LL#+;{EM$?`~83F5K_JJ%uB9t4a4zaq&6X6!7yVfX10(k|QRG zG07H_kp4^-0IWmG6F#O3Z!qe=cmWV)oBj*MY{)rnsh;6+6QzQ_Klw1(3i;u}Oc zJ&oNwuw5U+S+2vn&M7A*9IeBaUIQ?@+Gq4U^TL%Cf-({YEN`Ujc#|}8>P;6>3yq95 zhnr^#4$;fUT34q!W<5E{qaAQ+k8q&AaVh6l3MizLltvEKo!}=G%aE#>ILPbKC|L4jC6s>=52&dqm9rh&ER&> zlKhisxN2iF7=)SW@@AbBfg;{aeRr3gJ&t0_^;lMugj(DM+VYyK)4&5B z?5YfVqc#zYViz?VISZ^@Jzu|&t6$33KOn@fzY%)y$_dRraZY|lwS_OKE2Mj~n|vKg zbNo8i&&Tg*$3QXIOR}9?G=Y~Ldd=b_T9Ss;I$Gk}6poGJQA; zjSNN5(+<0mF((9&nMx6V{=P$g@o<&Um)BJ(DhKBynb~3P?q}=d<1f9S<-K*yWU>>RQA4rq>q+01n^R?a%!+yX?d~t+$VimiQJ7y8qEl!-@c&WJZn+E zz=Z&duiqfK&9fQcEHxtOaJppxXuM+*|6S_yuB@0e*Ez{Kw_^RH-m-@tmYp}O8t=U6 zwp(v?-cjLQTT;H-xu9HLJDC*u)~{nsNRZ)yd~?LKwno@ULu!Ol*6F?o1b^>?C;-1{vU=m<)*3AZoXyu zj9YJ;bI07mJ0&u~x!6};URM5)a{_S=eukC@q2E{{OG~^DmCD8%&2*B56|1m91JyYz zE4=bV=i*Y?`{+dH9llDZx3m)IZ1HvAzcUnBQeINI&begnk_FC%W#x}JmzGw_&U@vu zwPo_7aizL#igT{FqO#KI@>Z<&t&%I93+2_gV67~j>0B%OocB-iE}pX-kLqImAun0V zYU^DK*<;n*x$IFgXEA%MoKw796pJ4&^_I>Q6SH1C$5~eCoU?j0CQ#{=E1Zk(oKxsr zcdtSEQNh7{J7ta`YtywrKa{L&5M2S|&rBrRUNVCkK#kN=i*$x=4zfM%jAa>%o)!c3QJd&VS@~g>{`gkT_vj?fy~#ImOlg%))S*&T_Tr!g+4*WE}qa*uOg< zrT7Xi)K`!J?=AP1uBv#byzGaitDTG1GZRSI{#dx6=q_?6vzN}9PG5P+%C)78uhpe; z=_=W|WK|{h3^q_@#TvP~#9JzoJ2#Zc*#Bffd8Kd7nzB`8FucyYOV?F+v9lJJVk?z? zb#&+LS#;N+vVE;lnse{Mr5*!; z+3l-2j<*Oln=NgGbMRxa43>>j3LVSgg#mo7rj+9>DU1L`<1>~$#-dJE zIoKaYNX2)6p)u?;P#Y-Vz3#7kxPea`oTh*HZ}=Dpqx27^@wE?YcY=lg*PkSAkb`zB zAtE>UzZ?5d$BYLM95zDc;w`Jh=UUY7z^ht`&qUObrbtvt{uT9wSJWT4qQ3Tudd(H} z`YY-`yQ04Liu&)asQ>Yb`d_Z7w_j23yQ1z$`s)0yO)@z-{PDZEU2#SGmItF-?}d-# ztXx%6z6RTS&Dsk1KG;pV>%^?dx)Pjw!cH8c)7<^rxkn(nc`d z<4BsBPfM~SK88Z-zbPYAY$#aM(g%RU21;qEVoFMiO-xG@(yp>6r=<-~bEKsu+6PuJ zUNDili|%&KxrbE~TfoFViOS$p2bo!&*MSMfES5nWt2jb*BypUbOH0 $8000 + + 4. Effective address display will now conform to the way the + 65816 works. Thus + + lda $ffff,x + + where db = $7e + x = $1 + + now resolves to $7f0000 NOT $7e0000. + + 5. A bug in running to cursor where the cursor resides on a + breakpoint is now fixed. + + 6. Locking a window whilst it is in disassembly mode and then + changing to a hex display no longer crashes the debugger. + + + 7. Alt-P will dump a copy of the active window to the printer. + +. Snlib has had its version number bumped because of additions + to its support for 68000 C cross compilers. + + +***************************************************************************** +SNASM658 1.17 +SNBUG658 1.42 +SNLINK 1.19 +SNLIB 1.08 +SCSILINK 1.5 + +. The debugger file window has been re-written. There is little + difference to the user in the way it operates. Key assignments have + been altered so that Ctrl-S/Ctrl-R saves/restores registers in all + windows and Alt-S/Alt-N starts/resumes a search. + + +***************************************************************************** +SNASM658 1.17 +SNBUG658 1.40 +SNLINK 1.19 +SNLIB 1.08 +SCSILINK 1.5 + +. Fixed bug in debugger that 'lost' MX records in disassembly. + + +***************************************************************************** +SNASM658 1.17 +SNBUG658 1.39 +SNLINK 1.19 +SNLIB 1.08 +SCSILINK 1.5 + +. Fixed bug in assembler that crashed if more that 256 include files + used. + +. Fixed bug in assembler that allowed infinite macro expansion. + +. Fixed bug in assembler that 'lost count' of parenthesis. + +. Un-step added to the debugger on Alt-F4/Alt-F7 + +. Functions added to linker to support object file conversion for + C 68000 cross-compilers. + +. Better detection of illegal addressing modes added to the assembler. + +. option ow+/- has been added to the assembler to generate a warning + when absolute addressing has been assumed. This is designed to help + 'update' code that was written when the assembler assumed long + absolute mode when it didn't know the operand value. + +. Problems with scsilink and fast 486's fixed with the addition of + extra timeouts. + + +***************************************************************************** +SNASM658 1.13 +SNBUG658 1.38 +SNLINK 1.18 +SNLIB 1.08 +SCSILINK 1.4 + +. The linker has been profiled and many speed improvements have been + made. + +. Linker now deletes the files created by the file() attribute on + groups if assembly fails. + +. Problems in assembler caused by & continuation if white space (ws) + was enabled fixed. + +. Errors in the inform statement don't no have their output appended + onto the inform output. + +. You now don't need to define groups in your source code. Any + section that isn't placed in a group at assembly time can be assigned + to a group in the linker command file. + +. Fixed problems with case sensitivity in debugger CLI. + +. Fixed problems with debugger windows being left blank if a key was + pressed during loading with auto-update off. + +. A new variable called __mx has been added which hold the current value + of the mx variable according to the assembler. + +. Major changes to the way the assembler handles addressing modes. + See info. at end of this fix report. There are some changes in here + from the version 1.12 so everyone should have a read. + +. Effective address display added to top of disassembly window. + +. Extra code added to enable to debugger to 'sync' its disassembly + better. + +. The debugger now understands the memory map of the console so labels + should be visible no matter what the DB reg holds. + + +Changes to addressing mode handling +----------------------------------- +65816 assembler : + +The addressing mode stuff has been changed to conform with WDC spec. + +There is now an optimisation option opt od-/+ which enables the +optimisation to direct page access to take place. Default is off. + +opt t-/+ . When enabled truncates values in db/dw statements and immediate +operand values to the required size. Default is off. + +opt ta+/-. When enabled truncates all address operands to the required size. +Default is on. + +Immediate operands can specify the bytes of the operand to use as +in WDC spec, eg. + + short accumulator long accumulator + lda #$12345678 -> lda #$78 lda #$5678 + lda #<$12345678 -> lda #$78 lda #$5678 + lda #>$12345678 -> lda #$56 lda #$3456 + lda #^$12345678 -> lda #$34 lda #$1234 + + +Absolute addressing modes can be forced, eg. : + + lda <$12345678 -> lda $78 direct page + lda |$12345678 -> lda $5678 absoulte + lda >$12345678 -> lda $345678 long absoulte + +Absoulte addressing modes should now behave as follows when no overiding +mode is specified : + +(1) The address is evaluated. +(2) If direct page optimisation is on then the assembler will try + to convert to this mode. +(3) If (2) fails then the assembler will normally use absolute mode + unless it can determine that absolute long mode is necessary in + which case it will use that mode. It will no longer use long + absolute mode when it doesn't know the operand value. + +Assumes : + +In the following the operand value is known if (1) the operand can be +evaluated, (2) the operand is at a known offset from the start of an +org'd section, (3) the operand is at a known offset from the start +of a section which is known to be the first section in an org'd group +or (4) the operand is at a known offset from the start of the very +first section which will takes its base address from the first org +in the program. + +if opt od+ is in effect then + +(1) if d is not assumed then known operands in the range 0-255 will + be converted to direct page access. + +(2) if d is assumed to a constant value then conversion to direct + access will take place when the operand value is 0-255 more than + that value. + +(3) if d is assumed to a section then direct mode will be used if the + operand is known and is at an offset 0-255 from the start of that + section or if the operand is a symbol in that section. + +(4) if d is assumed to a group then direct mode will be used if the + operand is known and is at an offset 0-255 from the start of that + group or if the operand is a symbol in that group. + + +Long absolute mode will be used when + +(1) if db is not assumed and the operand value is known and greater + than $ffff. + +(2) if db is assumed to a constant value and the operand value is + known and is less than that value or more than $ffff greater + than it unless it can be accessed by virtue of the mirroring + of ram & hardware registers in certain parts of the sfx memory map. + +(3) if db is assumed to a section which is org'd and the operand value + is not in the same bank allowing for mirroring of ram and hardware + registers. + +(4) if db is assumed to a group which is org'd and the operand value + is not in the same bank allowing for mirroring of ram and hardware + registers. + + +***************************************************************************** +SNASM658 1.10 +SNBUG658 1.29 +SNLINK 1.15 +SNLIB 1.08 +SCSILINK 1.4 + +. The jsr/jmp absolute instructions now get the bank information + correct so labels are always used correctly. + +. Tracing problems in debugger are now definately fixed. + +. Debugger CLI parsing has been rewritten as the multi-target support + introduced some problems. You shouldn't notice any changes so if you + do report it as a bug. + +***************************************************************************** +SNASM658 1.10 +SNBUG658 1.25 +SNLINK 1.15 +SNLIB 1.08 +SCSILINK 1.4 + +. The assembler now allows question marks in labels. + +. The l+/- option has been expanded to allow (almost) any character + to be used for a local label. You can specify any character here + but only a few will work without causing problems. The main one + this feature was added for was colon. + opt l: ; set local label char to colon + +. The debugger had improved multi-target facilities. You can now + specify several targets on the command line and they can all + have seperate .cpe files and symbol tables. Unless you regularly + debug on two machine simultaniously you can ignore this option. + +. More timeouts have been added to SCSILINK + +. If the assembler can't evaluate the expression to which an equ + has been done it now substitutes the full expression where needed. + This means that you can equate something to an offset from an xref'd + symbol, equate something to an expression involving the pc when + linking etc. + +. Grouporg function added which tells you the physical address at which + a group has been placed. This is mainly for use when you use the + obj directive on groups to find where the group is in memory to + allow it to be relocated. + +. The < and > operators now have a very low priority so that + lda #<123+456 + Gives the low byte of the full expression. + +. A new option, B, has been added which swaps the meaning of < and > + when they are used as high/low byte operators + +. The instructions rep, sep and cop now allow their operands to be + preceeded by a hash for compatability reasons. + +. Ampersand (&) has been added as a general purpose line continuation + character. e.g. + MyMacro 1,2,3,4,& + 5,6,7 + This can be used at the end of any line except in the middle of + a string. + +. You can now have a size on a short macro (i.e. mybcc.s) + +. If you have sections in unnamed groups in your source you can + place them into groups in the linker command file. e.g. + In source +s1 section word + ... + +s2 section word + ... + end + + In linker command file +g1 group word + + section s1,g1 + section s2,g2 + + include source.obj + + The group attributes *bss, word etc) must match the attributes of + the sections or an error will be raised. + +***************************************************************************** +SNASM658 1.09 +SNBUG658 1.23 +SNLINK 1.13 +SNLIB 1.06 +SCSILINK 1.3 + +. Occasional problem tracing using snbug658 V1.19 fixed. Things seem + to check out now but contact us if there are any more problems. + +. The linker now has a new switch /b which makes in link in 'big mode'. + This slightly increases link time (even a small disk cache will make + this inconsequential though) but allows very much more complex + projects to be linked. + + +***************************************************************************** +SNASM658 1.09 +SNBUG658 1.19 +SNLINK 1.12 +SNLIB 1.06 +SCSILINK 1.3 + +. The linker now allows groups to be orged to different addresses and + it also allows use of org ?size,type just like in the assembler. + Please note that only one ordinary org command should be used in the + linker command file and that this org will set the base address for + all groups that aren't orged seperately. The size parameter must be + a decimal or hex number as the object files have not been read by + this point to you will need to look at your map file to see how + much room to reserve for different groups. + +. To go with DB and DW we have added DT and DL. These are for putting + down three byte and four byte constants. These were in version + 1.08 but weren't documented. + +. Assume can now be used to tell the assembler that a group is direct + addressable. Syntax is :- +DirectGroup group + assume d:DirectGroup + Any variables in this group will be accessed using the direct + addressing mode (if legal) as long as they are defined before they + are referenced. + +***************************************************************************** +SNASM658 1.08 +SNBUG658 1.16 +SNLINK 1.11 +SNLIB 1.06 +SCSILINK 1.3 + +. The linker always used to be case sensitive but now it has a switch + in the same way as the assembler. To be compatible with the assembler + the linker now works case insensitive by default so you may need to + set a switch to maintain the status quo. Type snlink on its own + for an up to date list of linker switches. + +. The assembler now reports the file/line where a structure was opened + if it isn't closed properly. This works for all of the structures + including modules and if's. + +. The linker now stops if ESC is pressed whilst it is running. It + also tidies up open files if it is Ctrl-C'd. + +. The assembler now writes the processor type into .obj files to + allow the linker to correctly handle regs commands. The linker + will give a warning if various .obj files were produced by different + assemblers but you are still free to do this. This has cured the bug + which occurred if you set the registers in a linker command file + when you were generating a .cpe file. + +. To reduce the 'red tape' when you want just one section per group + you can now attach attributes to a section definition. Example - +Code section bss org($7E000) + This can be used whether or not you are linking. If you use this + feature you loose the ability to have several sections in the same + group. + +. The type() function now returns zero if its argument isn't the + name of a symbol rather than giving an error. So type(Fred+3) + returns zero as opposed to giving an error. + +. There is now another special macro + parameter \_. This returns the whole of the line following a + macro invocation from after the name of the macro until the end of + line or comment. This feature can be used when you are invoking a + macro from within a macro but as macros are stored without comments + the parts of the line after white space will have been removed. + +. There were a few problems with forward referenced equates whilst in + link mode which have now all been fixed. These occurred when you did + something like :- +a equ b +b equ c +c + and the assembler had to evaluate the equs at the end of the first + pass. + +. There is now no need to preceed a macro parameter with a backslash + when it is being used in an expression. You will still need the + backslash, and possible another at the end of the name, in all other + cases. For example - +fred macro x,y + dw x,y ; no backslash required + db 'X is \x and Y is \y' ; but is required here + db '123\x\456 ; both backslashes required + +. The sect and offset functions now work correctly even when you are + linking i.e. sect(x)+offset(x) will always equal x. This means however + that neither sect nor offset can be evaluated until link time. If + you require the offset into the current modules contribution to a + section you will need to place a label at the start of the section + and do the subtract yourself. + +. Partly in response to the above the alignment function has been added. + This tells you the offset from the section'ss alignment type (byte, + word or long) its argument is. In a byte aligned section alignment(x) + will always return 0, in a word aligned one it will return 0 or 1, and + in a long word aligned one 0 .. 3. + This function is usually used to check if the PC is odd or even as + in this example. + + if alignment(*)&1 + db 0 ; pad with a zero to word boundary + endif + +***************************************************************************** +SNASM658 1.05 +SNBUG658 1.16 +SNLINK 1.09 +SNLIB 1.05 +SCSILINK 1.3 + +. Bug the caused branches to be reported out of range has been fixed + +. As search has been added on Alt-S save and restore regs are now on + Ctrl-S and Ctrl-R + +. SCSI errors in the debugger are auto-retried. If you abort you + get a chance to save the config file. + +. The assembler now traps Ctrl-C and Ctrl-Break and exits gracefully. + +. The @ operator has been added. It is used in expressions when you + need the value of the current PC. + Example + dw @,@,@ ; The three words will be 2 bytes apart. + dw Fred-@,Start-@ ; The offsets are from the current word. + The @ differs from the * in that * is the pc at the start of the line + whereas @ is advanced during the line. + +. The debugger can now disassemble to file using Ctrl-D when in a + disassembly window. You are prompted for file name and range. + +. Search has been added to the debugger on Alt-S. This works in + disassembly windows where you are prompted for instruction text to + search for, and in hex windows where you are prompted for a list + of values (or expressions) to search for. The search size (byte,word, + long) is decided by the current data size of the window (changed with + Alt-W). If you preceed the search expression with a double quote you + can search for ASCII text in a memory window. + +. A bug that stopped F6 taking you around once more if the cursor was + at the current PC has been fixed. + +. Update flag and interval are now saved in debugger config files. + +. Aborting due to SCSI error now gives you the option of saving the + config file. + +. Upload now verifies if you want to overwrite an existing file. + +. The CLI option for label level now overrides the setting in the + config file. Update flag and interval work the same way. + +. A bug in SCSILINK which occured for large send/receives which crossed + two 64k boundaries. This didn't affect any of the SNASM utilities as + they typically use 32K buffers which avoids the problem. + +. Due to the large amount of work that has gone into the assembler and + linker, we have changed the version number in the OBJ files so you + will need to use all of the new tools as a set. + +. A peculiarity with absolute indirect has been cleared up. + +. A bug which caused some branches to be reported out of range has been + fixed. + +. DCB and DCW now advance the PC correctly! + +***************************************************************************** +SNASM658 1.03 +SNBUG658 1.05 +SNLINK 1.05 +SNLIB 1.02 +SCSILINK 1.2 + +. Bug when assembling + dcb 50000,$ff + has been fixed + +. opt ws+/- switches between the 68000 and 65816 treatment of white + space in operands. + opt ws- + you then don't need to use a semi-colon to introduce a comment but + you can't write things like ... + lda #3 + 2 + +. Several new group attributes have been added. + Size Set maximum size of group. Warning if exceeded. + Obj Assemble whole group to run at different address. + File Write group to binary file. Now works in linker. + Over Put two or more groups on top of each other for overlays. + See section on new linker command file format for more info. + +. Assembler no longer insists on an org statement. It is now back as + before so the manual examples will work again! + +. Debugger now does an auto-retry after 1/2 second when it gets a + SCSI error. + +. Problems with multiple file windows have been fixed. + +. Linker has a totally new command file syntax as below. + ; Comment + include filename Specify object file to read. + Can be in quotes. Default extension .obj + incbin filename Specify library file to search. + Can be in quotes. Default extension .lib + org address Give org address of program. The ? stuff + works as before. + regs pc=value Give start address. This will soon work for + the other registers. +name equ value Equate a symbol +name group [bss,org(val),over(name),word,file("name"),obj(val), + size(val),bank(val)] + Define a group and attributes. Group order + is determined by order of group statements. + section name[,group] Define a section and state which group it + is in. Section ordering is determined by + order of section statements. + workspace address Move workspace if downloading. The workspace + command doesn't currently work with CPE + files but will in a future release. + +Example + include sorter.obj + include output.obj + org 1024 + regs pc=ProgStart +LowGroup group word +CodeGroup group +BssGroup group bss + section Data1,LowGroup + section Data2,LowGroup + section Code1,CodeGroup + section Code2,CodeGroup + section Tables,BssGroup + section Buffers,BssGroup + +To clear up any confusion about group attributes. + If you aren't linking all attributes should be specified in the + assembler source. + + If you are linking the only attribute you should use in the source + are word and bss. All the others should be specified in the linker + command file. + + diff --git a/bin/snasm/READ.ME b/bin/snasm/READ.ME new file mode 100644 index 0000000..9a3c054 --- /dev/null +++ b/bin/snasm/READ.ME @@ -0,0 +1,83 @@ +Contents of the distribution disk: +================================== + +\macros\snasm.cb | +\macros\snasm1.cb |Set of brief macros to give integrated use of snasm +\macros\snasm.cm | +\macros\snasm1.cm | +\macros\snmk0.mnu brief menu file +\snasm\scsilink.exe TSR required to connect to target machines +\snasm\snasm658.exe Snasm 65186 Macro Assembler +\snasm\snbug658.exe Snasm 65186 Remote Debugger +\snasm\snlib.exe Snasm Library Manager +\snasm\snlink.exe Snasm Linker +\snasm\ltu.exe Snasm Link Test Utility +\snasm\snmake.exe Snasm make utility +\snasm\sncopy.exe Snasm copy utility +\snasm\snbug658.cf7 Snbug658 config file +\install.exe A utility to install the SNASM system + + + +Notes +===== + +1. The SNASM directory contains a utility (LTU) for testing the SCSI +link. It has built-in help using LTU ? + +2. The brief editor macros that interface SNASM to the editor have +been revised to work with the Cross Products make utility SnMake, and +hence provide a better working environment for the SNASM user. In +practical terms this means that within brief the dialogue-box interface +to SNASM has been completely scrapped and now relies on using SnMake +and its associated 'project files' for the setting up and executing of +external commands. Whilst this involves slightly more work in initial +setting-up it offers longer-term time savings and and much more +flexibility. Please see the SNASM manual for further details on the make +utility and its operation. + +3. Version 1.50 of the Brief macros have a new feature not covered in the +manual. This is the 'Assemble this file' menu item - keyboard binding Ctrl-A. +This macro allows the user to assemble the file in the current buffer without +using a project file and SnMake. Upon selection the macro creates a window on +the editor screen containing something similar to the following : + + Snasm658 /i/d filename + +The /i switch is allways set - this makes Snasm create an information window +on the editor screen as it assembles. + +The /d switch appears depending on the state of the Debug variable as set +from the SNASM menu. + +The user can now type in the remainder of the command line they wish to issue +and this will be restored when the macro is used later. + + +4. Version 1.51 of the Brief macros now pass control of error reporting on +to Brief's built in error handling if the SNASM project macros have not been +used to do an assembly\compile. This allows fuller use of Briefs built in +assembler\compiler support. + +5. Version 1.24 of SnMake now has a facility to swap itself out of memory if +the user is experiencing memory shortages during an assembly. The syntax is +follows the normal project file command format with an addition as follows : + + -mxxx snasm658 $! test1.658,t7: + + where xxx is the memory threshold (in K) at which the make utility + should swap out. Thus -m500 will force the make utility to swap + itself out of memory if less than 500k of memory is available. -m640 + will always cause a swap. + +The swapping is done to extended memory by default, if none is available +expanded memory is looked for. Failure to find either extended or expanded +memory will force SnMake to swap itself to disk; by default the current +directory is used. This can be altered, for example to use a ram disk, in the +following manner : + +swapdir=e: + +which will force the make utility to swap to e: if it can find no available +'high' memory.NOTE - swapdir should be specified only once in a given +project file. diff --git a/bin/snasm/RECORD.68K b/bin/snasm/RECORD.68K new file mode 100644 index 0000000..1d5831b --- /dev/null +++ b/bin/snasm/RECORD.68K @@ -0,0 +1,164 @@ +; Some rather complex macros to add strucures (sort of) to your assembly +; language programs. (Needs SNASM >=0.21) + +; This is presented as a starting point for discussion rather than a working +; and useable piece of code + +; It may take you a while to figure out how these macros work but it is worth +; the effort! + + +; Run through the field array of Type and define all the offsets from Name + +Define macro Name,Type + + local TagNum,Temp + +TagNum = 0 +\Name equ * + + rept \Type\_Count + +Temp equs \Type\_\#TagNum + +\Name\_\Temp equ \Name+\Type\_\Temp + +TagNum = TagNum+1 + + endr + + ds.b \Type\_Size + + endm + + +; Initialise a field array + +Record macro Name + +_RecName equs '\Name' + +_RecCount = 0 + + rsreset + + endm + + +; Add a name to the current field array + +NewName macro Name + +\_RecName\_\#_RecCount equs '\Name' + +_RecCount = _RecCount+1 + + endm + + +; Terminate field array + +Recend macro + +\_RecName\_Size equ __RS + +\_RecName\_Count equ _RecCount + + endm + + +; Add either a simple type or a structure to the current field array + +RI macro Name,Field + + local TagNum,Temp,Base + +; Check for simple types + + if strcmp('\Field','byte') + +\_RecName\_\Name rs.b 1 + + NewName \Name + + elseif strcmp('\Field','word') + +\_RecName\_\Name rs.b 2 + + NewName \Name + + elseif strcmp('\Field','long') + +\_RecName\_\Name rs.b 4 + + NewName \Name + + else + +; It's a structure so run through field array adding new names + +\_RecName\_\Name equ __RS + + NewName \Name + +TagNum = 0 +Base = __RS + + rept \Field\_Count + +Temp equs \Field\_\#TagNum + +\_RecName\_\Name\_\Temp equ Base+\Field\_\Temp + + NewName \Name\_\Temp + +TagNum = TagNum+1 + + endr + + rs.b \Field\_Size + + endif + + endm + +; Now define some structures + + Record Point + RI X,word + RI Y,word + Recend + + Record Rectangle + RI P1,Point + RI P2,Point + Ri Colour,word + Recend + + +; now use them + org $1000 + + Define Fred,Rectangle + + list + +; so I can now do + + move.w #4,Fred_P1_X + lea Fred_P1(pc),a0 + add.w #4,Point_X(a0) +; and + lea Fred,a0 + move.w #12,Rectangle_P1_X(a0) + lea Rectangle_P1(a0),a1 ;a1 points at point 1 in fred + move.w Point_X(a1),d0 + end + +; I would of course prefer something like :- + + move.w #4,Fred->P1->X + lea Fred->P1(pc),a0 + add.w #4,Point->X(a0) + +; any ideas on a nice syntax for these structures or does everyone hate them? diff --git a/bin/snasm/SCSIL16.EXE b/bin/snasm/SCSIL16.EXE new file mode 100644 index 0000000000000000000000000000000000000000..d892644afe6d52a0a55ea3f9da709dfa5a4b84b8 GIT binary patch literal 4018 zcmeGfYj9N6`8)Tuk35J$sI?kyNDL~;8aEgOw-|z28IcAQ%A;VH-Al4H*=6qDn}{8T zRn|v#WBQ}hI#xR+K`YadnM=sjfDUZk5YyJ!0AnX3b|$9jdX`IrPB1P+*?wm?X^4+b z|8+Xk-M!~~f9HFgbMDy(w{#IJa3Di5q|+Y&qEKxISOEY8CM$oeBJz!&pP}a3oNpBW zU+_OxfkF_W5W4@PsM7%3U?*tM3NL~IeUJcwl#sj02C{?bq?No()&}HzRW+c(_Y}XP zx*MmchuvzuqRE~Hx4&NT%DWoeK?Q2{pzIH5vb)*sYjp2uRA5T&YniIDE1+se-v?j}W3)M=?Fpf^t02Nkthk(-pJ zfV%rD;+?AUWmyCI^ZJ61PK8tFR(;y;DPp6d`gZy}Zp|0)e>vQsC*b!h9!vxt_BAO1 zU6WhfKF#N^mv;tKS<4hEQyNtXV#xv?kFKIJ9frsT@e3Q~}Uhb}Jm4v&b16 zGsJ|QmRh)XMYxHk1P@wTiLFzFC`jj;C zk-;Z#k$UC;eN19PS?@yNlpLT*%W(`I$Z}sYa?#!XO0XjnF=q@1{2AiWi)hm z5ak=BsG|UAzeo>@K|cAsNOOsc(`;QH%)?BNnEpj(9FIHn<^`;v&W&?lLC14Ad7pwZGARa1req7iibJc%4uF5?mJT2J`pFi-3kWwCe=3n-PZqiJyb{D&+*k zqTmuV&U}G(qhGA@Sk!SX&~lN^W0Oq^*~va(fYaVTC}@AdN}NVAQig>qbHMUmJ)V`m z+2t{;Xpgy_7wCJ;`x_a1PX7ec-YyzET@1;JG<}v2at6VoM27cQz`{{YS{TzgL&SEI zOUzs84TE%$Vh`eNL+!B>PB<#S_$x*=>kexT{z5C3LmCskmEfW=LSvWNs+n$>35nbp z+*qB+>9`XP3uj^xTKX|BRYT}Kh<=>lW^^LG39jmFhZCanmp}!9@0{WL=AO}Wqw!}X zC$ttV0kb69S9SWcA>C5@gmfoB!7V8GHfj^}7nHKR`xE>upI1{SOXno^%}5QBuuo#_ zQAZ)rH+cFoj|GeHqt=6*Ucfs151PYR<@~hLFL=6_aTJ~{M+>T4NaphxbB?qGa0g6Y z$?hLk5FuM2O<-kVWn)XS9pjExS3@?@{@JP8TZ^U=T`-j>sg3r3U6PrIZ7eJ^Z#OO> zj#9S5-sR2@4hP4|UK<;km-7PB~)e3~&zFN{TcQ*rtTF+w=gSWgMii{Okg{HPat zC{OGEKivXrdPLm`sqOKWtyQNy6drQ(<3%=%Se71ypq72E<^ z0h_&4x8XU+PDQ4bHO?Fk*|pD9GbtR&J1FQyM{@9eU_Zhgv}(eUIc9z&?i{V7ufrxh zpD)Fu_zrT8M&cRbE3S-u^Yps)bycx}>le>2gN~bF@mrg=Y>rRyT9JojtK(*fKNKA@ zx)ZBrc6&6QsEzc_(23d^eBDu~F~V1Ck6tB>43E}6-FsI&Izshex(= z+p>9qBaJt29B{65wH5wMFMeU3qJ=5*owiu}P+Na{EINK-J{+~;VUE-g{V-)7cJVET zoTDf5;nXmnYP~UK{@OX}n$wIgh*QIFd>8nksO5kFZABcU%<%r@5GlJ2F!qkS0NJVJ zt~C0Va-n@FI$o82;u4US{E|Ot_VJh545?&Yn!!tWi?}ZxovfN@FUkdz#WK`}R>xk< z>9!3N(|QPs$5J`wM(X(jvD#d7jj^Zuj)CIn5(uBfBO#*nPV*hN^=Z)$TP087S_+NMn5xzPtMH)&a*u zQ1%+W{P^Tsh`40!^4hA?v`-)wf4@eXhe)dA>|>(i*&EGsp^x@DT5mK*LMt$E z{TjBhCI(9_%taw{!L}df;8rEq<1JjdoLz!G|D*E8+a1;Ns=%(@s;|C5lUJ#MU{J18 z176*u1?4rGSH6AOvdW5O&OCeR%0^Xjdw0t|e^7HbHY#4^-J|-N6*V}EmuKG)bk{4( z^X$_rU0&r@y(_(5b!+)OcW>KTzWVNMC}dY%w_nG*JG+qLeON&^h&E;JvkvrbS>^V# zJGvL|(jHA_?qmW|^DIMn z2Rc=y+8Y20)$5`w!I1~q+lxq3|B3%WL4U#tq&fyJ}9PZvOo&S(tWUoWOvpJ zEivKJ`)O;jwStdYxGH2Tctak$6J5&IBCbMpkr)OEuoEWP5!Pg~nVtFF-zUOz&$$gKSbzdTSVk0*YfSdJLB~OeaWI7>4M`WAlCpV8L#955Z|Ns7v zdtfp#k;&x1|8C`fTp9im`8j!->?UuJzmi^J&`r_ZqFbh0qpQ>XO1E40mTtw875ChG z&;8%G{m|v{R=aC$Wu^1hk1u+#y2j=Cyl)PE@D&X|EY~S&C+#YhLv&u$^Z9b2) z*1Ou}AzJHkzt@JTjy&k}ta15lwQiryxzSm@-ud`?*X2%+YlGY4b5+@#wKkW=ZFF{3 zbye*wpUvZ{bg!wc_PT79?wT5BZPgWN8=M|zjmwAPMoB8&{`I3oUYBR1%U0v6aeF?O z|M~E0kL&Np)}jej)!ucZI%xVYb$Y6Ob)!A^X{>A;U7qUI)s;>k_0Qkw>#cOx*19Uu z7(#vK_WNuBXSJ`oc8zVd+hg--Ubx~KkIRcraaUIQJxIZSN?x2x_-SJfn{Ohz!{*t9 zJT>!1(mr8USyv`vI$y+(AA^nl>0LfkMAv7xK0k*JW+FP8|LL|D#*v{jI=kLi zgq-$F^j+vHwlvMWhVVP|G0Yd}w8`r%R1UwC5|nFooNDOnHSe+d`!o%0zE60C*mb^1 zcH+CK>q+c7!oQ$H;=d7LA3t7epCZ^kM1-9$Tt}LxOeHgp`7H*s zjd;e#XLR|ko6Bv4_;vAzBNo)X?^(i6(j&pIh~0>i*8;zi>VfN&X)c{i__xjc>t-B( z-mIxJ(f6rV>V2s_M&EICV3M|*W}??;&LImA`PtF&RxBe{WP(1~V&-cola&P~djF^B zFEB?8JZdng^1sk|^${I>TA!NHF!M!~Be&u}hJRN7k98oFj>^~Q^;%xg!C~TWq&iHzT8HIVba>4;N?Y(r zL6gjO^S4NIdBHh2e%UeWsbkh-$7Ll8d$Q>em94GXyGFj%hyq{M*lNK1UZHC9ouiVP zPSd<(+PH}Yg6E9<&nbcL@~p9R90~cpNm^IQ1oZfDoZqSA`;Cz>m2_|h;h!`XHeXv6 zImjD$kMYbfPFhPmB(d|1c7tmBLIo6Em9iTDk}!UM5;C$*W$UPQBL^I^Sk?b5x? z?;RL(^oEDq$>bSbb%yrh$+UT;>Xr7BF z%=bEpw)~tc!B}r9orfT)-9b(-S^~Y;?Y*Q~{i^l_bndM>Pp+ zIM`$J&qpQ&H1+SX`MyqPXwfM$FVkN#&py#VIYP9W%}*mFP92W76;Tx;CQabi43RNf zWs>1ce`I_nVg4SY)%GXL!+lKDPObp~vnx%iJ}*!nScT>Jedt(-7AvoB%(5oJt^%hKYn z{D8ox6B6bhV;6G57(?@^+Om}DJDw|U`xnB`(s^!NXz`aUIrCW?F638qp0A$Cbv#L< z20vEk8P{l8a%PC~(702F#G6i+Oz1jIaoT*6YPj`eKW=h)>q(TL)qPlRI#lxMKNu0? zE23iv|9jp4WWsxOo<)??&?qPVah>Oz*x|#DQFiG->>H;+a#R_~#<|YT~hKR2T$S#`$Lyl!^<7IWoa~ zG@$GszsKmGx_>N!zi7W6onYKQK`3sDmz`b8$H>EYYUSe1c*`g|+Z5Ltf>F>5guk2e zLr#%m;cv^9FP-0Y^lLXwDIqV+BsabF@S~5!NBf?_K5RZ!I+Mit3&0--uN+^|d?Iq- z{F2L;1A#Qh&)?Z}=t}$iomcA1+Q{vZEnl2{_6ws;r_bK`^Fxc`%_kVsnG?K$JhC!= z)>)Dtr#tVAU%8Sroi2phE7r#gm);fk-?8GpGMjccr5D@MUzn`~Gc(PKh&(l4JZ?}Kuynos- z{M7Zs!Y|9t+9zzpWB>ir9d=?k(QJ9iNVZMYli|LN5P_zdr37txVIjfp;l4^h-sV|j zx1VUv&W9&+L>DNY@wTrh`v)pvxNiy2GKY7}1<)+dAKE^3)>z?0o_20Svi5_;^dR7; z=w@{J#@&rBc$Kt&d17Bbi3AVaa`c9$rha4WHuFtm!{yiENin%u-Yiax%^BM^k!1gf zliwn|JBN||O%g7jgSV$i6s$wS+5WGS_}`MI zDWxR**1QAyqc^nQIf-v1?OwwF8)?6565l}DE4AZ@S~YV!-kcBIbM%ITPmKGM@i=cH z|rdeN$$_EmLPU|3E);`zm8Wi)j|ht$j)1z`K|7 zXwPUaIB;)7cl3tllTFtynSRV?rm6|q_zURSuETh3Cb2M?`5NB741Mnt{u(kfY@g$w z@?E}&*vI2R7$to%9JkwiBlpMWALHL3_IVzweL=uDd!A5azr!=x{@pz-`p;{?E|w!hvSwc<@X5?OpSSX6|i1VK{la z@5@*jp3(h;skHHW;X*jglscJqP-^XY@?-s;+Q+MCk0#J!=;Q@v)b@1IPcH)p~8((y$l-}Y4F zgUf59Pfh1~kIPwAXREID`kd?6yQ=7fC0Aa2HEw+HRb3|V+I(wWb(D}kBCn$PT$Rg{ zt6W!)*E+p5WwuIutvhhFqzBi! zuseV}oVE=fH@X({!>_@WD2f{+@3m|K&(wTYlL|qbA#28>xz`KVO&iZfBLY zD9h;dW&Ub>+}60OT&1=Z{tX-OvFp8TP~q`hU5k(68m*2ps0l9H^w0I5Go700!ACcG zynQF1cdPVlo@GBjby-6QXJoAt6l9 zV0ssag8aa@%U^Ms0Q> zvz1VjY?W)PHy{M?#a~-FI&Ld@pmxsVZYpc}9rs;LQ}~z57ubweZ6yyP`fN)zMB>70 zt?%N0R9scqLX*IF+L`SK`mrL-B@u=|qBRjR02x*Ll&CVGDB1)76;u|-JAq|cj&VbU z=mt?%80*pe|A3-&vmLBs&>=AZ zF$X)BWf_JE1wx!CfrOtZ3P(l+F~n2=!+<&hs18+w1C{}q%Hjb>KAe^d}84>5oF*O*hP(A`iR6v%x*;Xkau;`5_{git8$t@g`L0(a) zhSEuN6ax&G4gtp`0e&I~kV;WqB~=2q3QVVxR{?McIYI(NlqIU*Slpm6`HRCcuq~i` zl6Av5%|IN2X^I*Ig-Q`2nvDMj66~&B*iu1^xp?RpLkScq&C%LI7ARS z23oQ*bb!D}T(2}p*Rxe_1qSLLV;myLN(XA*3YAh8%Gvp`+xEG~9SyE$M0Zy9%Dp4$ z526W4Qu3^$)4>Lw;MRp0bd;RMUs{5+BxTzN_Qhf`wI{SnQG#NtB#+2)t0V=UPD#{J zd!xa6xw|`;YeBv6(+p9iO&x9c)#1Oz7_T?^svwD3_xTS~sa-vi>gb@J4XAZbFj5k{ z9Ya;wkOCDc#Qd|lPzG{Q5$zF0hTE7{R^%oPoTws<7zLQmaC>u97LIURF{NOHVL0Ud89++O zi6EgTAc+#W?t(W!3{xn6rgNF{nM{}7=YzOWf4$^Q6)WwSf zAb$WNb5fJ@wt|!gg;5oB6h$xU z0EwO++(fY$vSoS}1%rM!$SpGWEGsb>BH&|1>5oQh1ghiIE`{so-r$rxxB*zHM?{-BG-7yNsAWBCXGC0> zXOi%MERP6*OV~)D8c~*Qsb^rJye@_dB6SS5NdZ=pd!bYe?PglnFsMnOQ_Q|g!66N{ zIM~%-kF~I^EWlq21*q`)@ ze?9ifUkCjhWaFYL$7I}k0UQbpi%C^ha;-xW*ZqD5?P6t?sbCk3Ju-Ow0|g%Xvp9mQ0OEmk$}4cRR{4UNxeWTr~cOS@~VbBstsI}V_ATg6KdxHl~v(mIj6Yuc|??o z6IG?BCy|y$Vk0eiw)T<5K_wV;=VXu}Ck3R|edws3=Wyo*(ckDOibIrye=>|@H?c`J z*@AF#U`k>n7Z~B`RO*A&2VxAjC;oC=PN`Fq7#;uy5H=vE#hrPTqmTqSS3e>i5fM*f zPdE2aPXZ4_9EhsXo~RO1#RNTnGP}BW{kCPd6v~Joh$4#qVAJsZyQ%JOG1bHMq-CTL z^V6QW$T1(YoG2f`AupGMSt#QYs^N%<9guC8Q3;jhvRRRVUKY=_TfPBv=co#Ny?+42 zi`h$XDa*nywmMj7U|^@}_)O+->%qQDSu_|Eq!GR&4i#{*&oq7)I86$JT63R2t-1ysArsE*741oJtJQN2h- zQ(T0B@ zRaxx8NSlcb+R#utLJ(KU>~lIB*{nt94ED-n=nc_ zl*Q2nK@rg-%Xq?G3gFKCcrU_LX~3R(8qBGi@Pf0m~o3oq=gE-V=1cIpN~;1dX&Wqw7i>C z6Db+J#_qzCNM%?ChknGVkWVTq29A0eml*jBJ9eUXD%6Wi`Ki_xIW8e`5*&Aa4c?j5 zkj!=mMj%$t;{6c4H3;dT2#CmAm4-`<#Jtl|voQlAE;0`82H3p@1{+Wi28I!3!R$Qj z%Vr00S<;|jh{CWOJH_Hz8Vo85BT5*{Wlr*ErQ1Nkt57uhoYH}MEkZ;gmeEy!TOTBk z+<~$YPh1EX^o9Vhp4yT6FvWpdUe4e|gf7$256N`)0YUITjrGU_0c0*vYoYcre5vuxsy*RvCN?LjW9}=gdPYD`pWQ0H1J> z!TmXmH`DywmS8B9sW>$kQ6$3tUFo~dqj6N(n;q(=MH{<0Mg*3Fix=75X|Wq+$p}+N zkXi3*7}gG6ZvUTlzns{brW+p5?72+MrcjJgX|%~ecBnUfj>8xQthzH_u?mA|Fo?0R zJC_70TL|V+3{jnmEO*z}YcYxmp_8bdl!}3ldIS_mfg~^ps9mX4>UeJo4>K;NI+$QX zXzgQa5;u_m7o|iZola{*b~)J4@Te+D2+`!aY%;ZZ^Hx+IuLDduD8Ys52;dMaet0R7 z3H(apxO83w1}ZWYq0nQ?)eNxc1zhIDxpZ32oPz77iE|s)sz1$0=!5#*`^7&d(n8-8o8o(HZ7A1Y5 zP(Pz`h^Q<^s6;vee^#V+Xkk0?;BQm?QKm%*2#D)a&^=$E{PBW=^}@hTVUB3%Y@454nsuIAeHWwQivSH2F55=8O((SLnsSP zt{V^~kzNm?QAOzt)}yEDX@J!}mgba-Wo10*1NbEYgd>8GN~Ke1uBL{g0XN^CAO>@g zMWGiT0bDAJh=P1fmNEX}=0=9eqy)QCP=V^>5aI?LSWxvKDN@~m!ySo39P419KGvb& z#SA}0JTl~{ESgtfC`L+=>+b34?#Af3h-INXieV$Vs=nTf+d)hX4i3IC`0}e41mPvb zRw#sk19d>L*bz3g#^kG#;!o_-h_!_+~e1qN;{sxwJ z=}GtjEGsZoW8H`2oAkzT2-{n+d>Z?9WBmZmSM`?gbp})TMuRbY8>R@>4`cbRfrL+C znK9_XLs*VA8p95wA$*U~8h+HMZ~U>*+W1qWKKwJIF5GN1HvYz_Yy5-J6n@2M3Gc)D z>p1VAk!*Pf`?|63JkGs_vV==9m0|r>lQnENku6J2rf>!J z{RG>!CVkjv(l>50SsRhvh_zZp%Ci*>WqEe-v?j}W3)M=?Fpf^t02Nkthk(-pJ zfV%rD;+?AUWmyCI^ZJ61PK8tFR(;y;DPp6d`gZy}Zp|0)e>vQsC*b!h9!vxt_BAO1 zU6WhfKF#N^mv;tKS<4hEQyNtXV#xv?kFKIJ9frsT@e3Q~}Uhb}Jm4v&b16 zGsJ|QmRh)XMYxHk1P@wTiLFzFC`jj;C zk-;Z#k$UC;eN19PS?@yNlpLT*%W(`I$Z}sYa?#!XO0XjnF=q@1{2AiWi)hm z5ak=BsG|UAzeo>@K|cAsNOOsc(`;QH%)?BNnEpj(9FIHn<^`;v&W&?lLC14Ad7pwZGARa1req7iibJc%4uF5?mJT2J`pFi-3kWwCe=3n-PZqiJyb{D&+*k zqTmuV&U}G(qhGA@Sk!SX&~lN^W0Oq^*~va(fYaVTC}@AdN}NVAQig>qbHMUmJ)V`m z+2t{;Xpgy_7wCJ;`x_a1PX7ec-YyzET@1;JG<}v2at6VoM27cQz`{{YS{TzgL&SEI zOUzs84TE%$Vh`eNL+!B>PB<#S_$x*=>kexT{z5C3LmCskmEfW=LSvWNs+n$>35nbp z+*qB+>9`XP3uj^xTKX|BRYT}Kh<=>lW^^LG39jmFhZCanmp}!9@0{WL=AO}Wqw!}X zC$ttV0kb69S9SWcA>C5@gmfoB!7V8GHfj^}7nHKR`xE>upI1{SOXno^%}5QBuuo#_ zQAZ)rH+cFoj|GeHqt=6*Ucfs151PYR<@~hLFL=6_aTJ~{M+>T4NaphxbB?qGa0g6Y z$?hLk5FuM2O<-kVWn)XS9pjExS3@?@{@JP8TZ^U=T`-j>sg3r3U6PrIZ7eJ^Z#OO> zj#9S5-sR2@4hP4|UK<;km-7PB~)e3~&zFN{TcQ*rtTF+w=gSWgMii{Okg{HPat zC{OGEKivXrdPLm`sqOKWtyQNy6drQ(<3%=%Se71ypq72E<^ z0h_&4x8XU+PDQ4bHO?Fk*|pD9GbtR&J1FQyM{@9eU_Zhgv}(eUIc9z&?i{V7ufrxh zpD)Fu_zrT8M&cRbE3S-u^Yps)bycx}>le>2gN~bF@mrg=Y>rRyT9JojtK(*fKNKA@ zx)ZBrc6&6QsEzc_(23d^eBDu~F~V1Ck6tB>43E}6-FsI&Izshex(= z+p>9qBaJt29B{65wH5wMFMeU3qJ=5*owiu}P+Na{EINK-J{+~;VUE-g{V-)7cJVET zoTDf5;nXmnYP~UK{@OX}n$wIgh*QIFd>8nksO5kFZABcU%<%r@5GlJ2F!qkS0NJVJ zt~C0Va-n@FI$o82;u4US{E|Ot_VJh545?&Yn!!tWi?}ZxovfN@FUkdz#WK`}R>xk< z>9!3N(|QPs$5J`wM(X(jvD#d7jj^Zuj)CIn5(uBfBO#*nPV*hN^=Z)$TP087S_+NMn5xzPtMH)&a*u zQ1%+W{P^Tsh`40!^4hA?v`-)wf4@eXhe)dA>|>(i*&EGsp^x@DT5mK*LMt$E z{TjBhCI(9_%taw{!L}df;8rEq<1JjdoLz!G|D*E8+a1;Ns=%(@s;|C5lUJ#MU{J18 z176*u1?4rGSH6AOvdW5O&OCeR%0^Xjdw0t|e^7HbHY#4^-J|-N6*V}EmuKG)bk{4( z^X$_rU0&r@y(_(5b!+)OcW>KTzWVNMC}dY%w_nG*JG+qLeON&^h&E;JvkvrbS>^V# zJGvL|(jHA_?qmW|^DIMn z2RKtO^714_F(<3uAb?suC_Wc3LF7o00{g|IXDQw0B`^R zV9N)#e+XW|=I{{j)+U~59RxNu62Zs6}vw>{%`Iy8iC z>l5M=9PZ-{{VDl>ubNTb*Jm&&0MG*AKnRa49oWt5-jfvt^6G6~iNTZ(9N!wleyx># ztj4@ngmnsb(yaqR={^?%!u(Ft&-gK-ZP8!LJiEJIg9Hk8_x2L5GV^z{Bqp7-=J_uwHC z|ME|IdQ%5NssFMS|0bh?@&EFr|MK{VSh=i!i1769N(0F|@KC9L?-T?J|4Z`!r>eaF zNEq^8mH$&Kmt596W%$Fa{^QwO(rdeGVx@a0XTlHul=;88(7`|cADKJ&!~c>K$A*El z;kjDo7~+4W{@XP2|0ClgOysiiX>jHaEfg{1a_|t|#{2?k{|-USSRdHr-UL|5Q>4UJ zfc_NOJC{?BXv(Y4>CYZYo+S0o{So?|v=2fhX9j-%|MlShm!3Z=MBp>~zg@EDlAFlc zy?Kp~^g}7;p<90yJi00d;~(8gY&;;%O+P|3{jrZn*{r=sh<>|AHh%_nP^mto~bW%ojF@ppE1*FOxe6=lRuY}$NL*z98z^!kSHQ2GP zw=XuqCin|EfC<~~cr(#h8U-UfkpSkJ3L(mr0S*^MRem!YfCug;qD@Eps^&>=&QN9> z>I)U8JdrsnPu)Vqp%<_mD2lrS|3-=kf)&EKxyj%|X(D)Am;j_|Jsok8P#$TgWpjgU zrotSj#5T7A;2HR2)hTfji{5A3r<$Y#V;aFuj9t+>{vfG2XnST6ts#lSYW80DGIgZJ zUerRXH+k4_Ylv#Jw3HL&8HF{-$r6yOMXvi?K^1pH0hZ8yCWG+#PY0CI;w?vIHEFk# z6=)Y!XP*(SvQT6IcqfdHT&NfqdSD^-#s`|6Qvwv&-~SWKez#Xv9l6BV)Cl?bOx>sK zSp=1fYsv}b`!rjF7uI524~^e?%Mt>~Ym!Zc`wwpj-LJfR6%4ut1FwNGHgDi_h4i7L zJCIPfqR=g=%R-lhN*fD>F7S*63$ajP@9k&p)eEh4JQQv2aVBf{N`4s!1mKS^h@|HI zP`K{{b!z~?b|`*g6w{?PFZW{aaq&+HUBsI-oap2uhQ_Vs=kpm>0MPp~sX6Imb@@mQ zFZ7lU)BwNn%e$*@RJCY=a*))QiWOWgw}ezv6O zyzQZOaB|MdK{T+XV%RV3O$@b^n8C+vN4`YipDJ_pR(tY^M-wN0k9^!A0GGzlL2qAn zxxV50`3B|>uoXL~0%J`nsw7hofoBOKfX{C}v^ApG&T$rR*GMujmCmS1x2Gn8f z(kCC}ae^=gUT7zU9RqHCoq&tL0sX7Ps?vvU^efms%x!%#O;P?NOOQP*bFajPN2aWf zXba7z)C1q6@i6qA>bp4@)kULKCcQdu<^4bug9pXas$8- z;YlL`QzSwpX)Z`Dw^cliV-j~SU#s-KV~VoC$1K&Z=F>f{}8h zC8AKVL~TGh6!c}+kWGB+3-%lC;h|0_LRxAK(Q4tR;r2vHNHVo?9&#il?Mu2|f8?#gQ<8ueWxA3~H`|1GOQ?%Mg zk#Y;UOn|CtB&0x$1nXdR6G+M{ki~9?lhtPy@WTA2|dD6jI>$@cvwNSsfuC4z%=gbi~dwGH{6 z;{;#R25!W&(DCyK0OI0PE-*P>ejIoP6F zp)iPNCa4D8CH12^>M&$hZoL-%)a;3Mxmmy51IXf0$2L!^Rq-oTB3mb_#((+vDqxY6f9ecsdMal}W>!aU@mmR9)GYJE2Y`R><)93e4u zRQhP4a7aGCFmIYkh=~JB*#x%NDEZtt#Q1~|V7&2(Kr7j|woqj<@1ClR6JVjk;S`R3 zxyU<0Wf0td$kCVZl0eM%Ce#r+<;fWF7Q&`nAs|lJQC>1h$<;{QbR#Z7rbp5gVfR7-fcN_V+qJ8_j~M)iF#Lrom+QFrr!(irQKh=*Ie- zXZ1bL8{UZ`m+$82bbp~5)4HK!{s54S);@k9+roq52fbe}X~6j%o5FDr?>0ZujyPW_ z^2m_}U7I-i%<_eeR;86iO12wk{*xKsd<<&fa*9nDnD4bfN zy(qrz>!dw{VNVTK_XZ9Wkqf8MAqvTMGS3=aU)GIP5ZP|uS>G$bU#;Ot(n05HMq>_> z+0D)Y_z=R|a-mti`a*n(qG04+du_1{vSjNPG7XuH%#mQ%I`M$`l->9V*+`o8jAGBp zb9Jbf%HLYv;;j50+0vsO$O2E?$peXgrQ{5bxkBW-?MImJ(QFjXp@*1y~+)c^*dwU@5aWMYmu`G`Bq0fBLmSqto z{2})u5<8il6PmbG(nDn4-g#s?k{{W7l$T1O0+x@_m~n3Y%uf9KAyOf?_1LTBkF+Kw z>zNfGpOT7xU!j4C*wHa|WW+$2T@#>u2Q#8|Du`PzFbqhLR5~05sX4`IaNdOZ3_#}s zA>E^sPknx40x*Vw^B6*p7{)2~n;PzLg8qQCQ(D*DaHaO zRwuTYx;LBfJMHEr!BuP~_tHuJ$y?|!eJ;{6aEKlcGUb*gQ_9Ec9tN7@l4Qoow?gfc zzIu-vj}}9jM=BqA8y4Lw%9IuwD$0hq03yT`v6szOgF^)w9K4fH)<5?gA%5n&Mmw|- zm9?LAzcc3a@tPC&k^FzZ1`Tc3S!p@-F7nNag%Klb%UKAmx9v{FMh}GfJYlSOG{2f> zp{E^7#j@7(Nll6;IWf=PWg+Rai@|EeT57R528AfJLHQD`a66Z}p<<8y8Pl~>_~LtT zj9MvvUG2%G(KiQ6;;a`8VWeQE0#cw|{!tKGY5F$bRNgK{DLhG2$);uosU(K6#nmZY zpjzn5D|uopyKzds7>f=GCF>_PrrM9R$$$GTPerX!a}F65pL6TuqdrF6elx;3xWJu= ztG1`z!Lb{_Ps^8$8{Ze@gWud?YEwRJ`aY3R^1ZuH^LZ;>{r54iG_Smt94|#%7mSPH z>e_qdAaBFTnspbI4R~GHJC{n&=R_3Y8uttQjECJZM65rPpzx@wBt@l8DO81A9mC3B zyTc`f+Pp0;^eQP&s8cvm2><9E>1B$6kdnE)oUne3Ts-F?Zl>I3@tkl8`N;t{K0b1WM{C_+kta{?p5zR#F&Ef}|q(B@R@F1zO$8Eet z6Ysg4th2MW=d!z;tanN8ZJL`KWPp=sS#8GjdK>UCb~r z{6Zvf=9uDI@K&(EbFpdQY5ta4(njPO#~-H+*^10kR>=$o>ZC&N&l)EWE9GFlu?>3Z zp@|SZd`eO^4;?j4)gcL7L5q*@D(9lTW=orRlv2?)TIt_o=qF`~u$|5)OZio_-s7K= z>QT@!riIZ-SEm!Mc1U>hXh5%I1UFDYPSW2Hr>P~QV! zu3m$B$qGm~F!)(Wur>Bj>MwX0w&o?kwJ@(Jk#h*hTox;yh{0LSt;`2{I-JU4TWii{ z;hq{-!N#6p?AwK0LdZrO%AcY**}i-8>4bqaV6XZr&*CjCFVfBZww9HH<8k}8Bw{^y zqnr8RS+BN2n(izBXp=i`Ob~I2ADwa=3C`ne`ooiOf;iD`og5p;Hg)AyCdpoH&WMaM zv?(!uUGll32>Qgwa{ z8PHjiN*qx%S+(PQbk?~&c|+&(A`4}R{!+Ce5uiQ>mpYGM4*>x7$=KCT@?j;Vf<1}v zqjz<<6Dvp3BKL&Dmoio$@%Y8iz;O!vXqUS;YQJe#yY{_OGVUEls}8b`T7xPe)?hg( z04+jK<6V>oa6|;FQ)VgJnehnsMx?OB6v`vWUKFaABo=N(!ye|3&pzWrs-|qkHn72M z5(xV1(*O{+eA4y#`o_o)(A{lmE@}Hy0Y%VtBYw$o@1x0WzT0>xfP9W$f!ct`H-h;Y z$|?9K2&u>ist(9T!^@)t5XmK{xZ-D6rR&y+nL*cTmpGS?3a`dxzee)$Eeo)zz(UwG zqQXZtlr=KZtdS#lM_JW7w0hdAuT3A#K~Gi|J>*2tt-UmA8G-AV?y)+!o71OPW{!pUD;m3UnAW zmAzu38)}ozrmx*uPEFDI=m;nd2kd?bR0r>|Gp!%49W>_yeY!d6C6yc41Wmf!2;G@G zl6HI@&1;1&<>!Da_t%bZKBO~$n2p@odoDCv{A&bum5|ZsCAPb8^}rD@H!GQh;Un?K zkH0+eBqzIGRm0q$V!DIM( zkYN4%2tYkYGRj|-iXr55%rnzmNdp=0M;%16fbhFbeOX^+Q@9%kqiFD71oRj(HE}Ad zW*?Sva&hxDpDE3HiQmV1adCJYy%_mru2;8Qebif8@rmubKv9X3Zu$$P@ZA@qv^-$> zc2!Qu!BXjL$j>(NctzXv&A+}qNcczVihRZ01hU!PvvJfCGY{?(+@pn!d4*!4))?n5 z=7V{5d$!ts!gfOOTbnKPiURB$d=K!pCU17JuePh02lD|n=OcGsA1_w!69%clC!9wb z=G|Ncbv7lAL?4D^Lnx>k(I#glE+E`-w)B8iA!8FG?dnvUo%s6Xk5bIBV^YQTXQYr) z36<(nGBJ9$D`lhr=1Q*y-gl5O}%hx0&o-DR`MTX{BcJxM7TQ2-=&h z8_wsjBgotHJ4Y%~&+JH_s@jUBucefItEIf<{MYG-EptVKnQ9XZb*$-YKp zl5w{dw)4Ja@F5tH9X#rfxlL2-vc!pGM+dgAb5Cod7M!YAI<24lv8by*(a62PSvc7y zd($Bf)IVX%m*JoIyAb(c>7q14bN9=aQUSS2df;->iSA z^n-w@FvW%Ud;L+npx65T3smd9gLA8eZx4K^{0Fpcu5!-<8O2Kn9}n2BbsW4zA`V_1 zsOt@diBsxiOfOygMf!D_tM`|+Z`ZHfHsr6ET(i0GYnY{()8%?Ir^*FqTwY%@WS{uq z5jeqG>Os;qho|NQM}tQH_yIUd<*kL-EniwDSx}b0>>N4x^6N^=(u%*NRYr|?=}%uNboRqLO6=xbm!#4UtB!m-tt+k zH-CSuD7^XF{)qSy09=aPU60>9*${)sT=x*Eog#pCDaBzrD26^37N@cps>cP0(beK` zTlH}a#bA8OXu=M zYMz{JYWQb780$l|@>Goit_j4kWi{vbB{oHr1L&s5@Zh~sf)=^FFl^%7Q3G|eXP9|i zxHL>%*epXBfJdVs(uVQoW2Ync)`27RBgc;|Od_0|Jid6jscgj`lMo%a&;WVxo8&LX zeNEp*2D($zP}pdH6fxElg$+O338K8CQN7{n<4jKUjNiz%lto>jf&LHd*(87$&;#9&yS(r4zXJKA-D}WhPQS-@VzDDSLs&m7jOC4W z8`Hr;ob&G#1Xtv`yfr3pX4o2YIxJ)bc|Ju=OAV_U0~taALw=AKXV&Uan2Ao z?kMBdJ)h1_zJXIUDYWrf(Z!x%fbu+asvJEC~H_Elsl@y-?)!STER9^)p<-shEKMv#R98o{7&dt0gRa zm-oY2zcHYtK9M|#Jr+lbN<&Y+QFPq+7 zKNa`S)TEb1Zr-ka-i73F9~)%)y1!afob;P(kj=NSs?cGbOn3S#a?VH6;IxN-;P!;c zHJkaD{PkpN6j3S30nG!6>A|8`}8shMg2p$xxXB%v-zL!MN# zJAzG~Jj0eErLZJk4_t?VglBLpn%!`|`_dRQbZXLgb$p& z>f`Z&A)TnM2fo|cjBng^k`q^OO9;ji?5*f%+O_9F3wh*kX003KCf5M6$5e~B>_y-)Tw`L#|{;KJXRx&nj;lBd*PAz zKyJY)$+h&X-{ZEb6XIktnI*T=Ir}h8NJUlkX)7n_^bi{=PC5fBoC``g!<8N&D+-=VKqk{jPTv)ii)9r;X7<&ho880 zyFpf}&@%Gae)*#9ldH%1Vco~CDyMciUfq9q0(FQgDcW&m>aUB=JOAtyC?a9R0p{am<18K~9i9r2-4Yz@tQek56xmPKy3*>3H4oW>~u8 z-;R4K)6=jdYTs_WE0tRXaVsL`S&?n99*a{}X*TlP5;hMKQ@CcJyPJ*#0@J z)V(>_9MZ09F0NX&$wLKarSNcW@<#NNM}3~6L*U87qTVPTE8ozu`dgd8vM1- zporKK1SvFn9au85Pr>Kb$<>Y>sv0U#jnz@HALo&i);@Kc0KBZU%~G3eyg6@s?3e;0 z@^Ca-RcI=f$KFPGWw(D^`%l5BLjOC`v0&@CP6-vb0MyirWVoWKi3Hk(G3(d z{W%>=X3#-8=d_F6g_wH<%Z4``C-$8)Zv|80J-2T_SLT`7M#tC23^sxKFYa#XlnODe ztz7zDiR?0!mh|p2Qf6w-E6hW3RvLudxm7Hu6xhhf9?WhDCY<{=7IqQT`sv?eEzNl!?o=^F?SJbHVSbiD*K()T4}wmsizil6 zBWW4Ry>M!N?jkz-BNbc#FvLHO`}WKx@n+-x+4qa@_cHpk07N=}PsUI@kns`y?*>m3 zZN{%lRNz{oF%|K4Bbnz%o`At)itTzwSo}^1x+)k@Zgj^cz8fi_k z2riy6G{_#se^EluiK#}8#0lo!8R64d*SM9x2S;yP%dFneEHyE9a`sjipnh76RLHRnU~(H6AJUh`4;xV9eSxJODO_MtjL3=kJyCw{oB!?10*ez~ zO1yM>$!X?&Ly$-U2jzx#fr8Lf-Z9MsZeVF~_sU(KqBMKnA7ZJ;(Wj7`!)x4IJ(0*# zTlr_pUtWCCF?pkPL#va)tp%f~P)JA7Jg$gc3sef(DE6OiBYDqF)9PN#`4x*m8^>N# zVbepG%}q77*89U8$(j_&yWg*x8H%F6TnqKBz67IT<>1?|=lA@@H!-~(zn2a2M)YAq zuU>nLn?HUXFIa9=<1Pl2%M+xbbxI(&WK|GJQ|Kr(_Cc$W`KC`{R%BddtAxtDR94+* zmV|hy!4pc=af6A2=?h>MevP9v_0^qG1RexF;l~MiotWwFMAiB?EHIdah!wh(oTxEM z*${kYv=E*eXdf6JX`)Wk`_7R#6fx7=@=@COZ*%M#wyr@osIl=ARrFP9$xz&j#@nryI4hQH*ouoYGmd`*2s|1xH=13s2~_dTx< zum7CwofxCxg7H|H`P3}D&%#WUVpf!St+%bDn)&UID_G)myqr!!JsyM5AD=R(jGN{W z=EGmU(uU-1dZl<$EsP|Lg6S;Dr(wu(E%{CYcg!ibq1w_ntI|-D1@FC>sy9_x0C?pTC zfLu7oZ38TvV4_Zb@-E_Ku?yNNA7dl&y6_tCr1ElJIg1Ybo0pdR*^9Gq?4J*vmGVLS zP&2PNL~H9#Yf)*26;E0JzH2uMY`yWz=c~}!a3_4*^whV^1mb&k`%>@mWE#4maXCy;c0od-OS-qGc+}l|2s}_a}RBT0{)&zi2i3{l_@9akHG4=yQz+5ll+II79T-4C7iBm z01m?G;&h5${-FvuV_d@p=ux}SJy(={<#^D3Dse{EH5TU)X(u0KU3U~(i==$`^OdHj z5X9=q^2FQ189rB26%SA4QZp7)0|NFbFnBgX#3xzTPs(~Sh{d__*I@ySpKNvw5Be-h zQ*YsA)DY@srzg)%|Bp(5H2O4Bw^v@$M~^og(B~s`=}j|7OU1TtLkiF-#Sc&#K*HT& zQ%Qs%gwE068_-uQ`33u5_(L!cw5{yuvcwBZ%@mYT@)G-H2ufbcPUzf;jH@0yePOeaO=2c z6cZ*L?jB(qtk0c8XM__csQz0KCvL;DU7M~ z^boNs9FyFi-g22Qc@_o`q|=C28l;KeE%_>@vbqj+X(*$+Sxs65$XXQMpi_NAI!i#6 z?=hzeQTe^tq_iJ^FnOvsrITT!a#uB2$V|1y*W|h}kbIoq;<`z-(Gw%QfECW#Bm=CW z*sUI0nG~>i$GFtC&s*IfgPG->8_+LCoR-T`DKj2<(F;G%^>F-$`v|eG^)Kg4hiw>KIHkq53u3 z()wcZvs|Hjv)A*!`$9_)4>bH|8v8)sik8NLCjPXK?a=(~aA)eIr62((B+ko5S5H|Z z#__7!_1{b&1nbdMm*l9@!er{D_k5CEo16x>*F6{8B~lEqNJG%T*O{2vMUn=?)9Pz6 zx6f0@3D1NOh9GvrZR!~CGAcG2rf@bs&Y;mioiU-rams@#YDAsW{|iIsooD9*p(>uL zdrZo&SiY*Pc_i`ya(@I^X3u3r>Z1`ejw1C%7el?kS($P& z2CF0-iN!$oCqsX0+@k+HRLS@C;7*1>xO8+#07AY27F$~|rc0v}fsvs<|AF{57EqsA zbBfb^{@2I06`^~?JF?xWnt#)sV-Cq3yg&(n6j$-x6S}o|U%0j(-$QlmEhf`kg4r>n zh@cXo>5W@L=vlbxdo}IRJc=osElE2`-tlU6a2&f+U{#hNB zCs1)`cj6g{29?GP@DrdSI5nL{KYs)e8>COpo-dE&Q&@zs&hPxNXn1ifUK4wB}E zJq32}S$|UF!(ChHl9Hb&lHaOSO*ym*hhhq1ZHSBamJD23dXbIjR0*x|G>czgc0sknX3FX1SZ&Vl|7?oz44qP#ZN9y^+8w_~{|b}nMw6j%+M^H zFKZ0Btn(9Ne4&&5l+#O0KH+B#yGXr?V-ZiasaG>EP9N1gHN-J~oO}GUyyMg(ad8Ib z7-vCF`h@r5HSfloh*H(71Xi~|Dn>ar*j#=8Vvuk0g^%~rnWWX1Y~>{ML_hB#ah>50 zKMZel8f946Q@7Ai`iFk!>&7?bF6QV$muo*Puihuo);H~qCqG-;+Sgm=AnzkB(Ymj( zxM{@@)D)LK$jMba8KWXR#T7sHHApPY=v;MSfJ|ENcd10;QR(c(lGT-~C99c>;3wzT zP1I>-kVHv9S%62R!zkcf1%!LBCm%%f7L*qb! z0|D)TTA;@08fC0`%PJn0ay`6U1%;Co+#ybG;P58yg$~<%>%`-k#g);>F)rUzd?WY; zJbE-a$MfbS@$hI5@o>;Unjm@@t)K9HOE#zt(qECh>ogK&>#89eUgHbs@#ktil24vg zhcA(aXB%@8KOUb(bUg92=N>z^`05IHlGoQS%|t&g2hF6mqm5$n(7_2tizXo(c43!P z+(*gOb1oUGnNr)^_TEPfQ~G110^QV2w-xOg;Y^5?;FykZjZikpJhT!bVV#OXULi#2 zCFgC^5Jr!(wdQeshBE*!XsKJuH=>+_rcM`Xw9HmYg5N>St_}cn02{$5Y6Mt0oi`qz zcTFmRwS}9)xonlFwV(M|D?b)bGr$Gf{yuf;6fllWA>PSpkWx_#cCE7B7mqWR zn@d&>6uzbEUQ>%pn0*$Z>YOt5enev}5D(`&No2J<5V#$%-W?}C8EJjQBVi9`me94k zR1Ja2wwcyqm)7OD4-2jOskGL^MZ;X$w{{9=En}6fsc5>5 z+pd(&V;jp>mW|2iW1BhJg0Y2%O=P5Jp!sc^DW6D=bM{Ft{w_yS+9{6=Z*197yn1`LTv&2itn+9ojc>MRw8i|f z6WB5nup6XnTD7u=TMeJJik`r+aHb65n)PtwCuqt=R@vU2)Qf{#wbw^)3UxWCy2@1M=nz_xk|xxuq*^LtM-(KnJR&EF@>X&<&G&yws}l5237oPY5HuOma!+U74(#lduJom$);I9Bd)c-Zsg{&>5Z)XdQGFJ_XAgdL4 zEn1bSWdMlRT=&YYmy%lV-&Qa^4*ErY2RLjK_GRH7K33BUU+2M6W5%i!LwGJ#Oj!3Jx1~=eqc3^3ferbqQ@5in!yY?I{qWLQczN8XKnOcB$~7uI zr{H~qAB7F!a?2-*?-`?y#T;#@8&W%9ZyWI+!mi79X3R~(9U23q;I%GXH zYdTwgU;lK#X$MMT5PP_b+syUya`7T@{o@JZw&J1*7bGq!Tu*2&2=&=0S7?Nk@^&SU z11FXxTslVb8Rh~ys_2Fho4a&$gg5LbbaE9tC_GXee3Do=PZ?E@TI8swd}o`WG)0La zfqF5*BxhV(wJ>#&GVxj(@5=7BXnYnfVn$=JxN@_vge`ILoZcwDV!y1-meWpjxk`E% zOcY`K*-$<67>zCy`c!(y-?-|M+MEq6f=9Rco$-6gQQusCW-p_WbW->w2AF10Uv#s* z5~j)J7#u<#f3AujJZg3^cszK!4Fv`BR2(%8#l-NeAb`lrCwY_gkkX60^>bXUpW=$J zi5C!vtz@jm;wDJEr_mC+%$!SU6T=Ftf)4XV^-e{)+2SG>WUaOnVVW$V4HB|%DnFIo zgS~TTV#2>oOR5pZv{{P()PMAEaDtsfJA!c=sp@k!)@A7$uJ34u zci%sMd|8R8Nn1p%{C>PWrMILwcIyVvr6js35lE~3M5n+f<9c&d{=}q>JeMR9KCMH| z!)YAve&JE$gb~0|PZgP|wrt?bFq~jRx|;h=>7=yVwOyo7otWXARHNS~-#>04+m!#2 zLk>FMGCXJ5d#?(%Pl8%rzaUH}@l1a%?ZYhU6FZ+``6oudb^7~;HOK&h!GRL$k0;QJ z4Y)P3q8C01R1azgQfd&dAK_=H=nJqH!tSK@@;(`#CJQ(8Yqqh=13@Df6!-5vy80=g zJrDT+sab5otJTU=ahu{)ba~q8qEib_aHmIj!k-bW=j<$tnXcjIO9lqdcSrz9CFY&n zRf~9*#gCtgE#@K@Q`ulT;f4c4QxHy1DB5jAx7I)Z!cXEL{*rmbPhu4{fB0lWDC&l5 zKPp)JlS;bSCKz3pL0)y4?zz)2SVhDpUZIiD_!_H^(1;?}qE%1iRi+Xn6IpD3ORP1y zHBYm$mBRYmodt)^mmt}Yyy3iMw&w}RBAaap)!Ob|xbWlJheF--M&Wh@oO`g}VJFj0 z9>4(52x1{(WCorhx}7n-i0PfJGwj(g%!(2-{x0u~@bp4VRPFIjHO z)pGWD2`XP60{C4x{^0q`Z@8t6;rlb+ULuT6RJ0*qVg1NtFUF4(?uSl?ZLN$}KWaAm zbF%6ga!HYM-e4cHu{E0t9JW(H^hYD)!Q+OvJL^ zIfRILw*CdiekLeWF&BxMIAr=K{KpYY=0aDAXC6ZEHB>FX^?t4ZD5U0ue$4Zzl z9H3|SQ_0Q|(Zj^XKWB~%3M1l#Z!!Ex!Sp))vy_mS#Flu+LFN0H^vlw-l8{1+AQulG z4yI1#IN7bLl-zA$o&0L{eqTBG6s-A247{&z?#VTl-+%BX^TT6_v*;w4)Njck?#DA_ z!O^@=dBHjjXWds4B(!U^E$NMj?dz$!nhpSFk?_w4{rBQ0QkT=2fy*6}hoB7QV+-Mm zP#sgo8&f$%9cAx5%tdzR15iGeii(j=qvQJKL{j`Wpnc^x@H-;2^k*S7Fx5hXqmLq# zTqT(>B^&V#5_`*QPqt~MP50MI7|&>BciTnE>%RQ1hi(2dJ|-b^{y(L`QzZ9iFPndz z6h(0}cX|qPtjf~50JBfyNfY|8(N!gDOYG6FqD?~paVjz)flb*j!dhp%FgX;xh9k0} z9d06~!4P$n;3=OtD^#d2HT{&d`x4pmw?!#U)@t?e(VXako_})K@Eop{928lTPjABV zk<3JV=foX&6%QYyrmjYUggvBoxA^vpYuaV}Wd6(iP~td0XJy4{(RX8l;%N(Oe5BY5 zzNCjZz6?*rJ~cHzlx&K{mSR0jl_PkHuy zdTs@d1Pm=$KS(a4X$EwNd_Ome-Slm~j56=D<`5K3fZf`WuSGYX*G}a2;eWAeG z{(UTNoI}+Bh2f%UtfnG#`)A-uzRVq54o5tMn_uj!rT#gcGXn}|4fM{HVy^TRDGX&J z^6usI>#dzcK|_#qr=aAX^O*=k9my@5SIU55nzV9Rq2$7I=$*|xjCZPV-~uHy`??=b zO9PDMiKsfe!SIl+Sa^hX4LBJ?3*lE4#9zdt1z6Tzd>;s<$CP_crdOtKH?JUqOkjd)s5H+d-J*dAHiU4 z2i9c(TUSR2gzfz9PsE}h&=^@e)BVrt!I>V|jj;=HWX)5QHfKgcP&OQ1kN$B7wNQ;Z zbFYwIWOxTN@i)G~-+V<2D_)gT24+O2U|t;eylCV`@?P8CdgVlrvgl5K2n?g8g=xlY z)W67)a7J+qNw)n(NLwa+(WSR9XT{7@0ikJ3;ZuJ)%#weFT!YSWst7ftofQ=%d0f2C zJ#j$D4j7Pb@Bmu~e+wY>f0J0NhAE9k5&eIB%!Wgfx6s%T=~Mn^jTxr`%u)-HE@%D( zx-`Hup@kNtv9;i7>uQ*Qw>d{IhII`XH!q8HyS?>Y;jp-{Vbu!?dO|w)J``d7H~P{? zeEfc|aH80yku&U*XvbzYiDZkyifp4h%08ps^(#rSW|{1JU(8^My##&o2_cO=dO~mN7wulR!#6 zlq(d--9gHWU2A^hgDC)pUg{8*CcANP7uM^#&jW#MPa68FDOwFP%!_Zald7n(a?1eO zKFizna$l?H!^l7iMyMXaO|v-NTlbz7B3z=_mJSRKK6B=|lr3D)g;q$E(y0msm zrSHfo@|l{^#es1t_L3^KDMq08O>crf_6KoBFT|b?<^UHZmD2ypai@>>jCibP>BA43 zI?J3IDYS7{{GD~_*UHyHsV9fHbWgdXgRWg4QVA82Mh~hYm=Jn)?Bw!$O=%$jgGo~Y z1Qq=k^LTJIT9tnZrB2w-uk~x*J>zToR;`@-w$~)(TFfV_Yl~c|YJW9@djb!5eX|J?9H(e9 z!)I-}9)l=D@V+GsHoF*-!Y0|mOP!n3g@(a8sm#+!wdmKEI>~XZ<5RYafY6t`)BoVZ0gn*Q6L>h(P&$=Zp2S)}nQX zj*J(e!@MCZJLGL4f4VbL_)1D7-;uU&Ih<#e`qD`0)OymC!1*5oY>Tij8h(Df-d_?> zCq_2FtH<2N%zBXDEv`I76?X(jAnksHxPPAaDllv87fcrT5fm~noBKv7rRim}kLjap z-p_1wbRM|cFIs6?DM^}H)%RQJhAUSLSjsLEI-4zU4-Yt**LLh1p2-W0xq@b(HCr2) zMFVEd#(lB=SZ!=iiWHA#Lm$4+CJNTohk14tGbYjczCHZpguwT-^-J~q@#r#kQQoN% zsUI*S@>r!*1eOD@wkx0>=ERfW?(`AE%TWMH|8#WE)88{uw2JjG2>OAHKaaQ*r#jw? z87Y7_?AJApO=I0*491GqHvJ8bRq-kdjsbz~C3^Y0k#|oQ8PDeC;A#riB2926pvX2k z*j-hNWCgdg!v>In=JOwhYln}B;3m%+u(Byf zH@L9DB3vl0_=SacW)fyz3t_`RI+CO13_H*xK#;PV|M3z6!0oEnSle~{hHyFJ_O_ID z+Y^I%>=52p2uVtViHj!l5*T9mcznCS+5}zhgaAKAzCnar1iT4dDq#Y8>Y?qYC*$47 zn}?hFUJ%8a%+J;SjjH#I`txwclF%0EaRhr$h#J{tUrf%NUnVu0Mya88Y)1#!Y{ghs zG~X*QkWP)_gqPs19Az^^e*#Qr|E1nX}oW zkLsV+C;cAzmiiROm3cop#SJ4xoe2K2pt=8!CFzNsk|#Jh1mmMv4ig7{TzTra;f%IP zbSj6oB9_r3sd|9f8G)fOxF9DzbeN5$`JV`7CxOM?^wWg3Y2d-Mj-Z&Jyr2kxmr;(E zVaUXjltqJ2PG;ZVS{48WNEbi>G}r8OhxL}V>O=kA8xUl)oy^N@CI&xlV8N5Sf!*sm zwKfBzMlfu9e-Z~wq~<~4P|5VoXP|hT;`5}pKi(YDQrLU91*W>T>vLoHi~&y+`Gmpb z#?lX)i!2}9djmi$d1m#)3)d&8BY>L~!fw8za;6O|skK>Oy2$3OSQVkh>bnkmE2xS( zB&W@!R7#0ts!sV-%o_s%=ZNVDLs^HoJ}&(yjjWzmU^L6F10Z!xkCsdC2kt30?mb9cyyk!u zrVCaz+wlfQE^+`)Egb2`%_TSn5xo-8RvHp8fTQza^~k<_^+H+mVireJZPf1LYT`>` z1M#!M*9pG=L(+LhHPO6nd{cMRHq;~#I-$1!(t9sb6ai7XA__=HdI`NqQL%#x2uP7) z0YT6Qupo+HuZRIcuTlgA^yPov{V+3UKg`*kGiP?^p6mMEFd8W^QH2oT&Eds9Bum`m zvv@h1uUf+W6pem{=6#6NIN}rd z#h*%neu9eNXL>BC0{$F51^jXJQr_Za5Ewj{LK(sgFh7_vECzk2@}lXjB51kU#%lSP zUw};GRLscS^N$Pfzxx2)AAWvZ*!ej<$9ftM{03MrZvUQC#p0u>`NJP3b28Jp3l!HU zaCSNu?Bf8^FP-1>iwKON07BE~nBZOE{cRM@0c{qR_M{IH_Qv2-3xroIYMG0}^#B*A z{|PKDZf#G4G_!6_HZML;6OB$L2wl?UXWy?(w%_o1Fq`}?ehJO^qL!!c zyPuFGELjD-YU0pV>$ds!8B7@_p86|im`oRy?(RqTi!Q9zEXB_tV7%cX5AF5%>uGH2 z@~h21^e_I}b?|LvO|c4If6=|{+~W8bQbP)#v0gv!eGeEq{f*uUe?I+Wt$KocEO?At zlUuWD(q$c<%Gow7>P4KtSd7>-$+Z*C znKP~eJ}XwO)b3L{am5(pUH@+A0pGvBK8(vs1t9 zixaqvrTM<<2Ix}dfCL{$%^(@0$xI<}{hgRE7U$NZ3(#j0J`LXzH|FRifU4rjD9Too z%mr>i;SGvy6;=QXIMrf7ED}rVox^g@t>L6KAL^`YDa8Ex_Tbe|-xz!c`$@kb9$G~^ z+%m!uIt=3K%7QTm-??P18yG!cqZ}UA__R;@{??;9GxyH3UGy+8MRmd=fve#p4#CIj zSm3+LU4N1&O}!;?FW5k$DbyfzF^OLj*|GWKGelaRegChLvuXS5P9(R}Xul}!&L-QM z+kH}g>}WVjbsF3on?S|J7LTNp$}Aiz^pZ$4APUKY7k$B$h+E+qJ=2rLJ;~cg3a6*( zvn_=u;Bd^<3FApQ0+e4S2rr(tUmbnd38}tyPe3>DiP2=kv>v)0|`NCgEJeX)-G+9zwcU}@tcdP^>!SHm@AT94OOD@nU(E2Rr| zJ-#=>8UOREUa+cX=>GoH({J=61~#y91Ti7?+?a(+qW*}6QyO=${v`hd`~$&el%7ZW zPeX0ar6m?<#=FmomsVYb_&)eW=o*%W2nI>M6@l?k5k?MsSrqeAW51Nou(AQW1v%kt zgRfV!A=oSa7gA^g^4E}H(H)8|ng8QFFT2Of1 z7lnu?Kd!H7Zv#I4Z%Oa6i+aZYReYMpWQZ}uM6P^hUvjz(QO^}zCF6*!O>Y0NlYhzm zxD7b&rDSAx-fyUDJty7v(HNQN!~jTBzas6MZ?3b%w>d(Eee^pc0!Vbm&r<( z^A$T$D=_|*5*d132*$v?FyCCUvz#m>|6_L+3S+1qHm$)Pj{f%O?>F|MZLHK!#*H*6 za3i+Cd#@G2B~S_6*8C27fRLp3$$KDiw*`O&T;3p&^ZXUdmaS%t9*-~+XyZe{qrxvru*nwhYOHb6_GRUW0H8EA3y@4Xeqa}r^nU8YkN@14@29r_HK zyN?60n$qml>x{Xo{RbvqTaJ>Y$eQHCs7CU^k_?qE{?dgE#ryi zap$=VUl)Q3iaAy{?;&gY1i##Rwb1@>|M;!fmn_LWdl*NDo$w`&-#+udNmya*B^#69 zgpH8Dj*dgN#(jp<6SEZ)2bSSk_Dto_#B2B?d=Penhf5y7@8JO09}d>%hxscPY-Xe1 zZ#VMGb_T3mB`2cqfl@=vAc18eqY zqIaFANpQ5DGO<)OpNYmtN&L5Y)}q*P#Lo&RJEB(Q^id6~gH2!Ehs_^-2+2sahKb{< zbxp0$E3Qn4{k46B{e|7YmIZH{g_=}iL&NFUEnu?>(G5QMdh0xzn}`-fyTw15B#G3C z2#!t?VB*|T>&AiQ+kD)@+)f1#xSi_xVZq(EaDttxrC~`I#~qF$EVbAY#W^T#`|+#F z$U(-v2e8y+I$DqzT)*3!MAv~V2VJx2)CXB6umc?YM07^Lb5Rf-dQV6L6sR%|<^hG~ z-L0!c4`BW2a=VY707I!TycI5seVit{gyzfVKkj#%&)@%cgEytJ!K-4k2kC_&puM7+ z(V||8Zbe>{$|A4S&CFw7(cO2wqSK2(ucZ~B7nQ7cdf&>Jf#Xw23=?A?5w%2If)Ih{ z%Dqa!DxZb3(Q#Y>;&I$xqvNjAWeC$japD1&;wYN6aomE1Rw>T%*gS0N1zT(m_Gpz8 z)*l;;t#wYs9>+$=uVLe`VIC6L$%JcX7AiBZxpP{tNhhSpl;9Qiz9R`<>ko}+I*4#V zf0sId-e09%^N-vV>6F=BznBB3vhx;8=g%7L^a|uXc+A+F0Po42m;|iniAxB@; zTEH8g<_$>Ly2=BY@6dv(J&c3Z5Lc{>I$r9g`Q52q&^3m4;MS|K+qLMQ#(}in~k( zO(Wx}F{^!rP1xFfobO~C85)rXf0{)+Kf7upok1qFk>W_?7JXqd!774RqQ2;~@?hCA z*#bAX=#CCUKV3Gb3WM#7D^#2W&htrUi)a=){bwqgGj$kcBPKXrK7FAA%f`-5p|VUz z@O&mCJ>@2jJ3SJxNkQPKYPw0m$4dCRiLto5K%0sCV_p407b9FTOaH9Vn`HBW#vmoS`=;f5?*B&u#AV`<(<#g(L~-gJji zzLXNFbZlI4DoIe$wn|I}E046rifdhIS`hQmxuQiXfwhYt|E;BQtN*Dkpurk}2MX$( zVCAvuqt38WkKj>c@cF~HL|VV@8uRI34CucivfHAOzf<_pJZSQ=^Y}4PYpuxLQle*c;9dx@Eu_SF7Hdm*5)PcAm%RB#Y15dyG$5|l$^ zux5^SGC_XF!-FFbOT2!83Lz&$R1WV^dKgGGp(VT%5wP|Y$c^V$H(;7dy`wTUXr}vu z>S$EQm;mY?c zSz=J)=Ij>p#BP%i5Vu?6kHqcXP(HC+sGQi0dQ1pLY!)AJ=tpkK#snbA>Ck7T%uFx= zEGCEMCx#~lK$p?-qy6|2e8LI*`E8b?4-t1Aa`y;}5I{kf=&|un3$Bq*VX*-z*U~Pc zeL}ER~`BmmPA&erMfLe;4pIn7SS{+rAcmKa3fJ>uQoHAdpx~T) zSw#uCdF|u_a36oow7L0Z`fDxOxo&W1hAR`l!*B)qPz9!F?IXJi8Ye}Ecw{SyIP!vO zOY>Nr9B;QHKoRrnKrj!1I495Bq%YEQ?1<;X{z^R(FmiKn1g0)bniyF|(H00dCq6@a z6Jz%*2%to?BE}PA{*K-PUX+lV>0G0%N2#jpxsVv5>dFFfur&o``ej{AY3D4;gcvJ` z;|~)k1SM)X6NV&cm-tiIL86ac`m21+{F=OxhT@H$k%7v!UTMh&c60(O-;1BMxEv7A z8uyD+i*s=~6ekhqvVw`@I=M~%XrmQ3sz)qwxh`It7gMGZW23(ub9x59x3f}C*grYp zAjk(Sk1b`w54!bfK;+4IV@!Nvh@Q`>m;im{uDAr%vuMLmKpz*xJQ9)|q7f4)6gfx_ z7mD*0$`OhT^-qL4pm05}qw5&G1DcXFbX%aZ(ul!mm-yproIdn<6Vz8@5~r3n zVQyZ=c@J*Py#dY5#W>yIm$`x67Qh(Qtp$O^t?U}jznfyv@bfc7(TVf;Tv1_=uujLw|BQ!{BslhOjQ$k>>kp4!Eh z?A5nzX4Bw@uwUXGxx@Ee59o{dD^Q1;FR?mLEK+~YDvGCd1z&yeXl@(0hj7i(6>$Bp z%V0v5#(CjQKCy|H;uaqj9?Q-&THLUB$>(B`Xkl0W!Ggp4K#J+_DaZW4G)p_jq=IABRBoj^ z$e7jwWEiZ~js`0A9>>fxS{S{weEyY8l#@!TEr_;4rUGa;T+VAaT?Xc+QI>f8`_+#m z+rAQHPT4!HXapP!=f&8qo=D)^ohQdw8KSRx9#KXgqnN<-MA1@bbQ7ud^?dnm;A1rD zXgt4B;Pw@I!eKNN3BG{x@MA(#izmnkU`S0pRz*`hNqS%H94O=gi7HAb0K#OTvFv?| z0&(=qQtSS1*_@l%f6Yb5Xyw%JhbuY{Vq_2V5k^h0PZxw!4O}zFm@&ymJVLue^SQiJ zjLPYr(YmNC&A>{%9<<@1<8)gThR6?LM+8Iyp}#rzg(qXt?hoS06`pycqnM|crJWsx z{e>O*hlR)NZaiqB*liiyDN^Mx<*m7uqce5o>18T73UuALd9z5vSqh3ES1rtFb65UJ z5oiB_MLZ z{wB`JfCG8RTG)CV_m~#4aWXKjkdh}^eYTa-3J$1B4U4Keni8)3)FLWYRqH#H;WP2C ztEzIw@Ap;2Ss0#;#FfQe&Dp~lKgqvP;C+zanSbB!e8$$PUp={+BW;@B^O%}iPoJgR zu%E@@ums#Hf(J)d$Z){r4Wgc@_myb{*T(fhU|G1OZzORpYTy~*0nUe_XDpeKO6n%D zz!xpZ)PSX6m8jC@%R94O7l-5#<4IaOw(EC_MGs!rIL77&PfZKdxCnj`L=CZA1znx~ z^cqHmM#4?WF}4P=6ktJRzD5kJZY19mT4VbzpHP=qvDs)w ze85L%skM4`{y=^#G&zZ8vs1YvewL3|2+Lka?#Re4i<`5`%c_pwlf=&7#}$CVCsoi| z-j&An=O8P=Vp!J4-F_rwbMKtjd1rAp)!RWJhKbIQDyJHpW47wu0&(1e(icQ@Zet%1+J?u?hXdH1mj+! z`5DjPp-r7n&)Gi66gGZPuHcF`Rra=C9jF(2@SbU%o@S^Bs8?@VaS5T0>YpJ620+F*J-=`pMwfC2xcKSg ztmo>H-u_E{2!0_FACO0>WiK>pgi-3mF@1hclyC@e8Uxl|JqVur0oYBm(%up$-n|(+ zEYhEQW2jocD$Z0E4dNwHTy5V*#p-><^SMHmSiV9^>7qX+i%4BcKMEILE9N|D{Tlfy z_B>vS{;!BaHg-LKMS@w4MjE7aBMhFq<~vangQrD<{hD-x-CWE>gJpv2WO~9$Hc?|& z{-Bum#?v?2trytfef$#p7pX!uCG^s#`R53>&`WNTfPp>`kibLX+Y=K(*FGMt3@{tD zA&$rGmLDT?1`pq1i0abKpD=o@?=Z3kKFr7j$n4$+f=c_>dC#L2yN7CY{$uxx3ybXzQ_tzR_2IUGROO8vrO} zSN}pQC}>sYW8OnZ3?Ff(J^tN0b1oZk&E!k!gi=y0$rUr2o{>q4<;u7YMMPR_NBX{z z@F$MvZ%CqBCaCZ9z9CfV^e|z7p5%*v&+mVky-^Nu$MR!yGtXX>+MJ+C0>q7fk2S`N z2<=M?ahUk2bKe5tIh?^?MjFt+{A=?2_8ox0{syWC_IIlPAQ4HQNJ%DpNUydvgPPCQ zsEvn5D;_`Iglq2DyTi)DL>&*?XB2U$1`~o|$?i{#j*HcdIDrXE`-+K*J**W*4#b?) z6fiZ{=f?<$_am8@@br2NC*sFLCnhoIKYq>>CUW5`MrZ2W^Oxk}cEGwW*M?|v>#UBX z)^^|2fKSeqNpZFr7pjF1s*!5p#Cr?7bkw(v1rEg@rrz>i%%|u#Tb4SavB5x)jR25SY!{UG?_09$Icw6Jk(@#K` z2NNDmyf-DK1mIhImaQhMLwis+OZEsGJig{&+pQ$vV50M;@f`l8qfq3l+=#==?b2e> zVh5}0)s*8<#0HlOYT{~_BTLkJ+q~pu4{GwsCtwogZxK?j-(E}?N#mPRzTN@=W*J=n z#--L8=~?y}qG>X$0cH8Z$Sy=!m=aq-3qEGY7^bl629r=-xFk;tvBzxeg z`6?PPch9?#b1*A9BP30=+h?>y;5Ub(ZXwRJY0TfdrGeTT$p@CGM#^Gr1;Gj1yE-u2 zwKAnkjio7{$k0^^Q#U-Et=063ps4}wfR5)*f-nKW=g3bSHzZWC75P{wg1+WSG3HJodjoeS2=ddTd zWF64}j_ybt|FL!l1yz|tih8YBz4j}jVsc{l#YlWzhLdbT%rDfkERU4GF}svP@L^N# z3z5dJG8g0dw7mk}DQ^?4#xVE;R__QSM^yQ)=2|_6U0{M(#^(rf;W~9a^&m8`J!ZGg z8zShzTO%F$d(Ve#6lQFYvhQuvDp}Z4IDfbp5`glwQN8?9>N-MlxzgOhcbue*O*KS` z*`?QIGthoIg>unKd8vRQqg*x1m1U5YGGULLm4$|BnrpZe{=)LP4DxG*A2FC4#;~6Z znKjuOP!L&kEH@Qdx%ILw#Ws>}MVfJObN~B;&yfy?OD^C`Tt;IY!YZ=I5Py;7h4*qs zNs{_ucwr$T?#N zRy8}6rMhQN$10CmlJ0LEH4{P1DIFRVIiDbepxNhAUoCi6GJC*D(K06T8ePj-Oi#Cg z&kd(5=0>fDwD<8cB6vClg>)q6?~34XJdAq!KMs00zg{jab4t1FwBu>y={0WTOC;Qu zNdxBc@Ht#21!d`^bmz=eo)^mOYgS#UcT$woN^}XVkO-!B#&$9jMaqk2*q`%k?O|FH zaBnzeqzQ{E;VsPBy+V_jw{01C0aD^*X-wBdbj4@D3gqCeJWS2i_3u7EW)dP>!DB75 zsHfd7!!*8`>@bD8sk?Zy;eyA_#f#qR$_KqU-ZCx{-tWC-u_)V9x@6sk?1_WgW9H?; z)y{Zc+@L1q&zR3e0R^eq_3vV#UMZjV$A@{RE3pE5Nb1u_NZ zva9|}%A^@$_e)fZBa%H0R~%2IX)l^rSXPOf5=`ZLYn0Bb63rsWvahi@zZDi0d>oZl z-8Z&t9QmCeP$9i4z3XrKsSA%P@&Se(>e>7?B}3P?G`~Y??mm{$KJG(q;)P}V^)+qT z#3y7mn^?EU;syZVnINNToZ_zG5$RBEtI}!bKoa;p>!xRS3drK&u_C?oDEUZfY!DQCTrG5UOCAr&`TR{#%;0&TPvOyxl_hP= zBl+|kMQ{|gE)$9Mf6qEj!(03V*oz9`^$u}ym@x1z1x_U@1a)y*c3y_P>JiWUCR1Qh zSW9@uaGs*WGeT#~XT)Ej5qrVCK<%v4i#6_v{oGVcp(<7StY=lj8CkjcL&R{$^%I?r>*xg7$KM`Ukb<7K_j{iZmaI&34* zT%MxNE$J^ds{{}{m1-{tLojFUg)?&`eH$r&w*0N(0# zT}~yjUp5M&JUl#d@2^c;}GnIzv{<@$Olk8gT0a})OwH-`rHJY=n~1}>eema-k)6px~?)a<+4q)FzG=B9!2hj5xbJ!nEbPaw{t*% z*uwgm#>vOz(u*_;j1Q${Kg|ry4wsD-U}B}vroT-2w`WtYyv&Oye3*VgdS~23TVEMJ zdPbl?{nQt_V~H10ADw;q-BRUbpP;Ti!Roy@Z#yr;&fZ%3GB0`2-xx*9fq~@ie=Pk_Tc=ou&F5^AZ=WFq^-n&$zylq=TF=^}Uasq+o`|I0e1oTE zp8M2Dc3|)PoASJwB*Z@@=fdyeP0GIlkNx1cl%I8Ta&qh4eA~BFxKZtVY-A@O>V2&m zYQ*iS_xzZU)_gvBHDTj!o%MJWTJMVF23ey(+dB5s9Q&^Nxc@j#{T5#02 zf%acIiNzFoFCtQ)UXN@iKCJ?HgeUkfa5n1);Ia7CsrT3s(XEnV`K{|}LSyn{JF8AS z)dT9%ymB(LVY!+N!O(aQT`X_iD))c!jGd8zKgc$4tVW7$DlW*&?7F*FA0S^Sh}K5b zk_#WWxiG@;pMeX6g4c76)ic~zehZE^sQ~N;ob2`g*>a1|%%|3(BI;^?UYb*`o+3{q z^OZD?%yUs+xg!K~`g4a0dQ>j=How1i*VpaDdhOw*YSjjg>Mm6Dfn%-eS=BOmtg6k{ zZPkBeQ=#!fs#2;MDRrt}gEy+td&KX5)M4a-IgLl{NtzRD&(E0LH|hFiGFwfrMYo`0 ziG1;-aTKZQnc&+Bv19Oquh_KrqV#C5X*K?UW&iswT?+n|tj$Uez7?OmgIc!7A6+^< zF|?3c#@5t{5xf=FFOZs&`l}1jX~mIR6Zn6N&6#arI=Up*QYTV*vR?*yOoeGltv;q! zXLk5M{g;|0ZGQWi<@`to*2)Z=r>xnw>Xat&rPP*={Bx=*ee=8Y^QN*!X=-U=>6sgW zTv_z6Qo6cueCcJS_`jw5vd^+6cDPHgZQfNlzfhW*H6c*iWR+f;@~`x&XZhVyoB0V! zM_s9FsqMpurPigIf9y&x#a>Da!4|{C@x?pRQ)GLnSoG?MOL6f#N-)aA5&7T=~1Ic7Zd6T$a?)WG3#*_)JcCcyvvP?LCi z+JN(|V&y~Wr0Wb-rChDV%OshQiR-v;%a~+VMDFW_$hi)qqHAN}7x$_pPmNDIuTM*SYI< zbX}wz?To%7Mc+Do@G-_xoyeb6eEgYolyvx}&xgi1L5|bq)tqXxeZMv^NB9Cco-0C8 z)tW5Hi4n`cm^m&56>*61;hI2uTbL;q+${ROwb6MQC`Vw5z7zq>cd4H|Rt)gCe+U5c zv~a=#C3o@mMH1J3d1lRbI_kC)xtqZIdY_0clm)r(tx~Rf+VFCV4(qT|myw+F1V(Lq zlh%`I@AIX&5nIh@+zBS6C@IuRXuQk=rBqtW<>Wa~7poXwoJevRD1nOwfN>ob9#56$ zciO8@bC(To4d`(-0n4#K3=2Ij-p==>*5yDEfPHg&KabI>y-JR*=%3u@fk*C!$av;_ zcQaSvh21DVL^p$Hbay z%O+R*#pEZi2+whAhs|3&t5jx=<#WFe(@IIsnE!4XQ^;;3M7t6*H)Oi>Gd>|8NrTlxZ3u#GC!szMTNOPH7WRzZE z-S47b5~ar!khQe;_}}&+((7q4*hIbISQaB&R7H9dDN zuP)lid(x_W+bolh_NI13I!QF!;CXuYZ`ZcoH!9S@;VFFUIFAdS!qdPsK6yROP|-6I z^32l)e))_X+~{~lo1K)1y>_T@*ACj%p+{7Gv5TeOY;e^X2+8zdaAAQ1nx)UrqpsLr zJzCmy4FFyhH=Omlpmjl}^ib*f60<7+kaFqo)#Y>XXJ&7_zW(+~?nQtn_VR=!F^(ru zMBEIyA5HnkvBJjvroZ_-MGXDqtvyE)&WmZiU_KF1@BRDS^-0G|QuYpVhzPGg-VU=8Ra}G;vY!T{ww2_-!lN z^ksSk_;)L2r?;K@gETQHhHAcnOQxm1ZN`{uzSi<>ef?NpL%^fS{oRFxHs8zs)~svN{{^b~Sy7k!SC)459x;+NZD zy>H#D*saa}Jy{4u{;D6XHlQ=rxtGpT^puj8Jd_$r(vUxz9H z3K9wgXZ^1Dlqlz#03vJSqbbPAt}&wGb{t&q@j*J@P)Fl# zk|&^f&-vy7XGL{ZuglCEs=1s^(_gc%&@9TzJu6-ygGqv(3KpZp6!)2_pQTNc=q+K)>u{Q&ur{Y zdaY^!$)|4LabFv~%sLz0Wsl?gM{nc5qHb$Mt9z8+4Zx5{YeK^E2W%e%{N4lZE6w>m z`g!G)d)VHF`YUHN>gp=^uc(QeSH1)Iwt%$PoF4)Sa{0rmGh_bL9_KmPaRzt9-SmdT z=fkq@R{b_txO>(-K#>JJE3(h9b@TQ*LnGyTPjh{B0Df=j1KRyrthUr+Uw^(}WE73YOk5 zGo*E=s*1^>Rpf~Jth50|fNWb)bV8dflM>4pew8jPB}@=jYJaU?p;l3LQ(t{QSzQcP zuM!yir~pREc(AX3$v>QHq}r&Kg%sE-9~E$m!>%f95*Rb|;wP8!5+X5{JwD^JP|KTf zgS3bLcA;;&SV=TnhV{!&xjUzVM-H9#v8%XG)sSAv;sr3K5R94ac=`+ z;di==g;A-|9;5c5AS1d_vf7x@iTR+%;}|qXX$RqvA~jgrHn#C)_IVQQCX1l4-$zFG{56=!27u1|Ri%{Jg7;rJ`wKe;zZ9|dQJ#Is z`gDhY%i3oyZa4Cm$m5XXU_H9!w&Y|~M=sGTi6~Y{Prqm>VIgNBns`dTR7b98SGg9! zlQyePCbG|ZIjlSCc>(^UhGsMDXls6}8n(sQ8k-}+=`7Ubz_*yc49Iro)1U+yCv+yu z1&O2>q@HC`ZL^j|_Muhx;I*2x(VfI&6dU|MK30HGPJsK&HcCxGk@h#W$%iFPSwwfl zL$mq<)^TN6!-8z>=cT<~;!u|?E-Dq_NbpwEh^8891AzaAnq4xec_4X8*Do40Ou!EL zPpz#vYn+UfHjGV^O9gdjpaxu4X zGgu&7Ai@2b;A_FqQd!DrVhT@QAdBcR<{6_osM^buUg8RnzC6qF0|lCUWjXp-I){># ziox5D@%PX0%|vE$iM;hl+hKNc$8u+Pc?CxDoh3i<`OOV|oO|cPLi`x#WWQ9M13QFx zW=#U0sw$q0;Xvs2_(h3mf-;sFrU=B6#YVs|o)6>S@Q!H2%b9$z`;LR*F6Q4*3a!MI za@5=V@F80(Cc95Geoq6R-iF51$63DVD=UJdI>0#bckEy2nc$C)bbWuEzY=Hwn824) zd3y_f{&-R1=6-nA5ixzO5<6O(cgUHa8Vwkme%PhGUCsSkNuwnwa!Bzg2)l|uGm9w4 z4b0G|pW9lKai$|)&%Kxx;tBM7+U6F!!XIib8811GxooSdKn6c7JiGz8PX=8 znwj)v<6&iXCw~;nmS1dqlhEf_cJovn0a;j#U@C4k>9t>KJAibW$aSyM9edNw{DQ`9 zb#()=yRp#hzo|ddN9N|t3TWwDZq4EHRe8G5(nD@WHXxmd$|%Ar-@Mh&=#;kmG zppUNr$M29p%Re=4235_IAdQ@-NZU#u@qcPTDj_#@p-Kvs1uHdBit5;9tO08t&5A2I zye63`JaZM=fYhHaLkJN29drQF=b-mD#$FIM{K{kwJq?hF&6l=d%B+dS@nINu4aIW} zOin1}L;jsbT&q&Y{$itQudD`r#xC)Ey&{0!z*Ht(A`(<~v|Ehm*znmRaA=TVP zTFUYsTu|l!?zg|^=}z1RegCEbvM$;{&>)Q0a*~_m1Y;o5#&z;QQ_pnt@mg@TIeN5V zZezlsYl;$wbD3M)Vaubpmt9@gbt{4U857{sr1H#L(^Z;h%<8asa>aCcdEI*~33002 zJO~-pSO5>`AanXHdt}c&;%0rk!6WhT0uudQ;6DQ8l?XcA=_>|t-NsbY0u`-Re@=Z` z{gace-HV53ViA$QTzEJDA_2DP{kwJDDv+?ivK^_PpTmWX_SVzNNzDSircwew@R=`b z85&X=yPZ}6m>Kc7{~jTAn4+bFxbYhEON5A%p1dM*H{`YdfNm88#u{6DgVc-9s4vL< znv9a*_9PI~UXtOsYC9T3n8Yns7OxxcYn`*W_=o6gT031*Lg|EN31T*-oa*jcnneYWh*W|8_&&Ie_%wMa_pwO2bwo08~j-owylMey*vmp|5@s0NUY7?rMdy z{S_x~Cp4a`Y5tP@aJaI}-xm|Sl+ zD)XKFtnY>qid9li0{7E8l!4KKJAFc&h-iu{?MUCfydy}uwsaQk-AYw+-v2O0RO$2B z^paB?lWjnP{T9Cf@y~!1by<3CEK2+`+`fM( zr{9159gqIKvl(qVk0nI!ZpFr5THW4zJ0ypSIcL>mj+ky=t!LE8<2-NY+*VP^c3DzV z%D4!{moF*HnEg6Pyqc64e_b^QL+JY z3OG!XOo8nenJk&iYh0+PvBPgyvQ9Oe@s!h7XxTW%!zi2Ddn_-rIFss!Sc*bfoO|tP z|MVP7$AV`zi72p|PM9r;#M#+YlQ;i3UL_CAF!xOFiTJTFKniCrlXwzdgte2x-z<^j z!+RI*n+prJCD#guRnP3(x*`}`8dd0WQ5dh4hxZ7;1e91#fd}Qd6nms zD1eImJ6Ldv&Kb1E4YqEtXny2|a8gG;j4J8p5hxwdE^MFeogTTzBRs0Dw^^l1( z`k<7{!9b-zlFLC}46nuo9$o|9Li|q*nU;FZ)M^FCJ+eWFPcxVnGu+k2-Jwjs3)F&@ zhfijH?T+D|VI)g;V@p$!qkq>=VfGTY1{{^@x&~b;U8`AT##nUe;0+`cg$+`Fxh8sF zUW20y^VEbVf=55-#*+C|Y5!7J_7Fwm#z!VkpCM9v0#{i3v^}q~MRykHMMCwp_0e75JZD6;*TJ^3meKtTVmG^gWUgm#oe=~9wPe_4c76^he4q1l?DUJ*b*Y82-?{eT zc{}=&o=G}eM6)qjQZybD zaC%o|7my{DB$GB0PR-htJNRj+5#!*83KesLMVx!mb;HJ6f;x&i^U!_C8lhj>W;OAc zolw&TeG;TkGm^`WzUN-Iz|Fa%{7bOPyQvnK_IxW--5Pr9C3GRUCU`iC;R_&ttG>Kg z1kOBK+jIVz3`UW*^3`nwv8z^p_V&==t+E0P_uYcgo%N>x>wEL9FIDgBt?M4#KD?fc zwnFEj8U4t*de=`KY^e?{m%BD4+-?DCFXkPfj|4r$ zRYdEB+^!tgm+jzsjZzsf-Nb}=rHxI+xh%2Etv}vS+g~k$&lw&iB#XY|RJsUQRml)< z?jySgY&n*4x10-L|aDSfP_H-8k{NLXRnR`XDllr2D-OKjE8onS5$(*W??UKOk4lokH z7!b$wNb%A%6dj%W+wXYOb2mqAP*V7rGSD&6$g>^x(q5EX+kS`@-(agYYuQPv-j#YqfFFd@QOWGG2(uolH75 zTR2G+TBIQIaLN;NEy`RY^($IGVpM#|HM2zGeV^%tbC@0jGCV#+Ky8SEL-^_uCQ{dm z=T}I%sw3DIyRr?w08J)#^T5d1f&K8_UC??MYzA(_p~LQAE4UZ@yo+Wx10V0g*Hli!#`C#pV z@wU6CF%N8?DggO$oAh7MpcI6I77ZRicM=?-5omxpxjixA&qeOo zQKo(;Lnl$%WD2=wr?bv_1T%4X`9^`BoytkF;2k)6Rb3x?Q zI4dV4t*EJ%xWu$La-6Bh)O76U()>>yB~ObaO2kL!C5oB=fUvOEz8FC7cpS+nHd*Wf ze+JhbsUfd^+Yqn4g^O|r>R#sSZvi3CQ_v&R@1+FO@2rW6$LQtcASeD?3F$^*{)JV6 zf^DDV4%DyT!)gfVcWLNXQme*h4rM$+p(LeR4HCHaEq=WL-jSb^#(8uu^tT}8M;0;D(4T#Ey%pF}jNH zYLQ#@zfDg^|8_o$P5q%I_LA)Ch=vv$Or-Hq{$&W7KjkG9>o;JBIUbF{WcenEV@Sz< z7*Z6f3uPsFr-3u(fm7&E7oIN4^~CK;32`P^Vy~Dl-(wBEflmCO7>#yxSN|~+zgCHr zb9vSrqYw8HAk6VU^~X;EMIFoqgeF4mp%ySjd+WK}?560jc2nnXa(9(#m%m{=v7Myl zisd$Hd|1QzH|n!wN^RZL59f(QVta(jm2%Oss4IjFYpK-c-U9TY9JY@BFLx6mF#|-j ztLN3NPkJ|h`AF`Yc#qSK95wT_=1bncJ|GoNw-$mArG3JjrUGGJlG(f-GWSvV#o(aB zxeqKJYl`!U^KnG)H%66u%H_p~~7z zo&PPFuQB@gH)~t`5Y%2zn>!!8UkAz8?phV34A9vi!Y-49 z!(Z9R>5eOcWXoo86sz~85`=wxQRw4ofhSZV=@H(b_YRp9=H5b_UyBr5_-8;ATTDQU zdW=kOxGl_d@g$v}m=(ZVuMW|k(WahZADELgBU2utJqP|`o}#<@46HXla>)22kpkRt zBFU=nuY;4T1hTukS8f-k%}y+vVEZH<*4?Kh{KYB~ZE0byKAa3|&C%BTBnj{#Ew92Z zl{hV#J)Z?x`sj?|f(7*V5u{+358EeqnG}W1=*CpnqL?DP+oN~~W!AggS!nvn$*A!a z=YkVKZ-erdO}i{5P5VtL_Sqt3F+U!&1DqBn=qoMQp)HPKV6HA>d4+MON*B#oV_f)O zHO&b4U&UeAR~<$(HW=a>PK@gWQy_2s1r2p@|3g6!gjA1;>%x7-f-|QnW9=;}j)C{rOGg~ymqnUm##&^?S+Kau!fgGarp zg2nr3O^JId1Q{tRL6q34pTR}lnIRzf9bR9?YS-o$sG}bz5DRLAXOP-S1wJ`bchwOjAV#l5PoZUQ4RW@%oyN6w*`sLQvE?@XV&JlEf zDOGu!vq>|*d`DAxt15l)Aa>WM`+!Jl0q`UHvEGw26vg|scK*`DIp5Mu#A<#GxTKk{iJI}$wAbX;FJ)*U zr!L&*A>2Q$uqcSp>ZY?4h5#DZ$(%oBsX~zQKMbt3`)vgBiUn)LdrmEJ(JQTDw{Q_V z3qTlh7>&A{D8s7UlfRQSOX!>;Y1?&gHYH;IJx}$KQEOiN#dXp;;&p@W43{8;^K6Hc zdgf;88x+YceIdruoNVVdl*kyS6%%d*-5V;#woM(##_uKkt`dQ9Z=SU{9t2pQah)nk zg!&-y)Sl{2@teLcSkN6=%3T>WDz|I>B5G-S0|8_W68nEG!b6!_N1ibO7n4^>}aB;YpN5OxvB%BdY zv{^_RDvWzc+6+g?f0jSULVN)T_h1pZKkhTPDzOU>&|ETHqT4+*w(%rlmH+bZMRPe*nwl!Z$VkpWy^Do1 zRQ8gvU|>?B!~8=d5M8_8nv|py@kgX>fH3gjYrOa+7n9YyGqr5(&Ht*kB0q)p9HVq8jYe#hjF`JYH+_^0`*CW|Qq#c!PO z4^AX@B1DTVv`4SkV{Q#p1LpE|N;c8q-FSSYvnfs-eEWR3*{g|T+b5)uz~EuAt* zic98_du|kY{6}B_EAWVzkCuwG>#J1aX-{B1-*(YGKaKwwJ~O73Tfqmmv*fr6IOU_~Q@ zlNcvQrYi=~3qY1nrKC%p_(?H&X)Fa}%6;L7JeUm)AJqN3TZ)&n#AN~g@isZXW)vT_ z$lXcS4Ufql&f#&_KFtj+uFTnLO5m_dJmaCjvebp&Y@;Ng_ zEMr*9rZ@7mpMg+GEMYp(wJ}l-7?DF=Rtz5yO>ZOL_6k=&$PY9*8)w|HL?z{)dqgjB9xb!%}Ub+$f*mP6&9cJDfvT${|8cpH-Oos~JK zr47Gn{kO_2&~B@wfa{3XZQ&F2S8Szy2&D*BY^#?y!YqgorhTs5VS{J~HsOo`jTro<5Q!K$2c3Z+BsqN?Y_SaA3SfXxkSj!`^I zxVOIvC? zm*|M9?*N3k&CsEqLA1gaa~eJ~4$sa3kaAIP1ZZG-YY{n~#k}-vwH^Irgt6c4AbzEA^AAYA#&aB1Zi3=JE0DE-TCEdp`V?GywrB z{j=Nz&ss9vksMxB@`Nu9R23C++0_>Z+ssy= zIVA%(VyVTbz!jZ);Qos*Oy^3UI=DN5fU6Jl`u)pBpSxOFQvc#8XdDPlcG-Mf>F&b4<%bSedHj=$4#1R%_8d!ARqcFO%y0Kcz-EO^eQ{&$ z^P9YUu_rr-a#4PQvJ#TWd3a7CRgY21|fQ1alp3jw{cq=;_k&5PeB&(5H(?X>PYL)VN80&?>Xey<)W1rPcUc89RcNV_`Lh%~EWMs7`bkGHakjlOTF={1s!} z5qEuwj$D$HRcdpo>d&bc1`v- zB(G4@Y66HhItdc^@Gj3T z-tgf^e61@3Ot{h!1Gm<`AU?hKgKb8aqC7n5i}$~Ke%e-aC5|n@gHkxf7d!aFgwDMO zA53mZHnCUa{_q=sFIN1vvy+m8@LyH3;P$xDD|Nmx80B~FAC4fJ7VsB+TGE&g+Z5_A zzYenGFZmB9(8h8ya@0Zl4hm zVyUVFh9n#+G3cFDt`$TN@RPw>Y;BVcJcKPr1Rp`Z;z0)qT{EfQJvLW9PFJHJ#iw4b zo-}N#Z|cm(a(IvJi;qpEg;-K&&fZ{He)w2kXY#u0u-d%N2pCdVZTmFs;g5^8Va4|K zHmHIx43t|HJ*KlE2b4Gx`>P&h^OxiG=T59Pp7EVt96r0})_Ui3y9JZOt2S;oURz+# zHa%l{=wQ=}PZ;S*1tOu4DZ93R^v`(rNRF-D*4sapH)l?**AK6}o)l)imB)%L&ibBo zw=#9(rrOAxH=hZ&NGX%r9M;5QCXZ=ilRh_~a!;glc?BCYu9(!0ZZ4wHcgJj0_ zl5#S#7P9mX{wx@F0mFa0lo8$K+_1nYGc9Q=NXCh2PDR_EtQWep=U6m+HWSq;9Z!9> z+)|mE6r0sEAYm}4pD=9upKK-0;!4*$%7_(DI&7KhscNK(^m0Zj3TQ~{p%0LH) zo>Sr4?XMySo7S#sHy?lo<>QWU-n_}S4oq23PLAE`npb~vV_>D)P0%E)b#-J;e=bYi z?`l0XAuL?0W-57(5PtJ9PrMTx*So}{og0cZJa+4h8-8P3;T;o`uo=liXA`RrMDaoN zR(Gn99nAzU2rU$s2SR?nNsaFB3+H{>fpn^3=C*nxX5WAWdP6gQm41I=e7{ayQ57>Q z_%L2{53Jp14waDR^|WB#wtOJt)?okOvcWmhQn5Fjbz?ZMW13tK$PR-^RPyB5X|6$M zDVM*avPFKw@s<9RegL8B)5O{WM8Y}7d|DD=%=?cC*C4+D$5kb#?e=-O&pgiz*%Juo z>ZN!#?#=$A+L_JaLM>ao97W-z* zD-Pb__kt_Gj<@UaHeTXP9xLa|^x8;gGf(t5=d$eyL*btv9}x_U6-jfpn?FxFXVSUX zKNkF%gD#a)wX>YE9AvA0O98)LW#2^)Ae48OEme*YwDsGEa+cBRE! z$?1j6F#3pZX&!$EZ?OGZQVi>k?S!_vW%E%)M(TAaVz&T( zLEw!DSMGU%R6&n<#xggh@E$&DfYiSk1$MNLc1UCuiFp`6ql#S+5t{&wUK0(73Eo7G zL*-7{1LTGWt97wDSRHiM3#2|6`QzGNBOs)$83lvr=z`PlfssViSjW)jaSpJn6uiB1 zzYeg@kl1c%M+6)^rM4s5XF}#na7|}XbfJy?)l<@{Cq$oJO97R);#k$;7Y)oQsC?k05I_`9*Bg(y!HU) zVC-u?5CeQN<)LfYuxb}@BIcbF36oi4h=3>Px)QS7H=*a=;Zl`EYESJ%sy*7km-1+f z3*61b0SF~=uT^af_@^%^2rF)H6wae>Z0^-Z974k*i11yj{D4;$@LwcvL(mAqGu9N) z(W_1Ev`&de`lU9R>%bNG!mNkJ(jhf|}3z}suO8pxj9eN@!i7*C&oSsHxWO^TSy$l> zpcnEfDj1t87BfX`D*Q2bvqo)=JGv4L}<=M3F;@{?_6>-^EUL zbWt7JR6KQ>jHlRKQM{y)#;9 zRn=2M@h(uYw*$OPKL+G6IC8c!VTChFwpk(-&xs1H*nBs6Pv8lGK)wY^Q_*;COyRm3 zl`zcbojeC-e2kJ?=ztiY#lH5BW1RZvj-$|Xr7qq%94Uu+`qhUl^oV%dF(0Z^ftfJf z9arr5!%uSl1IxgSCd(-jIHo@iqWi{(d-_%O5_zxjiO8>xKV(C%C01TsOpI5df+Y|$ zDf(tJ>bb7nC5p!ai{AT1I~%@VcYVMk%ezgKv%X{g^fijnMb_*db>87}2u-MPgu4!p z^25l!wy(b;i+dAYT3r~T-sRhiRsD+T9dMMV!>wtj$+P!E3f~!ofX8?D*b>R4nJ2G* zhPzoc1H;3z5@Cz*u=J`o4kUY#NCv>S*f==no&1N1T(${)mCF_LM6Nb|fpgn9EGI`d zwCPL8n52wZ@^I(xa+d$&En+``lrGw9a6kE(eYNif#cGShOOu~LtYX#b6_PL}Bmazk zjqS*t9u`>U!hZSC<%W#4aB;$e>BEE26BApbFd%Tb^N%KIBcs%9MaI#$2g$ovdW#vt zn?ply6!Wi*JE(==F&$S25;p;C`aZ{-&8JHkb0UG#VS4Sp*xf&_Ld93bYT55f1V0kM z&r4bKiSQ79L0SocLlw%?et zI5fQn+k;jk&$|sj7{;E2>;mt3{Rg2ET&`PtuLWNPrh@aG7lZf18jji4IrFMhH<+JG z)kpj`bRTg7a{d=!o2(7ejc@5V?gaC#JZJ3qJNT1Me)UIB_EmMGC6WS#8V?v9H#37`@x%6(phJ#md!(i7_Nx=SCV<(5 z7nn8fDB$F76xJRcK$VjJs9E8*>kaZBkKcg{z;EAP0X05W5CCwr6bytZVt^>DhYt>B z$-={oB8+@~I{eu$fJIofr@YfWJ8o>L@SU(b+dAOmPGNa7A-7?(H6Xjo)gu6yu=(ms ziS{mBuiy6Gl-8O@n(4uk9W}826l|aYPpuv4d4BN{?&`F9vtA@Kbwec}?_sB5<6sZ- zxF^i+gUuX(p|h2wofeGoLwO-QVSbQka12}5NdviBJPLIs%DSJx$8zjHzB zFfZ4e(@iaBQfdx-^N8G@%>)2a^^A|ZJ|GOeE2f#$OpGd7sl ziv$1$)L~?0FYNNlGE4+--*q|#A`X9_)VaLAZySiC4U{_=eQY6o8fgtKhfD!1Zo#V* zjPq@?M{&KF2dE{YD>w}FF@IT`n^6b=0V)B;T1o>ZtOK?WUW+<~LSW`F8Y~}Yx*}b# zMUSrEq5nhQ8t;mS7;H6oY2Z(|K=@z?H1afxGh!Q!oBElZHCr;rScF)lTl{4)v(nwB z)y9daA);)}9p1RSbk&gX?&0nm+&{aAd(N&}<9#7ue_VImp|pXtpmn)5$Xy+~ylU6i z!CO08Z?}GEMYP4V%iCMp|7h2=Z|G?5Sm^Lni_{<00i8cOg;cHrppGUY0=F($u0{ z7gQ8N5EW8yR8$0Ss03RGjh7-~MJ^(u&JaNXr7UgperKL1rQp54clrB;PoCM&oH;Xd z=FFKh(_s_77FG#@&_&n~u_5A&fP zLCra1^^es*R&MM!Ul2+*rq9=v>e{EI-uP6ANey0qD;`0e6hwgTSCo{K{@W`w0BOyL z0NjcD1|3ug{@Lp;T87>aP%YSANuR%8$V&arKf6@(v+;j|&9m8K&l>%+=_o~o2EeTu z&C>+5;SFJ5^8+zLPg*)5TlS#skvkngi6h?{CfpoNBb1#??zkf zHDjgb4<361-+e7S#K?gD*J8SRiUIIF#poWPLIVsdG~Ln^MHzjJf~9v+#ww#~MVvK5 z3)-~YHzD=dfy{J&r^1$=_q)o2n177wU3Z{C}rOCcM0!l5_4 zo+%H~{Rh@$#H9$j!tga1BZ96Vk$hHPseu>dLINnB47?wx)TDtvno1Zzetqj|BuLt45XXh`u^!5+KSptr>; zh2q%P48>_L8WB(nfp`u>(U@vSs+7`<)uc3~TNJEy3YT5`n!%=h(_q(bg}cpQON&WK znr3RZG*VgK=c1jIB?ouMsnyfgm7~=~5-Ac=O*Vwo3R4N3e+#DP;A|5>84TZ+3c6R} zc_50$eOi>EM|&Q(W;_VoAFR;8v1SAff(1xqW(ux#z^8A*rFf?B=N>lx9G9^UUA7&%vKiB4--`oQ{jZ?>DD^XtmG98`DL zH*|j`@kru_y$`B)r+n7;we%{=S zCTZv`h1Z%9mo^hbIV0FXPnRiK4FBdGrR4^C-jpsb9GP2@734{5D40D{#&60F>WeZo zLb#Z(UjtVNx8%#zNGCNa@``{NQ1J=5pc+?FQ(7KO>tTFfNowETtm$DS=T)Tk4Wigi zR&OU%m4}hrfU!(FH+Zyh^o38X85q-+aE8fn`e421n+|lvCnTFe6hA@x5RI`QK7{T_ zG(B2y-85n8Z|a0iSwR-7MQnr=yW~>fGS7_)=oj^#1zl+0PxN*SK3i;tF_(0GQ$xbv z2+Ip<3`U2+=u9>q8ZOu}R+MuDL@lOVhEZ?LPzt@m#AbcU_XLtzic*Y13c6>FUL%wz zgz+huiwU{QwFd~OF;;KrE3$(^o-M;veh@<)L}U|li@*ovj{?Z6qBq%q7FYAA6T+Ur zRny#o4x(JEKboy@|=ZHG+d zMP8+85>OL^Rukjw^Mhl?X-M5$2U{@OkNTKZ<3KIWaKV8(oTy^TL^NEQnW*RleI)3a z9!WbZ#sH|;Tm~ArhH7-Sj8)}!jDu2LBt}?s5DHU?dG3G?@I;A|OcCOEQ+si&oEl&h zx`RM%KnW5=iM^4bCo*(1^%pytx{Df9XHglrSbUzRMkBQaQp3`ZSgr)V9HFOk zwMNf2Z7#X~8mWIh?-nK>#=B^i7<5{(7A#W>mYHPDxB=eN%?@5|1JAaDQQN?S>QrlY@~o)U?ua3C(tI+~Y#k&Eju)S!e@@HOcZ75Vm~D z`nTgQsehl@cVBN_2)u`^4F$IYyWnB~2Hwckpv{LKS;a`hM!V&9Se_Sgt3anFao&6L zF~Z%SkH>iv7F!DEEQ(54v{+1-kr?%OPFBK#X)_8I6-Fh@%}Z*H_=jP9BS~k?ax%mt4iEnK9-27S3G%X-E9QkM6AI%y*H-ENxe>{(# z|CeYq9J&7jg*AL`{`|khvxd*jpV1Wf{ViK~PwIUQPguNY@uDcSH^EjKRluC*qZUpp zn4MtT5+wmju)WB9^Jgtgu)Q2LcOm=-_EG~x$|cg&V3fCyP{?nEV3+K#K7<8Ii>i|q*<-(mX}0LSw3r80h|Sk7K(G=N|AFGSqchS?A(PGaTfCdmqiO_G9SZX z;j{&_o{O3@H^H_$YW|`G+dEOyr!9Os!L|o&S+Fd@b|9*~dFBjpxp^U5iQg8?h4bO= zhFb`?MljEx3!XgoW|?_fAv{BGk_9Q`@EvKjLbWdzZ%NSmIc7>Z&(6m!7Z#MM-1e-)ut><2Nzkt+B8sH zjU_eOWg1|KsnqoH34)6f!SgpQ&HsljP3Myu`v@Al>myXJHYHh-xST2|EwPd(Mr>cA zN%FDMYJu(*LII6H--K8L7!Yf|8ef#r*~bX=);}BxKBtslDFm>-rCT<$`kPm3l3D$M zxpymm7!@amD()4k_<>NxaU~i9Do#elfz*&+BLupKs-4tWZ8EEN-9;eK^&d{DkWv8Y zlPqc%{k1{vgur`V^NbndF!PK;ajtpRGvd?cxgvV~MZvsat~krQaF#gRym&s+3Z@~g z;Ax~iCzuP^U~&uQB{Rgy<|T#V6!Uyh3^&i7C3dC44c2?l6YE3h6W+0R`}trGffO5g zFS`T(X8C>(oMw(8@Gs_^kh(r5@Hq=v0|%HhGVmdD76)97!zoNdmOo_Q*!LKHzn-m? zomd8eq&~#zL$!OFRGA=_jlK(@lUIMw=*K3|XLo}P`&t#vu?6U-) z1&i^)n$pp{c$wJWym+}dhE19$%?lTSB#Wns@ig*J23kp11l@6TcCx#MPEU4^r<0T2 z`{{UIZ8^PG$MT#nj_oN;36+w3l+35ZkYn@`Pb?4oAPw8k{vhXilg+tuFJ+ez_j_KK zX)$`!@dxqtCSop@W)+=ShAm8I-}+Q+)%;DGP@4R-y!*=fSpA~ zf=@utRS42%D|P*MmY#Oqi^k%OnZr#=OLLY%u{1W&-lUcS%B9T%Q7l%yMB{nB9qG2m zvDJ|5d_Ldt8k{Zp7-PxKl6*&JIHmc-x0pvDnMG{LCz}?JpOCE5l;kJ3jxEjakGa0I zl_k7CCjQb0F`O$r1JanLgUtCrU(u}2u8o7-IZUCqWvleICz};jhB4)b z0y$eEr`Kce8c=y2?84^OS|h4+t}{~SUNac>Z1b#TXyogHdDe2cTLtrs>2P0yyA&>l z_N-~>(YcHf7Awuu*myspG%bSjkPFI*%+IEGB4flU5Oss@PNp;uV>2ysJ z>&|k{qdM3IL+dFzB+YGRAFlMi- zQq$G9wwx>)E039$d-s!|5>V}FU47)s4;gL8@3o&8zgK}>b4v|2zKHP-C%Y0Ml|90y zg#9!Gw8FSOft&W&xRk_iSVqpCQODrG*p0~Ig7*UW;yD(uUqk(Jy|rVowSmGaYJX5j zJxTIr?CVSB&N!IBS$i&dDJ75d9*qm)Y@k%ealszc-!)MNvF|R3AV73rAoMd1c9ubm zPGNgH-eQZ&74XS0dNLU2d&w{s%P_S2gTVtqft;QWAU{k$m?Q&rpE#3;%eppk-+B?fWiYYd?I4t+i$E3@0!3B;#KKhnR+P ztADrhk=jB*UhP?waTLlc75y2?gqSqJ)Z3zA9)*hu*nObBIchw#w*2}YM%S+5DpnoS zEqVp(uLdNr^gcI=PZc-Y7jfgF>hPv!gD+g=tIFGgOUiuOngPIKOF8#Vx6r;B%T}Aq z#*{~baebHLsF6)xKAvny$U}`7NGu|i}0HOzW3S_XXL zqV&JGS#`PepVdO4oqSL#XE{m>gC1W3-S)O8yFGpRZO;!a=<{!T)^(x9Z#etrJ}_&7g43caJHQ?3@70| zB`+MQ$C=LyAo(-#fa%d z#vyxGD63iqwOx%(l~i8Onpiq)cMhn>h}P{2NkUep*FskPeOYfkNIL^G%UE~WWtuC- z4=44|>oCYr!`xMU5zpJKA`DlC#)->p1+O8Op&aRmf%N5n(t{y^?hAMEaXmmWoRsUy z16M=BYT|A#tcop~C{koHPaPqXS&2M)EPhYeGrIb)hi6>VC0JKBsNr$KbBD=luL%r9 zNc8>oEqit?6KspKZxzp~(>v9ItfrBalwNtx-!0Rf3u+2B;kLb{@2^oZpj+UBS`xlZ zZ*i4dpfdx?HInFAc+DffZD+f64ascUUiig1a_; znfRss^JXZl*-&zr1FZxqP(3o$1;D8V=s*r1QVNe>-vz!1#suxJm;ACGQDoh3fcc%X zq}={Z(czftOVWQ0iQCu|(q>SWLsH45Jv-aex118Q%mT9<@J@j_#4N*haphu+rJLTK zhAu2cVQ3ii#p)bz5U39Rgnq37h(H@bQ-Yq?Tha+m&v-2z>oiYeOd}bpqxo?40n)px zr%P)()M+kQBrY+}Uxe70K$&?uv-JwmU{dkuQ^L-mnG1dbb}8L`5I#;>8Y!$$_t4 zVgwJjLaC*qC6|ciN~l9SIzt0E&e`Xrq@x1_G*m?wsED-yo1i#Tj`%mz2*g+wrZI5Z zDLjYU)9E{1WNHCKm{y3q;v!=U;Qnm&S!p+wi{uoThFfY}B%{DI)N;aQ>R~x9HM5&* zG`TQzf~lwFfW$`I+cVmvt@$M=RR4kzY+K)N9=)ja*dL&ZogQ}^ddJ}+x1KRQZn3+_ z)n`mkSc+VPK4Z#8OO^#WNch$Wr~z(1G_ef@lh$M$Hr5N`)X`i@!EnpagTSWY!M?c_ zm;i^#Q!7T2k&BZ3o%|&CoA44FPT+)k_2^tCz5On$_8JG%0^vBFanu0op_f z+C=(KK{KW(;{z-iNu`>7M*R&;R<$KgU#wFJeS+*f)4s9a#4a1tCz^vnF??c})u*PL zgo$Aup$m0x_q^PezOmg!USGsVQ?Gg#d43TmXS|G6TN$gzt-cs8Hz>rc(U_1?yO?M? zq2OVRiBx&xE%wsyPzfA|7%^f@aZR6K@y330Cx)o8X??%Bfk;o!uC(WNPxn~bWjo|! z^x&2{K3QFLp^WJJ3L~Zgj!NZ|7(uDu#1F38q`{*S8Z>Rvc)Kl{63qw3Km-m{_ZdsT zEaOWU9T?kWhj`#BqFL(mv=WU?uiv2*cG}^D3p-2nc3qE@Pn!t`HtETn1uUR1)`kmx zF5_Uhxu7epA)^<>d$B!2FFo}$`CB}b(17aWj5URegC)7EK$g3h$dXxnf~EAfUNTs3 zMFL&>ix;xp6cVlZxOCm@!i-;<#4`prdzJL32h$l zK1$Wd!Q_k=e=0Ml_JQUht*@9$H~d82(UGTmvsO9Md>fAJb@XLlh7?l>sJZY7fgs5; zkncmjBFl7SCs$H9Vvn=@ZTPnp|HiOysBHM%veF*r6L!L2pCBFQ2O!4H6!oS# z;^U@5ae*ldrvZZ&Hdn^2F1usRG@!I;d%rLc$lbtV$`Xk|ay1 zUeED3n)|xvrzkq|^)mC^g`jB9AIZ>;wzNzmuz~QKX=JD{^Y|E`9MOmzmZLueDGzX0i+hw|N@_5RKEYNJul#@^2O@0o^HAa~>s@Eq- z<{roP4fl6iUoOU!)Wq9j*BYTV@ibS`xv$0Vd&u8OZy(%VZy(n&5%_cf@MR{88ky7j z1Jcsy0!@F-X=7oS^E3=DYK*Lnj+4f{j#K!ZHtuEHJ4cOiZ=-I(amMKEnBrO4j$C=g z>$w(3Rj)yKnF(T^mGxYwejqp6Goq4@RuSK7MwsH6L2F$@p{CD8PGp?Efv%jaW?>}x z@NZA023a;HI%eY(S=TjX%^g8m*Z~tTrTWK`jcX5A2S|&Rg&Ok1YVwa&WaI*`=apEx z_0`;QV@8dB(oPO$_AmLi^z%cR0~j2;A3XutZ{Kic&oKb@9PO z^-KzUW&a}3%9A;OA&Z_5AvJlzQxm7;dCd#vV|xfi;ao}2K1pFNEC2`FprBXJlY#A} z-H0he>bdh-jvEuf}$e7_WEfd2`<3aMM1hx#TXtM-1 za8O_a#;#kwk>hb8QXuvH!`qTS(*Dvv_pwQaZQLdgcEB!Bi0xfF+U1I<=iFIMV&;bvpR`Tr*!06TaHRy zQ@|ipxeoX?WXcWS@k)pmfl$%rlwJletXi`6teK*!WW_%!?MnH7cO)#4@>PhB%2%Ip;Eo7y;wXvCTsS zd8%!trk^pm+Us(Kma0=Pn-XF8&|7Tp35%gmyu2oZWw3;?j0(XUgyU@*Cm>FXIH<7L zMydYaMHxvD;hrFIZF8kfS)bw~+=9qJQn!KL*wFlfG1k#ukvBZC!hV!(X1`gA4WMI= zaWA&8nmN$C{1HK`Ut*HP)1B$jmpsMs^zche64*g^|J0~PZxKNjwk_T$9%~wj^MR)j zS#pfN@ROvSdH*M_iEFV9?D$Mbx!ecF89ksBP)5Sws3-R*^qaC(MU`r<9Cevyqcsox zo9WfUpwNh*UHGAetgls&Ik6;V7Pe855Tj>dOBG=nF81&!+S7I~xky{YSgx_DbXn5n z!ngyK1mY_+s5B7AQod@E5@cH79=;$M8}yo&EEId0mn=r#eJq$4u`|4Okd#;-KdUfL zV|u1*3KI)%7ij5O*2b~q%mZwcu;Y)A)FPd(VSdW$kWm?21nBzG{urjE-9K!fiFk(v zp&{O(_l;y{2kZ=iA*Q0oUnHF~q)d9vu-;BYeV0dmAF7k>7|kksv+7~%VIC)?wB#{A@X7Kd% z1$oDfeywT8p=8s3Dl^YwqkO$!wy@#1>N`m~T=E@R*=B#TKvr5DT3DDUaa5;;M)D{$ zCZEO#*WYrSGGOJxug0*K+h?pjnp)0M_A*iK6c^=Ab5X9w5Y)t`V0_PIGv~qYNY^&f z5RWX;Mq>GnNcpg*x4mazD;ocICNrt%tusVG9+=6AgRB|#P{uV!%>Yhp3s_yHI&F#_ zwpbpuaEQlWf2&N7I`KKpJ~akz7=m0uEo%1oXcnVM8$O2!0g?){Miv8Ao_CDh%Y+lFgb5!)DD9{0HnW3ekRs3{XY$?fQuFYF1NW~L>duL+ZE zOCHz~G87W;1U}p2NwH!QUYD}f^33= z)jjGj+NM3?_`5r`@J6Z#RxdjU1RtF*hSRp+x-ZdZTVYJF6ZGcaN?cX(EeUVM_#}8D zJ2Z_m7t98^!W8Dk>@evIg?aisu?0O-!{)3&&z@&v^`YV9N=vdmU7jRQzJOCUr^|pt zHs^Z=40b1iZUgqnPQ-j*z&L~|8b?|+WLryQWd@Fk8aK|+J}{^>E<@|s_Y5dvV+UoG znkzk-X!$$;UgO_C@O7mhf&C%udq|IT0N!xKd8H?6g8O?<^aglz8yAU^ldj20fAq|S z@oi5H;9cnXVPvJ|e9vEdR%$Nx3`fk*9QGw1PkP=!{Mnun0!hfX$KLMgsOy=x;o64t zUec^(QAP>QByg+P(J&=UuD@clOw7IZ!(2HZC?Nx4NKHFzune2!OpeXiShKa5ojWq6{|9i{ z8hlJJ&tdU5;IhNT&*3tD?t#mW(+|RBeEvJQjG^OLeGXg0%V49y${mHv%I$>9%58_s z@_z)E<;QvEe3t(Oa6fi5fqaWtdNsnV{_9G!g_Yl~q_a+wOVM1C_~X7M0um^>`hb@l zmy%E2pA2Sy@&V4$r_+C)ciZXOXm<;`GTJ?qE{k@bp@q@z33Oq!doP_E?M|dKqusaZ zlxX*}bV9WIG5Tb*JDiS;cF&Ka@v@OO4%W$N zT#4poqt~=Z)Z#F|KR?d!2-JUyoQh(Lg5#P2+pPynYhWgL=$e5;bd4;jDe;zmN8VgC zo>bz9=gH3WKnd|+{$xSMS}4g^3pfW}07cfXTjUWL{T$bO3u3ga|9+gS^v5mYD*YJN zoJP(DjeI$$W0sLENJ>G+Rv)`o5UPKW{ufd8^XIcQrya3C6v#&nSZg9@yJUNoXFPAz05iq~fj->FWcG~Cmy4gJNA zV^5}SFaHYXXmIy`Mb1Q$lM^9c^>Pv4M2J>xAyDaD#4{0YER#}NR%l=u&bKQ#){IRH zdYSOG%?<_$TNK!yenno6WDCrrY>yHl$dvih?#&%TIy_&H&M6aa>7fs5)q{aMT$b*H;eq%hw>mf|(0`t^a?69EN-{OleVEPI zrjkD_TC!V7JByAx5`6C%#0TCtoZ)Q*8RU$wjxv+vxsP{kgcK#^s8@~JCz>) z)}2XziEvM)7b0+2h_)3}6K@2>_x9KbcQ7p%J0kW$%sm~1w1+%HyU|6qLt0;;|DhOP zAni~qUm)dB8($!a4MENDL+yNl9*1Im{4h2U<3b-Hvb`@5d8nf=pk;NQ4@5HOf@Hw~ z1)bUQu4|kuZeQpFVH}DqGGa^Kc(%as)k9icVTCn2C=+RxjY*bFE9*T$eu7;XGvx@T zr5pEyd!-x4kUwWnWFvA*pYaHiUuN^p$FLb>lOc4mNs7ca=n!*^ur$)F6biyv<^!|6 zo^>&F(4dW~(LG4gT>)A~Oed%8$v$^}if0!TLqW|;! z5JK}qAXlz>-QUpz;mlYksd@26-!VG#8{VaK@Hf)@D_6Sv(XHX`oAmGD?q#$zoF!Wi z+z3*`HwPk=Zw1mSTw>B8)agqR$B!`?`@7>ZZLoyt zZ8+78_nJ0ZniXA927bqZ0hrY2PV~hMdC;aw`;^B}^8kYk`_B#1XnDl0gWmW~LZPAh z$5Kstx&0GUxt^cn<^*c>))k5kmT*`t*%0kz!Hwb{UQbdd+JA$`+nO2yh3)b$vz5z} zS$-&^{8JrvRbJ9Lj4Z7tCmxck+prj>By^7S{~#geCR+sasy}XPtD=0pCB|j z&91}%pUE|^`OtFk=_z(4EguT)RLgJ+BEdI=D_tGXs?LPc74>ez0cDcdjgaI}#&ta7 z`jg96BqGytW$H;=Y(CS_7D(FocYnA?z*xAR2^f#7(qX2En60qw9gPEg5&wae^?mkJ- z{rME7YrU|oz8LTKlUVbu{$rik}X~B@R9=DDoEyjhU5_S*F_EP3Rwo9_T zG;kn4cwciHW)8WvhX=4zca>rdyL_ft!8B%e-4^2xb=oGFL^6GH<_L$|i1Xr6jx9z= zFI<#E&CC{rnKcNoBHqebB+QtFpk|9Pkc0vdd?H}~!L2?%!i3GhH6d9@vO_|`e!>n3 z2^$!$+_2c(KTDgN7okeu3WdaLhP})#6L_Qpk0ok`4bCspVV4y+HHg_F|I(~0zIbV6ap1*gbtJZoxiJ;5Yde*e21#3C47#>OI zNIrKI&0Fhf7D>nB+m?=A>vscfDjN zFRaNU^Pa|<`7~ozfum*2QdmVVz%zr1rr#bVcND}G%03;Hvd!rbX*-%FZOQNMyVHN!sVO2Sas!t_NJFxAB}9W>lFYyq{ zz^q%E7`1$kMQn*|2X?fQw37gfEBR(-l(cA$1iZKqJgZ>Aq>cODk^}1)YlZ?n*RIH? zEY@RYR>D+35cFVh_-@iSYg*BJs3^+oc_D(XUhNstkuG2DnHfV1S9|(u*$kj_S9>PK za^IBIo|fI{Q>!H=(i`)N@eT4^KgWwkTeFI6rMe`lOYB@77we*95skPs}Wb(Iu z-qdn-5w*_^GKEFV>&G@Gu(p8SiK(>0*quRPwHFII{jP$o-!N`j4bx$zi^o;6xcjnK zui{BAIs3y+vTv>6at|vL#@Y48ihI?*plbro26;UVt7MUML=Smt^u3)*kOSx)R znYviQC4W_@#Dk#{holk-6}H%3Mpi0b%DFR?bBADm<=oNrNJYzv1&;Z(FUHt)y?n{R zx4+6z5BM(Qr;O_v*x*C?-2dS~dyq2{AsfuQRS=}JmD*4U^y~HTL@x>_v%)b0h75=s zkTjsn09-kaHTXzTxVLfeRG#)a(@MVMsSEaAfxoj@eZ-7jf*^Za7>fbmYKYj`Ifex{5xcKByDCrl-W; zX|kM8&)07i)v3w)hJz7&Z!C7^MZbra}R7Br!Fh(x^zKX;(9MrPL&rlWJ=?F@`sVegRPLwcM zQelopkCDv62OB}B(Z-3$m@a3us7SlUT&X8vw@o^+TuD4v8#&hqxUm2`7+^IL%oxDr zHs06zB71$`iC*a>@&~1aL5;F;G)CE9`I3)IGzpt7ZV0NPiQqPBAh)V9RkSCwp}Kq3 zqZ=N5_0jhq^*<`f$&;)Zo%qFxUctafDe7^s(?r|?G6#jFD%vU-=!cO@qOuAM>rYye z5^*F_TO+02Xb5yl{?NzKq-WYQ~O*TW3ORGS(zgy!q7~jXjvmgoiasF46MQbGXu%M!1KVaKPZP9zpD?d z)r=_xvG`txgBdk3Oiul0J)IGhO?4;0!%cNZ!NW~;9}AALPp-Sa=GBcgTjZLD3>rOX z{-EMPTL+nCqn#!B5Yu0Ak?rHmd}Fi=iOzuU2o)I*$W#5b`%p!@Im zM%9NpGpW8wXU5mdoq1}|*+G8}YL)ds7OU^VqtG4~HAq!rTvnO$_2~3NKx| zOoG%&8s)!9(~Us4bvNgIU?RvJ>)k{SMuPDOw>z*5mv%0RJ60%=cF{|yMpB@r%F0`lMcAcA;S-$y*!utdUz$%#_=U;ir-9my(Q z{;w_3p|nJy_gHC_LGW=sjA^zUiD>38)UR27%imJV2QnqOv_*1c9$`$9Bvf%2=cyG7ZC`{e+Z%JPy^yii=Dm#55f9=%AkL|X$a&Ux@8DFb*R=57<=f3Auz&4_GAG+Bq~z^j~-H`1WYbiaCCqLM~o!@ zi4YO9$fjk1zV1x2W?7)OyAyeKSwMgAte)izJ9xG`?gn+X>HaVTS|SE#@6CdNFvMwL z9mULAp|Je90XP45>27%)yM49#JwE4q(D%80j&~4p`J5jhw9m)WTu=$SeJx`@@D(9S z;5qi(8|QU=?6d3k`|R2S&>?^3vy&Eq7PQ4Bv0Lh8s8FJ^q38Xoy1M!#^e)$(ANy>` zYeQZe%ln0Qf2M%5%`ds(@(Fw$6@YTo=ky^%m9J7$!BdXn`)MbkTK}c5I`)JQnR;qG zKF3jCJ59OI;e&J7=cs~n#8;pR0vy)r3gF4}w3PC+l=8Ghp6cW(U$xGM-%3{* zJgBzZ=RApOPx-vnm#fcIe^*^|;fB|7*0;WVxw5v#`LoX)C9IB@*2%>_C-JdmtDn#Q zO1jT^2?c-k;W-&|2f>2pd5G^RZ1XPpdThp)&v*PwdPzwA?o*Y}f8!3{iYPp)ap6xK zxcY*@fTlWN(_O@5z33BGLQ_i+Nk4;0+(i!mO*HjqUl2dL?q?pe7(SM!+PKx12`r@e zCb;YdF7L>hSab}|yd^jYN}cvOY5?kt4_8HMD$#RHUtNx`^Dt03(uhh0LPveXSMT@|*-!YKHHbLl zbDTuzR0t2d4$aV_H4^>|WMWMRU~q+l{T<(;Som$aa~O>0fiME1wrP3*$Ks8-t$`dM zsQ{9}yNEg^I)`W^lXp*Dh`cgl{}Xwo7Kg~YudWGs<3E<8N;TKqME|Mp4X_pyh~wF41P~V6N^ObZ z@t-!Z_6Y**&YL)kE$r8P*_Nf1K!DK*C z98^wHgvcq)&`Qn69IgF~oU?8_|7e`8;UGiA@z89Qh_XLkNCf>9z_cg>eJ-Y;8cbA2 zIJzj}cxYy+k2}x$$c@{M(+o*@mO3SXMJT(PwI(R6h`u{ytgB(i0FB&nVYTT~g^;M# z9LEDy*jL+Q0XsS3IN5gF1_w_Q9k=N)8icz|W21xhRP)%|KF3WE;gVfttR}qRS;V-ceO%{D8fL-EWtRoW?zH+o`8&U&d zZxp>!Q6(^c(VdtTSKlbAR2BupSA2)lNgI0H&ShQkA0)&aYLD1~^x$9D=`{=JzUx z63(?MhZ@cwRc!s$__2?^QKgW|x0K4al*+f1%D0rtx0K4al*+e6`RZh~zgnlnPr$FC zs#;fv-);PE;&-F!f--<-r-F{3(JoTu)S_K6e#a$*eywu0La2@3TbluD5*{coGvVQZpGt)0!Pl`Kkf2I9#=RknW^4m9dL{N9! znS}idhu@(|0eq(Z@BR=A+kZ<=%H|A0s_nXGoJv2e=qUx zulPE80NGxC=M^A}(Vc`)vfp_PAvQYk(_O>wcldnSx-bSddicG3=XizxZ z@9Y73_3}F+5Q_9WlMqVwJG2PJ_(PO!g-{!Rh_W)+7;wl|$3xU>gHY`8>SX+MZSZS_ zU(E4PFZ2P_zWz`zq#@ML?})*&(Z}y-b-bMhX>H)7`Ef_8ou(g}KZKL#3H}|X_$p*B8{>T4W!`}LRKDKyKjd^mrMhBbjdXMe;r+N7s;bb z?jm_~t-sx5bjh{Kqf4&!e>b}H{#s4~u}=}k6BZ8)r=nPlrXuv4P}q@C$uP(_e&~p!pp3na3#ipD9oji}?GRVbM8e0_%Lg zb3UqA=y%LPXrAA>7@-0`#KF=2=9)dYEJVGs^Q-={CgJPPzTEsNbX>H0%g@Y$2PL(WgytIeuq4PRv@&>?~o^uOj?;e zOQhXD07B(h;I{-U8sk|U1|-3m9m~)G&o;8c6$q_rWQC&NY4DSIHywJ`@DQ_+tCnZC zRP`Fb4XPNyf?+E!B??&gSY^?1VoDEeH6#P?fJJOz9GbPXj+2b zAtTlqp{{Qy84|m9byrRYaC+j5$YHkVvT*mhM8j_Mq8fWu^7%& zzoP)obiZQ~`Y8{;srXIzGa+}GUlBql76`;OqSGEB$T0d?$YzjXVj;^Q%qXiv!xDI7 zI`eN=d_RRb?(G{P%;niAV=m9e{{iz_%`(5B7CSOG*g|Ym1R{e6f*%#df*loM{y>xl zCu5>C^M?kLyjsbFNm{ME&ofJqb^c&7&UGiwTF#H0MckcP=NEX?@}-gvRs*93^6514 zVFb~%sL-U~9k5gLVX+2F5}Q5&cQZPtteluy?u<*pWyDGi-qyu@!{fU6ZsXs(<{L2O zFg{`>g@&Lu$H?Ai%Q60;AZrZlwPbs2s}$WAX&qBsTTL~qABb(|5!!cg$g6*nH$@GUJg6;|sd)#5vpH)RT!!-3|m=!&t%j1P>@nCEu4;+B3Eq*90FqRFKekE|_e`@V2W-#QesyL6(b07oT@0;erGM4i)=WBmK0l zjHAY;Nl`3j9DTbE_)3w^-MB-%%Sc~DR83>7O@|9ha>}!HWn|~m@dr~{tnt3q0he+u z5gzy}wnft8lS%sQrjMJ`VW{gr<#bGT>M-fqGw8G@0FHVZ&xb%Geuvk3v0c^wWp0s)OLJ(;#Z_Y0nrZ<*Ow(Cme+GA|ZY?GC+ zs=07uJjtI!R|3>qbK@nZkAom)8l@sDRm45pTSn}2b^AYzEUn?m@s{x<4OQNhn~0ZB zEOU4Xbdm8kW+LyvMEKa_87kW8_L|?czGR>;ao~)-dS@AC!9OIks4(Hc9zE&Vi{82w zcHre{WK<`95fA*E{&LIReh)M?2X;*Jmi5;9_Ieooc8vl+cLV&|B_a z95>VSdvV)Qn!gFSB?7lG_u@8!G{oME8~6_>4I0(o4B>ViJ_$E^GlZKhW53>cKtc(x zjeMdfw|h|E&9DPsOedZ1qO|{}JC39DuX|CV+i#YU%GvkOX#^?wZ@4_uh|BCoTqaAn zVD#ED{;A)2NWw)e9QaI67WSYSz-817@^XA5d6I6rV>vDpXN1Uu!ke1W*1)Cz?50eJ zOC5cVRccN^JgEM0By1Z-VQR+VB8xpkB}mU2;rwQv^MuikH(i`ZjN?mT{{VY#Sf1gw zO(`tUxM`|YR5l#jq)kbaNZ4B%$NENg%B&`wFG#qngagm($p_sV87p))uVfBvy>Z|` z8w^-sDjJ$V(`t({j)0Z4kxT{g{zonEEX=Z;TD$HD|EnK~uVjwtuyNp+WgYEDIY(mA z_U%1V{GDL;p{w;gn?To%_e8g(tHzg+^!!F1B3DN5+$bYm@?~ay!bk%*1Y+)uw@kOp zY{jPkr-H(>wgSAPESEn=8Ko#WQ+l|xW>hdu5SV!g-X3eMw`R1$bZo)G32a=Q;Yk9! zb@DIn%@iyHSSk$rrXzQ2HqYrko`h%ActIu3#i&C_-mzuop44&)lx5mufjk})7$g-J z!dvZ!(nv_NS$sNhENWf4{n=!?@W{@_U z3H*&AJ-24ri)&?jab;<*CtN6w>~s0i>$|WTdJ5wB)hOO+_J4tOC;CWTw|EeORW<%8 zj1=6tYsmYtHCH&EZ*cgGoN7Jj*A47R9=VXHB42c4XWnS>@YL_duqRk|ZqUOJAATa=|_s>L9!dhuhAF%W_AR zmOEe7rv?Y>t!BY;%U-$j^~MRaRZq;_bPQs#_H})q%j~a?ybAu;E&i#z3rD<6yo4k! z{gZ77TVPd$*#bJso9iW6csvhJF)6&B8Y)qXRw{=_KsyOm1ldhgil4(n{FZi(JwM+%6I(?CU|k z*9P7q-2~`bn&A{Z5|HDBeM#h~Ht;KNFJ4DOzGU)=Ht-$kE0}r#i-&+kH}OH_?IP?m zkZsxk3FQU&KE$pKe8Y=n5UVy&MfwOPJ*;&)iEZ$NM-1!?+oI@$0?gT_X#*Es45u`b zs||e13-u#IwSg~5Zvm}shK9BBT4B3*JI6)Z3;WVZvNrIu73PZ+5zPfy96qQGd@ZoO zQqCN_9L4(R8Oca@$vf=P!|x-kbzRYiPe)qoy1{)5?kc!GxQTGThT9u%HQZis&%kAd zlQ-cufQJZC*19}|JHurrlu2;eBP3?HnWE0Ku{~`)wmK zEQ~o*Q7hmnw{$JK9V6z$#$PQ?<&pw7bAK{{t{GFpY#QM!uK%ATeas(;qo_u;ZlsV==dfIm*6#$ScNEqV6d%ZPN`T>inn@YG)*5()J?MBkC^Z*aiJ{r574%w$qzz-!ICV!r4}Q0 z8^^Cf^v5*_QOjoP}KBCCH^ZNs{Wr0Oe>)hbUc8y~XV=#_HykD`$Ev9fw-0P#*9x4Y9UD0I` zJnMI(T$uhk$y~h~Xf%$@9*1-(zju_YfB8)qV>2_}k4L$R8ibqPTVCP~7UWp6?vCq+ z*oYQW@zNaz8(z9AI+Qs|!1Y-y1BjDezhhDd0H>a$_02iOHEj5LCby;UjO3_{9OWXH zZu6>!j*_g8ziJL%aIU#zfLqZV%%!@y%gb|jM()p~+z*bDGQ7nyxI-BfXjwzSqw-*A zwhTJ~%N)h0Da(V3`FKriLyJd9bziq;+-8rh;zeF5;sQbY+E{w?8i7o72pNs%i)cD# z1g}F`lC7%9ZicEf`;u`L(6J470^T%`<>8WC*Q&Ad5xnxqK=Vd!20XB8ObKtyau+|q z8XPVo$*}=mqk?2_J$90Z1j}3*C&a!k8Qem+VOB4V+)Bn(RDwxehDwBq>3y2=Dyi}d zVU1&o2{sanEGd~(!tSewH`JbYWf)2KVei1-lar}?PiY%39YRxL0TBSqsSfhB8BWgC z6^yV*Jq+q*@x0vyvHxG%5HqIu99~!4o;keB#ubK%u$u1>7D7zwsw=n)@S-tr)^j0- z9vBuPSV9ANwhjbaCs=05n27Va2Y;~P5y=x{F>?>5SDr*A3DaW>t=jv~y?}eZ2U!|SEdT|Sp`FP;~l;2J&ft#|m%#vyBN z2Ulb1e+`!)$4i$WU*aHC^zVW#ZGzF3HXS!Z_)~a^nhD0ooRbhtvOL4$3T$b4cN6oF zI2A$06dh0Gq@Hw)$NS(Dj6F4zjE#>Cj^#(}(%KdU_vo#^XBJ>FLIrJ?Krzs*B=gCBmvCGqE8OrS^@iE%%r z0Njn$--*?qS}qSC%&{HnA&=45)t(Z7AYi(MW(^tY zD!QFeSh(>_^^fE1_={Zpiticxktwzf5LJT%wQNJM!J--%xITrG?`;*{N-%NM-Wn;P zpdRYN#+E$_jT0?)-M44xvT_@&UAUn9I$PJ}XO#mxJz^W$aR|E}96Q9bup^Bb!f)FQ zz+oaQ9FC4Q8R-BOOZ(&TalCI{$4G4`$g<;=TMQjGVDRW+LqV!5y_u!mVEArGgkC;G zCiE2App^5n{+l+$#i%re5~Zh^mcH@0XH^UO3jXrm9nR|$aio)OjKDinofNq)oUXPp zJ+h=0f7LD0G-1harfCIg)3Zx{Db8xh!xv4mId?^Aj`%a)>%725NI`f~m??URGAY3ny|fLU^f=k{ zr#u>{_T)W4r$2_O()H=a!jYrKJ;|yDm~U`r{t-vIF*TTaxtf46-D-V_7bb-_E!R~4 zYW=m`DAb}(3hNr3t^t5()zPApLf~`z=aZ*AMTaXqlUh^NW1~1s>;b~DxZ{!jtAqK# z4i`J~Ha5Qb-xN9KkEjZqwtxN<)hIXw^dfFNq=*lA)U9aQU>1x(P{XqP$et`Y*;Ns7 zH1LZojhuj0b^Wz{{YZqu>!R1MvC%>fB9dIgBKW7^%?T}myJflHKW;}{Wb;)_S6tU) zPc^0u9_8wDk+-kWL_xY7i=M~O7dH4R$`PO<2 z@Yw(V?baDPLg-$sD{l*yM~Z%HW`FWdvMu)ceEXC2aJS^!qmpgfl6?CxC0zVzmrg3_ z;0oFJxz&^PtlmxC23zZr#W-sn-t?mzq-~~}rLa9;>m1zLNn(}Mt1TCKRp+cL|4g#f zSXcg`ww$!Cyee3#?AdqvXJ4}%v1eaS%)VjEzHHC_twZ)rTlQ~7ziAmL01+&2Sy$dl zvTV1mys5Ul3Lt{z@AmAgiP^Vp*;nn^*E?j_*|M(}{iX>O0AF|pcZF`TOLKGJxykmt zQ{efN>7jCiD69$V_^oJiYth_~aF$J|a z3)HQO9!ahSN0Q6I36rtsI=s|QxZ{mn)@s=&%i@=}S{AwNt7Qk5J+lm!jNB(_Q%uY6 z{?A%Irb$SOXE8JJ-?Y4_0t<_`3=cT7cOqgG;{U4cUErdw(*N-@7iItf@sf98G#$L+ z01hdRgm)`NgaXvk5C$c=?aXJydt+ECW8_v_`)%72F>95Ij9SGSktwuxEwt~JYi{eB zTc2t2QkLM2`Msa>`OF0`wcmaH|CIB&o$GU+^W4sJ&ZCnJSv}|qu|^98wLuXJ*TGm9 z8W-!z*5r^v2_2CR;$N%Bi9V!uq9E~$*<8O=vPD{PycdTHiPPe87sk3YysxmqL5$Se z3{;z3%j8UfB` zUlpwySX8NK7L5aV_>I!mQD63n#7xTQ%emPS}!#w`hs^eWIi;9VE5+(Ja2 z=x|@}=+Z2xs4Wq{gr%}-(TrOm%ei54;7QAJaOvx@=NWi?yI(~KDj!3P5qkRt?s@0%QcKm zUR|>K`&H7=C#*HEUAy-AwQsHc>)H!zRqJBb4PG~YUCBE8x@XqCz3#Jh{U4qF=!{3} zAJy4kr|?SnsV)o}e{}ix+(Zgdx&Qzn(}fIyp_=#6pbsS&+s2breMveuU9Z}hHeKK2 z`N`84XelwyCg_?SBQ<~8o#K6Iu?u6VGR%Hdrj1R!6nM&Z9vG_0$=Z*izr?l{aZcKT zMOq~9!~tE|&g~JN;rlj z*Yl|jxJkiHb)k0AFC<;yONyIcNt)wJikqOMbV>tNEKLNMC+?NgO~y@7IzZf`LYsMO zWk~n;w>fRPFBfijuFhbhPRMf$v5#?HTZcS!DVi)kc0L1uUa|lT(pSHnHrHnXfLVCl zBMY?BNF9QtfGx)hw19cmmaq8mY);0uqlbEnb*Z-+B45p1l^o`)IZ0EM9KwPTN5|DM z@@FMGG>*)8e)42rtulUe?v=k!yyQ==cg9L+s6n{ZsS&l;BQ3q;?4AVczjpzgb z-lA~}(4v$Dom#}_>ja2fwk~|iPJqZIbiJXK{LxE3CDnzX0f9W<`19Dl^k8ui^L+x+ ztog+DetZpxzywHU%MW_$178|!wf--bS3S@9zdZVaZL|N2^-1??kP^8#xD~DOa)#tj z0I{^l>&`(M)WW4gO56=~qjh*Sl0-t9mh`$mUK3Ke#4#!tj-7%0X$!>_XdtM?St?g{ zjBlDcyY~=ZGXV!;7v2a1Ds~VROGOUy*A(V^73P1H;Cq$8UpXKU@J2ZxAbhPMrS$X_ z-Z+jE@i%_Q?wL;CJ2$we_y;8~&DT5XSWpMr28rKJxNQfj(1iFZ$l_umg(g1M(HUCu z)Bp^Tj7G8|hVVij$%<~jP%(W1k)xbA9i335_6c073u`wSo$&+3%-c7L;4)glDo?QZ z3811C{cKu}IoAKWzCYY4o=&+X5IC?&6pJ4jg>Lmn)wa7Qbsp=v7?Q1pm6xNfVK^=3 zvr|dOJmHn@Xq=INr_=M|`H+X93_g?>f}wwKfLL_PiaEOs6WhENmG~prC`mhS+CQ?N zv@6$tg5OWW^17fCm^(4bezD^4;fjRe2TZ} zA>$tBDcbZ;7pi=>TMJd6`B8cPf?SR{@bn)N-xdQDYC~!9mAGSKH-;yGy)6c_p%Qlt zX{!s7))3zY-!A;%YbB6M2n<2U>b|31V&?FQh*2Kw)nS2+Gj@s_aMyMV9pWbzdxJ+V%o zTmvab6z;ke-<(rFYFE8_mtO!Tt~h7G?s~QhjBfWftP`Et9qYpXJSqXbgU#A=9u?=1 zU;qgwZO)?I{A+{{`ysdY@)zinsOKz*m68D;cFW~O1?4z%Qx3@ByIrriG+8-wss<)P zhJAk0hD8gsuFV^s+VIAPM*KG7`u>Iw0FU?iog{;PvLW!_HycJg9(a=O=*_anmpxv2 zo|KQu^UCTFSvD7<^gbDdzyPg-K05|-`@8RvD+A(Q#CoT@K+^(uo!>)FA4nm6?#u|J zfEK3ArDJ3|Ck-mC^9PjCkIK*mm9b!?%LYBJQyXquK%i<@%-rmKkVgORh%i%4O^fDfF(wj0n~jFu z0|{4J#~3YsU=w|9CsIho@o))O^ z&2tBCbe>br!bluHCDkvV0e%(9w9dgwilK%2=n;oBE3kO4ayR3cpNB(WUt7y}`8PPm}cN|fFW=h4i;6I?#1OSLo75p>yjS;@G&#Z##0^Rq1AE}rVKpoWZ28U z3Sq<6nI^62X`26NbcS=EPCTNXFYZ%|`_-3SPEV}pREza$9k z-*eH@QE1Cd*opbL2~pzxPRM@E9aL>%httvB{VpP|tuo@s0%{~K_;MgEIR^(I(K%cL z(Tt>BbfAIf?4tFDm;$X%sp!wk^PJ!pr2IEhMJ{po#h}4=Z16*<2Ys^FbN9BiyTq<4)BQH%wn=wkAhi{v z?5UIQO0f;Kr%pk-7zya)&y4al&dFWfKV45ev%P#oi)L`L7ln;u6b2zK+3QS8u_NMN zckaHLjw7ZX6e97PVjJC}esFpVOo6HEjH)%LISMuRIi$9h9-6AmvmTnNE{Q)hRU?!f zni^WpoN37izC0{u<7V7}NA%)s+>Af4L{EM^shGY(Y=enH;fzNp9F6mQS9ihn+OasV zF+N!#MgPFb+i*S$Lvs$4=*1{3W;?~c?$qnfw}!aK@o3x=63@`FQ9VuZHf4jt^q@_d zcm_ewJ&9)!^y7?efPGCziqH$c4+_zkrtyxD&FZRYNVIvX(p9b5YDn^CQvv<;<3em$ zrnuN?y@Cmm3qAkDtBJO6XDn=YExhdFq{7-SCVR`5oR3mqqs=iqvXQuB%tFYLdzDzT zzDy#_FDn{y^lGPfb^$e?)^^@M}MU^#z_rp>^dFAe6f z62d0LB;rUk=ZDc^w*(hauRukWy+x(eLWU!_5160Zw}v_Xx!&~`{d$l2Iek^g41@M` zd%QjM*=i<4z>y4B=ht_LhYcC_)KS2hI~x>7dITmnexl8P+Li79tNsgw;zD?c2IY}Ku04_BCGVban9lf7cabKq5MI@qE&j{9 z&~wg3ZSs6eBN>5m=>11{f8K>97maCE1=b>55SleDA){xs9T(LM^AazF?hx9Oj$BWJn^x%)-;=9vMlanPL z!ZG6DoX!R`7qm3WIL6;S!-`LY`SBH%a{I3xwOHK|HwiNRRmT2 z)4RZal}d1F?JmQnfinVPJz0qUd0@|Bv6t70-xD2v+4dG`u60nFcYiU>Ri5VQUrh5Y zPgDAfX};rW?)}9ymv|a|P#Q^aq+gDR-cNBFR_5OR@NtJO@?3TN7R~Rg_ueTzzCe#J zR&P06eZ29d>b?Dk9vMW}J8?zk-&K3(!+PV2$pmWu4yfG|DdIou^<4FlK}dtU7jQQ} z7V9KeM=)Wa^V$eUYPJ!J7TDb?>W4Y9fIxU0s`QY-D@&HTSA(?=>DhRXfY5-?l-Wcn0II+1rOTvih{znb^ya zVXT>H939P$inW=>ntP3-N73#5blaxSG^#ZBBezPEZmi8VIxZZzHUfPi38p#*{mJJ2 zSvXIxN=An+NRrak5nk#n^}9+xA~~o2Z@c%6VD(2X?yldvdl7YgUlg00Q~x%94JUlu z$slpp|F1u-bGP%SkM@pYqx-U<9bT0N)wfsaM<(y<%j$NYzD8}Rin5GIJnOWMn7bFj zjt3-qr(^TExJEJW^to!yW6epI_C~S&Dz~Tsr9)ZuhLH0q@Io{e#Vm)#t|L{W)QQ|-BPb-6rB^j#&Nz}!4cAwx2RE%8NFSJ|mpGU*?4}HV7j+P7>1~5cvK%?X z28*?Ev96R4EFn(S2v11W2hJ`d#0(}r4vifrX7xj8fL!Yk0(bA*Jh+-a0@MzVdwnaDbbd1g4396OL3@4mk-KT1jd zaXr^1ZffW0L|c0@0lc-|99w|m0rH`n!c#5HO9ZHal>01Jh_w%SlL z3YV>h#IsdVcII5q4rIcO6c!#v6i7U!6$f5%exPX4=#mxUz;==SXoWt2n40cprT7vg zO>CwV|8hnA{whgrV&!#fn6oxoOo01%gyh*iSlXk2{kGo^54#lrl^x=PN$5kr&AqCO zv?J3Ci0YF4TQ}X?5Ok5`-iT~*QOQD%=AQG?*3G0Z<(74U5@1kv%JC z2wSl8(;?yli0p~UUZH1A_k;-=eZra#op&fiZCi^5Z^h^?;;gIE7O^nrivz{;m)s-Z zcQOP%Fa2u{8){!P)_iQJ-C?Zxs{tF_oZk%)y9{-QA_WBq>yJQWl;U%q;y6!H&|P#7 zaeo6*PKq72owsW?ay*SP2lx&*$IJ@~xgu^?im56d%BX`M{Y~+aBp|IA9n`wHm)u*J zIO!_5bCYxYkptz=H7gcVg2%ulL6{9ET`up?Ie@$%}A>O^X)7+#~I}jFom>_GwHTCpKNQMAzz! ze0OCiYh0lhk6x6LL6`iQiGTG1bI&sI%w_B!!FC$hkmT(&yIIh78gR_+5K98vXb>Wv z*3A{R6|(t!XclI)4L0T|>lKfGgfNKe zUANopf=rtnv2-*0hdGcpX>|| z$_B04b`1IzW9>CuI*dtY#S;og{2t@uXN43jV^jF=Xj@#6eT%=+30-}*GC99k!I0b$ z4^QE)tVdkFcBN%)?ln2!!m~+G$7#GyN24mxHKA2GuE>+Y8rrZ?;3(yfl6~W!^YRS z-@I39TMU}zYojoZ9%z|UhK(oA*XNNN$L92ZJ~>CVaqNN~&nGXSj-X6mLh*Sfw`l@e zV(Dr_+}^#0<=Q~g0zg65d}Ph9JTPt%%oddgQWn9*Z=|LH!Q|dGOn5$JQAMbw?}Uxx z7A^1bz~*=!FnDvXCydVX-Nk!!59RuSF7(4KA1=WocQ*yG(rsV4FL%`J1r!<$54p&g z3P1h2w7J0lSJ=^WRT~gx;TuFYm~2uDx=KyE5hsFPF|ta1yYX73<{CYA(Bn1ZHBStE z$AJR!cbp1(jUMIi9oQSN0s8{r_+QJCadum>41~>iyBTj)8kXDxuVu*BT*I(+81Z#F zzE1all@JlH@5SqTr9A|6bkt0*D@Ix=AoCRTPd&JH|%mHeQAFxVt8~Vw963t zQ=^7QPrGzGdFlJBqlTa7-@n0k&%a<4QHpWOEjYS>?grv6 z2CKMu#6pvIAB(=YTgwfwiA@KdHS9C}{^Bk=E@3Y-92vBIopF=iZZs*a5e;FDrxno2 zRM4lyufV~-!W3@NgeH6UGKDwgAM?htrl8WOyR;1pY8C@3bJE*XR$@h-6R zHUq?nb_0xN5Rkmy1LJb`G3CYG2gWVnS01~H$E|A7s1lIAPn-!q!|-E@JB6QN_=!kP z+cseM_Q+&=lqt@RpJ8_Ch=vx;h-92oI5Ig(yaTeq3gaW#YeUAc(R(|X_^1Ngw4XLO z`!MvIVzll1j@5fF?mDDNWF2VmSkV0~nq-8~p-dr`n7u6b$e@h9O8h3C$=IvP-LGH( zfg*Rm9oL4L`@P;HgG`~eUXOn%bZ?l69hIcjY>t70=M{zLpHe9i$hUy!qF*+#@f&Eq zmetrY!KUJ-w5{3Bgr$46^pFP=$wOopf+cAQEMyNfOy<|wsNkN;tLo*UP>@EPG)Ho0iEvv$izz)dsIH4O|cs;so%RitmJBG1Vuw zG^<)Mr&#p~?)6KzxM6H0-hyAErr7x|r1O8pqgc$Wuew*pgmi@}Ed3|`&Wp`|+GNJ- zgU>a&5LY)>Uh#tSQgzm|`WnVO-%dnK?{4-~q_l;LL(q9GjG7-~rr;c|n29WUdB|QC z?FpU8)XP<)f!`CoE)8j6+2pqBDUj5D5Vc|ij39JHSk#yVZ!*sD*qBmW+b^bN6c4z+bk$-@#e>2EI_KwkEayVd_iCdV2B!!9KfE!^HZ2e?VeD{WboYO zhZZfQGt#jpBYC$`k0vx%{0v~K0GbJ{w$X6+L8tTzlq0{@Pe3YLx9StV_go-XLjS|& zErKn=Ap)mBlu(xKj-Ud964D@OU1X-fI5Z2ru!o(oj4e&7=IW+gdGL$8uB@Y9$5g)z z?fjVdx9?&XShDyj3)?YmU&35Iq}hgF`HTWOiQwn&tXRI9$gn`lX1V`t={)}9ZB_IYvvY>C0 zXdy|s+6E*Xhb5w63Hv%&C3J^@jsZLowr5L8B4QdQRlj&mk#y|HpjcYg`+H^TSdb9T&u}q?Pe-#uvsT5Ze+@Q2;ue;aSZtJRlBZ9WLELg6fRiy%CxK50!}0 zD3&Ekqga+Gjbd4%w3-M86+&MV#cEMnO*F#*=xe&OTI5HO6Ev?Gh4KDVC|<(0iEU&N zzyL|>XkrhyRaFz75In?zp>&ZXI^GFM^x`Y)UzSH)XHAK6RD8Y#_^AdpNLkN z6(+J&8BHGR7bv40E}#I6=9>N~4dC$t`bV*sUofIp4wDF60HITeHb^IeoiYFzA|4{} z09R&Kqd@`!6GHQ_njsua*nCVd({o^f+28@Vg|fZaJxOk%wYVopp$>u@>L7rj4gwfT zCxD?`0vJjpfaxh1<&0}u*M2P!0~OnP?hnBo+G7icCN6~r>Oo@P`Jqq)x6qnG`5^aw zeb=Yb1h91%HPW z87;c6060Jh69-OBN)rc6DxQEW@wfnROL3uCyGzkmr>W^c6j>1vwt5W`r$^VU!zCkn z3j()!fln@4ZuKUkNFpC5BS4|AO=$uQ^w5E^^sKLqHv%yF+NDNk6vKWHsj&2DeeIg2 znhadlH6he!bM*-^>8dwslrb0L$_S7b9vgEIfmrN!RlhA1+FD2-KS`Gf4ZDgNp9)Ca zMJyhxxlT~9m{en6?HB8>6aiX`WnLz9n@;NjU9gWvJU@U!n_O^tlTRXO^$Gm@r2y74 zOnbef5w~=M_H-DCb;1B^k^x?s5j7DBwI>Wfv)YpoIR}5tW43J~!8TQggPND@?S<2V zFW2k{Ga__V#O?>0B z3kObxCt&>rN1LG3IxKWw#VRKDRz?axLdkBU8#m%d_ki(gylEQZ#$*Z!cxk)pIaX&X z71XA5+YhuOMUCU?u_NAsn@rn3DO(uwf6kMlTr=KJvmL5KU`#dEteq4qQjJy1cW8yT zo~IJ+UiVsWW&93f7NLxUO?8AjuS&R6;dKlA*q`bcfSS{WPIRG8K3U?e_dCHf zHW(zG@a)vL;&-QA1f)%ussTG1b&h3p0hXP?1;if!CRF(j7Z86!Enpa-7BEagEsca) zlP~#s2InB+JMBQNQJ1_?BB9nfRPg<;pp`rhJ>H2DFGg7MwrIWw(wy@n&G#H>&KdT0 zXX4qPfD)Y2a14nELX#UX2ifDyE?5R5kphXuis=P`Nkr z6}GpP;5;pw`DwFJA90Ln5(|WA##aHb1 zd}?}(?uvJNJ~TaUQ`fKd3`U?;b^TgTKg3>D*N^gaH&x;KuqWK);8$h+-5b872%2~b z>-ftq&lc*^0;oM{X>37Y-PfKv00w8;Olwaa$nUn|4r$PbCvXRc3)`cWspE`n-3qCj zp>oHHIES5)=15M4aMvecJKG`)b! zF@?iIH&d9ZfB>s#20Vx$eh%9ucZoM+E)2|wB{?q_r^j5TV5d3W zj)U$@uhhP7Qv1T`vKk*#yf7zKVGHDgLaFItVYw+z$TJNV9x~}|_g1O(Uzna0y4f!) zY!l?pB%!Ng>Hw@B5ECFkgxeH=0bZ)=JZ%BE2SzE~Q(Ld!g~W6&6K3e|+^;hnqT#8Q z8v2+54P9S{s=tI32h%@7Y=;SbOl#r)GXbk=kwOG23q>Ozo&g6kTpcsqn>*mni|AKk zFNUKYt4`U^JcIz%?cwR~{A;&uy5tOL zxum2^?si@B!q{{wD1-`1=XgYdnES5l>3lG3iaGF4^S`&Jj$cEww-1hgBsA_?L}{7!#ANr`XUNPw)e4Hhi>F<<=@ZDw;o#rBrS&|gjCZ>YJcE$6mXknfm zqMdnO#vHIchpbOa1>cDOt9lG=`vWU`11oy~ zE4yM$y8tV-z@mp|cs{@dNIBMf8$?8T?Sypgw?aA&fh!B8Eemj6?I!_S__2P`uB2mn zsB&|&ME2c+dF?KE!l`!QGQ!qdPIQC-n+$c1&H-}o>@Y2|;dD4CMT9J`;oMk%%37Wh z8Xr%&rUQXG6R~xg((1ohfL;5K#fb01+1kB=?}fw(Nh;71+87A6EjCMB=U$MGsu$WK zA(pL0L}l-gSZd12Xm|46_f3*zn;^G4u7DB$7j{4ji2ei*!UTa zh&qRvWxw{IO)-5@HZd_rTOE9@slVdlD2rM&MYk`Y_wch`$oY!QJB+uYVVyY5j(>=6 z91r3vk>@RA#U<^;Qovp~S9wcy8@|%B&Bs^v--56F`TYNpuPhJnm4OmpDUkR|q{LS= z#8*tFlfvtmE0Z`=$y9KrayE#m)CMz^zcWlDyEKj56<&#hln@75dK(Us5tu=b{Qtl` zUiER0Z-{&R4~|d}Cos>`G&jG++#GrZt*(j7O&opTlDa8iXVwd ztfrskx8)S1m8jgis6js=BHRB*q&d6;q*>i@Bfl9O@*nV<>*`jN+f}lJttOX-pJpU|I`loc6c(I zE9%5~u*fk6g<4#RXv`t~VCN!JD!$r!ZE13RQP~`gr854XMoe>S+p)h}JSdWJ4EcC5 z??i|bSx~+abBOpyLX5zL*?yQo<=#}*Poh+o4Q0*E5l^%E^DLPYu2|+fzVKBDyF1nx z?%8lg_{x4wAv|cm79!-?uW5xs`?WA(nf+R%P;S50MX;s(8@opAIJN+%?AKI6 z-CS`Ao9B6@>K}<-&hy=wAyT)xI?MWL=8EH0^WYORJXwLh!&Wl)9kp2Do#(dVP9v7! zt_XLbVm|H`;VxX9hr0)G7bVWY-F>)=5U1g85*)t?Tso@i=+~N@VEbcEPq9_GNUF%C z$q|)T7ike73FP;8*!dvuwICdfl(AwBW>^@Zhn3KSZXSV({)njvQ(%I^WWi;+!c>7v zk;0UZ%kLGY0$lbhOg3Cv6s8hfepbM~WaL{PK$SA_rkjEXNgS)-dnNJ}JR;%~3eyAl z_FDzt2+^cyfPXkyqI#N&@p>ymAjB}fRpN2x`Pyfhg6Dlc#DOAVE-X|FO%=i-Q;G1f zX$p=yxks1?o7TP9L2)0#EY9-0SqG1;Xn1Z#G^o)p+Vk-uwiiycnuV?tv!)5*6Rp#P zkcnAl+v9Z&;hvLqrb5UB)4_@aZ(W1h^Ow2?ji;rqA=GoQt|7wn9?#jGaz;|lP~=3~ znMkXWEgSz6C?dg2&{H=kJsTRZ6EX0i57H~#rQX*kn#&P7eOd-eGiRW*Y2_&G3N|bV zXvO`wKi5&$5aOPSln5l>ed5e}D5U&7NIA0zUt2qPH2LlmXHk2KsXeo(J$sQ$>yARM zJS%cd%EH&YEPS0r!R22-`3Nb2@xj4nNEzlngEwV(GY4XcpezQ;hf1kt@pmBEIJ3Q;k!o#wk=|KE4jadrKMKXU@TU z%N)GVEW`U~t7GOq zYftS0u{i?gmA`VdMT7hKxv@!2*)bXXlmfB6)r%AK#hA~@Fdap!NXoT6$RADVfx8*p z(j<>}mD5AV#i^X_OuSzayYT(Tj2Jdx-y004b86wfKKZEUEaBdLI3Xts$hi{emjnCN z!w8~#ClE&IIguU1HwC6T7P86@l{l4^7SJwN`QmYp#D?e0=YI^s_ zRL68mDJqY7e(Sq`qt)|xYE?FW|4A!EGy0I~$mZDw9YZYY)M}n9@vOcoDwG`vWBRH) zW{BHcPq(@6^@=aIO6N1!Q{fQ@@NNJZ#l`|*tu(V&gbC&7jnL}(5h|s2Pn=lP3ITq- zEdq{E3tRV8i1S)KU%-Gt&*`muip6_cJ%?b%(C%3+rnY+Cg9SsoXOx)K>Uj%B4eg$_ z;;>fFPRI}1Jr9cmTRne(EKaxGTRmG*QI}TFX8gorvF}AJ_N^FBhZH{6j4dPC(gNcp zv0H2Go?+tYPqFGn8%jmf(S_ofPjNDY77Ku%E)cgOgRI@}_&kLM50Gf|!= zoD~B->z(@U*pcsd>vNZRokjgYnQ;mmuoNfylO~wmA4<2g+d!Ph=iJ#Hl-c=BZv^@S zwSD$yP+JAFV2zBk-0ywF^=lt-J%PE{lM~lxv2P62j&_S}W}apugHgb;DWHKG(=1^E z5i`j&zmo`H6bMc)5IqfuUX=GJ6uAwDW)vK5qPhD^3po;Mnl zLGQ;9)$+W6)yvw$28>wk#|A7@*8bH{)hj+Zv#OWZun9L}s28l#79xf+&&B!l#?|?| z2)$j6XuU{uQT*ELIVUBeVn5-?!@+P4b5huqNMSgU!Vr)`+uNYOI^0gv*fh0TvMq=ot`1$XIFR`Cp(;fz0>nU=b^atqXf$% z*JSiO>jUB$35fZ^d;l^OSeA(epHFWEpGFR!t^}WOf=>wGV|#@I=LQbDm2*QByisZ$ zACjB6QxS1R9l$(|K)Apw-sgqTlYxEA5y?35Q6HJS=~d`|$G1l$S8Y5wjNE&kIyp=M zCv&WSf{u^d@@2IPhj=qa5eaDZ5?UHrE9k#Uw;Jx3$Ke+LrMT`Z>a^Iwh}yS#4!Rtl zZ1103bs_PX^7Fc?3tSGyZb^Maa*Doxvh5D;dut1`pH{7Jj#hX=ura8kW#cC!KS@7& z+9e+JI!-vAf2;)_UE%9pkF}6H=4BU7FB%6zZR?Uf7sMK__0jVdaz7X(`x;UhPA&LA z4dq_LytWYTMAMGtgYe;S-hl`ApS(}u>dF!eP&hC2xT806sutX$in|tSqYyPpI-NjX8)qhn1-`^b#FX#-u*gN_kxl|>8 zJ0{dN_!sMDR5x!DZ;Y=Yt2+MMo^~orO!Sq5VbFW;61zz1flGX#!D1$`%8jaF63g5K;Z!wye&Z(+P_3UMKef_?}2Eyejuk zj2eG&5BJOZmL90tan$U&Xf{as79gi4lu6cBehPO&Z!!8(tk&)6;9ulsgEah&#Z*72 z;8nmkgzCi-J=Lp**yw(*gLmpJ-l^R;WPO3MY6Drx?iH(=s_b#Unj-;1m@=($8bq-uCl z$+yv!0mmRC(;+fC7N=^zhx6Jw+#J$e;J-ie-=FYX(=Va+lChzSQ#8`PzT8`7Ln$|9 zi|LdNE^1O7l+BR1X-1lyX?Go1s25{hjo8uccGV6`*o&Kge_A^v>5%3FRNPqZ3#lNU zbv6F|Fhx|@2OG#K7Yd`8GBsPy~k@4;q(gcTx_;&z6(B8_$v17kSZ6FTCf3U zSmzB)XNE@ufV3wIP#yj@TsXwxQ6JO3EHwL(k2&2s5cM(kDJC{u+y(p2z>i^_`F=RI zZUygy`o&`I{8(;wKi+Jm+-&mD^Skm%JB5BDL1~=ab>43UYqaadmzwE8Y-vX1Of2zA z9(CZLaoZaPAtgfm%IzUjkRcSmTTO9F6$qLG2<3 zK6(1$+)Zix5gX=7`t&USL@#~OTw)ww5IqoA9i*+Y6iZ}`RN*iw$kU(<+GQ#AP>UX_ z9ET?zbtl0;%T7U?u64MmZ{5&03D5gWh4id_7S5#4Nwp)w&og?l&q3E*$+OFjYyptC za$?Bu^@IffoDWhFXRl9!WTlDP`p=Ek#R~3c6wW!*vPc$Bi4E zFn)ZZE-OAgUYE7fDws>Gx~#H7p(4*>*3Bv^DH6;DOozWo#ljWs6UHE#T*$y26Io36{6l6B9ld(!V4u1nEPvslV3xH5Xk$+MIel`f&jVN>SJ zo;@jjstyUKvB>cI%gS^md8I3LX3Ah4rOUHg%_WPA%@$qW;xdbXZ1M5&k>N->N&2!< zmtR&=UTj9|=)IJ2n02_W&{9^SW4hv^QnOW8REk@xIlrv5z=~A&n@h^eEP0ldy27Gj zv#zWVUl*?wkgy`ps>`>S^H5xHEx!8A1xTl}q1XItR{Er@*~!Ln3CX(UW{b6`tW=i} zpJ$JeaVJZp)r)NC%W>ZZ=g@+U})H|PM1VpM@bhD<556&L7A%LH9nxw%woPux&z zoRt6OvUUH}zyVx0j~X@efvib0reP$k)LPW08&g&;pdVJ9wajM8H;*b?{D?VU7-d~q zvbd~xRB@4&#$Xh%BM5+0&>T5iif+teovGATve;}%C3MkQ%gy;kg)4O>=8`f%+*-7X zLwvEVun;iQ4ZBYUy*V#`sV;GJ^4Q^#;j@){bm6RiOuiE5DMYb)b7>xs0E5Y^rvam( zETWcTNXl%2G|rLG9Hfi|a>fGlV%rj3Nm+qeH(FOv#sO`yl}3j5l@pqE)U}Dr^NMZe z)e{2uYteG^Z)_MPo}jE4I29Q_M$WZFF22HoX@NpZM=vQZTbx%cr?u*YGHTH>;Ee=8 zKCqGD204F`uX#n*^5VRez|X=mOGzH}M^{l)T2NLI89qQx`-rYQPgttOQy8oF0kfzy zzu1OOas0KK^DKZJC5sFnEN5FLSCLm-TvkCSOHeB+H2-Fl&Mf3(uJ|BOEGI0tloi)%lgNcm!d^ZoL^(QT9F4!(b26vV)f*`jAsS{G|A!S8S*)1Mg}RYrM$5Qg1RO20 z>I%$-dA4E!Brr0(TxxV)>F6;dLvY`P)k_1FR}8vPN@ypyLPCtla2cYDkwJ|N5x|9t zymHK{JWfnep-D$0l1fd+=zum8K>|dFNp109yUHy^rSgQNX)5Fu@fJ!X-QSVo zKvjgCaf9N38@gh1UIFoj{H1voSknPm^uJRZ{FAWQM2S*m+$zaiX4Xk>bi&e3%?>JT z7!lf$M1MzPHjkA$Z4I3rT- zVCe)yU7m-D4ZM(Bg&Z8&pi1JTeiuQ>tb~AQSkrt;DQbVKEn4btEaE z5&}PXEhlEUU&-GWnDdMA9TNe>mzafr%Ip5aDYHzfyo_*E?qflb1@(aNEg^sh%8U%} zCnv55BwkXq+^ow3hnJ*}iltz;d5f)O#Wp}l20Ec0W~$UHk^p9L?3aK5$sn(k5NI(v zjL95VFoyaaSHSKmE;cVA>M!@YG_SDAM*U?OWndd5Ye6Id-Nw`?E-NdiQL+l4>q~T2+hPb| zf{mv44G{MAohHSt5uYQxgl1IMZ)|0RZgR;oo-sV;my|=f_>B$o8?z2vOvocF;4Pr( z8JJa8mK8K2H%!n%-vqr~l_<$qYt~9|suhy{K;4xoTL3M6qZD9GaYOTgUo=7TNx&>D zG8Y%HfIx31+E2V77wS-)kDUagu#B`ES*1yd(h7^qz)k?2@-pJ1k{IAef`XzYMFJlV z$j?P906keIlG71sCGmYsF_#9$luOvZJg*4ogJI=l5AtOxYT=v~SRmv9Ed-9TXwhwk zt`o*~>Ji}>O)+^Mu(HBJ$OpG6#y43cOyFuEA>pkE5xt8W8AseYZZxEWLYfntTFDs8 z=2#%x%TzT$1Q(kjGth95Am(ef#45l7*z6);IEt2t8~{$VoFj@vb29;#75-xJJ&1TB zEOVxrXdVWJ^jlql1w}%Oq5hM|Mf9sPdF`w!vAp7{uB625-7JoWZP;sF9Sv9 zJp)c!xQNMTYG!L*?YPR?>_J;W~Y-qu7!r!7&C>JuUAa(JU%l#=G zE#q_`Yhf9QGt}UrLs^!ORY;?>G>~QuGAT_t301JGkgaR`e zL^`WUY%0|75(p`>Uf}}}njWLhC{fp?h`SO$%9$!#X13@Q*HpNJFGE0WzN=anw=0n|5N{1n45I@xGU zGTtawNoW+88s`TUpKi$JBWpL!=yIENX|RMehh`m2A0Uk&fUqB61_oxhk4ng33{XBF z2fLYvEH$slgM=Q0A5z!;3j&fsGKMs^Qj;M6%km+o`47N&#z`e1(PGT}Wn-oQGe%Eg z9OrJ&fPzsHG?&aK9OQvoK!BGQmD#KSj*ra*WeS!PfK++KM0Hk5lDuC~xC!)eMTKzz znad}J6QQyOUi;}3mnHpA7*I)afmBrvRh>9hptUkF1#;O+ea+<%^5H+(B7?dF1cBz@ z7m0b#*c3@4@rxS3bZ`PrFd)Ey)d0w#$t13_#X#2EAUda=(sY-oNq%t|pF*4>&sqFP zkPsO_v|nK*Sx|=a1v1+Rl06Bd2H~b6KtG53xVoQ&`sWwSZ7gdkOujPi5N(z0Ob|z{ zV`RINZ_fGPC!;=ztWpv-2No=%{oMF?D|>Pfq5lPf&81#Y>>H^&MlVQ+3zAVl#n4^Y zR%A8D*U|uWpm9m^(*TL5mjmj=O2&XvE)kYWd@>*05Q@_cT)v>ppO@1QvXe+!j30)< zq9!-_$cmv)Z|75ju}kQ0`rjr znb?3VEN7a_i($AS9Yip%AUUfH;`9=z6rFW}z^sxD0(`z$Iq4dz zpMz?*#9Rt<9^uKaC>PVY(gC#@h7zCV0A{m0>~BWm)^ZOI@dsV>6|7!w+GZcegcsUV<~ zknN=tow$`%C7__fif!X&fO3qsE&=88n?z}O4nsXnvcnMHfFgn=9kjioNLY$?Q6Fff z4)l#C?oe5?CniAz!QcJPWQiMq2^O$f``BGMu~Sktf-S?6`~cLu4Udx;reFJ$8Qfx+ znU`T*0;3f)%O~B!E-0gS5Q(};IhE*ZP>MVsp^|k6pKb0|EYPQT1&;>$O`sz9QwzEB z8zAq~Nebaa$ft(gmL%lEk`Hov^CHU4j0GlR*nuR&Cy0$-#p4v}hRFet!E%Hl=GvUp zPOiq3l`aYHhon>iu>;h@Z|?wHk$&+l@&?8bjx+N zz}l5$qzaHtxgXF|gGW4z^lN|^cVn~4G%L^Ow!T|ajteoh^u&J0=e5q5? zGKojP)aD-_b`NZ8MPRSQCb<0*bx+R9^4Tl=yj`N%#M~Ftq772{l*g>4MTMACzcNA) z!X&*1rqBQktdtkCbvjyEEZe+B%i;s+&+?>&WD2TPf<-rag9%H5l{C+8Gs%K!bpQrZ zCvFmf5@-%58p)YN5J;bvdw)?0Y9yiolM1&Da3CSQY^>t5`4=LDGX8~_-wlSHpoLxkXx*$?-Jn$gKDU7!Z)%3D!N|e_ zX#ms^R28(!5Mbk+HZBH3E}&IW;6{Ea#%Fq&1&bU7Aivytj+GyP4GfzW9k?JaEoZPf z<)gXXl4=MDEo_b~rc-$w=)69p4qDLqC1yiRftbw{!q@zzWkqN}fV2i7p-<*0;C8$K za`FlKE4l?Wk~F|TF8|b$EEBi4a0Qg-LLJPbWch}%^hPb(KcqK6TQY9?VJ&M*#NKI| z1^6mgoMv%Jhp!csVCA={JfNBSIe^620c%d&LF_sE^O3@U#B$R_{}l*j`c@Hc99~Hw zk|-;e+eg9D%#Hp~n{6_(skGbmn-+9B?BSHPSSFG+IA;XJXPzX2Iw6( zxJZ^aHf{FoT>KeWq&`aDP2Wd9P(MsRTA!#Nr=O^wtiM;Ep?^T1t7k=p42#ZY`ND`0 z$YK#UapKv+ByNS<3dSV_-0>?{g(yo2gQf&JR#eO|ji5?_6&70s%mJaKd{+8>$|)Js z6tmN(D!6AyrO8{4Yv_9wA=X~+8g3wHY3HfZ~uLx%2rb=dF`BS(#n9}~#fxZgA}_3kvu zoodF+d+xn&*6j2-8FMqU?tdUVCwJcb1t%X`xai@$#rc5qlBGqDEGsU-{QnKi-nQix zD^{*ry=Lt?GwQ1Puj;*hx&LXs>sdXEXZ0#Ta!(Z8c?e8Qsp!K0BH12QPwAbCNv|U0 zS9PqOiyv?hyPq#j;ARrJe~r>*ngz?sQM$=C$@vT_2Bt56c{gKeBPNZ=81c}E8@2Baf_N|(aalO&M@GdhK7pyoiz(!oi}z_haOnw1_x`Q*nZdI-

%ibGo;?p6!>o|y}P`* zeyal4=R)g!{$?y*0beZz;Hn0?MWf6#W(S6Leh~(oyaP3K$A@lA%X+g&HjwpYU0Ez@ z?SU^E)+-AoN3!}T7Q;5PA#7}z^gN20@Ldh(3M8+OkkZnVoOV0giFfaI!5g@)yn%Zs z+s1aV26}@ub?iO7djkKSlhah=egk`!Jx4Vl&lWrvARM=p{zdi@o&)vixci*s{+1o& zTBqAeQ*1P=wzE{d#N$INlDGnX}C7{H! z1ZHI8*aUVro6M%Md)O>Chh?#B0LYiWP<#5*jQc)qeZSkCgPXpndu>nd6I(mRPTKTP z!Q_FxYX+Sh@b)*W3i=*nL?cI=fhpK4_^dvynYWUubzt9SV%CK00|V+gJ3jizN^ z(neskCV~SIISJ)xY0d`zvYw?gRn0ib zpwT&65f$r*QL}4F$B^>t9*$-ePQHEJ(O!vokKOc&fu}F7zrrpEz1BD5T;P*in$oJ9 zD$hsb!_4X?&uNFtks8Wy=m%3>P~d1|1nQwC?+2<^fA0A9YP@$$L)v~N+t-V2`MCN- zV{bb1+KV%jqZ&u`H6_4J?Y+F*#b*}ZTePvl zy5gl3@2~iL#h+HDtXjJ2cdIU~8nk-W>NTr(tiH5*>>BHupVpYxU0=8S(Qh7IZU4cp zU0+gpp>pts4Udm=%y+DGJnPu!IPLhs(emVXPexTIR%chQs{VXy(bFwYPkQG0XXZZp zz_Z7nef|&SFP(a+;pLib+iMTk4tV9tD^ahmeRcF}MSptdPqtnAc17+UyZi3led=DQ zyIgnQ>qlSDd~@-e&%C+&&BJdR->!XI-_ZQdv3FGO_J8-acVGT<)c(izhrV~>z3<+e g_P(O2^@G2Bn0vVV@XLq)e0c6hbstNpb-UmH2kNs6S^xk5 literal 0 HcmV?d00001 diff --git a/bin/snasm/SNASM658.EXE b/bin/snasm/SNASM658.EXE new file mode 100644 index 0000000000000000000000000000000000000000..47c7f9a9016ad00a254309be653f4cdb05173e4a GIT binary patch literal 64309 zcmeEvd0dmn_V<&;fCvGXQrrS=aRDiap)Lth1#Go$Xpy?$PTjTe#HH6IidzU5Td!^H zZj7~FjlF3vZC!dJ8VhP5728^Bz1CW5XH09Wwyr?l@67WA(cb%em%m^AWagRe%$YMY zXU?2CGmMyEP^=OJp^NZJ*ehYxZ7uk2B`kYd+agEd#(6flXl)9sLd*BQd-hNQw4Dh`QdGope`7sDJefFs7crDAvWE4 zLqK!hQ1e6050xAHEf9o~jRO|wN_Fj$Q*Is*qEZ4k-i<|2Cj}9pR~04Yq~M(j4M1Ab z!cqm)P%4xSIiwJ(pIdjyyy{_?YQg&IfCbrhfDDi@VS-(kOjH8hrO?@ai`QH>6vUf^ z&_ccavZ0v}URu6im67so^>d}?IRdB=5r6xnWJznz z@p?-rYkn|F2vObSM%jl#p&X*hP38e$OQDm7OOf>5dXnY&lv0%^x@25a6&%Ai^iV@cDZr&^Xx zNI7vZePDH`!j|q2y3zrM5FlSz3sv;7cN~2T`;~)jx;}=K6Dg;wJK1+9*`oI(9T3uQ zU3<87O2LZ$T%*~YB%~K1lb|c>!Ac$w;!;ktUM6;HETDe#_JaxNqD?%Z{B4hWN=JI& z;F`3UWIRM1CLszGO$rvxy9ZtM+l_g`6| ziDAuc$DGJi;n2ArPGcMf&bNZm1TR@JKog!SW_`U|flx=#Bsy6z_f09cX?L8kYWJLQ z>^Nc5?!>3_gkvW@cj5E>6OLW@+>KAy3CHde+v}5dM5Y#J1jtk6C7R9#{Vk0~81$Wa zE~^(Hw-^L5LtmuT2$&C#0^1P%8U%zmOIkFed9l8I>Hs~^km4;Ea@&&h)@Y?r9Q}Gy zaq3nB0&2k@%V8)QQ*B6a|Xhle^FqXjkw_yA$yf+0<2E(_dg6>tgABmuC z4-}>8(VnL)X&r%k#|jNROIjdFFawG7Cj{rZa^%&QFtCI0mjPXYjHJV-0j=KPagSoy|1ayC13A*YJhFvHTCgeiMVEb;3$E#oCf9n-}akHUGAs26ZY^lo(`>b#{2!~u$U=TDyCxxfaC1*lOlQi^} z!edE`Nu3FzoE2=Kr_-1yhJL+UX}*b`Hx3XNj?Ssb2=F8}6w=&NayMlL^hIeJAymxQ zuYoUwn)7993?Q{C@~VItQ27bDs2X2VTUs7S?P2&pNp8QlS<}NnE~v=uw}|o`VtI#9 zRUSre1I9A#+~C&6&@G=>(lDmYp$wCev}3*d>-KczCnS?WG=GBjAsS;rd<@+YZ+xQQ zhH=8uU)6D&G6F1Ci`XzJcF7h0FFZFYpr6&d7j&V9PxLknK5KNEA%}E(TSJ<^6_OXw zBpK{U21lad@JPX$w&Dm!K-6N&r5W^=G^NlhL~Pcle2+hor6|P+q@a7&=ruxlTnL|n zIhc?;oqK?Q8e{dQz9KUq5IKt`wWslQnGvCGd1C*UCCuPgxHej}&>7 z#z{a;3|Neev(FEV9j_tv?;dKwXrKKttHzF69HD|8bvRJPl!<7#G&51rDcV2ao*qs+ zE5-t-*jxtczm95j*0fbeY#0Znx^RrJ<{%WN68pFZI^ZKhoMa3WbB*o9adN7kQRp55 zxBNA;F$TU-#1EcSs92EZ!@pC zcnv7T7F9;p{3`voeT^k|$+n3#{^6W_54FpxGaA^yJ=}k#TPc+4(hSZ$Apc!V#_;5l z^3qysYFILweo!G;jJ?buS&U+_S&T)r&Bk~m!l4O##tCZlg;E%dF2Lk;$Hw_JjHSdNn+5c#aggTEm&rPCG947Pd6KQwG}+u21acK!?uA-Tfwbu zV9{2vYR>&VuJwC(<*26}Mokt*(s1KS^A$APwQ-LVRX2;h<6)rEnXCX_QqLDBMO-JV#LB}1<%D< zw?s&Q;;dWQ*Zf%v zgttd5%Fi#Hg|8hE`E%yaDxC8IQg=o?SFp&uco74?pXZd~Kb7L8fSV`G0^zOYQat}Y z8Zl+*tXcDBPFr3QF=hFzX$9~WELteehA&0VUSuvPWU+G=n#Eb{3%D#=IFo%b92QPn zFzc@ov**NFcSX!!6ldKXF@4&?d2!Y~Xv>0San^$o?MyRgh|5h2*-HGLU@Dvse;52h z__cy*{v7b+akuxGrWN92_-(QvnH;?*t(FisU3_su)~{T}7np3*rT7@RQdZD8%d#TC z*!hPpFs`6n5eVoZ8+hDT+t4_dyLAWJ&Q+mln>w{Px`%gDba(H;TLE%Bd3)Pb<;I2+ zGJxk@MVf6%iXsSS!ZFCMd&uI)J*-rm|2Xr6Vm0_*cd&&P#Tg3YG_keu2{H1ZMnhIf zkaQ>gWtJ66!R#-pPy%*%82veEpUJ!c*!=}dz%2NMx5%+1vU#;J!`$9UHm)`f7FT0Q zjdU6ZnWHK-y}W|pq(pH4MN6Oihc#8_l^WY0jeYkcRIfHBm=n01Dk#m-(nplou0)gI zWu?^u-75qG8iBqIu?8?8)_j#)l-AkH2({}U_BgLY%C8jsE1al*GpoOOr6!Tp@1JwO z;>S^OLa^dq!HORVRvc5JNkYYmsMwzp{MHEm?!jvF8moPbRlDvI5a{*~hg3)@fb6(iH($41F%qRZFKQx^n5{MAv?r z%d0J?*XvlG3&qhrr759Ol8+Mklo)oBUg3%5{_mw>`|0oGd~fnnj@(OG`^X3Hdz{9_ z=uP|Y#M7HB$-&aBqLcPv3)9)V{={+>{aFa4cJ>BR5p1ZlICvi@6hPn&M5p?G8ZD5KKcoMlifjrO-Qs-=K(X>)%Bi&ZbtxL<5b^n2{v zk`f)q^X;$0JDHC$mgqQ^Z|@ARBA-+(<`GC{5hwFW@#5SGi7L&p{KVGL75M`(*O#_3 zhYrNVUm7M3P-)`4t)n}8;}LF;G^QURb3W8pG^?{|V<2~qQ0T3hD!uiYW<`}DOl6`# z&X&mOaof83Rql>m*xXucKy{9F2D)>v2@Lx=)2wA^!N_t>R~T8^I|?Hk?*CR8SqY7j>Tb9O&@~CH zJIgtf>T#b*wE>fgVod6r1m3rKC#m)x=TcX|rCfJ=p%BeXaGj*-39e0aAcrFOu_wg% zAu$Q$&2eaw4Mee|VMvS3YcABBhP*s=@4B1l@Mrg~J8ceG&qVi;d$UKg%>Ww*7criZWM@32vi@vJ z*v>#eD~#FWzio?-Nsj-TW#sG`bqw{7+lVYqd|m`!JkJ8Q>!@F@_s&>sZJ_3g*dGv5 zPLruK%KDOdGY-XZ)}BLNPR`@JN8^Mz>o1jYT(}4Ica4`pe0(2703bRt5Itrb>MVoE zPG);M-eR-L=|3sM=*3`M=q1A_kYQ-|2LcBJ0;!!2AU{k$lpq6%co0Z%E&B2A0P;o< zNbj+S66O5=PI{oy^KzvhvHbITkzcdrh@F3zdu{z`%(Z|1UGAejf9FH`m4E!73~4OI z)6tjZzwI;4XKU9dzmacxO6yT8EDNsp3t9#jU&G(|T3fc8t+ns(9!XyANuGTf9AX;E zt^UozM`{ZNd97zr+HokaRP-{G2~nwnvA0>nJ`_$SVE2Lg=D6YT+9NmiFuHaXSF!4t zM$#i#eojIHOYd{D_{-vEWnnijsg7=HHuT~(zN)+@IHk;IENK8Nwv=<gdtDc5dvBkqkO@39 ze%)t!ff0AYuVh6J^4J);|1Q19muquNbR!gzE1H`G#cH+VIMgn_PW0*bOp6M{7|2p$ zd((Wz1s+wHo;Smvub@%Cvbmd1TS4TQfvCNLae+9}SRg)YTq>H4a}ZT3jAYxXq>&`F zhdfYEjFxMj`=09ppP~t_{n1`FT)1wyLKB;+sL=FD4dl{ItDVrF0O@zHy5DX=-Mh%H z?nP-Ak_0izlGXrE(kPMXFM8a4Thh08kqt;Ee@b7q#p5oFrK@&1jro8!{;I#31tX>t z8ISB;p{!~d&~`O6RZ@A}Yoh7MU0I+WBU-nsBmr5OUJF_E4`sd6k#+%Sma*;^r*V!L zJCf8xufrfi4Rc@hMGn8mD#CDOXq@_mt>Crf7br(MU?BPalO7BSv@F!g$Mqn^NK&pR zk6a51s|mZfuqw7_qDYa+Jbjc*W)<@2vG_f0OY7>z9-eVcr(jvxpvH#-ANQE7_PW48 z1V!Jo@7gkNF~PPt^G@-sI=w?J$Z8r%N$HX2{QWZBxuB+C6Yi~d^#e6Z2J~NT*zu>;VPmd}h-(Di9J)10T^lCXKrCDN#-6DdGv2j#_4ZzSq?uYE z?{2{SI;<9K+OFPspWdof3O9Djaye73mJ8-^t~iKNNCLV?OIPnCYrB!Je+^Ra>Q1S> zah!Tg4Ht!4&hOOQl>&+1DCr%T+Nl_-*8xz$qH&uA=$A%dvA_x{*nDhXe~f+tC?2oMd_dM3`2Hyy_%51@JFhPFiR;m6JSKU>s?#b&~V~<8bpSr?H0_LJDhU zH)l4vG<<@wr}?17M%&ra+N7@eDInDRgb{3A-)|nhq;%UJp~@X@R~uSqcanS08=o@U zoaDyy#;46iPU3&wn2DAw3viJ5S|gwa`1#PpHWW-+lXlclFNjmKxs-z8mZnF|#*u-( zITe@yN6Ew$qe=GSXY)3zClvI}vgwW*hFIZPbrm=~vu^B>Y~9>7rRIn2ZBqMOvTjTt z=T}T{0^>*MFr|BKOFG-`9uP;T+udCv=p?(F>S&JLofu0;1J_Z&6_}iBaFUGYjT7)$ zgU|jF#uW_`p6&*T7`;G@uZMvc$hN29J|TjnmjpaVS1#$W=^~sz8iQa9U2rVG}t)qC*c$S{INbOG06gC9N|! zX}|2Uo}?ktfgXT7IRR7HpM)lhkyvv>NXjq_EVYxw537V2&W?$&UuE;$-@?7MHO<|g zO`_yx{80brX5>oE$fYe5?nm3xo@hpo#l~U4?W1~$Ap7biZ04~#duEfPPf0|1`9DCL zBte@*|0QU~7NvcNB_p9!Q)bZL#AH>QWAw#3mCz@^PC;!O`%UVyalj-~ARvZL46&S4 z(@ny}5Vz2U?tI7n%A@q{cbw#{MSL{%s&|qti#R!BWvm{Rv3kmKGK$L$3Na%a6H>dA ziKY_@9>^FB}kQ$rT_nYevclYc{d%ffC9!Bl^C=fN3zhk%DvMgV&<_uBTf_1o@l?P$c?riC-ac+099W_0fMt;j zO8c1j2~E-^CqzpXefttA???)>cv*x;3yZ%&zzmtCQ0k;?h3ioP!xyNMyir-*L8A7b20QE!|r zK4mNv7Z@{e8t|lOGL99eeb|jO%qt!ju-t7ZTuE-s^B>Kz-9mHOX~j30jg=jG)0q>L zVfrkXlIMTpezp(qXWQB&+frnMATQ+u=U>iR!tg1b=l}hFGp4=iv^C_>VFBAMxhLY@ z%tgHejS3N~?<1+Il@N>JjSgs9B@_RmT%%Idk&*LQQw3^W9niE%CSi+NR;83xNs^>g zkJ}qV$G_qJF@lbHW1nfxLJ+y<4`g@;Yihay*g*JAH!xI~dhnL5!Vk$55|FJTD_)as zAX^oqnH+CTJ8K|s*dgNFW&3GpF%(6Ws%OXN*Z>8x4~|dRCWvjrD>bKaDqpGjlDlUN z0c@*l;R1Xb+j8s3`V7{`*67nXnuA7%?J}J>dHm9VEYNJ`%gJZ%C;t`6wFa3s>Nh4x zMj|K5Mpk!PUoJ+K)W%w)*BYQUaW_}exv$5TJyzXGZyVZ9ZyVns9{98e@MT7`8ktiE z0@BjR0?k0p8AD-+;|vTgY7MN7_S1&F_Al`}W7x~KclKJt-bUSm{j9;!A=$mME&26T zkNbKIRlg45WhRJuPS$gM`8~PWjuDl7yoz{NGr|ustSVa?KAV8`pYj{3Lv3p@v*sO&$;Mh>MLOTH;RayUJm!Le1NCm{Qkjb!#5>9FT$XBotHv+3!@Aw$?H-EGt} z$^V7zGk+_0dOAZEJs(7B@`Pt6PRa9_7R<-?5Q@S%lAe8%!c4b_zk053Yp{J~gp2V!K*a2l71A;w&gJR*)Q!z$V=jtyKD zt&b(`+cMCu6-|Nb1wvyz46p7yasESzRY)Y^LG<=*^Y^j+!MNO=CuEFY-^MpuVmnAA zlKy)eS2miPZ}03K0JW332iXzBVL$y4?8OYWD+p-JHlArx(@bdk@K_JI7K7$0f-0|7 z!4k3CT%B2&hf1_XiNi5Xh&7B8>m5d{u}(7R2@oplKA|q@Bto6jNrd`RN3K80QK@SR z7=$X<0be0lyZzN5Eq+OtX;J#>L$rAP)j!eV6$y6SL$GHu*gJ!OGGFBgbZ(jnv>2rG zm}X8x#}mOclj%H}&vc%D;GBnzKc&L7Xd!%q0_sjIlZ$4EljxN5PNRqsF#bH-JcN;F zAFb5%GXz$9oX+4<_0=oJco;tP7TdW)qUeZM)}*lv<`9-qA$S7tJWb;S#Ay)+6&Bkl z)&ILFEde6j(pVk!ruftJ{wdn_bIoe^?*`984iP^p8P|h-;}8;s#J63sMGiyTJzYy znO-df3XL$@g&$hT`dS5<9ZgbZVH*_=F?trZRAI)EVh^{X9c}lrle9&Q`8u0Qzeu`V z7z$lEvt|j|I~rc81p$k`n9V7Zj#x zOwV*(VPwH~1X_BIwQ(Fd`v@B)?D!)nwMeIHn4hvbWNaE20lK}sKZ>}P$)IxrBgx=LNQFr*{Fkjksikx)1Ot;wfY4I3m6L>%2Zllt8DMFKkDlHD z@3^tAH|;o-Y}!xzOtaW1UoV)8zyTZKi0V1K4zyD9@88 zaSC)aDUf;$zltQ*jHA36$9OX;lE~{Nn>7_ln>EMqJDOAw)8rI4RN#6kw$;ES52_P< zTO@TtU%q!?TBXoX5c^+Sn3a-~e8h}6oP2IKBe~oBDl&f?Gw$PBoxHK6r8p7Onz7Ox zX4?|ZZCj!&7>6ymQnVX8TyBLS$}LX%AU=v2i(Q35&HJJ|u`T`lr9J*L%(Ud_nh?ph zBzjBGP)NMz|8$RsgOoKPYri3N=g8oIW<0sFaf)2v$Cnt6V0JA4HCJ@M97mVGB%9!1 zb&vRywrQ`Jdw-`E+DH|_;$a7Y;G^@!Q2OXMt}FC~Ru~iP1ikq;5?57xLqc0IJ_(-4 z4ozcB1m6}UELlJYC!@k1fNza>zKi4x%Af5AV(RX{=>w4yGxW3_n zhcs(hlvaW>2^=fJE>+aH_qC_~&627hTUs77jf2FoWJ`aU97A7ZEWmY@8%_hqy=WUy z-V0(dHZ6e*XCx~%Fp|9pi|G=qA3wLFTQ<9Ib)hAjnMPv+Dq%XK&m~>S&r+2?O1hHs zO{&n0FB3>b!;}!Y{>sfVG56Yza^<|ggba!zwQaG%O5QAI+OZiMYql1%b4RB1{}4V~ zgHH&i*)0Ahe0I2a1U}>EZusmt{SbV{=f8!|7&?yCXR|eYA8a&Ox#RFzxgGFXxp&~R z{2#$*`Ei~(pXGlE+>aeiAm1XEUV|{J|Ax|JX64^e(phK7l}Ij0{Ql4q0SS~`d&EP$ zQu3D%Cxh9aeuT61>GWS0TsFEk($#{ljC2jB%OYK8X?mk}me5+g_Uuj{K4e7FXDWaL8+QB@v-~a@>Mu4@2-X1DA0Kpz6 z!1@8xe%fM>Tk{BA^Hk>_d!9!xT`yUlZ+#>h&BqSeb1Lgbn@YFpen(Ij(qSWr!2t>8W{)&M&4%W$N zT#4otgU7f?)Z#FIAV1D<3$*%6@?`{D6ztcNu-)odS_?D5!`G8IMCa&|+7eIcx8$8g zx#T#Gc%JD@50wx%=1&G>tc8+%wSaTr1yE%DvPB+|QGexnZ$XTd_1{l%m45CbuF{WU z&1vLp(8!l_I%XKyf}|95Z1u5e1)=79>3%wIqTDhU=CW>4<3SsqcL4%z@P%_psfb<#a9biiQ@I?qjsoMDGhZuYeR_* z$DT~vUj7Bn(ctg@f}9N}UrvO0)yqk$CPK7&6atmbNy;a}k7iOz%L)xF!})dv$C}Zp z0S^=`nzb0#Ad8r`N`$P<<{NyG z&41?47gv}>HYw*rcw@`J90+f05=SZ}0eu~OmhOPzf%pu!IyfZIf1S2)%Y)%cGBw9_7 z-1^(ad}(K52@YOBj_bv2v9oW-I^^>8b%KlyMQuRnneLCwg+ZN2^;hoimxFxDUCL?0oto!1|JxP#ZPWp!TghcoY@ zWWfOioyq)n=XhD%zSIZ8I22iA^p?C_w!rY!Lt0&7h4oxOCQ`nYNtR42>p4Y!gk2aj zFzg5S8ekYpZap`VjmWKiauFo-Io|mgHW^kKLRYJ#NNj@+F+~YW!%a$|AcST9 z^f`}vT@=z&0QD&!~EzL z`Jd;95S$+Zxq8jx`j#FHWyU%Q&5JjlJV|GM&AXHi{aTuTtuk?FP%!a4B$Mj)+1B_=KA zfIp9r*m9Hz-h)jta_q&)FT3@iHjgSgrj*H=y%E}c*b7d*A2n#BPG5>RevHZ3-#w>s zgE>TR#i?ej$GFkltmvxJ{~Hbrz@)M}(U&*mL7OJ+Q=UZ4PbA5(Z*GuAOMjaVdgFU> zg-JC(lxhYXv3+7ZqUYzhS^isk%L>H?b11Bqtcdoo;70Lxk2|3gHEwWwTGLcOVY~ca z*vjQjKXN$j$N?R8RUXnggeTXnMHb zRpu;>>_|EgSCJ)VhyiT0ZmZsB5}8_jb2a%uLo_wPN;>lDl;)0HgbyBQM{MBCeZp+M z`r)AK{%Lk42KY>_dCiBGgHKPfD{1{$aHqNtw;e6M5WA5p ziNTEPc*gaom#v6Lrsc|%)7I#GrlBp6wDEuc{s94F(RwCeJf%vVX^7X(G^`3AsLeO5 z3bn!YD^pJ35M3pZqxt{yA$rp@bao29eVzM{_Vo32ZZU>#T?Z1eHFbU!ju4m@dq!1- zMxCl;+p(^g;;{tRBL<7%#_>oS%G1;=Efi@gnp)+Qz_yH^)2WEXa zeF)nnSzjJJgde=GxeGIgoLff+u~T=IVhy`|rdYu=W;Wdx!*+G*CYVGreRBF}yUT#{ z;%xgC1Ed!&%Asav3&PAA1XvMo<17+p%tAo3#o$js0SG=}u>aszA0J`Drs0~9EF{?= zAz?pZgM@?)3|DR}vAQlxo13jrrEh~m;`OAx%q|mn3;-TW)C?P(Ukrd9cSjrDNB+AB&RqS6Cj_y1PF@)7P4&F-AZ?{$yf^BgQb|^;xMk&qleDacMGAiE zdV^k5x(?Bwm99PXC#7pQy{L2@r2kgBifOIV^*a4R>DoqpO4CBdne$FywPlK;XHJl% zN-zkF?GB_%yv(j@*F0cV^~OSvyIouQ$3^#NI za|&jO`84IY%THfXxbD%H6q31Cr{iP@xPWBc@`WHhjx@1vp!kNc%CYFX_b)q%dS+C4LhimTmywQL5^ zxvSliqWRa<)$W$v=!Df06X}h4#rOu<+|Rz%U~N{BsZ>{FsuG|fPAO*wrc3+5z;tcD zvP817pC_f9T}173lT2d~^ZT)l39K!kcVa575O!x!vD$+Loqk)v)^8PkZZ%AYl};X4 z$>JW$etH#8a?08JHOaoMg3CRuObBP!8!H}E`+}}6jk!_`EJb&aPm& zx=XpfS!L{E4wb&EgC$~vCCa4|aTV6+UItbwR?6uJ=G-pWUOj(eJyOxKVu53R{j;$) zT`zB9;JxO91`KLBsQsXxgRsGe^4avbza7XKj*u1R-6{yunM!Ri1o|aBKGB21iL7we zAj_bUgQgF9b`Y){M<;nnAl2WQ=TGwbLpYcu35?V&7gEtJ{?xK`ayfOP$96+M0b}- z_sL4h);Y=ro4D?*Ezh&+R-_(+knAK^Au920=H`|ALz$X=Y%0{}d8z&rPI5Y!R=5(X zb^k?dX7xCho4^H%xoUO_^*E%P7C5qd49Dy$nu9oYY&Q}<6FRcsFUNTUdR;~Ps}Jb} zkMUXYH<~Et)ARLPMRjVjzTr?9-y4gadC~76uPn#a7hbeMMP@Y|3g@MNp}(of_=ZEF zg6B{xfu0wfq?g_}85PtrvoX1Nm8wbc!z!Y0I20up|3F1z8V+e$<7cRf?sWhq-&PfQ zej`d4EU7R@BgaZ+;ZGSrr*Vdf$T&dGXjYN7jk!`z!)}{&VYw1{t~PS6QShSyb|}DV zB$!cv$!)xU?~Ck#z7svtN#yrR34>Z?<7kYqz3SuR5>3LUiyMNfXgs)$8py3`Ocia3 zY^d%kd*ah4K7Zn;CsczaIeC&LtrNdE(JSB|Ek!*Ab{dacK&F7OR7G0_{QWR;Nn}Pr z()!cpgm@f@+^Ut*ZZ`Nku(S~w1#OTP)imvFgI|yucBjGi>ZRb^`MJTC$cFtc)8Nd( z*@I^fUMC~M49{p?1L`iuUaL426CpLD06N45dbt6bGEzFEAgTDeoKD)Yw09clNuE|U zq@d0Hw1y!_D{7$cgZRyGmtRGfG>m2^LtI`<|W8MrJqWJ(@8;LfEmJX;x1nhP|g znf3bZ;H>NzKq-WXQ~O*RYpZ2OS?QxE!q7~j=)OjZI%JA!hG_9WYKU%#|3zRo5R^lW z-`4xrYQ~m=SbVR;!DLSikyHOwPiF*VQ{4&p;HJ7`@WD-WpA3w(O|E;m=GBcgo8_7< zLpBZBF{FHmZwTro3kMfWQf1z1{mdQnIH`Na*ZYUDlyQR#2Fob}?sshedWdt4_{P`! zb^jgTi27h>Ce%0S%-DLlGs7}kWyEJZnK3+r)pzL$Xpf5;q$)8k_4V|RI+oyN33KIy zUf^{|Y+g@m>*zBLG`oT3EcXywXH3g}kdgZ_j-iKMqb;~bIJibTaDxaKZF1h+?obCw zIo9$}wDb{rs12XwoF$r%srKv)KR3_|M|cW^~Bvnz=h`c4lQ}kD*H; z`*-ID`-3rmU{Wozt=ek?F&@pSCQD#NGU&QG;r<0Iu1dy5`>tNLJh_x)$eR0!0lZD& zp+(CiNS&m)^{X`92y|Qbb3OznjMQ1~Cvq?njQ-s2z&ujgxx{aOJ!t^WD9vd=l3g=; zQbKX{|Ab;6vi)J$^ukgJe6j@oR|$NVAo%ZO@V%7+!%E4m#UOJtYq(&``;wk_H6b>trQP<)6BuFJr@_hyj?KIeuhxk7|tWNen zf4Et)f4-BP$UtS#Ki*FA=N-P2TK2hp5$+d7@WN~ z3;072r-gMCGi!yy@^S-i{_oV?@z{5HZ|V1V9q&Wm=knTjBjoftK18U@%hQ}t3A?;4 zqd)W(Axhvm_BGpeV+Jn#`f9kc77XB8r#TBtz$}do%L}WtG`$bJn&1vXe zZa6;nT9Ma^yjGU?Gtd5X0cV>&Zn%63uDt?Kj(Z&^k)aBAWGi^eakvLM2{n43w*r{_RqMFyHAM)kW2JR+vDZPo zY}xAPwY@sP>$rk~KYQ_SQW$$H5vtc0ePAd-FxlekM9{@ZBkWp4mKo9;4?S&T21rrNm8 zn+`0b_$IjQ0xrAdOf0$tD3*F1dl2pPlI9Ke57F4NAkOyp5psDQSCRai*M1eD-@L4& zqW634R}lKy>yWyOv~F-*^xCC1f|Y+?X>`P){CnmwUy{OrmrrC>o^Kjjy0lEfzWX;IazP_A^Rz> zqZScoz4p@xeHp~Vrb9EdXpMwF0~uf2{v;03IoRLe7DeORsymOtcmW6_AZnYY`*AGZ znA_sd0+I?K8Mu$AL!xt#MlyN#)CI{aBlbU$S88#Pyk&Jw$SarrGkMQ=Z)wl~i`bzE z1chl3=vf%W@M=n69P)-&3EQuGjY&8b?Y}gPg#$&2*S$$dil_o{uY(FZkRe`d4*t-b z#Ri;+fZ<3LBfh|0C3k=4bi2vj+uXeim%IjxByCjy%IWLgL~zbSI8#Ek`1%0-tHqZZ zCvsKx-@Pp~H<<*qUa$*Qcx|UjCSIjxk2ef;IT^G?_kyJR9esM!8yuN+2sL*$F(aHIw=O5Vq;C>r0vTv7Hq42TA=L#V=ir7C|5lxnWIjs83 z_q5czkO#zAoD)fg5;EDXr<<3j@Eug&RKUIzc><4DmYsuqU?_q;z2(JFfB?$pNq+; z1{2jDiY^MP3eGHbmE)Y3+`MZ)!;q9`sY3!-gtDtyYXZWG$ooUavKn>_(8yH@tBnT~ zLcCT}g$JszueL=4c4Al+dGoFn9-bzuvg$Azg!@fnql5KS^XR)?`)v^7u2*bUqz$c7 zFuZhORct}QrK_rxUk)@E$XEcni2;HkBLTXl39YgSVk_paR)}Fm7|56<7{``0jC)bD zM$5i~gzJm4sa{Xc_(K)d2e8jCw}vq8d?)25ER@fyzO$|Sxcb(+?rO!xit3iH9tQi$`5_XAR#L#y$;^b)%FH>SF7!UudU{{ zYP%BN^=i8s-tX0H{nhxekG@&0kjl4|%D0rtx0K4al*+f1%D0rtw?z4xM76I*r^HXd zuc5j|SBKwS{BGlSv-+aaucBiD_RDA&sdi}5t|*`V3PL|uJ6a*s#^rRGWB=cnid1=jo)Y|XE3 zT=R{-;F@pr6{Q({e9ccsBqp;>XGG<;jcr+xO52vt=(Mj|xYXCLOXA<}UMfNHDlBM}j^Q$TL!O{Cs#Z022r>Z-}4I=cpi zLw$}OpjR)SJq)36pCbXGM4w%YP?Rr7*;WX(@dYU>gN*`*Y*iJcUK@m>t7;PQ)3w2` z6@F1w!CvSCsC|9GUPwi#pU)nJWuuSJ-m0pt25D{JrTTD3s;#CUnm>$_=V|Va9FYuDZ2=#pt5k1m-8|F5GK45qp1k}CKPsH6!~u>4bqjx zCv4C5-50zpJ_ugfJ|=jr@qt@pgUUOQp;7Q!2Qe|!r59dmZG$59}~`cL(v1&@YMyAVJ*HOoQXdBIA04*4t}}# zP4GcT`&F>d$7o#WbI9Gj7@-26L+WnG=G9DOE9VpDD0h#+C5LVYyLuc3Uyd)xNOBRH z;0rR6nF!@KGLqQ{&3zaJbBaA1BX6d!2suIX+02>CDEFT!P!x^$hniv5*=GXle4k@J zs#xf=&qipj&#@Sx0w2V|Y+rNDB#dqG!2s(AGO&Su(ZET>#6dK#kC724$ky3agg=x64oc* zDl0W-cn8&T_bhkM!3}n>Jb~m6mM2igEyuV<_Ph)Pd%TBvuBC4 z`v*a&90&ZCfJI|Giz9#}IJ12jI^cyyR=5J8RgJ7r^f{7zWbSRdo;5tkY~-rt*)3JQ z25e0CYJ z&Ion&k+NHM9WagaIb>uyBh=OBkm(SOP)y?xYll#W;1Fx<6E@833o+XAeD=lgruys! z@TU9hlh99j_)W!cx{nFD%Y2F;I?+HNrV*WX2tkI?M?*G)3=<7m24O~79U2zL8`GJ) zUEv;pIquz?LCodZC}S?q#{U8HTbgA)K`nM*exQZerU*m^4+K9diUvC>!u)|K4Nk^H zY4#l)O!8_a4<>1~^1R3_LDu;Ki7=+0_||fM0mW5Y9I%vk$;8} zO^XUmGTs4GFM!1wEJ>{TINZ(Xoczy;DdmosWL!q9)ZlGh%r`u)3-?X#-Z$TXDTkr| z8e&cgXtNCLeYPya?+Q|s#9m9bMYl@UeU{oG*}2VFyZVvXW@}(;vC~kf4geUxTj|_p zt4*|~{wsg|x62at*=}biTJ?wX69duxCg#RkQL;ZSqMCOm+MuBra1_L+C{!l%Se=|3fZO7WD*Q&Xo2!rDovCVe#N z?Bus5)la%IiB6Uu85g1^_n6#Y4h@@}KY8Wkt&?4oKb!pfsVx?#Eg{tdKOV-a&$FuHRCZOuS4HS)FrO`xHDng)7nO4BqmBCplc zi&G@0jh(^#8G3RAV`uGVG-j>gn()`)sG2d~ESY=FORsVa5|U zqJibd?>MQq9ZfkM&(!Tepf!vY9G}4)qY}q&afSmL;oxU_ zlH8jH>Po&Vt+b_WGpq^pFE5B|JQqx~VR+lrWWfB!vq6@NM;BjkCE$Vt0}d7YHUm9S zw~wR7rb$sWW*mL54){uuj$ODzywgCpBC57A)~dsWB{}7Vx_#vIyx2p@E!KEmZ;wm4 z<}f$DE8YyJRg=lU=bAolPDh}w|CDpbWQPuuo;`z3djjB^d3Zhq8u5F)*5mu|#5c#$ zsyl74YQHpC6VDhNxHX*yE`xRORq!h?6o@wKpp}rG@xLfNJ%YH}dwkxLPRV3dJbR?Q z@}6S{9>2%a?>lf08&|afvAPor;qCXLv|4mV*g)+W!xFU(ZcqG*bcj3%p0g&tpcS_B zTHA3_ITyF7Tj2h;`7>6Od>$`xGdla8`$`=7asr)lkMTV31e$YiA9;UnBl$Sm;tyL$ zm$`HxlKwWA=-TeHp_!+6E2VTCw4hDqe=@Vp#O=rO5BFa)2Ke3BlS?|xp(>P{IG0?G z`BOPtkQU1Vyr?}`H15XUT=M;Fdi?G_5;vFZ{Zmm;as-auB}xuxES+f6RminRS({lW zD`8c0@n$Z0Wj0+2P+!f7m6$#bf|zNPimX(T3(tA>kwbHI`wxVd*7D?7b1vzJDsRh8 z#LFk<*}Me0$Z!`kk#}G`zS!d#D%$DpnqRfvM4&Hm;IzGZ$3D!0e@JFgA;Q5udJ^A@ z-nkQUuxc6^+lgPq1OKK!-EpxAk`#aVuu4L)wRWM;RUn`B=gYGxP&J+1!&(25z&blTYFraT|We z)r;d+F#SQ?wpZkD0&elZt!VZGbQ?v4hj0V`0i{8s`rAR=Zs1G8jouF8W=-3#cN~;Z z!fPX+=t*4ER)Qq+UF7uvi z%7nPo(dR^^<`l$(njc2Pwjmo+GX@t~Y-uV%de#W%H}f2)3^u&!;y7l=ErtC9?73li zhTAr!ut4LcsTNV$aAK1-IaMNIZ)qIs8`&wdnsB@%;jR)6zNjZ<-5VJzbT+T14{5z| z@DM8uSYav}oIulV6{Q^mD`_K{3gZ2bTfDO{%d&3SbjSGL3W?gR2cS6NAA{ap3^d(glE)vK_$+`s9i|hethPhlyV7_dD@fyJRaj8 zA{7@yncrP7w|8mxl+^C^KZ)1X*W$JjE>rD}98cbQW{Q8Bltui}70$9qz)($_vBkP( zkT#nM{EZ6gZ-p|Q>_R6s)0SpBNq}?q_P`3^G1tDrhGe=J;AzTgC2(X z7!u)6?w*$1p?jh?*Nt;x$i92q?BLtf|>J8{TB*e(~8$xw&jRXE&s z_Uy|UQ(EqLO`j4Ns<)T~^Br5|jyD=7%r-q4)J?}A7Hi+o_xXkW)sff0|GLFKn|JY; zhl!WAa>(M+KiP_~1=dCOnx2Xt&GC>7JfDZhm=qrO&2So*!(P}UR)tl1Xb;q_(CEDi z+lYHsEp7{qsJG(9T4*#-zUjkL9$qX7X43q9zW?K5WFr172M(f)Vib(^@TedeCX~gI z&XInC%Q(1amnJ?!9v8~=Br?+fm6Ie0WnGCn(tpxPItgW+$sMi#Fv}Q5S_x&n$Q7;M z0OSr77^*8eW)CP3TL4Cm1yt5S!NjH7}M%ELwjR=_45Ru-EA%w!tGFQLr?86h%7Jf>%VM)0V733a{)Go z9ku?i1h!jRH5+e7u|9fUGSgkMn>~B@U6`e=EBbI=xTUTe{CDB6f`1ZzJp8ZV_l92s zzZd+o@R{l4ZTJn~B0_|vE)U_(@R<>10(|xii3xtXsB>>@M_Y{>i2f9RIA!~h7KxF&7YY@#asxkTgR zf9zrWNc?F7>5{oEt;#T}ROd5Dmr+{TQk6o@WxcHo*KJC51CIFd=SkG~yAZf1&t9Cl zJBo9yU}WalspOU{>8;KC-ms>z;y%wq)^VtQMmQh)*B zin(i_Kk~eyuw&tj#V;*>c5!IIjYZ=ZZCtQ_{+#)*&X1X|U$|{Siv@yEzwn>)UYsWg zcjp@BmCgNnF6pi)h`ImZc?73aG$_Sey)u&a&nD_7S@35}c$S!8mik9%bT;{3C`d9V zO0TI!iQUHYix2~G5kl0m`=abBgbg<`ppTNwtf$cKE!-!=>9=FZE}>wwd1UY*w#>0( z@N5!pnO?5B%kwR!TM zok**~+`T$e%NR0TxZk!Q;%$P6n{;&Y82<2Jvux*h_B00LNaV;D?0JhR+!pux7`BVb z!c$jtSs2fHc8n9#Und!?cLR;all<{Wm+~JS;~ZFi8|K)|koTi8&Y}k4w&#wAcmf4k z=8XH}`Y|@5#T2}Chrx!o?urhlXA8JMi-iDj())Kz?f~GF)3m-hr?`d3gF& zDx=0Y$(6gjs$pX!`{OT~gBP4{E}7s~GzW93Y3}s!+?|m7Qz>_cF;a$iSq4`ygMgMb z6g(k6x)=}x>~Ht%7fjWiHfID1TH9*zm#(D0ay7avt-4dLU&F0(10=7x)ZWiVv!~WP z?sKhaGuY0unZAgeXeiLjos0S0Pc|ntKPGp#xve~8pzeIP6RjS_t`1{iyM`7~vDP%$5`@olJelvMW(OWIxb+$!GWl_D+@w6Bf1H?I-MLo1FWH;GLjq{;58;d_SR!Bc~~&dk#R!o8ON4~%1Z~)lvqF*0CTE? ze62^4^K}KI%u)}7x*0rgcR?KZr#3{5Ek2L;72io8)n(&~q=~SbZyypwOzNtuxDBw? z;6LZS7)1|^2ofx=fxJ)$f~^zGvt&%f`TPTavZ2595oI><4@|E-iAoZ#p)#%yk&L1L z=YbY3XKqTH#+*S&?y4}_aRi@RpN(k3Kfbxi`A1M}vW?&~boYp?vCoVj1H}%zJ9|h- zPx-}Gk2hlCzi3}ys8)T^y8e%vuh@O(ny)4I;*Yk^QcgcRW%5K?-%P%-O>Z>g^*u9! zP1O$aRE+CRT3JxBT#TXX#He<7J_0v3e_YswvTx@XI&BUzxd@lJxJb!qa>-504 zcJsT9!`9pltj5y+I<7(HO4lG?<{Q5<`hY#l1cJ;6)=^JYI_nqk|sraqoa&f$5HJ)4;#f!I+ihn;m zOX7NTHm(JwoK{`4aIhzaWBH-u)b5H18Y+Qt4bPF`w8hvNPX>*_8iBV^jw!R)%N6LQ zZt6Vec+&k3Y3O&nS^SwPwh<6jL;bhdmSBTfHQ0Y+3Mb!tD!i6p zp1m zg&k<*Fn-@=5RMaB;ZSt6(Le{OSlW%J#`C^;9V4}&Aj5`tZZUM&fWfne4FxIA0nN;9 zhvMs|MCfJ1WI|7&4N5sL>%XbPoQz6SC{enbY3Z9!xmUHIui$U*-Q&DI9)~&u3}JX_ zs*@teiSyMq#{Nrg;qSVI8z(IJ)i|v{ZG2(LPsJGxd3Y5djz2nT6^yen4*m%{U+f_U zi!T_TL~y4-zk^tnS^>3wD)dK{nq&D~z^;IY&Pd#(KbFtle@aBLUU*7hG$POO{4gej zpF|$AJOY=*G6D%ni3*fWEP|3p@K-k~qZ08jQ$C!E{4Cl*>E7R&o_dlkul!|J#nRZ~ zD)tCLD!-FOS=6s^w~M6j1Piddv&GAJvGXDuAqAlcA;!oh%7i#$ z%Xz{4=a-fcYA?=KI?R7*YZ$ztj*graP^# z@WQ0`<{YZ)4-D|4or&-(gk$GqxkoQjLN`KriCXL$dgYTiuE}hq7Q8f*O|P z2li;m>8^^flkCWcG&PEG4gh+B7i{KxECo8xF?vmw#|F|7- zlFipJU2$QLJ=SO#I>y=O5^v9O493F9hGXmzS8eh2;;)LS|4J5+@sD@EA5B{fb&^%r zrN`7V+0Lwpy{{$U+D%(0F73I>fO|BsHHAZjIq=uV1jIrwwY>{!uEWvvT$!FfmKqkHecdZ zowuy~Bf(s2S^2x#eA=?|nqaQ7W!@W@dEI=>mibG3=1ptnFSg8I+h^XkX8v0AtCoQR z5W)PeW#yd&^E;N6x7Fs?07NkV&6asBKJ%_M^O`O5M*GY=w$L4R zZEiL`ZnHh_6ny-_G*LML6jlWbw{BWE2b=diD7Dn&g2KZ#kLBcputXaU^Q3b;`rQv0 zR-U4|sDfKK4b-iQ98InTMw4Fx6DDKNb$6+aaL<#mY}o&*?M>jKuJix#_b@OV0^*Uk zFq#hHfde|EFd}$YiU@{yq#+DSa_!7#M7)Bq6o#d?ZELq}H;L3%vB;?PXh&oUwbnx0 zEwf`y%|6p)niqI6zvt`y`OJYw?ROvlKh}J%_xXCi-mmNZdcR+*&aawR`p~K=tGbk4 zTJ^V8j9ub)k~Kvr_552~YVmrK-qV|x@lPnNXaQrf?ZgqzbW%i^LQu=5tR8fQSmO@` zwNViP=fMaY8W&;9P|YKS5;`Is#lKdAGkr+yL_y+bv$%fg!6)>V%AT5Pn@Ig%EgBU}D=%MZ6 z87HU8h;>BfeTrt}A zHM7_BSjX7vHCxsUStAX7-nzf6duQDz>+I`%*AH8tus&n`g7wd>-?D!9`j6H-)^~X# z{fYHYJn@A1L}uAe3b2HqmRzs#N0{S^nnCp^LUb~uSzGU zYm^(4rfYhnfa$2+5*Vu%;&EqvI6dKDdndWVM)>g}9IsDQ)P_oIS? z2))}*_5lsd>p9d0+{ELiCD(t+FC<;$N{X9bNt)?OiW{$_bWQ_REKLNMCmxp5O~Q>= zIzZf^M4NeQWk~mTw>fFLD;I8fuFhbhPRMf$(T{OrTZcS!@v3w_b}j>eMzR15($u_? zl;*Mkz%V>+#X^5+q_EEgQiW}yKVY6-{S6SJX*;TjYBhDoHW^0tBfC=d*$vEFZttJov{)csuyl`YDC>Fx%+QO)PY(e z!}QN`BRT)crzdZ4hxzhc`^jrHHkP^8# zI2NsSa)#ti0I@XBX`hEQsD(>~l(-w}M(glu1c`(+E$MZCye6cwiKCUaXeBguAAxwR;b7n;tk2vG{fvP_cukSSoUmyQVl=Qm~2>EII*Hw4|TepVw#lpX>Yc{o=RrcLf3mHi=@HYW7)}zNP*DV($8qgo58vQ1p`p%)Ud~Rtu^>uV5HJ~z@NCI+^(C%J7#(`al-Czz} z1Ks?OIxP3S4C^RJ`I9!BOZ1*j2*!EJ;nU&z@Se^wPJ^Ho(B-%4G$T}2ou+@UCY@Q0 z$Z7~5jx&dF5Oc%e?T!KP@ENkhaUU{jrtffsG^n>zz8xCGy1)-gs6$>nXzH4D8gc>J ztEdpM-@GA+!pm*niNfIeW@`g*#%6FeDz?>v@!>4CfP&Djkjw>S81cMHJ86;l`PXkgpH=yq(zI?1D;sVC9#{CCB!jA+^85>bYN^+g^q$_- z;a|mhQa&oq`_%Z!vbhkdamgqI2524h*)ovZ-(8Pf84!0P+B@BOn&!Fd{2p@pKnm(} zf2t=1v@oAcI!LB-Qm@iFe?S@is0_7N84E|)%+TXHwc(xxc&fIA&&k*WY4i(A=yN)2 zQd)wqK!@R-YP*Kzx|UH%X-CEO#q*&x&6y{~lSso7)WtW=D$7%>1LwCjEt&6+F_8$` zEHvyvNVw8E#whWyv${E<*&HYNinv5O0^hhy$TgXkH7)3SUf#X z3sm^#xDPjK$4P5$2o9i<>X%Oezky`_*1A37?2wjGwMHTvoa5={i4UJB_-DRL^*DwRsACDxS77 z?B-vMz+vn4$!mM+7d#P`YTct2kN7SS_xOtYe6QK8jtJ4}D>nG@kTp0nfZ7GCU0_L6 zRVx{I150{TU2HwoddlZB{NGx3J*lK8R{O8}7()=B6U)Lnr?n;Au@^3qj(1vAPOZW| z*x8~Q9jCDO!?ioE-Er-PYY?tIaP`489M|g|EvgQrzla^D(EQE4TU7Vs^=UlP`C&Np zatI#()nUyUVxNy7L1>vJajg!lLA4!E=Bo%~Q;8Sl&ZHz0Szqjb>#&A(v5%6{>Jx-E zOX6xuABWRX1Hd*KCTwp|>l4g@8Zto%ePWvcmu~t6>jU&zYXkMGpYXHb9D>hz>4$jf ztNGIR9JF*K+A;%nVm@v{sF-Gj?AP2u)y8&ME#2+!BIep^9S$v^M&g1m`;#7+ha-^a zB(8yI#+dDNq=DnylJbL0fmSD!^ylR{PVfs-euq>cORR%}?aMo?8-ndiJK&85ECqse z!)6$uJVrH8QGI-0(gQ~w(m$oG?ny+(7=jZ*FdNJW_MnM#Iwo#T8YgyD>gSquo0G?3 zApJ{5mL*Oa7jGU~mN*&d!X==SM>EP-StoV1U%nN4=GEfiEvmtBP82qtQ6PlKIHxr! z0mmo`-MIs63J#fCB!u8M-aM+schR&Km;w{m>y&Fzb0})=bI{jRcyNkOmg(RW--76a zQ&d91!6^a7%$oGT{x1)U8Mqn0|8b2t3permmubk4ClS+Eh-lPPFr0V8bCQv45`y$$!XKs0B6fkq6)VzyQ6YfrpoeQSt)9FNDH5POCWj_Rq8Hv2Rx z^oz_sv1btW+!1>QVL#582b8Vth!=X{caac=X&P$Z!bv{=dAiXDze*r`-0DrrhzD7c^CV z)3yGm+M~-7|5?L?V7QXuYCV0Qcu<>KmN*hPbAO}aNH1Yv?3p9I^!?2jty>kM2_t!5 zoI(m~rLe!;Gt<^M{Kz1n)#Gq;&ev^@Gy_6oRT+ zGsJJ5iyc4Ns7;RVX(WSD4!u8&_vdX`a#875mtZZz2BBHi;x}q~+i}sCVP4{e&>cei zm}6}>aO;ZGjEAY}E}prB6SgQ~m0votEv&Lh z+2mxg$MB`6r4gLSbGZIG)FPX1QoT)qYbE^1vCwBVkFdV*( zQ;#eY{a9w>%p-%w9Ci$|e8IP6|9xBm1gnB*=WEYBvlahddS>%8l+>YW4048_(j_N^ zexoxULv@%_pzeJYW12`{OYyBs(d}isHMI}<);**Hq(u|1P)0frnICYcSP!(z%9(&Ge z8a`(?!W|EYbxyMekB(|1U~AW%b(}Uxg*z5cU`<;?+phSLBwz# zHhfQSZ`f^#ZC;6kyb_12XyAb|?G{^`W~NTb2MwVhfD$!dIutuVS5ICy`zJSG1(+memT-r*aJLX)`bIx|6$?I&Ji-&`2tb-QO=tH`%ZQoZ> zN7g~Dz+^0X4yMG$0DMhNS2g+`S!Yd}q(LyeO}^7m#T7jH!#I*bC(;gLIH?#RV=hL) zfe+WqQBt@W%K;uo#yd((W#}1vq!=;Psvn{%6Gc4n_%J?fF%as3;qcrRmpM({#B`c| zUxsuX63&ZroQ@w_R1XZNpjgIUHHbQ6i8~pE(9MIJN(a&h=IA93rVQID!xy3&LM^>* zv`LmDYv5q<)yN22{QE{ft8%!*uj+kkm*HY66Q6>{jx)3RAvi#o!4GC|*B0YDGR55; zIIV@A)l3}TVM`VN-JU7db%-Cgi|`7x_8cyuD|Z^DoFQya6cbqoG0#-1562GV#=9SH z$&XT!uWx1A#7*ryooH^)1o!SaZSXNcMV#4j3D3Ji=yq2S{bt(s1mBdVUv>C%T39GF zbv4@Bk+?jojXhTtTE?v9Y=0WuNMYe&M1jPU{^G#v*7p@HDs`Mf9M~?hizes;h^lFC z_7VRINfX;C#gDFwKi(jzO{}~ELiewA1v)sz>eD=qXO>+K%Wk=Y7F|& zud-K_j`n0a0a10Fd-JBc7GW1j?hVcmA1zqS(cE!f+PpdDOQ~f8xbz=IQ&kAz~`lZ?ICU5%evYRwRNxQYX7Ol7B}k;1H_=A_5h@y5Mlihii}cx##0>U zDRR1t;t=~;h;mZ&uvdAzW+BIOD06`8cyr7=ub?a9t3G0)k_R*D;D>)xgd_<_C8NAr zH|MIonu*Cbz@3|{<3Ha2`U`vCcwx^&SRbBMajhJURDD|3%pMw|#%b=ftD)(IDfn%9p*Ou(#5Sey@3Zk;ZNAU)X+^V7Y}11?h9p7j zOM37jT!XFtAD6crW@6|NM~E2yzO-#oDgKDbc%w1N_m>w;?wrj=LuUdbn5L2#*6@fb;5=PWCZB%`zgPjF(jSvEx3=WXy59Ujn zI>t_&fRQI-p5=n2oo07z(;lbu;v1HO6u1zv4#qeUu&`;#VwiiReU}l^zRNy)lg5ip zmyBU`Y8~HS8Nl|g(uhYdNy(r~USZ-toxt34OgwW9J4mph1~w#lL(LB6wV?(avpd8R z&qf*qiKlgQg?W{1J|CKn87=v%yG(Pi_j*=*DRvbd7q3EWH(@f;^1Uq$KJ*4#b9@>U zPaQ@eMBnZA+U|l*$lG1IUb=0&%OlRkr$hL5mq|`+cd<`!Qhz-gUB01E&Iuhc?Um#s|B zFP1PQw?xBJ_?)>#E@2E_b+U}PLWi5fD0?MhiCZ?t;~J0kL@DbLo2y+(b1QpIn!A6> zTuW%P?sOkAZ+a|r-2a9)EQ+>AYTIF%Lk}7ea_wDh-ELg=YEd)Rvr}sufphO_y;^}v zGb>@^>)dbND_?Usn&oPvFpeH*nNx<1BhuC95gW&5_J1)hQ@L^Mq8=~CEuxN~Ojknj zMJBf?0WC3hH6wEGZtY5cPt!a=LDn2(&8gTw?lG7xD)z@e1{c2(sz!v9dsmzAV*Fzz z0mi-w8^=Aivd1Hp(L7{uWv{1o*7M!P8R|zf-9Q)m;g%1V;E=l;k7()UZ|v7PYIg$) zd$o_+$e0R0{rV&daleHf{i$jL;w+p+Y=cR9UqM}=YS-aR(Ca!@p=sCMtWe#g#}0bD zsk`Y2r|&pYK>m(%L2uHd{JjHv12$k^03848SR77oi<5y+iMN$_t5mVL9(XN7zV;@D ztwV>e)A4n>`>TYAc>OS5KP>Gbpu?kPIBnt5N&%UtpeHYku%&uygmf>fj7s>AWDe;H zhd3W!e_ze(e5!GH z0o@J2U3iR&&N9G4lXDLXyR^ff8(?Fb_CK%PqyN*T?Q~$mZl*ml=+*VQO`0;D-p3T& z7`XS80y>!z`jq%JIQTc1!Y!(RIOlGr5bsB+lfbqwYrl#-2%eaP1n(g{MRhoZ#e~x( zgYY9h0G8gSg&5JUh0zQGlGC|=T;?9;b7{x^af|qsN3Y_Mt6Egb7^LqL3FC5~VffKU zp2W{E`~=4(Z5|NyYDipJs6Mg`Kf}tTLmFCC!{cyL;fT0U@jl1~t8|ZJuMHW)Mut;; zH4u2Lec1k_I37}M&kwA@d1?DWRV?d3d&jb*xN21#V(3sFKV$fAmU(1Q>TVzW#-2&t zt<2n~V1R%kb6***jWhN+oks@g1I)di`cmlLI0IWMNvWv}hkxgFh2uX`DZ$9MkmsUb zHev5sD89xu*fGJT;HI#xxr_l356bq-8LWJ<>RdUuU6$2P zs6`bN=S**$d}P^tB#FggS-LrmQ!HORTxBEO_sFtSKQ|^HSymZ-R##)od@JbFlf=9b zZY@{g@TJ0cv&lzB>&wjDcZcDo5=w8i>I@8ffry)y#vQY&oB3jcQ<%z~d9hN+Sji8< zu<)7_)y>LQOet1#f;;`vEp8Yafwy3ns3~HB4e8up@hIjq(>8l)xL;Rj!qPwS4^Hg; z^N(Y^KDbSMk@%;n@_3l=dh?M3aaR@r^51ZzPm?$`iD|`YA zTj{r(g*gHyFyEERQ9$nrPMeC9unh8Ab>v7|KWJJ3B1RClA}(rpj57`gd2Ea?sOuNw z$9!-!K)P7^*b*l+e@pl`eBUexY(eEyuoWOxeTFs;2?SoEf6evf2t4TmAbGMokuJ>FX z_ksF{y;}s^I)J4~1Db@g%=dW};FXXD!QVz!3XDUu&23Y7C>;%7fZEHtL`V-{Y;toXb!0YkmJovxIIEgdUdAdMHH=gho6W?|vl#HkMSztWnlOuU=Qo@lzn6_vKm$4uP zL$IK4l4KzXxc-euI36oR*fQI}Dxf+Hv<%>hus>T$5**%`T=Vix#h7D921U@S-WL^# zV^o zJTTB-aX|pf&A1?d<*T?LfF&)A2Qj`b9*p3YcnSs3;S0}dx8nhU80&HA4!yWu$Gsb& z2=G{mD2;+yqBII-iP9*TB}%IeX3!uswV|vIrPYQp41lJ#JF7!}6q%rUT_=opA4Bn1 z?3&n21_2C^w2UV9w40Q*K{4J#>={ZM38LfoLlC{Rr93()h9#C0o+MWE0-i{PB<>ww zA$}s7U{#pF5@j^0=;tY;9Uh%|00s<3a^RU_>98B1IOfb`MU>#Ix@BrLG*-mVpB(Kmq+!Lfw2f+<> z5Wr9e0Su)Rz)&s$3?&l4G!%_;`b~e^K7SwvDmM4r=Z8DA#~cJjT#60UgQULmL!kv$ z(~?5*Ap668%M)n=n7fOrTNQ~f0dt0kMyHt6;RqII41^s+{0$S&GdYz?T-G5T{*gBQ zX>g7#Q|(R*6YbXl93X_A1E)6r00&GWo`5XTxBzg=aiL(l%h6Y>{=oiFG9n;u^;#rO z39DU?OKMm(BDXn#Pc~X@b;hAcA|HAkK%uFNKL8kLpaNs%SyLCS17I|D%XQXJhV39y zVJTsny0r&tQ*l{;0I^1!Yfgw`Za70n>M|j%3F%F!<6K4AvwD1|U&?bi8^}Th}0Q>j7D&F_C z$-VPOY2q8#Y&dZ$CRlC_nB zMfzgV@*P^>ZQ!ZIGN*kV&LezHtEdRyss&wxZoO42MDWA1aOgEZEbGA^#PshvfuaVm z;UvOKPyWr}&q4KBH-3 zV@3loVK*>;H?})NPilcZKcM6@`2W;)j$DInMxH-eqw2zExxaf(oDFi zX5KHNObHNS-d#{;Io{78l*z-*gM>2qxS2^PBVkiL;m$S*cS@XgfuH+R6An;w+R%wE z)XN7;?D|J1m_~Smq!XTf`@QHmWg{SMx>^I+(WrAQqYJR?3@#x405GA-54eE%6KVm& z2(^G=5^7=ffKY4FRX5Mz97KGl9jG<(sxwq1)cOe({P-(qC67aocS6NW!N#m=)sH}$ zpWI0EBS)H_w7a`A@!Vyg1gA6{LxR1~|aEInc?RVgpiXVK%ai*i@QUDcFe~y*teJ2W1cJfD^m8~DVEsaUPTpCRCKBu zZc;Q~v-pj=SdBb zjzoPq7Wbk{b~rxKKS_7_I~*VApECP4tZ@uRq*dRBb&h@rzUtdB($QUCh3jLEAiafO zeHtci_>w|s;wiA>qwS7r>e51}JxR%Ip=a5*EO7wr&9s-cEO8*edlq*{gFZZsJNR3e zpQuP2r(^3^N!<*PJC?^e?DS+yTpWbEJ~1Oc7W!0NQ>_fZ5pFH2`N<<{!u!S4!yq>O z)HS}}A}JXl2Fd%l+@6%&m_#D(-lXI?E~kaQ^nwIra`J$Jiuo_AGDTRLAG;qLxt*jun;Wcu}(PJ z^^Dl}KXM2AR?xx%KQzt)##i2fb9eki!xiIL&<;c!+uK2W5l*d_wTON@47-SfgsX4> zyAMc%9tbKipEjpK*cfELqTmi4onkt6y^1}|MyGAa6Wi-GpKoX?ZP#x$4zpHn?>i2gPolVM9K9M89S0o$(*G7i9N3o; zOmcoE4v)D;(N5<%I}X^>oKpL`N$m@w%Nl%)cfy)fg&mNKghKse!b*Llkfk3iJgV22 zAFA@ze6Ihk(5>v6!ki#?W(>MIx*ovl0WkprM37kl7~rL{-qGfPyJwVA9CZzv?MO@q zGhv4Q!M>B>3=Kz()X*mtXlQvos{RsE94!Bcu^lM%(XWI1PXZRzLWE#c7Jx=RHXZ(B zxLT&$D?8xLi||)sFNU)ot4@}kc>*6g%5FvqzPNEtua7^8aPNzRk*FA=Ny`1)hTN(4} z#b9PqEm_>KXgdi8HoX>-OR)m`oUyw^0R{nm6R8&|EJtI{jMQ2V!9xohp}Ql(2X+!4 z{Z@GZduG2?V#h3iu1Kl4rZ)OnKE%=T8$a6kA?ybk8VU}*@dQ7wX2ao9rQKiQP}2N? zETyzN8J|W!qdVaentm^#=}AnZFQm>2-(U{4>bD{C@T)M>i1C zH!&3~=If4aqLDdX6U&(670dzi3&{GMRPgQizuJZWj{(^tF^dKZ%I%H|I9kc^BVpww zU}XfbvOlo0H?Xn?u(B)0v166KLx~TYJ;rwMHil+gHi%C#Mc)ESGN)09^8`9f^ke==Nr7rxf^ReUQX4oFghme9UH zsBIDH;uH3TDX4m}IRs+aI)qeq4vC<)3^9-KE(W>AIm5|t2X|LbN%y-;5^sno$E#xG zP$5S=<+zFCt?8hEK2kh$P^TX{ET2*wb8+vj2;{>dKGH!)@X*2BYPW@F*c)!m+lvmr zuYtp7)ya*YYChliDNcy`2{Wtg<|4CV+L8=nVwSdgxLQ+x#igM}Ur`^{zL4I-&3ZBC zD>CoU-HnEI;xt>n7T-MX#aBYk8^?;v+KHury>PDbmhv8arDvOquk5=EU-|p_|07>n z>ESB_CBBj)@s$vXuc(Nx==EO-J26+1Ia5hfaHew3i>cIkGnFqGrcs$RjqD{(iGvgn z2U&g(4wCAbL686cz&*CPxW`%I9)H3K3St6tJV$f$d(6!Nn45htH}AqN3S@5aHs=;Q zIk(t$FK*Gp#VIZllUPGPEAPoENGpNQ2sP+3LbCmTM4E#-K$?9!Zs#|H{r(GnbIbP^ z_)Www^BZ=HJli4IE{D+BX88$o1ZOrVg!%RQXu*$;Tf2&rn=;5V-f{)!3UpcW%v7f_ zK|01Gz#Ja+V=7?%>J}h#KL7^5)5sulfAM9LYO2t=muj(et=M~LiSSjQGRAQ3d zza87V#RDQ4$B>T~^G>ihfqCT{KAVVt2*e0nnEB`FRPG&RT^6OX%qVNlJn})BL1vb+s1tQ_T^_E9b){CMr&WzQa~B z=N(_M#5vz?!ktPiz+E2h0>o_GEx}!oI3IV9;4W00jk||&7c5T2T{8T>30!Kb>gZ|J zJa7Bsyq;pK&l0I3n`)lub7P4=A|!$Q{s9{wY)>t>7UMpH}D}!MEQj_&$gxMI+q9$r9C5pO4qiGDJcQ!*Pg3C{XPU&lxFjVeb=ePe**Kz(Dd z<2|0UJLL?aoB_y*v@?)aDO)!FRS?TziOwr|ELiva(f$_n)W=I)mKZ7?#crzPs*5XYF zw#V+AP$(d8%3S0voQu3E0=_QB`@DR-e{d$==gq|X2lMfM8ghl&U&hz0Y^rfG)i{}I z%*NMYcyBDi`?T43Z=8+yX+?M+hFo3jx!5|=u7wwY+ba?RHnyMQluWlm=?v{2<5a=F za?WSshRROM!m#V840{QFQMI!uU8&WQ6lQfr*yItl|S79Lq`zXjjF)MJKJXR&=-pouEz>Bas66KQo$LP1R>xC`RT=y}!aA*T(uYJ#2G2J57=lqJ z*6>`h=QLHJ0c?LD(^TCzU94+8)nl!{=3$Q6I&hc z!GhtxYos`~)$taL8veW1iBYYNt&ku5cRePCw>tg|S)6Wrv^t(eMZv9(O8i7%vF~Lp z_N|JdGYX%yVaG^@w7_^(?A{u&YnXWY6RdjCeo}G5(Z%9-pWt8!e}(w- z92Y}6EO0h(Y6iz}QYzlMMbGx1PuDhcPq_EFCtM48!Z|dxAMO^!dM4EIw6$cQqui?Ljt%*4uReQ`)0)>Glo^Mx0ZVayKWTy){h@SQ zyA8x~eAcbqL7A;*dn3{xsO|GtKy4MwSSAzKA6>-tw2Qc&z+CLfiR<&&HU?@(o5d=b zqnXHHD6niYXrM|zQ%E3UCYk295&;Ya!RZB}rvlLnb^IRGN+xidyeQ2YPQ}jNoop?} zM<1DNdF7cdlP#s=jYc1@_oInwIbOo*W!)hyMy&2bEtV-=4W-tH@aPb$op#M4g4Pf{W(_G4abD=+p~=VG04gFkY{A>j27 z=k^5>_v+h0AjK9jB~E(=nLX=*?AIK!fNBhY6abJ$f#DqDq_8WI!XP4rejtVBw?Ti^ zxSgstzb>9(jyll19PovdDM(Z}1z%Ef%r!eFWM_eNO-=^s%1#F9nw*8XwGzY?Oymw$ z0GOgN{%8y-P0+2S-qq_T3h|QFnpBc&TOrEA=EoV*e16QIu;kGNJS?^A zBsoNVw5$2nxBxs8{~C@$5g7`e7z5)JwZn8+*^qUaAS}1Q4i=7ucd1sZV~F_abza6- z9oB!|@A$d%P+Ywz!ScvW89mRrfOtj%Vz#gVfD8bZrD4J6le@uZFNaT8f=>{^#}Du^ zZ{fhXjl(|6xgiSPD7B6c$sOD&kGP^5V4g}KT<8=Zc0%Y$#Wv>PI2`z>35naZ4f@~l zSA*lKHhwjXynCMcYM25(=2-s(9UoWyWsMD|cr!*J325~aS{hj^=wF517v7i0;T8X- zxc(dJwAjIj+P66l*eoBv+CQ%9LhLc0&+4l#a5)&8B{jiu@tXc|=KHwotvRsll(M`z zOyTguzMzhljUSKrIOXUmn|Q!!IbnJ6$%Am{3M#ifd62v@ui0>T(KrxlbC--cAlC4# z51YT3`@taDX-Hu>wBUVTDEBJnH2ZNUnszK71VzDl2M*kSb3TKsEnUn(;k?k}mfpyz zTzHp~yUoXOz9>?|lbl47`A}*q4)i%{m;Uj3HI<=^(cw9jy)(tNUoUL8aTQtlKUKiD zcZb0VI+ZW>j`}*2s^o7+2bc%{V%?1D=1t;_aW!Oh$N$>XPGyO)u5vI88s`II7fC&^ ziH~%cVl4;!0+~vD;mhh~e*mJU8IDEpNTRhjT6&`eUCkzmzg~KcpGV7oQbD2;R82TZ z4(i0^={xG0IJtUHyMxG}Rl6h8mL@Z@5%6m3-^;3n&sUQ$V#0h@;y1Edshc*&bvBm5EHk*2g^Y@ zgQbkla9xB~W$=j%E(3|^T?Q>K+A%{_GeyCNV&Z@Ng?Ps!WovPgL2TJtm5+HOzWKYq zg*(RcZ`fdoZ(*Ij`8Wnjp3O@88U;?sms^6Zhwxs5hzw7na5M_9EpdBwmA(1*&#jvXp z{&q(P{~|XVq~YHzyk?PtR{`G;$p?zp>kF~b{$2;~)LXn$J8sMR5@q%GWF@;-gmQ{c zkGZ}a2@u1S`P)l*5vXZ}lS;wOQm1!8=DBw%WyBPp{+&u0(?Nay;O$O1`_XwKR23Zr z!f~!56t33(cE1jQVT{PsF?l?kOZRFuECf?#M{;;Kym%k11%2KL)aWY0pANc17SN!B_@!esW;UGUm%8%WBW+{1`&K)EtytRkuXo19qTE zo{n&B<*91O*U^)LUdjnl;YXxnYD7}UT2|eU@OAqMHwRT0`0qvjdl|oIOx;yoV;8Ha zqg{ZRcgu!S?#LG2DH}Z6q_`=S5YTA?>#a%S_Al0mKR55i{_lOZx?wT9ar5_2>V}NL zk!h%SZ?P)`gSg+e_lv_kzynMsyKE?oV%SWiBC;^kXcNA2e~w0cjTctvD(rDjVN#+H znACYO*uJs?N82UBPfqAhqas>tlGhv@=)}v-5Vk6h*Tup`7QVdLn!D%$xL~18;&->C z%7rm4*se3I^LD2*QDFce?cf4bqxQh#LyQVV&~LsCO8+4zbNfR-!mh^Hrc2vl4jT9o zj5$9>VWXG#uBl%v=E`BY+5LF4|J5utn;iPwo`2H5qTfhR8Yg$1_glgCmLdL2Gd+lZ zMBZUo5tbbOCQ%XNu_AzkhDyJY%H#=<9w{Ga0gy;RGLVDIc58u-lq}mY?==U1&hbG$` z$q}p^**qYz%?l?t*%K1{=e?hZxO{zLEQdyXB1A|LZh|r>%+IQ+r%z6QaOQ(44@arv)l-eeA|tMh9_D2k3-bz> z(c`emvuDjpPMM-c!l^7IXl_xFx*)5tRBfOPrjhC_lgUu9G~ZxUXDux<3dj~69UT&c zq{-5kQgwDwL2JdW1kLiY3a`7cbObZlRIBHpb(bBAZIju=86j6&- z0BzAV4uFepd2+=Uh_&+Q+&uGGA&Q*^XJxa#? zJm6@aNu6WJ%`)c;Ab}x4#ZsfQ3P+6|;fMP!tU(&6tbEXgLP9&a6%t~E1j!IxiVVKU z5DZ)>$tuRI%HqTn73$SAB8k*wj1Fis5hOr#m{eD`CuepR(7|LVG+_p<%o9pOg1Sp- zay)5r45n<5Bz1mKHt;$>YpEgMqy~Bdup^OIaG{Z0x&hsl+f{7LE0iZBO;aH&kGD`F z>F$o?d#WPjj2sjR+)(EmvT}$wWG~M$!t4&fqW_)R;GTr}dP`Yvw9?ZNY2I`iZcs64^2kKseyN&Oo=o7+<^qFymC=xE zQj?^BN(lVmwVasYzLdYuF=XfCJ0=2%FEI=Elvn?kQ)Y!!c@g2L+{c_eBkBR+TSfr) zlo=A#PflFoNxUp?r9qtq4lhX`CCkBXvzD5Q^38yd40J+0%v7mYBmvCi*e?MAl0jA> zA<$BE7?U|NXEgOYGKW2wpKn-3)L-s(VOD{G(LFS1`1l9_xqjb)NF zM&nKWrHc6YvZ2t0XmcR_|td0;|W5*v`Z#hJ3Z zQ(kZ-Cd^X6DnZx`#=LB4YEYANvV<(PNx-xzFcf-qIwy}r1iW$q*2Equ1Zk5S=^_yr zFU(oYH7*vQ<+vp}&LW2vMpAoe%(B$Wj78>R4@BML!nqgcV;JB3d`}9_TWM4}0h|j$ zYVV?5qluoN8xjie(I-*o9vILGQ(PDzGijq?8HNN1Bk?ddEIAGGG|!6(dN*)3#7UPh z3V;kP^uk*3&}BfHM*5}$j^DJ06keIlG71sCGmZ9K9>eY7faZ`GAj@0gJI=l5AtOpYT=v~SRiBpEd-9T zXwf}}t`o*~>Ji}>O)+^Mu%g^t$Orc*#x+?aOyFuEA>rK!5xt8X5lP%SaulS4T$&S{ zTFDs8W*Z^f%T(1v1eY2hGth95Am(be#45l7*sMHYIEt2t8~{$VoFj@vbJGBqRqkT( z-HUi4fODFGXdVWJ^jmd~5k*3aq5hM|Mf9sPdF`w!v8?>OWralM{u}rt3zSiRDaj`^$tHFhZ1Aa^I|+%zkK84= z`Kyb9p~v}#LZH1&aotlat1};9=@JVCwq<3nApT8rpcHg-MIq>xJREGA3+fyNvpN$v zGoRt|+&3{vW}vYo(+Y_Tyvv-xGH8^`?lBo#YPk?b}pt8j%2psxAirWo2$ zaXQ@?-R)wPghr8xk#11&>4t1BvUbOeE;gH%drL^OY1YB?0n!Kp2>StMpl61=sDung z59M=luseCka>J@DNa$YpA$9G4ARwtEV@P8wH3{;+EFW^3{|Jm{oKzALEyc`VF?upE zW7K5Eaqiv>$Qva=bIEMNLGGyq1bAg$k=X>`xY&$WCT}?bNR^dORHsyuENTrM%32$jk6+D)gpEa`s2fJ%}Jq^e@5>cpu$t(A!>kjq@?YA%P63;)R$>D475 z2s8(`NX&c2CQBNLThsuigA;Iq0RaZA20#W)CUKQ51+v})(K+purn^K`53k2sb4j`WfZo>TVM1o?kGxvGnCI`O3IMv{kY* zK^!%WmhDciIp>0(jQS+9N=evkSg?rpbK~RP?8#n){uc-~mwG|5Z>R1UJue~7OGW_| zLw8|Yk<}bmOFh(q#$}9~21q=;7*HoxG8&X}nXp{qliA>gP@Hb#@;OEByqtcJokY@N z+%WVOHMz-0Rt#Nwn`8!ZF;lhx>;(oGxnf?cTUzlN|7B)qw1ol;+pM4noVTRNxI!{8 z$n@*ic?I=GvM+O`!$Z5g;5$2o1i#tSrpZ9{kg?f0(44t}nMSrSZz<$jZw-iaOmBkb znU5UE#0F$xIn7X<55o=VAcA2P$yr4ZraYq(QnB^K;53wg5q*Avl2_qi>Kgo`MG zvx`n}%ybbDuAtu?cwE~t6hg|t;KP!`X5MBfmaQf*v=dt*xNwsu#}gs~%elM=g_3M( zUSsZR9_-$RRUWH=H01zL*W|vPO=9%OTE`nC5m$LE3So?aVe?MY%59Q<3ON6r$O_ra z%cuc;4yxTULm|w0geSkETukFi2h?U5N?e))n9VY>D}wKF805o)nGs*Xf&>tk8qGym zSP`?A6Lx~43@+uYq)piA-c9u4%HKt=GU z7INj+L*A#66vBy+OAWgxNyvsJALR7TMU*=k3rxna14)KY5F59O$0^iplLH`waQi3fo|K;MvRAlyyF{~zxi6(f8>Dh6kLkW7{kxiuli$pmfkS;BEZe9UuB%%S63bze#AR)bMtm3oz7YwWtx8?Hm zY;F>}U7bwfhF$lv1kos&n(%fKRhDEj{)L#|ZH67MgQ+XWdygsD%TG09>W^b{$kwTBea>qpf6$qudRuOI= zUP&R6C@YuSN5ay~js8%Z%`&oNo8is^;a;-qncR$*EBB)$10lyw(sEqdb|+IjRqLV# z=p8q>NR~G?b=Is*{ApQ;CREc+(?>Hi_Ql5 z!iW&aVi7lS;@QX~ZiU+t#w7&Y@hew_C`$o@rUW{cm(MVbph|%i=9>h}0imFHX3E1p zlT)WEW~EF~z%2@4c=<2uNf*SNg1cz|-44M2isS*i@YI0Uj4A1df5$Hl)nm*L|NFyd zgOVW6?e0)L*se18Z)~nAEBh^0FUzog|56$KZ65UM{X>Rs-8L+0_=u6CqDOl&?%k)K zkT@|(a;KU;o;fRJcIuq8^tq2@%*&j=VBuGfE?)9j*3xXidD-&3$5-SRVE+FG zW^eP#l2xUv*Q{N)-hjHQ{-=8HUG9HdZ#ipV(X2rUNbU-SI}d@0`6#;ZzYw-d*;9I_ zWYVi(`Bgn@;Nk}y#OCs)3EU);``1Wynn5s@j#N)FOU`FdF))4k%ZZF74No4PI{eY$ zw|kl0;eqDO_E5I}vnu5*Fd#JvRO)!6_E$W6>Qi&~ zIm!Jk!^^c!{VYwfQLLd1$v5NwL-_wXhW=%o`)Olr$Yoac!XKIXJu4fw;wN^^=MPDL zpS(A0%fH0o-*5OGL0iQF(ZDeDzc=d#cnm_XBiRT>--kW((Z@Bj`#MIj`Dkk>rhOEP zdmI(6mMTPDyi&SS#q@{D>5m#ff7A&2Lqq6~8bg27Ao`<5(H|N{7it_`seyEr8p+Bo ztIxiJ$IUT+TXGs#-~EUC6Z}4ie(%+{kZWc9iZ0*4Te;Hr{)1JFEv^5+v1%tPTiyHb zn$M53XX^`NS3SLvHO>o~*lYRUSnauge%!zL)6E-tCS}c;zv0l9zR&L2QnV$$tNL;z zI{ZsOiDofO$HuV)HjzzYQ`v)TCY#ODSq1>)%Af0h>XX!mKWY6(xBCY-eO~|iuFR*O z?HHT9>Cv1?1AEsF`f9-2XIJO+J@!_&zhv|)HNW=EOM4G|uyH^)F>K0f+h)#OxzFdr z-cJW47ruCS>C&0sPTG2TK6@AO-5*!j6a#%qd|G{mD>o>=Rt`Wb(pe#p^fk7honTjx zW`tsz;zh+S_6civma*M%Kf>5H5Mna6l@O~h2p@l{lhJJ3Yy6RI+saq(vPn!LMn%RD zTGJav%f6(Iz-Ubb2O{zql;clx*7Ik1o=VaAwEF+8@89|=SlXoOCciKi8iAcQN}Om} z(`3Qc2g|kDmbP1$UbFNHWvRQzvDA;M;UPYv87}=z`@1rDiQ@?7H>O+KEH=EPS8w@R zTH0Da6@|d+6C+yTA^hz@}9-59!c1m{s+cwmbZv)$cN| zxb#|8GmbpiYaOKsjj)9KvYTU$A?4{FmS!c6$lYmauRvVLZW_hFQRQcY9E`7nH#QPbo&WwBWj0~k&RVaf{%oIQ_#KGfuWK=qo>EZ^UVc8+dL+ULXe z^kUT?)|}Yeo6g2|;%w|x(xfFf0xA{XC*F7> zyzK3=sPc*BTPlWaSg_%}r;07lS>Cez)AF;WUv*S<$8QJMOsjdkrlw|BP3Z4xpX+$8 z^!K0qzVi9sJ|FgnPyW2^uf1P!ymEZ=7j@3M^er)4r*3Q9mh<}Nzy0{P`t29CPua0z z$I2bE>yOrJcRsl@;El>R|McdEZ=QP7`DXsxC*FRv@!C6q@5aBI_U?b){p{~k_wCs? n@x5;U81#>|{}|Wg|A9}7_0VUB{0eQ)Ir%qL#9(zkzEC@ofuqn##AJ*0ae@mf3*AcEtxRqQu3!eY~-~VSZ&^hY% z&dyQgHTtr|ID=g+=p8K$_HJ-m8&Vrebr!+3LfDflT-l_r4H3#}%8#*=B3t>9>ydM{ z;yj~@6<4$J?L}d=;xwa1-m7Nw#ahvTc;81X)>SUHHn4a06otD+#j>|MvA4r5ne&Z; zxuYes&?rc4>%@Xi;X<95->Gv{oj9daL8m$~uajC}y=&O>-BZsUNU+Am80*B2M!%n` z6Ri5phC1;bLtKQbqL;-aNKrX#ds?1zWsUik=OSekgtQY%%l;Ntq>;O;?_?R) zbCywU{=xoEiX+VE8mwjY=u5HDFSWL>HKdD9!_rS&ZB=Z}u38bXtUQeU>0iZ}YYhZr zM+AFzmuyfKXI7@5^Y&o|M@OSQ%iu5=?b!xLH>2w*(`!Y8g zsv0ZpuN$^l;Z=~t49!~i;ZC_sVAKCq_Gx*It82raBz5&e>Rm&H;@YQ+>)I6Wjjd4r z`Oj<*si?4hwPLSITV?T>s@OgX+Tv0SOt;JRii&Ojkf}qruBwre%49j& z0+4)CapvX}!5m**@fg#8P#ELboMKDdoU$uSsJ@ZJ{`i5CP14A}3hWC%D7Qgi;UDd> zPH-LmVV9uVnzO3LtdX|}Wyj0+mLD7L?}_GEGN%A?L_icD@-VjN^N-J%BB^5>+!<)MB)T`>VWObYU_lq`dUJn!&bLN(Zy40`l2Xw8E+e^8uhN?F5pBbt)+x zmf`oCVlXtvz8X2-+HAeO6su zr#-{m&pLV;tZ{t|4ilVA18Ur$5wh*QKtp{D&02+;23x6BYlL*Ybn!-4MZY~2>ANc)Pw!^#VtHIG zJ!pAcD@BHAgyO@(L%02&@DLUEHu_6KN}g3~LR#^j7uTwvJoC9byZ(IrvF5*3hs|0V zZFbI|+qs1)qEM|3)poCRuR6Ri%-jko4|}4qa6CHb+M#QQ``cFvr{NM)RzAJupn&qp?#McaE;v0rw%m~D6K+Gl{6Yx(5l~0OrlQI3Vns0f{HzaMtqu5CoVKh z=un4cqA$|=8dO4AVmTJHnv@7(XN16RH`aGq$Bh$=7Tv#+E%)QMlD`~t{} zjS;BBsADtUs}mbjd@045TBBy^qdOY~W)Tw0AqBYNg*5-J)>!j*ofoS7S1RkoRG|?K z?twm*i9LlR_lRo9413G=f+M1@U`)x0;E<3W(t(HtKTBN_%a51s)g0m&Ij*+>G313u z5V|53%nRsA8tX>Brc?w&S_t(_`OjYSyA!FJ<7qWizWjLcO~2HFDk!>0$gvB8=O@k; z9qsi9WC$_yH9Lit8G?4124kGWa{X?RH3hu&Gy~8qBXu*>jcfqYb*~hsr_N@V)oAvX z*W^;C%WBw6zk6i;*~IcU4}YI_n0^1xq;Z8Kt%)6tjxLaOIzxo16ZQDo61y082^R2I zcIux+>a>~+!6Jp)j@6b}CnVHC%A$uE-aml<~0x9bDTCyBdXJ zNN(+I?RX|Fl_LQuDslT0<*(mXr5!%dAqfoU%(umv)qtnlo@q<0P9b;*Lh@OL2Dc1K zg8M!WAA9B0ke08qZRCWIHF+h0w+wc8{k9;_HJ;Z z1p9j_dWR0Ud=KF5-4Pcf*i+%O1huCc-Fgf!BsD!4iUZK>qTm2DdzgUn(%T~h$ZvXk zlmG!vZ`TP9K(ogP7y!M!rQkq$fJ_%tj8gBNLO&W3TU=+OO{X^==+JD0Z*48o*vkyf zhxqPLx=9YOp1`7Z<*gMdWd>o{3Zj!`#c`k$1<0~$>qPd!)*|%{cZRSmKqeCt?!vh4 z76~!0-`2kbu4GR}|J3MTvJtg%oRq@>hVtP=02%oPAY(QHQ3%YYz#0SyO=~Dn37o|s z08v)3I}vD!fD-}G7GV2(Y6Xrr_z6>}gYbqr2w|v$5C-UkFu)~*0U{yHnSvQI=ys@k zcPI!06`Nb{4uKEtF-PoAizE|ERg$mYI#-F?HY_X8o~&Ji(e`7Iy^1hE(W0qK;U z;)vOY5Qt?v93ajMM?_z}=&P&j)E=F%D_OYmmi-H4&Wf=ggp(apCYGM^gPz=pVp6ET zCqUB9YHtcqp?8#?0uJ=pfqh>esKxqCuK_Cq_Tshi|;`+H6@_Q)Pg6^6mNF%QyfhRM+L6Dx?87HuioAxdsFCg6jM=O9;wx&-@`oz#jqz|Spkts%lh!$v_ z0!JtKL9J!t6hO~!u*P-awOHc}278_XBxj#w0R6dHPvIqTsNp4XFn$B^%foLH;uC*2 z-sKhL@8gR1Y2AU|g#sGecEg?cNzXC^6NMxzT({E{E!{FDOX1|XY)Up?BadvFCbcpZ zO1(`3q)5{U=`loNhkDp)Dv-3MEb}F&DIcExX68gLt!ae$dny)=@<(`9z@3Kp0p>cV zX&yY;W|z|>!86Ld!--r~JQuV0?u!&Nw$!xdvy0nU zpUQhsQ4U=3*$JEzS2OT2<})-8F_^ED;9zP}HcZWda4Hwn94#{IcXHzEGYB zRCC^N4_|Nsy$y#?u`gEMgVUtuD7lBuN}TNQ+ipVAnycl&9gRAtGIBs=GvI*v1HnWp z1#m!NL|ULQA}vsuLRz9iTK%pztr>g;VLPsaw7OmO>t+*a6{3Q9{}-|fj6I#^8o_;6*H%;h3s?S?rfHdbl3SAHCzFlsy%_cUgp;$;sGPRP@$w zNHnnTRC*|l*df&(XYbPf{z|7QLJ9**(wY*?mv=@-Y#B2#?>8`8jSd!nQz`xz)kOD5 zrDBczCSPj>0o#N1!DUw?TLq%I_tjW=YQ@UlPoq9sb>=S0Gc}?{zd63Dqeor!Fj~-9 zcoT72g!@%J?8!8(Fhk5-u*LJG=|%F*-s1Vhw92flTH@(wDuMfgC&9EDZZ}U$Qz_g@ zo(PkTyXvaPSDpa$WQ4*SKd&K$l)WN%^58wS~6!tZqUfDLCl=P zeHHK_5BjhgzU4-hd3kZBD@9ngQ0Zn^0KGH$3j0cLThEVDY`WJCT@Om}#W%$HVY@`3 zR{TfruH}hu7@TZ@FzCz;zVKG`28zwMYzJ4!6}`9jB@wr}qWACv9mW)D(?6CTqzDp_ zYsKY8h#MsOx>mK6$HydvPRyGycFee3$m}%2!4|YA`@Xzx&#S#_#aDV~FqPnbnW+Wa z-t5wS2;^oTV#3a-rYdd3mK%e{^NdqU)v&5O6Fn_>(0sIo+h68$UCj2Dlf{ zYmK~3)%daJYtx_M)u>Io;k>Rgy$$CND$_hqjV5Km~EOQNv4OTiKdRyIFsHyxKw-8^k*s7azkbA6X;7S zdJ23cNG%~HKz@iYtDHv>r*V3&-^KdfG0O71Ri&>)UX8q6!2Eng-YT%C1W$$1Op6N5 zEOw&e6OiGOA)K@`g-h|K7o^svbSVatItq1#p^1|Q$>XT0wn4J3k%bHLszw$k$jci` zPg~9{M?$0J_QR4E9{(U`pVLwsbf_CDhMJmdTQaRdp(_1_~oj)9wbN!Q&;H{7Pa<^%=V(&eg& zm1&3d*v1VX$?`no#$0!s#+x;ja17=)flLPou|2VsBecq!8%-0<`s|U|5S426N8eG8 z%WcZ(;5o6|<2jc$nmUb! z&yUMO)p_PHk%-&+=bMs2@;!i9&nmfj1nSB^q~^B z8;dhVAx4T{0Y}h+Go5d=ePv6;rm^}?63XS+uum_iaEO4Jb={qqBUjxSU5yU!(;uxw zOG>`dA1(Rn9ktnud1bjh-mDrpF^3i~+cl>+d^Gi!*eX-5E6F|M|-CkKoF2j#iGp$)^OCE_l|`%={TMvmIt;JZ9$atQ2ztE5#1J zQv8#z6#w{lD@Ci|Dsh<>h(+{~{_QG3`w@-&4mIc(d4ur(ge~hD!Ir}s?_X&;hWs~H znmgM6u+nt;&nu1g4g^~gXlFp!yk_%aemIYU9gK0BQlt>@5c5^PW{U)H%LM4RNQH`2b6i=C?P&4d7@X(u z{~{YKhhBGM2k{vrr5MU_Fgv1HhS02>;TdB5(GVcu2KySf5^&n(s!2IDrvmsc8E0DaywL-Zn+&UJ#V=kEzPssj+D%O zel)AxmOEN=gyptcde?GWBRPk&=Y%nyw@Oc?`uS=vj|fq^)xuRDDGq17HDf}l`6~1s zI+Ec#v}}QYj9dht$mYN|6TUDu6~2k^MX)jOJqw?XJpPBX7^ZjbPsbeg6^9vFyaNb)1K}P-=1!QlA)!)X5`}`a}^@UjzJUfIk5Ka^wt`&mm?mVxB?F zQp7~dhXJ`jLfNcQC|fWJWwRut<{^IOY{U;4hWMGo5IIXPJSJ!lc!RRPg0Ff zQjJrQ+6D22a}ht{8N?SpgZL415g&t6k@ECLOXgB2%pYmm<3hCFsS;QZoNEO(l78J< zgI`>4VE)%w>fhXnDdma8B*tOa7L`4Mty^C!!ap`QDXk_aG24Z$+joqu^NX;>IPe-N zq;+(9q<*0logS$@Q54cBXGt2@B>@>UE*vk8QYGd6E6j*t1IcX@Obh}w(>9QDvdil|e+l~ESm#-lS(?M+UF#s0Q9`CIhZl=|MSjko zSkWo9o7m*$~2 z`RhEFv6T#c?_oA(9fbE4<|vq-WUYH|0UNT;a}YDB>dzMMIsCmKCFLjwi&vRyUDA79*x|L%)Z4IaXWiE4v9H&>Iy=&#HvN)d9A8A;?zG2HEOy%)-{3t*#X?8N)fVvA1(X*}DutDD6eiC=kMqf?b({8S~2pQ>aVO5>IrN0i1D8`i+X!u(hy%^@sI@LU;7 zG46ZQ8TRU02`i+^e1)C$d%Q{}0y~0(9mT=s+zS>u+6I5m{04TtVRtyKTS<+CQd0(| zs9gYq{V9mqQyjCv>SI7s7*P5MmYPpF6Kp|DFoKw12$-PxeQ@4ncn2h#-(lwjj|1E; zA1gvue`G4?kCd!@bNSYcsguF9o_qpKYw8nVT2D^KteOwDisj=sivVzn#)P6Vv{k~6 zTIrqB^tjYV(Noh#q(cEjSZMk9QZxp(dN>1^IALr$4xM0slak*cwIA^;vV2N?l#~6~ zdLer^p4|YTew<*!w!)~gZ%e^hMqmdMQJg}WuS96Rlv-Uv6#MojhjFserH}Glx_2mq zD+(^Zx*Z_Th9DBpDM*|ujRhjZKxHFv#Pj9v;isD8rv>3Bg76ap{FvY3$hmKAt>Eh* z0GBAO<3sYBb!jH8hv+Rv1t=WnXHWSd?qrWFO&o7b^_S`=7}vawUEi^dy6S(cj zOA|V(pk>5~CpdVovJ>TQoEHkhR@AVtqGtyDe|6*ASbGKt^tf-YZQpj zU-NwIwjJE~ys^}mdRTqLS?c2wFwD{Pd&~@$9~&!+bf5EfT2;u3ish3$o%bw8%8$0z@p8OIm5xGuWXiL#^iC^5@b6I z`RfMhT(G4VVVe@d4O6b;z`)kARYc1XvW+=&fyXS?Q^9*WG+$todJ6peKbv3@k>o`SEW0 zsa&d(#~Q=T9sgt9I;xvDi8m(Lkj0Jv+n(M7i=_tPU>NlNKe9+=W8h}bHWsDYJ`M>N zMAqkI+1^kfqI_>!4V^>NX&W83(GjkGjk2;{+2q80b@NX)SX8>$-yl7Rjl?g5wmAB8 zcGcIgg$|ed8MfrQM+BCzuCSSE8`sZZjsrz@%@`vr2((?cc`UY*`re>E1AupBO zLI>1+InV277@Nyg5+Df^c0L-puUcOwW*T9t)z@e;LWg5Ax*`O2Vg>XCD+K7rV2db? zN0h~+mZB_R5p68kzomEvi{<@Qd?+6OK2+-CdDgO2C74q!OGUN08`46X(h@zrd0HG# zi@7IF?P<^LO3{)fDp+0zS|UIdBM1}#}Ylb|!wld&*i5!S+FFaT>4 z#bi<={~}Q1r!V5hP(M?En*A^}dpMenTFS`WElf}}tYsohm*=)&aaWTTkb3R8Wjz_Ta>h2Nt*uZR-?3>2&@Q) z%8NJ%)bzZcpujW5-yD#6)bBv)+F#xF9w=#z)aR7N_moUXv46dus!FjRfs0lb>tNd& zDu*;S>pHBq+y08a`0kLts$2vCpr1htA2Q~Fr3xAI;M6h9C=#kXzl4Hz$PzeGPnN)* z160&aCe293<$Uizl+!tA^jBDgIb} z=7f8fT2Se_8H!%)EDb=qVn6b3*SvozsCn4=H%<|qau$HAsg@61_DYW2*wJ^!1 z+xR=BHW}DSYf_=gEq6Okb)Ym=Q*NaIRTPB1)S47b2f%_r`bH%kP{v!6aNGpjeGHcs zk}u5`Kh{)NbZ5h@j%|jW@cew(QQ56d91450>PvtMi!YeYKWnXScYz0> zix_MLFx&tzKTaUdBv~?xXj<<1F^P@mz_dYNuiXWvWQwl-wF#!mio;eiM|<3oX-0z* zS!E;jg0-7B^RX+|fq zwVTc3XLTCU5V)rB@=Khp>>1IpD@I7Ixl#$m%j5>AO$s_a@;?Cc)JuVWC`}ymdred> zPW(whTdx2vI6hTEwJMqYT0#NV9%Z+|K1(sF>qotW^=eUX*OYK}Yz?Y`rJcDe8x5t# zTwtt&CDQ`TCs2!FBiOv-{2s=;^vWb|B_NgOEGcQ?JwnV)-+OMIoDF_~_P0RB}$@8F9*CLrGX@qJWC3#j;5=9O* zVjJ@2HbbKAC{0&ZzdAs~bOD8|&D-Jx5>D&vvTw^jPJ@9Iy4m;2mRuI@cT;y_(H(`> zk&`a2w01m@Y>jK9R2U*uw@zkDSG$MvvtO8LhWqbg!97~BUR6*&dSB-22FL3L+oxT> zltAyOR*J}RwP?*Me0iRp!`Z>cyG4~MF*dwP>YPoNXj~y%CrD9b4H~|>PE0gfCnQaT zQ8x$M_2VY&Hzn1IW=O3xKg^FRM!xZu%skW^yM1ihXWVSFDAr|aDTiOCdGeQ4kp9IZ zm@G<*Tk`uNSDWsOu&i5k;zp2Go%lMwiq^4Ro%j(Bron`N$CetaMmq8?&_L^!j-v3) z@utm7)Y*Wne;Ij*1Gu)?r$q7i2UY${BN-bo3pZ{}H4Z{W5} zf4(}XEt$^&DWUomSSo~MOXhS!Q7L58K9ErZ@fN`r*AC~}Fzzh{O_cUbFe0E8JW0w5 z)0ApMs*-|ZZCn*H^}$&#dZ%(?2n`@+3?=U7=#mZAo-Z4^O*TEaAWB`6dd|kSrua9v z>#3d0wIjs}D&A>pvH}M#O5lgxuM4D4*2t4O$hSJUdZ^{zzT!++UP?n0n}znY zgTeR|W2P%->$E{grJV<~9{+Agh)a+@k-nCS)eZRszEO~{ewOU^qGN^m#8CWa#Y)si|#fO6+p^=J0ek5oe zRBoJ*b}MU^hS5@?(8~sla<|EMk@$7bus`ZX7yNNguRrxs+P&aOYT1NAhQa?FdUU93 zm~M3HGaa&Zqp970c(z3jr4k_M`$+M3BZ+UJG_o&=9ZzC2I$epAT({>9|#t7 zcx{PDK7r)JFqc(Kk)SrldO-KX(pH@?KVnNvz!tCKag*8{P8n1S;$SzURRZb-;ht!U z)y@}PuRX{<_JA3uIF79cH%@UJUl09EisS3`P|&0}j;v>|FW?bKrikO~+1LfSV^cKZ z*XvVS#T{9nj@huFB~}ga(ghJxAB~vb&_40k*os0}ZZ5 zemIfECMqM=5+mlhTo@Z1u|GBN0s8d<4ba64S?pkYw((owp0p7c+gnUU816_FtB1s% z#i`&Yu&qf(!StvZR~;uARZimmso+jo#yy8mU8$r4$&{DlWP2%05dwcDDpn2@`_9oycHy*xfZ zyU7<6%ePpJREA1e8lEAjmTMhZMz`x&g8XNXGGb5&waS=HxNV`z_!Av%o3}y2_O1ych69`IYknx#mVA|Gh1ngRI1^ zk=1!$*5y(1Y=9=LN^QxCGbxK@1|Waf94b>^po+K_5ho;V$$I-3dlXU%*{>b^t>OyT zhKz#zY?zbN^W&;4CbVVcuy<29+r0Cfvq_Phd9BckLJg!Yj^+bn0|PsV^1!5Vm@RWg z1GmT0$WFoXLL=D~IN-TO9Pl-PKyYXn$@b@M1FjJlEFEy8blPpcB~zd)Yp)wbLMGvN z%RJ0iYV4^s@@W~@iPQx22z*#=;rl}7D~YFL(}ogf84zO0A}l&t&N0-He8=2cgGNVqE)HZXjA!E^v67q)6vvZL2#+S7HxWL68?OG!Bk?Pw8ZobQYz3ePv?U_FPG(&K_b1DKi+o<~WJ{Ld2EZ{VD_UZD%6!Oa>SF#} z>E=Vu5p3w_v8G4N)d~+yuw~{OSN!Z3aHAVH8&<6uE&oI9dc2)H@F&-Tc5+`-ld=RS z8#X7?FTqJ}v6Ce(;YW`PvB9G~I;pcz1KjD*b~hG|Uy(W3ct?;Xjj-XO0nr@aWSFx2 zJ#uO>3-+=u?rgSd(U`oKv||f<474Q%H{=^r&sj?< z`g>GkooMj`atpQV@`JMTM^|Kmy!l6$K0)5_qibM-{MwJMDGBo0AD!&Q#W+*Z$R`_N z2fNrb7T$VzXD{Y0uEjAawbO+IOB!h z{|L;g5=NTYv4wxcz@~AAEU01ij;qefMQkZzWa%-S8sLucxL}votXh+UtNfaH`5$Lp znenpYEN&2C7!KU#Gw$cx!HgKdVMfsMs3vwKsP$|3&MMysk49(|^Nq!sz0hZ|OzdU! zL_q)5$5;ktl~gA7A+0U#3lsv+PzJ7NnHZ6*-=G#YM!^XaHbyt?(ZiG3vH7%#=Eu6J z4P`ae;ZRf_V|(Z0^puPJ(-s2X>elQ+D-yKO-XX+f!lXfp_*57WpGX4fTY8969<`kB zZn+tOl=GoDu_)bl=(O@bVsB+Jq+1wDLlffkyiWp}+t%L?O`_|qF!|4CT!+Hsk~0A* zh@>6AI-_7Z+}lFNv}sa=iTp1Ka#1Tq5O}`T){L}NY#4g>kkaG{t=OPe5KgF__I(Yj z_ieH7r7u-O^=f&&Sh2gA`_UMBzG7`!v6zQ={!L!Wzmb18@b4S+4VDeNTUO*{UkR-I zPoHsTu|qBWxa{{krr#i z){MD@7=#yEIwAXMWZdGL*pg+8;AIicR?*$A;+q=S&-PbwN%zMez`(H7rK@sAa8^dc zL#KHVNq=yT8<|so7S|v@tnS24oSrx~Zv+`Ja30vq_+W=wF+R}4_+SVO4(6EpO9`gI zuyYwHO@8kow$5BS%5S;ZSo8ubHGB5v*vQ-vXl0J!O_fdf!I={jGCrF>G0*e2yV;iB z&DOJ7Hr;}Wd62M`eBi>1s3&9$@sQc`%iV5hesJ0v^I&{nyB5H&`*beqO*g5e&drT1 zvbinB6N_@8_D^I#O59#U?!Av2bxc~MSZ6>N0P74^r=+@Fu`#m$dshpceB*nVofH6V zLM%HU!}nyfe!`yYrY(;4WY4OK>olX$z%W=dNiATL&hZW#Tv&uUX_FRcoc{D!Ow>(= zw9mF@9q51)nwYXUlKYZM{>%4C+i~etZyS`Lw~cPsw6$}Zrhw!Z`?+wiGzJTE zJ{nUL6QKVX_H2x`XKf18?_=qo*x)P8$60t|k(heUud7XQ_SuJP6t!JNWO+=;X4M~$ z!KHp=BiRUc=C;I2ShrLf>d%&y9R9xE!(QAA>$==e2wuSgcg|?K{9$9eD|(O?a8E@q zDr-9c(Fy|1_AFAB^@0jD!SXn5%_@48hCT^In6Cr&c+Xe1PdqL077aC!M1w#>=za$7 z%P6xPyVJ0Yxl^U_@|!Kl_(&ta(t_lT2sWifo#;yOFLyhgK~d|6?@V361Rj+VTsvCI zdEc$TWfT8$b0}rRoen=7Tu8hdhYPoU9pbR%CD|&Y1vf31hOy)pIf^isTDp%b)Vj0B z&nYs{&uC~n+_lT1$4W>DE~$awh;!a85Pfm6)$Mw{g?#oDv8_z%M1(B4N}n2=aa%PL>PcUnOLisSUey%E-N|V=Ht}Ke8@0_6poPqU**L*v6iP zxD9Hm;LTo0N9|Ml%CwSvAg0C9T$(e+{TpjD8Q~DW2 zkM+>AJ)aMDC%C>45>Lsoz|cL4U-XTkqrphNqkf3gSS`WT$Zj55!fsLw9npJX z2D;bfs*RFQoT&618411mklMTLk&VvH zdf4dj0+&t%vPS~yY?o`XPF{7QnQi26wkmsExp#$wFuv8}YrZ8gqvjHsjfes{kjdSU zC}mbX5yfJ0=M|T;gh0N+=YT1{kTFk!`!7|-lv&80fb7#GV-{^OAj zZlFVlR0G(#1Hm4+{@LI_Oiv;`s4Sp^jbvLRk(KnkUBw>IV)Zm=#O{Vxaj6Cru_D@C zC(h%?MTPt;@$Y6xD_L~o zy!q+O^iE-jx%XIVA%8s!r=qtcTinu4_GO*&R$pFy+RYw_EY55RX$p!xsO}}vRozG=PqA$GP_3%eDV#;}-M{P2K`z5W`o%MG?wc8wq7`M!(n+ z8lEAo@fDyJzMYmZv$Iz@Wi6s#1r?YYzgeK%f$UDZdMh(^8ta4 z-tC%Cx083ZgtB16cw=RmI3E|u|0{f_D6l@e?d|yE3U(Y4;0(G2{^GkVhV4PeWh3ADW{A zg|W}!vwjZb_2u6MP~teoH|m1aBCuf}!gUbWtHD*srUdWXb4e|D7pBkcEI8bi;~nNF}hA;3Tb&VU>VMofEi zHI*n`Rq^=7T%-I!i0gVQ*>W_XaW8>hicDFThq0MqY}{<@sBu?vK}%g^jHhaYExJFZ8d|jefW5sW$Q-j$(#BM~bubF!oRwF|{W!r*JDPfEnvicg{$* z?L=W8j+roX7v%|sSdO5hyT*|gm7PD~bSu8hlTNp5bCi7Y2sf9gh?fr^alIcee{n=v z2PfxC@nm3Z&L|>+TO(u?(a63n$kn0XOSGYQHo#f8{5@+@@W79wCS@dR^WiwE z$~-6+K^6|Z9%=_r(FpZdE542($W$GGAvc`k#s)%D$etf6Lm$Ff6bSS$fvTuUY$J8Ur z6AGOJPblcIci}rE7)ZB82{f~HU~0`)WlSRp;3c*Eskk*`IzihbVnIaQw*s?^3Xw`V zpwI75DC8)?Q7*o1fvS$*NishJ+onJVe*6ZPeehz$q`Ogvzac{ysH#ZsL}Px-Nrtkx z4TR008-}tWFsktQurGwLQV}OV`b{3BLq#?ijGAu^2#)_Z3Q~L960wiCm0Z*vcEot4 zgRar(x#Df0=b9eIEvwC8czni+Xk2$*sw$Cwak~b`$X|c$Y8N3N{8|CsKr`1>acWQb zsa=VXWZdlC5cYUDD+^Ya@C}9)d{rYq@C_|x6jDjCd@qfMJD|tL$h5B!4$bz;cY42iZ$IU%fX2s;=StfcB|@~O@3`LY?*K)(4kC+?dc z@YxTgM9}C?BiCW|IFE(0PP_o|lCM5^F6BV~;C^c>4m|T4hVrL6?0CEBdQ}C(b#k|_fFja1rfIRIq+N{x zH$QqQs~ir*XaUs7AMl49x#5U%peKEGSKtuD9M_sLwc2ISs(pJ`mI9&CyglimoV6kj zjXmj{#xa_+RiL#S$LhqMuzKNXz<^OybSg+6LFwNfQ)r&fAqL?+u%1S)P3cQFpr14P zgKOXShn2&+_#lg=!)5Ebigc1Wtm|G*=NiuyH*v2o=i1)KN!_VYt)Nw0wG>(ml`S#e zxFOfL21k6f<*Ddv?`edhuAe>L2v$tQg$S;Rr!_%{se{^p2m$&EJfsqD^mIcyxOfD# z?_B4;1G6s^4|oOMmbBqvNvzH`JS+)ufNvMmk5&Dohzbd?yK4uDKGCp+&Kc2;K4`~$ zEwu)l(>^$7jJ`(t5kX3U=AIrOY-iBG5Cx^K9eYS4C?{KJQ8xSWXw_{FHFrx|4VMDk zE@NwXXuV5nCHJp)ZHtpL>-ofQh?9FLK5Hx4Q15!PmE5`B^>Lh>SnoR3Qju10N2cD@ zvXvZJuW&S6z|hD#HFz}%lObw$5oQ5vKppK^?Gpi}F{=Ka)1l5Tx9ibp`Ljb!JQ4f4 zLaBpXrwSZ2x>W2PRSsJf1kkEJkKL#SvwfbX?*?R>M=I`D;F(H`Rk?~SRI!|3_H&06 zd1AIMwai z^`Lz5%Zz;3C1(^)lSayyG1uvk>%73eR+McZJRoO$>3ZY=`Hx?^3LYRzkRSchHAL}s`qH)Q0lEE`w5@4@6)=*vHKDE- z)pGckZY(gKR-#S3!J{Cvu`!*RoXDUdXSyfjHV?caF4GZhl04r_k)JjZ)YcV zurVL7^O`*9mB=(kB_BTJdP65qIjC^=FltJceBh+(leY5H2iX7uIp82)m~j_ASu&!B zT}@9EUNMbba8aAQra!l9*C94SiJgDm!w<@{P=jnfMK}mK2t?DPeEU*z*q!Yn`{Di2 zJiqusiv5EWJV~`D3)B6JQ@jC^4(2_01Iq#)U!C}Rt zUFjxgC7Pv$?aNiJfyuLGmYv#-LqK3L87O}l7YpE=6@Xuje4Pwrq~$#7kWlHlgo807 z9${$BV_Z15k)~8aT7TNxmdK4KRL57ELdA=)-t!bk z-+leEChkok%EfV?tNG($FzzW=R3x~jjWMsrF)Z{8%0psF{2{RiN06p;fBjfR{Lo@7 z)IvWD0gQd{>Vrol1=C|8IqZvf*^&41ZYU}bDMPst(6dwr6D%D}u)0|?7vp^QAscMJ ztgr#I!SKrp127w`zO1nR!j|Qn6{cU@n#^6bifb|+L_GDoh zY+PS&F`}@9^ZfV3x$XU7{xi^vCv3g8xS?Jfi}Xawk>{m{((vZm!sr3@r|Z9}udhE0 zsqTuSzt@VqR^+u(-cQ^+`wCE9jQ5f(kcY2*6rkjI9l6Lb)>|iz;wd@!?&=`a>&JWR zxp1<*_OWnAcnd{8zb=)YpzVByUEvusq3jjINnA zHaO;c$y3{4d*#yx$3hfb?1j->Mq9!BK%F?>I|e;k=Y^)tWXO(?J5?-A=g*2F?@ab}@ccp;oh`u*}pP#_m__}$P|$?M0@mMHO<#s(!# zQ=<3wMJtr}W^L(#w)8|a0ifsvukDp~UPmuP_wlmuMtfg$wx2i9X1fWYOs``pl9zey zOA%V;rGbhY=(R6IXtCF!3>RzF=$PlVE8`q2jnPNOVCi{i?R@lQKKhcl(+>-9h|Y&2y=Q&UDEl;dUl z@7Tv9d!E-Z9T79U_DKj$3AV$Qh;Hc68>RgOvg@(7`|HG|9PBcDi{s$8Ce8*i7NEfh zNV?|f9w_yia$7tD(MS~JFYW`h=FZ-(>cDbO};!KN|ZhY*9 zEQ4y{dl%#TI(%Bc?q#q2MQ^0I(u=ii6&}9BKvj4H$g2~3dFkEHJ_K##yND~+lsdq?pbiZn0!0%9%BdWmm?J1&#bfHk zwbZ8tIQ1uth^`kB<&aN!KuTJXxz@`xFX*71xu#$1)nF_fYrVY6fsLNyXnQ0;o5SsE z(N#1!6rM-mQGf!4kz$1xSnj3@qEnfnbz*n`Y~Jpy5?7@oJi_3Q~3^ z30;9d6WyDmiesf9 z$yk=le*pmi1Itagn$lIb)(bOSTxhe!0d~r(UiSJ;D;z6=IPIVYHFb@sgGMSmZjINz z8a-X(l|qVj>%A&!SK_N)T2O3pYrSa=FwAEo0ca%vfn*dw*TnT+iy*ZmcezT6C`PL= zOF+k#Owhd~uF#P`h`7D5uh`ds1G(L!e0Uc>t;QNk-1$b@Rh;L2r}^HtvcK=zTf=;+ zl2N|cS8{x>Bh?f7_u^MZ`2_M8--=m!>F>pL>SBM`(t}Xq6gP$~-G^hiw3^*h2qN-C zhW>CvdJ2!s7y3Lci$96ko$uQfDwHS{SwpeyJ@4r-pkANvtB;$G-wga_;Wrz<0{rIT zSLnMY7Wy#lkJ74Xp;dFOk4SJm|Gvt9fVH_BqOY*0^$?eGNjTK1T&YFZ=4m zl|0{S{$0brrTFf80&DA9)UqDGSMhtz2QXN8eD>A82gUgW=0S0x&t3{=vCm!xXQ|I# z4riIqUIEAAv%d`IMIWudYki(xD6dk=$13GxmGZGl`BJuyQ zE61-4zf%0x;I|sTl|KHs|8T!uLciww9IvBaZ}{vB5nAkXY(QwE&t0GL9`aVlYewQz>tbgIdlD)jUvaGMZ=s4(8R?W>=HQ(_%4gut0pRJ@4L8sU8 zHG)TcFucn6Blfp-;z8dB7=uH;TCw}eAeyUD9;`{QDJQjZrU{@rq`M#z# zf4?ud=I@6E{eB-`^A92tli8NIAC=omx&ifH`26_U62IUvt1l{PnvytQUo`Pu4W)dA zyn$x+N4o~zZRTJEhoba;p2v03_Dan~$1p@@AkBRImxv{*@jy*yu_tM*9< zP4NYKY9EDAj?ZxnnUDMIxd@H*IZh(>a)*ATtQQ<^AIX*sx=>>=}ooHKxkG|t)Bur2Z6hT zz@1^IA1v7gAITWoLEs;7qTb2B)%^PrW{q40Jqxly-sqyT9|Q!-EAjOC{JW5U7vpRH z0M+gEIhLZapzdmfKJqy%2oZJSmuSIn8Ge`ofo`ru>guL$u0g2O$Hw2buSMbYKF0@$ z*y*#siqLC5M>Rqp`RuPF^hQ%3Hz2gJsgD7$Z-B9F@CLEB5ur^GUhzxZh~EbM-tY!T zp$1U*HjTnQglc{EH?VBf`0N`X8Y68ZoP9p~COEa|{xRO=kuzfsqA@LEEFlv)gW!r}pPDvkp+6=U3lqIQIle@AJMu5_1#4X1r~1uxwt z2wtasBzU#B1a5H}Sl);XO@dd8OAx$H1El&AarB#fEN=5}BmW9K{tWk@Pxvt(gtUu- z{U)gKw$Bk5?nZ=!OAckYA)EV1WXs|;W%KU{s&Du8;833d@tyMp8OcuwF`qp!)Rz(Z zrHPSTMd-Tkz9yK{?59C_mwm;^37lW0&vhT_{Cx}*$07cH7>V}FX!S2X$4yjm+h@Ou z&~=}q5h39c#KF_PaPd57TYYbU#(||b%3t9TJD`ZfLBbxyLBw~0IEY9=-htH9J}wTP z_6;yEuR~*LI0h|Jyp3NYe!?Y;bFLa%Ti&qg z{5ykxXW<(h;J^e53~*oq^}psg)5M;|OVDavvIizm1VT}l?12dsKy83ME2!Q1IE2bG z7(VSK{Fx45@M$y>oY^j3vH?(#6-FQwbt%XS)t4MDADeaEzMVQAWHy1S1G8JHdIjM6 zHH0vK=u-SCuiu+1zU6WrC>#i11{IvZ1yC)Jw260rGylHBzmEcy%CPrXBRGo zi$0(IJjUq)eqQ{1J`!@pOSl8C;As;Yu(_!@?;r#jM!yNN8Dy9^$TA2MW}P6dZM-v8 z{QDlhyP^bk^J=i=f!P>nd0;mFhnBaO#|SXi>NEsk{sKq%ONRdFJ%|=4FHZn>oGUp7^GY&zwH(Pt6MiI*$C)?vJL9 z&z%08bbmaLp8cC>bR4;V1BE(1bNcMx#8by-PM_Kw`29UAxF_|#jwjEXJ8y0@`kQPm zk1iyqBD!F5;d9B>m!lOx$=0>xn?0={*}6V@W&!-k)>oq^PAn`+w!Ri!^ru28+4@Gb zv}oS6Wb5nEGiD}RH%HH&n{0h2x@g)|q-}_vJAFDH@JY6AjGjJo_Ozmzi;;6v^pwd3 z&nH_eqn|6BYo0fks@w^va~39BtD`3_m^SVC{K<>Tq9-nzHn|Ya930%vfU88#m}@R9 zqS%=QW@#GvP}kgoeDYBp1(WAY`*U|m>31P;$TxS#U4v~hldfdl;~*c*G$wmKB?s?OLZkmMbi9$J zFg>1(7i*u8T2zZ7+Y`efO}XINZds}p%pUAH5fc?5KT1}tWXJsWCyFiPo1d_d7`9>v z-sNlSWQ&I2?#ObSkH8-EadQlg^>AgtDKo)!r>#6|yS3+(2IWkwH_pU1R-<|?&Xceixejr$JJYK#>#f7NYlNR)gg6H92wZ%=WOu8t&lNirZg?1V4Cz? z#=O}`E1Zn9!sn6pXCb3-Hry&9WBycWLdN_eX=27~3FntE1nMlO;xAOrG*+;Wbr=&q zuy@CKcNc*TZOuoy%5#eH`8-F+>F)WGoI}dc$9O)bki}C?PPXTLa^`uQP2?0RvTB{J zWZ?z*-8*zt)PXVxOwv((sJ7W%fZfRgJKD-!CCD*4%Jm{_Sbry%L16E;a%aq*Dy3%3 z&Zo~z`pm~?&OCg;yjy0>TPStUn72q8OvlNI@;kgEYEMa3y!?K2)iHTnbXBhWkLaqM z@}_92t46+ao7~f-adVV;piwgw;YD3ienI|~C)Rj=fJKkgpR9YAWK3Ix&(taOSs=ZT zF>SJ>=Vscnz+b1tv$!-oZ>soHlBZPMtW8wQ1^cS{$VR17K5x3*oj0v9Z(?ZPltlBI zH(rpJ+~yPKJSI-nO#@meUx}*PFMFe^*2r=cHCgb$Is)xvwNWgkBS?uXWGtCTkiwyU zI*g;e{qL^43i@`xyYjFZ8XPoh=er}a>9DaIqFwI>|B!{IV}RIRcy}lBs{xhY8tq+8 zHVnC2Y=?5Us9KNo1Re~&J z8FL0x^*ajKE~Eay4N4mh-&et~AR1bD{Jk$z_w7B{pzfdt0-VG4#^&+qpxn7A547>y zD%5{CG5})mT@ZeNxM2hcZTH>doay6Lyac>@0S8QUn_?OjzF~Sp zA5gxz3%ec0VGp|xb^^iPlNjiF?`=HO_~x0=^((GHZnqC~VgA4%_lm| zUnChoc2Xa*N}DI2JwSB2LC(L{#FHZ<*qpG^lARZtM`DG^MdYCi@-x?{L|=LCH9m3b z-owN>9(*<-wZ@V;8)l^HD71zA%#DVE^V7FJhUWs<3lK5GLO6994a3kAT^VW8qO`;UswKs=3Jd5Ci! zOqucvR$H{jhWmhI7J4h5COr4C^aT`t4o^DU{x+Uu8hSUL#17TrNxl3Dp482o@mz-I z?^${=<=cxV<$DfK%C`|uO8+{Zlzugylzt`7(9=<7q~mAmKVZtHTendon-ZmOtekPcAY@QN&)oxQd2iV&yVFC7sly(93(~5t9eWv2}x7R5*{Ot+K zFaGu$%0++sa>eCuAFG`6w+AWz@V75ezV^5GRldZL&IQy}fyd=n{P#k%{?xW*F+VT6 zr4$eNR#=1w%+{IC$8N>h91FgJ!51$+kF#f#@zc-be1GhTgY+d;BbXmkrw5LyqXaVi zh7!o|8%p3#m~dTG-e+?IhqAWN5$;%i>k8*?aA)6! z6UHn5aY(B87|KU(FQMXN3HA;Q&>)b19=-h5CUv3K;h=a&WX3{1NiQ$>T7I{yTG^|vJY3z_}L#-{_ZCZK(9J3JNz(`_h8}dp0*6` z3e1+CxKlD3nK0+wAXK=s33sYR2l~rJM1iEIDbPr6|naT{+9_Pbl9q`!MA+&Q%vB zhwu0ElgH?|{LuTnGVCG+GM8e18T8S90RE8dyOg(>eJ4(;+V_LD*{eVZ?Jp@WGy98h zLzbRPXTvi-w|@dNhGcIdhz{ZgDuyrYF4t1~O_xO+zE0YL6V6zPuKsC;+_}x2KT(OccW2$vNtxE$tqaN75PyLSlF95v^IxMU)WA3OqB`milyq8 z?U0MasZ(X>yL?m`A&8d*wE*IQPrxw?18~6{` znR>alGuYIboOk(3PweY`AXV+b>nG!CIqqK|jM&(Nc#@R*M?9B-`Qps~F8zT>=1iMm zxv0FRrrV?!Q5*F*$8sQ;-+!|7;#q&dIqhZ8B=M|edO4@%KnTx$Udh#8HQ0?XB^)S^ zXgLtXoCi8FDO?4hiMWZNNIh_<=W=&$1FSxC4|P56zU9E^iEB2{V=WKVe*rOM)|R;a1= z;u(Qr?S2(bgGe2YGP#@@Ldow&av{n*sAd`A=fTlX+onz&ThSy?Vf8*$2-dlE0PZPI zti@&|1b*Yn>Xy|V*YsPHxklUxdIT5b`4y~qw`-CJdH_pd0?;|#&0w~$lN+Zf7M+)y zjHdpuf|-~A{_*N55qG5pCmazMo^0xZxNzUN(=BkN%^P;T#kQ%hHlzO4Vl&b#c;o3c z>(;!mX4jgJRY1rf3Y<2f?kZ?Gss>?RTsOib@qrfQTno4vd9o4uj)s=1OKKANG)2GC zq8#PX8z{QkC;9+IuV_(rwx|Z19>)te*t7sI++fq(mTcSXTXfc;X80`FI1!!pw5QXo zYNsWvO(LUVC@#pVppSdwJ~@4oOB5 z+WbQ`&{A*4~>XM36_VnEk=|vglh<_ z6NE^d03V@4Avswy03@038!JG3`nNz#k^laNw_*WePZh)gqWBA<_!zDDZ`Ip?mlNbXl_?pVk@@`yVXg6mnsUm^B4rn zw_b1Cz_i~BSm>&a$p5c90?|W$kLSO=C6UN2dT2N0){B0Cj;77<^_Q3P5<~*(3%%MG z2}u0nP{aK5@Cl*ndRDf0%R>2ew-=WvC)}a9yD6)%E<;)AGB}~3cT~dVi`%_v2ois% zT+<)Uf=be+SssQ7qNULPCScC(m4X$w{Vb0r%pJ ze!V=oKeP~ju74kVIv#B1!SZ-;%LiA-!xihnRq?oMbZ}+7>xp_fzCVica~(anF5XoP z{a1gK3eNt?c-NeRE8<-<4n7g@ntE_~ylW!-5+nl(M6QWNn9gNZPxmR8H+K0>EzUoS{N6V*Pb;TZ-Em6wA1GA%XoS;`F+?={PA_NkDfZG*_ zurQblA(KZC1q^OnGgusY9fI%lWQZP$UR-^691ZbAG zV5|>gGIYT`E6ld8=xds735WT7YnP7s=D$nH)+t}SB=W`nYQAt!K1`%KoH9{ff2qNC zydFNw>GnPufzA{+!bX&5EWyRF`zkWtfT7@aaQ8D|$;|1dxUCOKw!^7S34~DqpfmW} zZCS8=JQml+-Y~+c?fxuDE>9v??{GV7%Yc1icjeb6$>V0oXdCoFt<7J)`i95P5T)Y5 zRo~eYakHNa2Np#pE326CsA1YOwJ^R$R?B+uuXnl$;t+&ee{#_#7yNd$lMmr^^a`>Z z3=7I|PJ7hQ7dI{|;jZSWVP|~sGh1GY-}Y+!md)|oV29=q3@&fmikSFh9gc(~BIQv- zFaGPj@+j;j%Qq9bPeSa4ai8h!pdNS?62J@baQD77zW&9uQ%~O&6|fTb6XTQ3a1@f5 z%x&G&zi2yUtVB!Uf+xPgc5RBWGWJNV{7$#&ysL-6N0Rjoqb=g|+R6x+PQ}qXyuenf zd&ib%ezd*+!i;BsdG^`odOrW=^RLt#t7)lu{e?9fH*MUt@q>+*Hb&H}uetx(k81z% zOmcOX>LD9%J@ZKQ1Jz?UepD;|l~u2+ez97-zghiZ_1D#xt2=D$y>XRozb$*?LNy&Q zK`bg^M6uh^q5=%+=vJP8QIMxFLF@uS_I4@PTa+hWY@0@z#7(mSEqc? zqGYLswL@MCdb>qQ^(40PRIj!uk|#*Z?_Z~^ZBc^M+=0klr&wE*tE3$eU?W8V*q=?D zoW+8iLExnc6SPZINrZ$FJyYu+(4xHK$zl!Gg70ZjUZJ9i^u0LLr!;g)OS4km zD$a^PrCC|17T;dYw@dlFS(&zp?q+^R3PEb_Vd6MAQB?le%)3=I&}|h>+S;u2-qb2w z>!|h3O7Nxzjx2;)+`qD7ZpLI4fpA1n84;hXJc^)Gp3q7-ebQpGnj7Tjwlzc@nBQTg z^Pk}`Q)CTx;Qh$aVx>JypDYe2Y&5IR{4f`$d7_+IwqT-(*{4-((n!CtKw){Y>p~syfj2k^JRW z*Ex!jm-Z9WRTs+1yZ|5o{-uHbbmYx}38`Nk@jtt@1s$XZRh@0N)TI12^#=yG02>hUr^nEsxO_ zc~Z?NRR&bf_Ja=K%$4c#KQ}9%+-#8V-U@T>M6|HXlD&4lyh1N0Z+14w&0B=i2_79~ zoi3N7qHAh9U|7()Ki%z^bv7hTIbY|bQYr(;DMn?cpK=$V z&TR|Z*@!#9_QMSgw!h;|!1mW09@wggqGhB?+XhPOBkmKhVR1;2$itIu#ND*cAp2f z%8Vh>wqXJ!*ysmj(i#O3vb=P@60aGh8GNi4;1uXt-f4U@(j#V~X58Pk#yu7EUr+b!Pw?}YTUh=?# z%Cil0ad&JcdcMX*q^S5(>9<5@5r>LPtq{ zrjKViKk>bOQ_Zl>>z*4{iQRD60uXKu;FSEDaOBWMTw}-4lWXD@I&8mQ6K)w!e4ntX zW_0&;&y8N%!}if$Hqz^@;aD_e*2k|oW_MA_o^>=uDn-vW$Zx;uAr7?u>(m;R2d*{9 z|M#j&DyJnYL#{EoDIVq-PQ1{GCh$I{b2R+})4pLEKN;Y!BM(y5rzVqoQyi-!`@WrV zwK)&du|0iDH1|&MD5k^f5&k-yuA?Is*V1Pobw15=Y`9PmOcnEtelQdaU72z7i5GUI z9&*j$FRoD{mnDdvutwY69=2=4sXEtK+@B4CT^yMGF?Y?8ZPjyKxgv?>pY{++l6puj zy$gJF1%TlRV2m7)#&SWu4vMil>{`@0f>>2Z%J~tOw}byWZR*PL8d5cUMtlbPoA77( ztC%RXpQ@TZ16V>{q33+xnP1*eTDD9|=AG47`3A02JejZ?ussHxDG{^@sb6K2z4)zx z5=^E5AvPHF5~19-0AXq~NZ`p~v}q*V8q*z$B{y>2_YwTEZAbd%(}Vj`*Fs<0kX3RH8|k@rZl zE+%TmoHHLdVGI=a2O%|l-(5-DXgfsT^rvb{+hd0V(ji9drHxKG6qc&pZVL<^KOUj9 zRFg4lxj$~ZbDP%i@PS+G=XJL6H!H(%)?|EhCCu%EjYobQ;KeB^Vcyj#&w_^raP?{M zf0|(#2wCMp)+ou_!dx;o4Pfdi!*Z8=A8U-2YrUk?!zE!1VAbYLCIZNW1{>(nCo90aF`QDI-@)| z%=HzVc&5bziey01$I=sNV_0Ks&eXs|o@DS(^N6sOt+}{o}$s%Ho~=z`7#1ChUzd_@#aMtv+}rhm_xX3eTx{Cg3?2 z&m=r&;Tex-0iLALU4>^0C_)vUbMRe{C#iP-fG3@*IEv?ROQhqZpVI%CVHieBC5>$F zXAo>nf-h-o&&Fm!uHx$agYZ5>o)9{>)#_s#$5}aON^;c)IdcE!=c;BXU|l8S>R~u< zA9wXICis+ys0S@i;58>}sc*pKRuaMZy0Sso9eYYD3unmT($wbX^Cg94sFq~)0n36oP zI&vxu<&uZ@PPRdQ?8LcPTO-UFkxP0P%ti>;?jrp}PHVK>pcS*0w4ZCE29I_tlUf`* zyDLB0rV%t7zNtO}gJAIR9!9p%=TJrhBGzW~V^CVAy!7?v?ptPUU9$C0uen}(=e4{o z<6pb~)v{MMzdZTnr(b3-hyA|%mCG-G_3A%=|ME*qU&?!FbIJMR-)$~FfBZPiyA0Hm z=6nctj2~jAuG&dPSd!qq(*?alRXL_dD)}~U4mw~%eGcvR(*%_}%d}%Zmut>1*+@Rk zB9j(B2J@t+ZS|N9s-K5GC?`jr_blQ?`op&RVTZ_1Fsa?&wbfU&z#H;)r|fi_vaF-M z8>7+Th18ljr(hW~JK zACCR}W6>K&yG@;Kr}p}dO#L{u=~(on#*Aap(_IFxJ9o>++llsmSVabXosJhnmG)I) z29(Jkx0qtB6Znx%;Q3(w0whlshdlkP-NZ{cu1M_esr4NNhUf>AKhFQ zk(b{>hplGTLKPBAF@Irx)*<30+?vi`Fumr85fiWmsj#-`G6Mg0L<><9`}U0|rB;$- zY&Rvw1Ka9m`J0=j+17~~U>7 z#MAviHNL%;&*toPJ``)?RZg)q2Bh0MP7?;L%gTN@XEJmKbei=58=iXJ*1RvACH%E} zv)Nz&*UrtqocMwcMx6Lk{GR!z?Zecj8FOdPQknzQqaDiS0AAnsYl%4YP>Dmr$*ZRy z6qEk=+AJcG$|nId?>h_V-d&43g+`qACfg`p%KF2VR&YMPRwyg<%1&GqhatF?H{4HS z5q=34gT4`_;Qo&np;w+~m4m*63QWdMGW-dUA?ELlW4E2bXQK6S3Nuv>I_r%*i^y~M zBrAQx^TK8JRyXS*7s%86lTG|^bF<0iACX4!a zuR;CrQc9fm{oi6%Z}xw1tn#wI!`4puel^d&M#~N}$~kb1$g{&pIe}lcCQHy+WdTkF zq&De)D(B%jYarn&Q~e#g+9|JyVlviDQ^Dv4Y|W96Rbf?vLDmoavgp(iMyZegocbL3 zjbFrS)di5DM5Tj2&*pD=RI{A86^UV%zUuS(#Qtf)=CG8rC(h^(pE!fx<1Sa)b-?xI z>iUWslG(iO^oj3tY_Mm<4IQ3_i5}vuE&4I8tHfomwdzN@F3;uNw?hw$nQ0uf6ao#U zJiEG{o3;w4n|H9ggO#@`$VlRw6^_zyWm^T` zkG~(69w~DW2Kum3xnECl>nd`1=k{Gq_%YfBzYIVfLYNGCORXOoVC^;rZ&yS|8&<0w zJy&UgI-RE_5dU3KPrWo(k^LNn?Unu&ju+Z1@fA4G#8;ODTs=Ev490Q2Xx+4W+yd>A zHe~Tt*u)A+o4NQxTCT}IZTaFKsz$fW!SNx9A8)T@X$yb{@6hH%81^cbr;Wwuc7`)3 zM)#nTwfKQT23N~#8L6yK;(@_1u8;k^mh4U&ku4ssoGfXGJXIS^X-*@JJTe4lMBt~a zKX4rdR6uJ2C!!whj&UP}ZbW%mN)JEB$#A7$6)ou8|A1~uRMlVTv{EMk6oygPEeSg= zlOZ3MVtYSJap@fHa3xjCKxr4iZ}(Ws8Nv`#P-0+OhsA!0Qd);4U0~X^$@hQ1k6b4l zaUGRkR-&q*v4@Q2Nt1IPrm9iQmpDT-WXmCAsyp@k2^oaxdgmW`X4!nrdP)CT=P%So zp%(wdz}_8(8c;w7{YMo|%=NzQ{n>M8C=+y!nqZ}N8fAWJXa zMvsdsU*PCH_%E)Lw)bZ!1|2T}qlhiq0hYTQ-QmS|C4C0t(?ChSC&RT(y>-DKxqi_2 zADneCUv3;M2kV^mO3`J)7Wn`I=Um3J`wMesX^ZX~Dkt)*fr>A1_Iw0nG5IgWgsbS#7&~7f8mpFCK2D zde`?EQ+~^6=~{j(5ffys5UTwthNQ1Ip2jhQM5?6O-+Go;^-cMTUlOgS%2)jCZ*3}H zft$B~v1Q&ImU+qgfi3fVLgtmq%=5O)3*9rXRc2nOxDZA~p%7+$y?n*>MC)tiE3Wxl ze}_Vt^?6(7PYIbfDl>nwWnS){d8;z>a>WIMmH~9(aX00`%L2SyBk6N4UVb6f&NMeN z>$S|CeKSvk^gIVzB7gZyos-14L-O)=MjQ5X#Ac52^*@1D&Wc;%rmNTxihQcWB>5-z zB>B91=4{ACQ+L>8{&3drcxA_kop0`ZWk>Cf**i0L-sHbRCqchQc6$GPy7TWoUn2bP zJ1dT0L2)*~O9@%Y4cA#DRXNm|o?xH?At*NLqHyvo$_b#NU}!9d?BqgzBfn99cog=W zNhCx;a`8GY`}wiCI0oc~X=z!0bo!hqr-8Q->MStNf!6+1n_5eQTFVx$dLk)^OSPo* znH43kZ*MX*>YP~Ps5=_)+Pb834RT?7)AtB(FziP+9@eTjP9D;!Xci9h%lyU9+C^o( ztolILTf_neBIAZbfpT_{OR3x zm_9?61|=M}kUT^IG;}--c}co_*3F4AJROpnoO~I2X)=v&)|-5Ev)*)|Mc+7H ziIkh3T>IUNYT)`epMP_7U3uM&x~cU;>PmJ!UGLoW;x6xqe^KAAA-Z8$!@~`W8eVAF z(QvroNJC3Q$KAbm-?uww_mbTQcQ=q*Mf{ws^%-`w^hK^@jANDp^aJS()Q0yl{0V@3 zD7w}etl_i|xGWxnZ*cDKTugc9<3^z~0?azOXE6P83y!$&Shbqf)MT zzot2^1{iX(51{C;unjL?UN&oPAdjt|D&Snb6)TMJ>_;yHwI z4LO7Xa3gdO6&!`v(`zSwIPpU)bkySr2E0_^0X>Ozv;}-ITD-=Bahe2k8OCi+akf^p1)Qbc;H=Lk-z{cr_(Ntk6P4$5y{qGg8w+Koh(^XI7vX zOu>sdX9Z$dvNwFgN3e1bbfS-Rmy?$M+9+x@b8$96t2xzBH7J1j;+DikLXKG=0O%Nw zS*=j0b*nsW;w9HLw?6fgPo*45p$`hb6Y7`72Jn803lqUfg)grf&gEXjx z^NEzWBkBfp2udI(k!CIhcSkTM7xFos(^!!Kj?@~2Cm2hMzpVFjPK(rLvSW{4?zb2? z5H+W5A5gK;R4f%4?Ww7q7SzrYG(Za)z=LdH5jaq=J5>9 z_|^b-k*DZ*XZ7hX zqpo}M_;rHi)eBf6eYP^K-?~mCSfz$oN}I&J%wZD)mSiVr+A91v8QYG+Uu!W{a-yJFmu*)AkFDonAYlTyc&rPx{A__ z6?kKEM}{w;&(%l_QU%@^(w?NW{f$>~Rs}zZt-y%ugs39ljU2xg0ie{a!hI4fwxu>< zw;a{jjHhcsF}^r){6v%OhV7z2-R>yW6fo+{KV1gfm$oBk{%))!04j}y$;d_Ar)PH& z*7x}i(Gw6dBa^YC)eDM6ki4dEVV8VlvLyt!t0KqL#cms!>>3=qB_2s45|d*S45O1{ zyGLXtTP66jg$-fY9LKdRd#_!t9yoT?yUukNGRBUnbA{TaU6ijbc1t4tpoDG6i;viC zS#a--TKD&UTgEw0doVfm-PM4?abRixz_*=b5nVm;6z%B&?Zi{SDo-PLG9K=3nj*Jj zGu=(RU}ya<@Q?7l;Ff=OI|Uc9;q9$&zy5aQo;7+4K7A9IJ#~Z0t79 z;#(1kR}W)TavV{6ZMDYQEsr$)8mXUXPuykq-{s-v)ICHy+XdQOw;7ucXX~Pz_>Y@w zrZA{_T^CSs4y|QamurU4t>dvF+B^Ug8sRBWV-JVViW13y3w3IFVLmy|-j)LlWu5bN zry)CMVpY!+aAcca+%tDpp!4*e@AkO&w14M2{O{fq_Rd}W*UM2wz2p6N-#btEe2MTU z-l@1iJaokczv=*$#k7RQY6~i9V8J|7w5=ysSZkl0r zF_XM0AVm00r&|-Pllqj_Is#?fLuE)lWz0%&S|NtCf}u?T&dABr_JNc7n=Py>ykCqQ z=5Hb26=$wq$L`OOq?(Z@;2t>~d0yZ8% zJOJ)YDEX7*kKG#%4?AofBn}H`JO_2v`mtDW$J$QCoUXX(w%m2i)fsvsKZavRTe`sd zc0}?um+m@-P%AkVB`2fgJC~uq=IQ{~De89+ZN z^pncu0sf}wNJCVh?JBG`i)}LETaOr4ChK6{8Pd8Lq6qYm6v7-i0jwXo$d314rs zv>ZHEv9y_Zpy&}#c1fH0boe9(E`q({KT}@sFIV|rcRB_H%Fp=APy3TUK|PM?61>nf zwOeAWI!;Q-c1t=}T{?c|_!+;G`2TqMjp0kWVT<^NpQ%&I6@D2J)D(7H9iuzA-o;5Z zmmOZZ<8?|G_Yp%m%-@ILnTMx8p1FA5YB^%a$Ma`AOY!^{o~w}lEbf&+bhX}n#9&77 z7x*Ms2SG;+7JMFQaXfdIGL_rHzX|^pWF_AK^WBp53XFeOB4DMvX0Vym2FlAVj<{}0 zUy&9j)vwwz7^NSC`+q@LChY0E>{8k=YdbQb&MaM14xw{8rp4zS@o z@Rz*wk9g^f@2idiq)!5tp-^!0LA8X*Ka$CcKebS`y;~g7op4l=YQy1S0um3z9IQ#s z!QCEmThJ3=r0$}l#jf*nR~}_LK%Ke-&cRWh>ok8LG}s7WOQD4qD3!3nrg z^@t@DzeBA_NBkejIs$!FhJUjDNz^h2wRAn|Z!SGL+Ar69bhLko@#tuSrR3=7phe74 zlYB7pU-C4(3^?eDlE>pE<)9n|)fZ|@VV0=IH1f!p0*{Oa$L~AgsN}Z&IKlFCvQBtN ztjK`JL2EmJbMW6$av1h_9o_wu@y(93PRbZ=qh(miSpbQn4@RqBqYf6}{Zh`t6pkz9 ztm_A`3_Z%9Y#C~a!0#iLa7<96EuhlBDiet+NBcRe4PD|>-P5Rm?y-Xdtk9)jZlzR* znU6WHZxNJk{b9_UYfhN8=S0GMPvo=>U-5O4Tw+#61}8gy(#g*~HV0f|AAhh6V6O9*-y zPsa;AkMm1jH&?22jB9gDE^OgVcjBCWgilA~THn=wv>$+{K53Otl|Cr)=Z#vh9Y z(p-c(@se@~x!+9l15Z=>n`wUJX&(H| zG*@_qMR65;Y|?hJiKlkvLKEzCirjr+_<0Z zjotE~|F+}C6W?uXGRAIR?VoZM!)MsrmF;JC7!ZckbJDowQ)5y%J0Wj_EO*?Plt{0q z=@qh`QExbn+J!u_vj=|^mCbFb%tWS$u zZ$S0es$!o=-q(%Q*PXdVFjR&89Fub1@k`9)y>Rf-BgLHsGt2Umx*2EwU2SOkHucKh zFm^!C_Vr-$d>uNJ$VR+JWD-(cHH12m5RPGyFhln%s7kc)5M)9spbN3HIG}Wi3Kw~T zee#GzDV|y|3AS{_S&@6<<@-3$z;#%UIACD=AaNgO-r7V?W2>Am#LJ)Gq^7F5f_Sc% z{zI9F7mR1*}ctOrONTK8Yg@H1I%~Yc}WA z*e0W%584JSUMG#!U%7O3AdBDKum+B>W8_@{__E%_bYS)sjhH^Rn-v#=914TM^viEt z!yjf$`$M?VlybJF#<}?th(4Z%>_$HlJe>#T#MIP)+s9ODe+a6l#vsH_$~H9m*VH&_ zhQ?L}j#}@Zg(`l*S0JT*4Jk|C-_lk-mmodFV zjvDe}N@H*k6OrWcbc3NdrZVF(e9ei$_=U1Le7EM5qqvijURa*7F9zpq<%iwoj&e5f zJuwfod}O&G-|u$)c*L+T#trwhrU+aAwX%C)nIfX)gS06)Q)#k< z;B6#4^W@=b+TW`_=yeD!M{yR3ym*zMh-)iG*m z2s_=Zeu^ZYG*5TRf4s)i$=k0@$8y{yt5=i7KufLbGQRhO((9gJ`kn4%q3rG9Y*g8z zWu~I}3oKIK{C?7aA%h1e4jz&?q<`X&0aCVcf>Fv|X0{ZTn5FEpd6p%)rb2045t;KV zU=sdD6c=)C%q%H;RQ3bo9+>b@ zKWV6xX)=|W@MQFnlWQt1DxFWCeMe0kKYql7(Gn77ve4kEWo59GS-MOrqzvXnDc5W+ zEXgY_G)cL6aNCA#Mx!w_7)eKnm}OFaS;?Z}LI6nNB4b~3KWUz+tVCi`aZzcZSt=^U zt7?G~siqc|z@TNWX_-W}D5bJ_h|OCDJC)LsT(gvKg5|uz0^eG+`U?w?PO_rmh|Mm| zHJ3=Gg@pxXY4pTwX>p;+TvS#nr5O85Xl*eHL9*VX%B;l&QfZk5MqFW|lh+v6#~jBV zE?rn!wxpD7yHd7fHla*1!)|7Np-5z2RG42huLwQ=Kyh*5{M=#`nO9g$$?}WK%+!UC zTgxnfzf^+JPkeh4<}b)K<>sS)DXvd`oYc2ZK|kt)qS8fH3!AtoDZi|skb`7`RnGaP zMP^hoGPgkDraw_!*&<2-2n&}22y}*22t%vrC+be$U^K~;TUx-fmz7#_mx|t}nvf6p zLEQmxOOqB9F3l}KYf8`vFKlK@E+0~<)LN2PXyPgJieTLogX(F(yt3lrvL)2mK7H8u zB9w_&t^Iju)2FLE^_ZnxORJ8{)Or&*Taqi~&Z801x=)ZygJ<+z2=prM$0k~V;Pa%C z!jdx6vR|9HEK{Hmp8%L*`3un5ONuNo6${(OViMClKp}lF32BH-)_jYnE>E`#oJRKx zEX8CgEG}T4L0<&aF9AI;@j>U-k}<@^#Vjk=0`%jQMM}bq0qRKB(t<+MJRlfCCXJZz zz$mr50bcYX*kD&#*-0d!t&fwjv9nO8X;oi%L=R@E3Lw^mzCs|spCZv>QE83 zSr!zTX{eEhP0_}f()dhHZPW<=B$&^gZ&@J0Ff>g2VhWVzFHm7zVk#mUDV60tMy7o^ zs6LoU_=G_yEX^;omZFD%Dnv}mOc>=wu+B?ag4pD)aYNa4(mZHUlY-&*n z@PKf@OeLcN*c8f@EM*d?1B}9Y@!z`^2#RUeI=Uox8HbbFhI)#MMeWJOWo3(e#t6OK zc8K`&plo@Cm;i;^WaYrO&7LI1iT*=-OR)mbk~orgK1~3zet^oFd0Wr}h?@UvGlfqz z)#5TnKfknWkq5`U^NFX*L$?(WIn>fk6y#PC>H5(8!GetLG#AY$nq@&-02Y2r2$Htr zk}En!ARjP=Q|C70Z-SYt-=NuiJ@G}Li3M2PIQ^z!FD=T$YHgM@!cxG-m0|J;+5;?C zM~WckE0&oMkW&P*2<^=#I!Rj?Td$Nvmc*hY$Y@@n1=gswb<_;rK#ZsefEHy z;aTG2`qDg;=2>AjmX-xh!nO53u3wzm!Jc?e5n%opkPEiuK|mk37J;^5gv5fcVIBBN zZ?~J<0`5g9&fJ)pfS#I8j2o@$yl)nj6@iBUi7PJ3Cyr6FUBv{xXFn99pM=*8#811iPmvzB;=Hsi=9X{)fh(1IF)>9#Cm zlgbt?YFn_N1;jMdddztkF$Hg1oeIj@EaReHT830^f>wY+6YS3O5dkMbtAIMfd;}BX zsG_(e4TD6N6Uty+#UxYtG0%+26DSY8 zUS6w3gTg}+iqJ7jRl1L=%+@@B^WW7Z(#ElD&M)u|^HO5W3`;IyA+eKY-!y#2aUP0# z(44GgB#sh9QX40A<)kzs37ZI40rw*}C~_&7Ql#a4^^ygk(FG8AipvCVC5SOlowMKY zbFhd=68Kc_iUC=LPkzCyd2mP&8X8TIYC-=5u}RKTXAsLKCkCEXh;V>NXOK>`dSetQCFDJWoJelcWX!MLiBawdY<8bAq#j96OEqN+>ZZ5;tDAtp&B z*DSjbf@6_onL4<8Jf#~?3WHk5a6NfGQl5=AznrTJ=8HF{7`G{1<8V`l5Tc|}XnQJUDNu4H#ks7ikS zF`ch0sV1HRpB3P2EnMdy6_~(Rg8Qc-A(7QkiX4HO(`tFOolu|ItnhRf_#$S4z)4f>Ci@6;42W96$B=L z0&)e7PdHz`f0calL~8T+)YlN$JNZ-+F|Wu3(g7_D$DRT#%y@$YFp;Q48CWKQl{iFT z|81FnC7Zx(Ri5A^Mi7D9lWIt(#qcGJi_Rb^R6wo;(aA*3}bFF z$?VG{UWX_Lb@(P~1BWM#7ysr-$%~-;DUgy$glvt7%v=3!^pr1ci%byV^J$J?lKXZP zpB3K9y^GsQT1q% zz#9Xlpey1$eH%@fnN}B!q&9Q741el zG)B;aD%y0`)`W*!vGg>K-WX0!XvrnbxVA>}mB_P37M11~TR{VX^9y{JNg}a#KqIXS zIGGokmj5OtsPOz!t~K@cz^3c|9{|4JPAgUQ#LPtdb&;e4>X78yJMr11`QmTI#38r|3l#u^B((OV8YS^ z1wY}QMer5s$g?rzaSk#R_BODx^Ij)iu#cXVLBkC_jJ7xsy)L3{K$^aot6+9Cl?mm> zTt(bcuHm3%kn?DQyOxqo3G890bGfKMTv#6Xh=s|cpbkhH#W)qc14^|bGg%t{$LCz` zVhCxxO?+6aeA7y03c(8c>;S{A2qX)-Y|`xcrjtQcdR;U zW7Km|zl(Y`>dmO#IA^{u$`R#^`XuT^)XAv7MX{oJ40?}3^+B3*ZF7Sw!trflLUD)J zC5$V_G$k3=h;U^)gIY}HsH^IK zs`t+2{yB@_;{WE`_|X$6N7 zrtMF>GCcop4XZDAoZT!^{D8+ad2ibPT)RAUdViV9%Z~B76Lx zfrGJ|GCFg_)UkLSFv!Cw4loW#4GqQ?%4nz}7Tg{u{m-XNaIoX{BPLYU!`UOoWTN>6 zYK>B|rXCSzCKAP-XQJS86G<(BK22<`BcXzXO3{p>pb<kke)IYs2K|i^Q>aW9}-7F8RyYW*3!`6ZfZiIYf9(b&=bR`nc{r~AXiy5 z(ROTrga#+8x#p|IlXj1`q)U_L7nkLMHxX%Rb>;FZ7C_N~>gHH~HGh!?UXghb$t*>s zB#H_JAWmgKpBowsio~1p7-!N0@dYZR2`3u=POAXchg=i-j(GXd;9hFBg=!VpqsKnH zDyEVH-$bd~G=o6K=%}0;dbF>oE8m+_Y*7_LV-8gzl;okookW@fZ<>Nab3RxG-+cq< zv{3Zp))~ zYoa}rV1SEnM{wucPZ^!YT7>`RACU}L|v9#^piH=zn)W5 zfoS}iho~)S+r`kKQ_rIGa8ddaYKm4mpZ8$00F02WffwE~RdibHZHSmRKHEf`iV1?I z&Ke@}o~ioZqWW|JTN&Y&3Y$Lh!+swAJMhZcidiEZ|*5dzKHBB|%SF;ybE!BWL z8}MBK%OoQGE9_N#d+U>YR`+S@;YM2dr5fTv`!f4p;-En52@J;Gpklg#59D9l)IxV` z=bvncNW`d*03~A|;r|3(d`6M$zqvzMbH z`rFKZMaA@r%IS{)pg#hF{s0L55is;e0MQ=-MSlQ{9t0dc2|#)ZAX)j((z$o>xp}~w zbHB&a|KP{nsnb6+zPI&l~ z>DqOyF(>%`hy`!5E$9F8Y4^i_-MqTX@Z8BWR)6$*x0-#gm%To;gYER#LJ#<7Vkn@vLpY5C^`p80IjL!TZ0Q^%NIhrX=exo7&?nwEP4K#|Q#f!W*HL3Wz`f;0)bEZrvE z9)`66%qo|5Sc2d2z(L*nb=R>eBR3oh2xnnY@D8argy5bNIYP8upJ=<?m=~6xL;v+U*0{&cGc!YAO*ecZ##1J_+Mp9yA7ukj#r0f#F-yI5a!d;(0#XH^~U-I8Xv8tO0aas}3;?Ww7`yuIo$46N;UB>4hO5Xc9BfYm2$Kio9BlN*O0@MHTT*oHq>pWc7B4mVodxSTSH z&bAhCf<}un>4mI|EMbbY3)qwC48m%GmC@s}PGd;So4HL`Ei@Tg-^A4sXa zcl1u`l=|^J(X8G&^)&U(-W~bg5STg8u!x|p?@S#6G{Mo}fIdh-A`Ru0W zVxFJ!yKXz0cl6ykX6K_jQ{FuArt)UQt|hy+?$Xtbt$V5NRNdY6FV=t8uw{3~TX}DN z@b;KJ%l5qgPT9WdeQ)mjbl=r|hW%e1a36?yZ_IlO-`n`!E=T&IEr*UCihF;>`)J;8 G{QW;%$8I_R literal 0 HcmV?d00001 diff --git a/bin/snasm/SNASMSPC.EXE b/bin/snasm/SNASMSPC.EXE new file mode 100644 index 0000000000000000000000000000000000000000..c787e6f978f1e2eda6fb396a6a289f3621063452 GIT binary patch literal 61211 zcmeFad3=+__CNk4X`k*JOJz+9NYE4sQbdKcvM&l13YJo^h%ADa?Y2(<6)-ijq^VWF z>w+60t|e%}!i9pNG}tN%Qb0gJL_nB_Ktn(&Yx8@bd6KrM_kMq$&+GU5=lA--E6?m_ zX3m^BbLPyM>4==Rss(}|Bnz%+zyF@LX84;4U&N-vRS7q{Kbr^7|Nrm*vl#G2-P+=d zDz4BKX;0U(53drLK_geH**vjIbRd4$R`y$YvG|&vE!>_T;ToC1ES;D&!km8HAecIu z)Bi9CQrl|re5VMZT0Ggw7ga5u=ybkQwRp6XT3|6>u_4`ioY~XHnrJmti|-ixeyUEe z>XK5b#SSUgB3$tgn~j1LoyA^D&2_$9VY=z@Qbs{YJ*Kp5QFy*a?ykP0Tz?g7VMwhg zu5hFo*u^NeC$d@$O<6J5obE$=L<$tnE{Y9HVcT2e^<%A4&FQC*5aD|M?A8#0{iZUf zUo@yqzuG$(90dl~z!0_`eG$9+r55&>ls;nTl!afr?ozRSo2x{`vbo`GP`M>NCWT;Z zh-CL}mh~!2dME0<{TsdG9fN(h-r+FVzt=lHGPvv_d$OY(jvNUn(bj&D=QzZ39N{?z zC$c^r<+Fl0{kEQ9=J9roK#3KA+0K@}%20Ez=A8X4y>&^v(Y_i^Gb3B8W-T?l(%Yt# z)|c2@rmVBVs~~BYzDetBo8%&a^)4^^rnth@u69R~y1cV`>!X6DYJ{cwE=y&CQ`aN3 zxayd1&DQbEWX;-N{D5^gy>N@j>-KeACo-QM{^0MFvlQ9O=oX zmbL?yN_CHlVMFikYYH#zJM2-o(XPFT1y$b)Y*GhL49i=}TV&F(3j$F<3Mhc6z=g3p zUj{6*OPgFdDt4mG{<_|l_=cX{v)T2mitR14TMgT$2z95oZ5HaTr0(Aq2`7_PZra$5 z*~_xo)=e&xihWXM|5P6(mguEKms!Q$qM$9YM9)rba;;Lac8?~ zQN_gEaba$A`VEkLk|jL}POI|x9_+@(ym&{F!KO_zYz-I6P01|kOC_76k^d0b_kK|B zMS=aiWk*D=EAz}&LA5?>Nrg!xuM>)n7FQM@8RhSV=9tre1IVa4Q3U%&QL{i^L1kJ% zu3C^fkH(BHObiivTrJdw3O)Qar)y5@G|S?Ry5?^-Gmc+pt6b-ar_3rr8i2g(>>U8~Ta!9Eq{J026h`;B*zd}R1^$R*d9Ad>33Su}+YjXtxhcEZu#HJ*EJbIJ z1Nt=b6aL)OF{jiXjojaF|JER<`I}^yG_2J|3bVc&t3@D2K>zE|nIWkc?XMVYiLV-1 zhRW7$gJH=2qs?%0-v53{`)IgCvfkk8gFL|AgsvyA>E5 z7EFaD23K->_JH=u?1uhIZGtG0Jb^~^UKT#qbV8o&w{Jn)%JomWZLup1uJ{2v;*Hzl z`}XN-y2t#8T54^6Bt(h|)d-gTLg!n4PeiDSd+YtnLYFBrK$RiF?WZ1>vUVNa^B+y{n??9 zK*%9W_Di9ona@Ao?C!2x-hPO?6opccnO}|Q6mPj1nHOq)_1;eL1K>4jwj~HdO}|-g zCeCkT{v@K4S4scm-cDYgeirGTU)>4})uh-uGbA`hIr zPf$BT1hE)-QY%vZw%8D%V5dr5^V5}eVQytI?|5CmHG2D%5?XJz2;KK|Si8uuT=$~E zsLqcrizu&B?dh;ZGki}6d$GR!)wU^>)tGjIU`=YO|CN$zv4>EPCiO%wio{+*k~^~; zQbA==C3qfs1J=_<3myphpbxq_|D1G>w)kjKrDh-R^HH4*T_-O*f{=n(uqPlODaVa` z4XFr*#tAh{`OjAS-CC;VXlezOFFtCy;g{m5f_yJ^-!2HAvz+g}*ItJ}nh-xvvqfl@ zCWI{3U=)*Bw%;xChVm-~}hOL4b9F+aw%vYyYqzPsz%yy(| zSwwD|YRFNP@gx2XO^L{@7Q<3}QT9&^eI1_|9G@Akxe36MV^RdW?yMH=$mq7D!!d=s zi^X*Yrc<%weoOi~#CXAAnL)KElq5BkM^N%bcoKNV+XDMCn9-7cKSYU^Htqd}vh+P2 z%KDYZ_uK=bMJ!5zS3}GI=cY7;bt@7?7-j6Sr-Q3Y%GP?p0@{}uYbvAZ(PF+ z%=pEY76RLJ+y0J$2F(5*oDjkO0US}V+u?)@b_bkD!Tu4PXu+NWClW7)d$Q9-xR z6k%}z(aB;HM4 z1dccO2~((p@P;}FVW@);2Izz^z$JtMA|b4^0W)OCtuXhtFc1bRHnrFm3Ln~Iirf|h zAL^Hy)B1ZM31W7U)?#p_#mH%`3({!-P|n>f&(HeSd!kv-_Usuy`=`LX zLLisOo~dV(KELL^?$-H%5OGUpJbUpbkOP9~!;xc;Ji!r@h7gG5J~%+!GjK#;;TiPR z6@6kytgtm%xbl&GI5KC%+cV&dj4u)+PxwJkZmpOU=I;fNw0G)b04f0M1aP3k9s>lW zbKGYDVswsY46ayVNAw8=SVp|gG5mymB%F*BMMsJ%i;uF@oBr4oLpGL8J=lvM>yoJh zp_sL~saq2cX*jf11M>_p8sR2pd>SzEATi7x5jie!Fm0N_S%NLm4HCy(5jkd~-V`>N zwo3_vu~m|yw*AzR9c$+_c9kx<{k@Ls{k@LjSBc*){HpYrS&m9Q=8$8T9#hCsr4QgV zag)dvCqO#=284A~Uo9Tjs|8QAJuJm>R1Y$99EY%xRl8*Wa~gR$i8SeBY6a<;Er|jP zyj~tJ75t#qBJl*EkEyjLe#mRF zCVs59AJv28?8o(>KR4?oyed}dUln)ZSBc+I{EqA0O#8R-uJfY&Q=(;8h&#}`FhFCU zUw3Q2?iHHCL?Ouv*X=aMNH>kiQUrM}V-r(H9@#imYHrMv9ySh=qKui+{fNXKbidO$ zTM98|n0!v-6nF-jm=n1|jG3lis8|HbAL3a7cPiosnW~+}x$ulMxtvA`o-w9PPUI@( zxtQ56FY;TueTZ$N_G7&O97c&B>!l=r>>z_FT3Peiuj^RXPwqfPF>u9aCvZ+&?PEU1 ze1;xH4Cd=`WolA3OwCF-n3}ubv=A`f*}8)CNC9)L1$*xrpV2h2F{6Q)_?wu&%dYuj zPwGKE=K=W)ey8yJZxocpH|e&j+>|Pao9t>`k$7IeLoH+|q}o7W;jDce2<#0I*eMX$ znFazo#R=>THmsm2BCRZtRt9mrlDa$SD3<6*O&?BWprHWc3$Venpr}Yt6az(_)!R>T z3Od6n$bMeW*4MEPf1;ODprbSRo!7fTF5GP(nNxc9Lf!v>WabA*X6%0@nfV}uv42A{ z(-A+ENM;5+!-!;N!ZVymMxiDr(at9d?acSflAriOu@kOb#6oI;|TkjbAY{IrJ z1B>M)-VKhY3qS(!R0{`qy2Pg$$Hl#@*B^J{f}@ad2NV+SfWj(0d%X?_iu%JJt4eWW z|6h+KGXhhUFN=M$#*WfKy`ytHVAv7gd#hR4lAMg4Lws)?^g--f)ee2{!h!x0r!i6r z2QLaSwlQ7a5)-*@+{E0!0E-?QEVn{6(LGwJN+W;3S64y6hTx6hLaULj0#VtQYOFO? z;yt}bpdwml<~)~ctVdOTQ>)UB9(8FKR9&BU192e;_b=_@NjDal#4X+Cuk-w1e2IKB z*Ll7+E-{6azToL-Tn2ZMr;Twb+^(Kx#zMGHc_NKA?y5^4dHEQiCnFS5|6Pfvi25?# zT_wi%7RI|rjvkfyMAleQYfkrKebmUSAy{7GzSrPG9`s=;eCqBh(~Fk$2O(`OP`Vi& zK-7M{xt1v@d!<&E>*Bodts=HyU3#Y!Ywt>NviZW0Q`h-Y8{ZqaHQluB zEs*1Tm-Qu~wmiP~s68FV<%RUwDYd2u5{ausb$19DB<#8hn#oh*lfowEKAAIad^V(X z8q;74;);GLuHKQ@yGm@?JB_IX_e!P~Y?UJmcOj5HavxLvQ=oI4V2oTC*nd;QE#m7~ zG@u|8?x4y|A2Gom=wNFL>1r*B*kahQ)D_f69M217`G4f~1h?3rVdeF-5<<`OyIyDQ z)x|)RbJf^JY6;Rq4@t90*8XaqM$YrQ?|!kwsXP2~ z#dFt;Yar5?7x|N=T5`Hd=jy*Todme+=(R>JRn_nG95lWHZ>ZY14bJ;2<0o()R2kR8 z>8>)COPR*w@J>}5PfBBqAHy51Hm-)VUlp7Q5I$7YuKGN&r0}FHsEmamfai(yCrDwS zpR4jL|Hx5L*|8M$xNHwIUsof;SbzOaIn%GSFF|QvBsni2v9}+JjKU!VVYcxpX`Zo* zG|AXedeW#fJz5x|J8XPKN-$qnnfe4elZvhaVQnBK#E{4kX;J|Ph}1Yeb&Ys$9Hk78 zqf}Rd%o@2&z|{Pgyk20B37%r5p)aY>&;lo_J_flB%2cVHF+yr(TqLzH_L1T-pQBM( zI2!rX5P2Lm);2`8*0Tsfw$!skL0(i}c+!04MI_XlZ*`GE;PDS}_C6`yg)VhP#Sl)~ zm})J%)Sd_E)1A^-Fnfgg7N#vP<5n8v6VRX?B-D&YxjN4nUDY7Jpv~fB;7V7sl~K7# zo-SG*&cbl?I0IoGDlFGH^_nY&k%|I(R#f)dD~8;p3<4BNfHHUi620UHZ)fnqFsUBz zQBl$mZ%&WMA!=!LTOq?Jg`A;=%DQ>Y-E=UFfx+krym$W}4Te18cBxJta+^g8FMo@= z68&B3;y!L-Pmnyhip5d>Z-C9j!v9=M*wMiD~qc(pl*Q=FmRlC(_-5oBXZn9WNdHfP*t%dA zb(AzEo=dEU;JH9lc?DFN1gdNcs=NzS*&I|EhcS%;Rfd6Xo*LrW1qVdg)Bhg`5%8`N z(OvsHqT?92k(+eQopjx8>}zVHAX~azI8?Pg zJ%rd^*uW85<&W!)6HU62qp=MlV);}(KD!~OgXhE^kLO%iZ|r31;Ve8!d3A@!f2xvR z(z4A027Q5_wB(^Q+OzyN=-9DQOB&5WJmWJ^b*?D}g4iMr*vZ{V)RwzVsZGJ4)I)wP zn@NzmHA3pt0Ew+U4>(OKMhOumdQ*v8^_Fx|h?iOwz!5^gozB>G(-Qg0nWZ41T;bjLtRhw!tv&^?9m{fx&X3-*Mt87gmo?f~&__T0P#De-T(8 z!LyR)<~hvGc9@&3FgO2ZwU`xHExzQd#d^M4eDa@Gi{`< zs6oHWYlZ)ZSaW1OSaV4IpVym?q5n75o7*A(WxYxI@9T|l8v-r~w$mYW*4b(?N1TVj z62>`=-K0?P5z|$_|1F>cO5dI$7t3^F=m4ME>#? zn`I<9%@4t9gPN_l`l{H)z-AiR2N&397g?8DW-|VHeVh0DHORNO48kb3i;aU0P7tt# zX3q)Wm~EihBIPMkO^HPnw!@anc%0Sn|02tf!>+lpcld#kIt=AFm`%|vO=wb1|2Q%K z7>E&Y1e0$F!Tk#?bpVzHuttq#r-Ynwd6|7~gmX=35%cIf^|ajPra{_;U|G zU-RY6P^DXOu02uWDE6>sTo^T9g}y^OGHO!@o9`bd=ffwmS@6w(FPu$=Zz6n=Y#e+~ zz!%FNhwm}?qS+w$`uP>O$y8O%X>n{*jbmvP+oztWROA-NGWE@gVcXCtjr=cx=zwe3 zhz4Tfw^;A~SFO>nAI z##wOwP(h>g0QUCa_bRB3G;)FpssN4LQ)L_gXR-=PCG1>O#wXycP#H(SsZf;(*Pv0F zjp;oT;nxIX2E@7H@GcQNr-QnvqfX;whyydEQP4@{8s|$BjkBbuj02=*#$i$#v{;WC z$4ZYGM@YjxUpS4Eu+aCDqRT?iuMmhI?_P7SPs^Vw#iflNBt@p>50XODMo%>@ah64T zjysLhAQKG6QY87EWg(vLoMob?%2^if+375c_H5@Rn^DOaDjANF$U78yH3415+l?UZ z_Y?L)%G92h%hc4bAnaDiuaal`*QZULD>X|SG!oFJjs&zpa{=u}J!#OwjAP)ht#_7% z$^(&e`V8b8It)3d4@1tOGmyHketp`^sfZs=?U_mK8BXolf>L2}EJ{tvM{2*(NS!nq zsr~Yix*G7O0{$TQi;*)zK7*J!hVk0UO7Wi%M~nNt7}g4BeSbaSe2CP*^s48x6KpMi*wbMuS3{{m56&U-8PtVvh%AvD}w$v zR(h7C7iRJJ%_||AQ9`;cix-P{U4F)&Ud&7NxTq_P4HtGq2)e?SLs;R;Q+4vAezt6- zmiOG84jUt&_hn$H4v1Z(%ar!b;C&Y$?Mwc44DdLVzzYMZ>gY@XC$zS-+K@ z!%$#QxYx>!GuizsJ=IWSgl&9*=~jBSLxT~vu`6r4(z5|djj)Z2So})Q$B-YwHa^8d zS9;!oEKc4VD?G2EqAM#rR(z6hy!Q@{_ZHk+bK0^a^Q0gpWhqCBSK0LyNgMBByH`L* zZ^QPT-MuQ8eZRt;<%)pm)rwqJvBJ$+CyS}K;SAfa>yV;^Z{Mb{>_2U<0gY#=>!}b=L4%2uZ1TH4 z4Uy;g?PF>|pZ2U;+5_$kk)QOtuFPXdEu}AvUI{;XfwU7YkOC2zDoe-NkxV zflmck+wvwn<^|5b&i*~a%0;3&z_6N>84_Sv8qeot>L&39;#Z#4be{4JKTp}s&r`nF z7bdE^XBH;<^~>R5;eITVrcf3xc&_A7jQh@Xh8=r|gcVX{y24KTJ+(?E0=t)k-N(W1 zzZ0y#S5~dR*O*#%>EgBsTDOww38ls~Oi{Z4275M$*`GOPfz|tgq;R10UMw}=a3&Z> zOfZs|U?`ZN=}U0lWOxT9n?7Y{1djvUZVFa}jDg5BdmvIWrkIM?r%j#&rZu1+nAYTe zU|ItvVOGrpTgCG6mqh?LMPtIy7}_pjSFQBUX?#TLt!SueGm`KR2(i%i@ug^-IXx5F z|A|lL^ucixY-_rWsg>GiK7lOXP#@)FKek`U-j!$91E?QomsR2=gUa5;fK!aXE+*2_ zjWl3dXuyjVdXsOVU+8z+T=u-^8~ zdOE4Z{*3e#^xq^L3t`Zrk3qwT8k=J@%^)ISVgw2^gkk zx?c>vb+ralOK!(#iZGwj6ja8mJfY@OarITp_NMI3s5#|kJN>q!wl_1+z=$Zaz@2%9 zOxv!zVL81ldkQ{<=Q~-#|_n2ZJ?$)bT7Qk!2jVGnSpLYGmazm2x?51)yh*$~UcInq;yE6kzSPJZ++9ta6 zrbJL=O4>MKerVT%5>HDMyfkDTHzT_|1bM0CIy#!(&Uv0opOeki5+I39T=&*jtt%4$ zZGaipR>LL(^f;Rgu1J9$SPY#(fdCyD>7GViYrUI}tQOqGV^6vsQK6f5BgE~h6YIYZD_9!$P zwG@#lTezU;S&KxNCI90tmUuNOO!n0Clt8n=8h(WN*8~->0(Kx&FOK7>-Vg|k^7eY( zsSUhS>;6=9H5CnOEJ_-$B+Wo|^D!Zu2&@Q)$!n!QW zdxB1p?np@84}-kBQds1&q`U|JQVK2bEzL`^#kT#MQteaN!KF!gD!1I@DAj?|RL#<* z6rhTNu%)F*!E^we7D#Waqyx&BrAat;f?Ym_%Sw_jd`4IN{X51dGy9VA`1{$grH(Es zTi}U0;OKN;wdlsdRr#!Bn-;cSFpD3tw0zb@9)K>Q?@|DRb-(Em0+E|+PQOaia>t}( zHiiScwIl${wZ8$Fk}0PApA9gtFTpV@8KOOcJq{c#E2*bmu(+j)DH^QO*n%Yv#Hjq9 zHazL~B}&pACTmJ-q|_?hHMr-_s|Ke!h2s?NOeeCkWBdxj*-nqv2Cg6!7IC(+P!I=7-q6Ih~xc({fbE92rO)#o~l)mG~Bjd9_~1&hgYJHGx=O0kJ4# zV}XxubVOUyK^tI77YjY(m5z*2I9X36WiU>n9jlXZF~RX}vNiVo!S1A>S3gxdQGs)r} z#m|6Ypc&zB#DaUQVz;WGeC)3DmMM;wDYkD?evp!QwNhl3E2;&1?NY9%*C@8PzOkrM zCB}wVN&U9PzG*0sBXXr^vIA9Fs>L@A5xGedVX)1?rtECMenS#0gdw%k{4m|8nD@3a zr~irpNGQum{gxYS<|nuwY9>cqrg>t03DSS`Axsvf#m)F#kgE-ML0HDkYOyUyt6FS{ zucCEqS1mq(c@8H0H+IrkHTod$d=0d2eNYshXZ;Wkt3;0hbL1j@aC3$x@U@-cyL zJMLHYhUv027+O*~QoR7V0(cn6R|mB@{X7C;x&kZ}0$7nuC@O?( z+8Z)zAl@w465qo4Hq3epK@)|&Rv8co5j;uC3e%8kL#mR3<8536GWN!iE_$bO+G26h zCiZ>3Ef)7~V&BzUdqt&mon&l1KU!VUV+vHIG+}Tt8N6_vcxZ zN`Rp6BgNnLWWI&c$X_P2_mWx4-E^Ug7}ld+&I5|8?~;Bt>o8gm0F-T3{RUqN7Blpl1W>rk%uL-)MS=EApquh zEgO6ZDgshic1vchl3Aw-5au+puNHI!+etd~y&yDf-a~^09kn(sl8+&IKMZ9RBP6Je zu^te`r!F~Wy4R*%g)LruU!&R-K^au@6Jb9Sq6F0QBRnw_8!}IHz0sQO^ne+6b9}f8 z+_;QFsF6?%B!aN#R zLU>aJk^IO-1)($YrRcOtk~9p8)-?H+7UTCcuyq9bDmV+ab=n{p?=#^V<7tD+ zN!-5&xKp)ZN9KtuC3Glxx3rk53qe`8V7|~D36zd~@4>>*vdF7vG?mLkhn(`^>_a1e zBH*l*G9NOh1F)13$bGF;XcJ1=>au9{a49gZd}yyWG;n(>5^_-^W_T2*kRL8MFf7$c3W$LYVnXTmbOCGs^$M(3%S6Kh+|?e8$vXDQEfyEZcRvjeNr6x~P_q zcw9Z(%KJU8_HE_wJxv#Wm^V_qR#sz3M`GR8emVl8;Qwa+r(gf9u zA&zQrm4j{MS3JsyK_S#UZ6@J1PL=jgI@*|`k_WVh0uJ7F0*)LqaQrY1#}6j1#4Cmk zDEinvgGIFuSR~#ZtnqVC=_y;UoLV;L_bnNVhkl+4ABl9Kz97<77M6 zQ{i%$hn2MB#^h4`9mYso54v5paQWPMR)}<%o&PEQ$3t${Z&7mfc{*;;$Sd1HUja(# zz{VqcCmK6{Y$rog-V1o5{O0+=Tyvw5pK8atBP+3MWbOQ?tS>~%GXa{g`XEfNXGlpI zOcj9q@1{_h`T|wNwTK|kc4W7Ggk6G^LUwF>|EjpE5|JQ35$@#l{D>-px!bZ)5JVKt zHupT|Y*G|wUIjW)sDRYP4L`pc8yMIj$ODC%X}B#tr!1$CBi47+cbYHHX!pg1sKH(%)o` zJ;m%oBX^Lw`sStYcyU3%EN#$wY2;T0;|tOVV?BhfLcz9|j2>^&C~D+i7-_$tOuw-#-^v@rYwgX-$^MFnnswy0&)pjF(?KM+aB)(q__e)!^3a z)1D@#n-<9SKx>xNT9MOn;@OIXLJ&n&E8ax-DqEqIOD;k|B?@(w6@8!SELXN-Y3+ieI^mo$ zsyKc)*JD3vGhyJTQQRIHwtj)JKa3>?OD`B7M~Ycx91W+n%GLfZ`IECw_R0brj5b4L zt&_!!Mt=P_CwqQA&Qvt=@p>nlGvAm4ZwB4~}?alN+#(krK48&nu-++i9Dn>!%ur7%lCBLb1z12?c3HWX18?!J5(JEtqIGY3< zR%_(hs6sX0I0W9wfhxu;RUpPDIL*70jgOde6g=Fc>_-PHO?X7vus)Pob}zdS>7ZLB zS+zNs$FO@uyW+6mhB0d<2rC3*oLLR&hPFo-IhyRADAx&`+MdZ|(=wHAoWA|nZVXep zF-+bkxGL_FXPt4f(esRtqHUXQD+pN+3r0dnch`XS@`y7)OJV@ts=t9ZLV-5|7rkBk z+R5z!Pcx4rcw;s%2!68f(%4Mkv9Nk!t9w7sBxfkNPE z%E0w15|<|H)~JQG%i)9zYpo4?^d-q`Up{T3`LS+~i$xXX5l~bfVdeQaJ>_Em)CIuz z*Dcr=ElAKpdxsH|373W_;!|Egd?E>?Z{a>lxzBvQyZJ^WQqG6r#G-K5zLU!T$jTB6 zq+1wFLlffkd`SYD+cwbefX>w(F28ch^?kU!4Dt$XH)fNxvssfidm7wZLfX`+Qlydm zuL^Rn7K$Lyt;PDZ)E?L{^y(?4%E>KQWD5u<)K2^6+NHbJ*~{ol)lj`5yk4x>T}}OI z3_SJFYxE744R0(f^0IFRR{jyE+!<_DbHCWh@HeNF*kdnw zJdm@~sisnjvi-PQ;brYvyVG<+nLsu?@ya%oq!N{zOET-z=Hy`z`Zjk$_S47@HD?{0 zv-=+BWf2~!qFY^-8yeWq4pebT_qSicz_8TyQDtRvRz|}^r+FHA_pi?JqqAyG;{xQr zd)>{Bot&7Hn@L6toCh{BK3Jcp7$4|hd@u|K2eXU=r8dT)uyYwLP1@L*y^>cr#&5n+ zpTCH`l;^3;vXRLl(8^Y2G*tHFug-(f3xIV7+o7boT`}>p{})$OtbF|!n4Qc9+T00jeFDkP*7TnYY}#4u z%Wl{bX1|4ULCZq@2+L)z$UW80;mJ#7JefssE$(5nw1&5z5& zQwu*D^1~cI;=ZRf|cN%7WRj~JNA%?fwf;47ShBmDY&vBw#I z>>~ze?_IbBQk5biOPVl}MeH1hcLtD+L^>GB+q9ih3T_JO{I-~PDK)2ymSvu-@v!f9 z!Zgsni_LMf&+^@_)k*TBC$h%UJr*0=7XJV#GIzv3OmJ*_ARS_i$}&jX_b{};ZOo6* z?lS)2!mt5knRX5JOz<4AeeG$6r*f#VB;5oWMORI5=SN{w*kFgJ&6zAskUxkc`zek5 zW*mu3k?iTXYVkpX|3$ac8I%^aCyXiJWA{mITpOCn@BCbVdo%tQO<|M~*Hip(aGSC* z4!4E?4QI)5S&BT`qi`4333g`-Pt&5}^qfOO+u@>M2EC<1a{TTc zkbQAfy$;elZsodNZ^g;UKNHt2i<9sA*>x^XPWV~r%$zAwd>UB31am5k-~~b69gD;9 z+3+tB(oEEbt+C1|Zir>oSq5x6u(ccmk+6F-X`!`67Z@Ou!)l!x#;qF=|g zz?o-LD=1|hams`IB=ZnIggm16iwP;{g=C_pN>2x!SD+M}}CaxJ6GnxNG(b-jsCo6+mSh9w?T$Ryst79deL!)st z5cOTywkWc4nRsmCc&Zd6eABbN#LYnlHUVEnvxnkYe=;*lt$~>lFVO8+AbS*`e({xS zUaVYsw267=Uv^t+S9YU(6V7+5Tx>B1X4D)av&?9a16d6Y0~;l>=oifraAEgB@XkQK zyk~$ZzmPUpg8P*!?dfNctqrnIl?)lIK8i&Q4e-TJj?%e_Q%~n6pX;$AIS?$>LmqS> zW}_aY2dxX#$Wd&46ta@4Iz=pnB5tEzBRciX6F=9Zh!xT9YViU;@v7zDOZ z;5KaK5CC4f%W8=AZh$RLicfQu%Sy<#Agy=w4_Ht>x>&1H7P(6`i?cf7)px7%eV!< zDq@Q$utnwee<_b2;G6@;=tbhcNY^w6#&L5YeURGDCCINwuqhG5i0qg3SccofItXfk z%X$vdIWk}m^ip{?d-IsvrH_+eJIqEv(?7D6UjYqMi7EC(E2a`xObH`d zqcyuK;v^VvkW`Cn@uh5(8WYCqM5YdcSo5PIU9Jhd|FBjcm}0Q;Zi^D=VT-`jnyE^g zN)o`UYWW*+ecCjFwnM~%h`65wW)~GAm9jve?T#trD8W(g@2!KjkzcJcJr47%KnFVh zh&xMo?&7J&sJI`=mIvB4Qk~J5-*l3#FD^AYhb*baM0B%QjP)?bU?aDGuIW5r%O(3)k2bSvkjr_kqEZ6U!c0N2ZQT(=0RG@ zD5R1SxHP-jp`OoBIv`b)up0K58~lR?bzLY4S)r_N zDBBwztmNVWMM!ARm(8FO_4ol!+-aNn?1x?@Xg8>lt3d~o`6gp3#7n;V;H{S%eS=%Z z^*FN~Q~M}?XT**T#ANI*Q183Yhjo=YvzmsCFY}Cej%;BHGCikG-EU2tN}G{9WrO*E z=ME10$N}Q8!!*4n8&YB?8x}|RiJfX#9B#wcqfY%6id#Hg(Pz_tSKKz$+mhzhwBMBy zE6>^Q8Wt$Uo(2pUL`5xx^h`=m zJfhG%9iWE}Kl>L3=o$yuR~W#GiMSBK4-;rj z5aO$`T_d&tl?&eNSYhyVMLM{6Bn}YxvB4(HzI41k7I^y7hIcQqI@|E>CBy+*#My$w zrDqjUVHLK3Z$YA8tzSn+rD#WQv}0ZfwFdj^-Z(0asUsDSAa%px1HFy-mR*Sqwk`PsF}1PwF67s{&`GE*1M!mBls&0gT+2%Z%k6{XIl~_$j|O|4N`nh z?R9NxDd+5^ZA~0jz$n_*gt->0<-vPl;jH#Fr{zQ=f4UdO9cm|!LN{SugKiuXh)C$x zAw&t>IwS_!TbeUcxq65s;sk>aPRw3D$gju~(lfzZh|dHL5c{y7H0&+fF#WiPoz{${ zB_nVZQFQUEh`(xBi!!F&#NOS+&TDd^8YAl-l^pl8Yjv#r!5)RXhf`BBlY0MyTO3>w02}*x(`3W8z9BPLJ1FeVKox;VNxh`Ri18q zxshU!0*e*p+z=P}aH2=M(hr>_XjTZ!ccABjHYV4a9(`gPbkx9NGElw}cO&4O7Jy%k ze2r{;q!)SAKB2_p!`Yqz?@6@aF)kcENl%wRS|8!`TvToYD+Bi-r3`f)j+D2n{L3Ow zun;wx^EMP;cu~We7>kZ1a7101bv@s=4#cx3J)r7{*GdXrKJB-!&#w?x-3K zpi)EtsOK`O(OT0pPS|iKwHQxRI&onbcVy`OiU|G)7JvI{0-gcM#wS zQ3C}r(tXHqFEVt-8vq?})mg-20cy{g!jn7|?}RF^0zgYv>)HFb^4`ETav~%jnvi6v zj;rx1|H-_Mt@wO=jps3rzCZQLs_kPS%EgJLtLe*Uuulq0;VKf`HpiHk<0KjS1?3gA zB>oCo>r7JihSlUK;ztO^LM`;i5Wrpu&sTUv2V}PULbF)h2G)9G?sY}wA*CrcYrP6% zVMP`TE3&R&VK}1hY=ill6=rNU*sfV&!)AlwniU3YHdw7$VZFu;;@qW6xF(}D>S+V2 z84OB#%(%#O9^EcoR^mj}-iYgN3M~b)CYTP8$hw!tS6{A-?~A+tG@7S8pCclQmtdUmpof)ZEu#>I-W(rnO@larL`4IEvv=p-f`&Bv)+4N ztrll`zuSuiE%n$|jnHRVvUgz&ruwNrs>LZ@zuzO)c&yqfUQ7BEudpbBO8Wisb|{ml zaQIqub(+_YpG`ZB$6SKN7^P{Jwet2wE0p*qZPB4E_aK@wQFOG|_GYZtp+|IAFN>(R z_dsWRdIN2?cSESV*D)K(bG`Q22+j4@wC^jjB~IwMjsi2 zrJqJ?r=u^^(HHF&KaAKWz(?52!eD0e!OZarX1s^ZlOQ{OB-p2;RF>DC4Ny5=dWg6h zBS#d`7hgwzG-Y5zQwAe6)XR3?wr3#wNUtLs5jkFaCPHI^?XYRljac+XX+MEXIns7_ zwK$uDor|v}5q_&S7sU7!8jOGx+cez+rCvjBvu7|GsX`m^W&*qO%V*U_9CyaCeMp!YGUzB z4PIL<^5Vt8Ja2a-z2XIO^MHl5$dH1omiL(=DAw;pM78)b$C8LqUgdDWuc zOOKXzC1`VQ22m$rOy_xnl(`V0#X%sZ_VzrC#6obS#ng+&mRSVL!p;=HO*E9DO-|+6yQ&VmRiy==FFQg<4{ldsWmfZK0PI6kFm_Z|X(dEnuSoXdVE8WE4Pk z;&QK9keZSEqDqRipjDV9pks47=w1>FV#yywTp3J6HrKkd#%>$z!3;yrc`7N!?yRlr^BEcU6!vV zF&n=e{3hU+i{DfDJ&oTKU!6F`hiQM9R!uXlnoE5|g3I~0kbjHtwa@d}5*PR!i+$Ea zv(NrKLJNJ4mk@f{S1r!t`4;f+^ZdII->vJ&65nwOHZXj4`P6Wkct>%XjLBYO%^U^08|1 z8{ZH63M>598An;E)QJ3(qlYc+Qticn6JR9VVdsOxc zK%l(Sc=~kyeU^V`;%omD)s^@hv(Z>kw-ceyeU3bYh&u7p=HWLNKTLr@H|HUBK|?p6 zM`)psO}J%Wio(l%j!zL$;qC~QV(i_iWVmW_=*`$~w$NP8X5W}p2HI9t&D-Mq`+ z^Y32%-G^@;RQ7Pqp2fhE3V8D47sQD|WNjTf@BjM~msGrd^_&Q-SsNsWf_Pfu1 z&=(~h!tV%vM|}{|AVpmOHP-qZf#JS{&}E-P8E(ktJ`&mb@|ybd??9?=+qK|O9|Z9o z@&y^m5rmHV?17;^gV5OqM#2y}|K}!{QtkUed1rhU}b;G2! zpFyk7`WzQfMXk@y5IXO3Tteuw58~i{UxauZwEg>?0U8I^r(QmQxA=e}5(f!;5C;+8 z3F06k1$h%v_xrdwxZgL(^dhdCay+295dQek!kUJ}!81M*2Wf1t5{`a_`6i747Y9?z zd4R;htG)(t@TyM(3UOTZ@hVGiLmWJVkvWSW!|%KgV&PSw2MrH{=U#Xe;25+hu@=8e z_+9p4oU_$1ZRHKi=HDFtoq%s}fCCdKFu;KcG_cNbuz@{a1Hx|j?12e%3!!?SJura+ zs12}Z1+`lqflzr6?YjyV4SJSFppoFr_G=h`8x5@R7DDw6tnhcAqtwTqy=MQIIv!*; zfvN+uTdBGLaQzxWm_KYG{?gdr&6P_p%DJF$Abc@YZ~_-VuL4PL@b16GzpMH8T|z~m zVeg}BYkc-V!`347p^uf-+22P?*Z3TP#;ir?L!Tpnhc^&N#2Utg$jn{~ zYCGYxUxIVeXTJ>Rl+S(~<8%VQllYzTk&t`MrwTUb4K(1bhUTnB2r`WB4ajDYVG<$B zAWWEjA01o6JF}L5Kg4%yw7_m$4YoWm8v`v5%*OxH@;dRF53f(QBiA1#wXgum;DO*r zmPD{43+4|*X>c+UrO6*8rod_yASPwCawpF<N=qZ@4_9ai|OlIvcdk%m^S;4_=b+pm^SsF zO$!7%j{H;q98DdcG3^=Y&+$BZ=3k=Gape9B6zcelX*2&4PaU5zZE{oKf9~1rJ5vAD z@#ML4=FW*hf0M1nF?r;?7BhQN-ZRP8l`#sSWb5nXn>lrMvh|Ia8MEO}w!RfJabjM6 zvUPRL^cl(4k7H)eNw$6(BRw~FYO?j+nEa`erDW@xm^ss?;q9Sh>)M!UGiFZBpYc3W zKa6>L((HdETT5b|$(v)EJBO;;5;JlB)T#fNGU>UZn2FC#os77l8s!&M@u&oSlY zQ|ye{CTS}9P{*9vQ^-fKXHS|n^_7@5X;aAjz@{R@thQ)h zdGgmzS@y;0^Y zKdNQJ5(3A7g=LLa={9Y4ZQ-)Qi;)0EcHt%Lofd9hY9&g+Zm4;%)R-ov)?6?|x8~Wo zNjuN~H1ZD>SY3Remb>rFUss7YdP1TjJnHCHN<}@mfj#Tc0@BL`!=tQUJd9?-@D38> z1Q^az%VW!&=JX4OLY({iXwmS0(m?0`p4 zx;yEf+7^Ej{kKr_y3y^r-d2v;n3gw58lN`xpCG8OgtXaH z;cgewCX?mQHn>EPZM&!Pt^5uQsl3ioMBKMt4DA62F0{R3`^Ae&DU0u5|B z)SrAT7AFN75WX=uQo;KMYJT5z*Web}6;5xo3IWthwL_Pm@h@ zsQ|lZxHp8fnPjKcK_<C&&}tR}_x$6uTZx9ASJ6krv{A!?mu_wNO`)qm~|!58mW$ z+E|MDs%EJ%fo4gijV(}U@577AWU|I99Ko!VPz6i$|4WGtff8fmImUA2np+BSHrvUb zjxMhd*sf?^`2zqYsM+r5K;?B`G*({P&656ftthEWVNyvP$=_sF$j5M9X(muwQNiM) z2}WKJ#+OZDJfalc8;9938Sn4TlV-qN@mb^jD7vJA5ZjFHi=yG|5zUWnqi;Fcq#&s2 zUnpdVza2wY@5pwQ#>|FWSpBuZ2RY>Psv~2E>dEK}gX} zAeFw)@z+r8FU-inz2CqQe~S$C?Z@?veG4|Ap_~gZ#~PnUEjM?t8xiIG1U4a(bJjKr z(QD-QB01fCwcbhHtCwdglVJUNPKW)2wajT!OX+N7aLqA|HOmujW|UUQKiniJf(MpP z7jTVcSp<8vP0-YA=z1F-7o=qi4~f+LhO_!!>+u&xHL_tnJvXS4A71Ya@Wa_{0-d|& z+`{}sSe&_)Z6YfqZY9*@Za*%bWU%%TIJf0%+}Pk<8(g$$nUa%oLzNzQa!0uoaX0|_ zJ!fzw6o3rkt^I?1RZ29cKfwis*PX%E7dkzWZ_^uzXy{^R!{{_(04xp1#ieTA(#TE3 zhI2h9Gngej(5bg^PnW9cgGr-6f|(j-5dhxD0XEz*Q+Ph-k#vn?N1(-?b?&UvHXMEl zWQdk2>Rivh4AwE6>01Y~e6ubH^5{BL!{0jFw~pIxZQt;h7bL0&h2m7M@eK)urIV>s z7_QZ~mKW@ROeIC|In`HoUZp`TlxNpvl&+C~yN-)_XnM{!H1Cu=$?}q0l&80RQkl4z z3WHc{S8F#FQ3FhB+48cD=9G4`)NW?G*_ze+Hk+2@U6|kJIp=+62GsWZ-#^y8w{v;U zInQ}+=bY!+8|o*2ukCt^srPnSW7egEaN+0I7|Hun2rY6_M<@2$BJ+-qsIalhPnVoy zN)}r?;?xPSWf;yz9}$%OAwMqio$|x@2kdQ*lvf0BMEa$!fg`zIc@f)`_CQJtgH)o<$MIHc_P3Ir#&JE#NtDZ>IXSr8LLNhc)saLo! zXhg@(tA$?f;PigdsM!!f&69{td|#Rg+6_YLfvYiH8(3XQ52fxTj=|Ka+p@= zUAHK-6I@Ra>zaPELhlrX2Kg3>L3_(zZT?R?L|^HZAN{@UY<$bNVN9;plJDEWd+RoD zQbF~5t1x^JNEzilfj8;26amT#e*x|@flhg^f#_#ne_rSaj%aIkaAMvUUe$%jJ437c zt{vW58&8TtW(M*hCuR`q&+|`0elU~w3~B>A_~zOxaz&%+yA0sf{hTt6gC9g=hwci0DEHlfwfb zvMfTTUyo4j!SW#9D!Z2Rqix={Xt+P&EMjKC8@c{QPG0SDjKTS$enjph+9cn9OgpqZ`@DA~>AZp1jfu|)adx5gF9zki!9Z}pQ+U(2s_Rl%RM zP3)x`MHgc4W4F=Pxy_pp2#t2PWBd2Ye;<&_--l(G`{O8oUy7-~TWt^cq-Q|4b=biV zrUohW)06Tvt!!6qyRy&J{(mdm^)$*p_AM`6Y&7rliTs@ozFB%CFU1LrWpLGCvG#^M z*vHYws2FSEv(FW|f67@`pZ!zMy1MV5cGd+2G#6d4f96@2|NiM`U3ZWhJ~SQ!$Su+M zG1e066eXLF#3p6nFT`!2+wtd(N+qI0<|0B)Dco3-1Ur(y_ftk+Xe?94H#d$|#x&yu z1hJxsPa4lDCX66Gbor#wt=z^@GniZ{-##X{(3-FO__>Og-+V5A2;(Uy${12u184jiChal8? zQ-XXslAof%zMmS;7Fgw{zd7fCPE3SqHy+C(5Hrw~OA-2N8IFf%Vz1&{+qVtL_j^1) zf12sGwj7$!GGvbZ7mTO;VJHrkuAk7tpPc+q&F)a>or6qjx82R2z=Jh~zoQek_AeZ> z&+h-@***R^NKuZyHQ3L~(IQnZ=M5?Fabc*_(cPdtzQukvxxY0hISSJg;Tn>0L8ym; z2)r;4w>w!f=?{LoED0Z_W)x{OzZSXFL_ z-`KIAdA5^)BLFbym0w_Sp)7K1!`@I{wgI2z;Z)dHh?sE52#G><;-nBdQ3>472Bt=Y>@Z2pw=MZJXO*`fY}Ev=m=25&|NsF2{0MN-^{Ze@p&&Z&}|SwT#iKdO_pG1yXUI#@{+CZJhBog=aNTR;{yU&YF`UCXW4+xQ`oF`(xiXyq#supPT8F z|LvAxiu~G*00)_8kA0Wm-d?f#75?wl)faHR!2bG+`X#jhETf7^;MjhaW1cJ+>uAH8|Ky-+~ebvHbJ z-YRRdJhpvSr?TR&^5-VZhKb2@-~%HKF<{0aC{(KT5%|Tp8#5*nabF|^O-QAE&qJ{Z zxk0Wq;fSN3>+Jq(gI$aEUmxts-QPOcHGhB0VAtFRd5sCVz*8>|c1_uTWw2}F{!4>h z`Erm5DqT^q1+;I<}cVmKS3_q?7F>ith`{eE50#7&f4sX+4q%1DFgO>6@^96 z+bR%e($xiT;M>yQ?FzuV5I8x2U^riDt?BCH5@{*_T^7O zFaY4pk}VKtdgS*ux{UI^4sr-KN3x-yCGadOXKg=h+-zb@i&MX*VsqEHnx1i+d&kwl zgT(=OgoRs)!xUi?6BYpm@cSbF^&V&v4qfHh@jP%E_VlKie$&Yh#FNiedyn8aM4}Fl zYj{5S#ER~b{ws0%sK#VLB!gNL_ma`@y!}K%#fbGA5F=#pPW$EA2^BFodzH`PW(#iM%+b9)qH@EAs;8piXW)Uc1<&$LtFqtoi8fb~9nZM>+j`}lR;5-gtON4WtJhkUKYJ33RDW$%R(M`%`3?2T z>Q-f;nmYiw>lIt8GRKq9h7@_&@%iGWIb^!dIBN|kOUXdZfpwxPr!we8@g^8a)R)Sz zRwc}n#TKN!?$fGV+enqPFAZJN+M=9l^Ua2rN{jNrMp1l6HD8_bS&Q=bjdb$r+j;?m zaLMz2O;VHeM zM~z8&0IyDX-c}$gjrL_?%TD>%Z960P<#t}_%A%cLKI2u6kgr0R%Xs|WoPQa#I(O|1c`MXr} zrsqgxlO%r?qkM2BVDBgE&xC(O`j*`dKR12`-PJW#vKRdt^B@Jg}o(oOx zx+W|cduiaHey~Pb7F-s7>$`~~uX^R)%Y2-mdQG`3ywD%}F7CO|wD2`yX-oUs-{TZU zb54IG3Nc6eUv|vJdC;dFA4e#UJiSvsxY=sXj4_qB)Y|9k?^?ier%9quCwlS)#djjDLcl zPoARbEjAR4pev5B&PD1UXr99>FTKM0BF~7O2c57TDq+)0Pt_$IaLwm8u2hlB8c0_- z-wbaIsjE6s@A?DI+O32S4Y&v?>6IbB^i-BBTO_f5-`I(gBppyo?}m^>{vx^jsiSd--Q1*>dUsjM8PK^@dq{t{kNS4! zoLSWeYMzyn+>>I;#xUCz`{6Cmd#1}Xk{r=Lf(ot-d0tBVIq7(H#Iuqd)IW0W{L}9^ z;rkG0jUa%Z3RkB6*?!liEKnjaWOaXb%E9o=>vmh=;I*iS67pxVtjI%g zG?x4DMMQ%`#@T0e_G#BDLg2b#T1y4oi$i)weqVQ%PI(?Y(&K1PGU7d^ScgOIzng)= z)Q7lan7iN?CdJxazJoQz$j^tkK5vkRu%>8vU5M+0204l~-6sDjgu;N2fy1yc)-+hQ zgt!_Td0VjSbH<<%^nV6kDXFs`7ETow?=S%W79l2EGzR0hj%C*e;F{2>?E2%lrsEoi z>wH|}alH@M!MNt)IuO^DxVC~eRNy)v&-J*HedzDFrr>%A*Rj?J$8kU9jweTBJgpTp z#BopJwJpBVK&QTAD3%5}U636Jy8&Ir+O@qE4fX|R#fT40mG5N8cRZV=9$tq}hLlSO z5xIIQ-()m<<<(Ff>ih|dPMl7((#-)|botd#>jQA)V6fiDV+V3y1{JW!t*;_e9fSwH zli#`Nj(mBb0t3wCo%nqv?h`M{QJrEo`7`*F^)>GiV2;8_<-YX^@PPMB!pfBX&&Ybq zf2xc}_;KEh={US9TyWV_J~Yj!jyPfxZbQQCBmCI0A7boHaEwARx?MPcE@EAa^fNdm z(sF~6{BcRgEIW0=1hew2toT;8e5LSIteF(0F5b`>N97A)uLV(5C6A)#{y)FE? z#=o5Vi|OSlFF*Ct{+HIgG~%yOFLiw}^#$wm1D;QR{sG7UM4PM`nLHm_xqRI&_+NPAn4Rlwl?FW;N zPo#73KLzomr>h$Lb?i}W8GYH2w@o;Al=3Ht}gOjHogw(Ynb#%2z(329}rX>hO%UaFj zR9D4_n@nMoce61^qSjAvo4eXi?DiX<^nTLuBT+M(QjSDrx{SNs?!9iee6)jT?~!#p z#F*phV5RFiF#$^C_gl>|w&{EXfaZ7d8?ZAGBZT?cdW*pc#lGsge#+NXu=qo$`aqsS=x9x7D#u|;gO1{3`e{Gqxhhe2b6Lt&@>H%=LmQ#lcv?pLy4m72 zU^;8S7#)SZl?SSL9e!15`a@~{sLI%sp!j##{1T@0UkA%B_|73AFw9f=I9_=tR|n4| z2OJ=##{xY`yzrr{J%K8a92&qi%PrTpKoQ!{Yy~Pzc>5yb_vB0)2W&l)ScTQV>{d?rX3K-R9K56f-FZ1@m4< z_eI&|Z?2nX*u)59s3)k+u#Uc=4Wa3k-(Y{t%b{cYuX$|nJfP~j01YrPRA-OgXuM2jF^BmP=&Q!ml60kB3dUk zv2WjaQfehRCiGU~Jg}{5k-xcSzTY-O1Iz=@Pz}Of8en&L#)w27S7$g>c%hp)iq5fd z8HfmTmfG#wPu2 zZ-GP0xKG1dEC&6jU0W_3`y3}Sk01L|{GR^a{%+FoxmowmQ(FA7(g^d^V5Qlg*XODr z;?P$m4sl;xI(fI4^d~AN5{Xnk^rv|rE}(m>;;=CT`U7pDndqggrw~yclvRUsS%t8H zV26hA9tG?A3h>~Ka5Iu2{6{^*i=gF#iV<^76(h1u1O|7SI@k1vnt6B}Vtbh0nJY%z z@BMf`K4#&O$nc1YiAl#dXP9)xxu!wJd8W--h=4fHv?6{^hLOa0J4&{v+z0FgV@{Rz|FKoC;Qkt|fQAgV*xz`Dm@`(R(m) z_P$bSRc^bt!+VKxZ|$;jP|w$Swa%e96PN)cgo;0b;wiNAkEKPH|}u8^?^@9Zz;t5?7a{T;tp&4(C-ynu6de zJ4!dF0Y}HWC68Qu3669+CEvIBTynPAko?HvA1f!c&WE2_iElcrV9ARB_1_o_{UHc! z@JR9>@VJ#>VKKoyV#@~nz%+!zAse`)y9E~vM#9>dO&iErZ340lMhF{gGSY0_5Jb}O zHl&fqcS<^d-W@VD0Za2663SsdLGVP6nI~aAy^DS8 z?!2TM{6QY~{on6B*D*)`E=tQPR5dDQq{%XKR>r+lHH!IiN2qn==8>i(chb+tWDuq+ zU4P=4Wy@vTdHp9{KUW)tS`0%1qC1Z=qJYl&_sWkm*W32D@6Vd6OxHQ8f|S3mn#Ie6 zFdhhT0Vbm3t) z<-yG&+*~H*GYdBt$i_3rjm&y2b64NYbD%}fhq=fgf2rdU;Sb1e!HI#a~sooC9wx@XEiyYIUnD$u~Kc9~zC4{j~p+P!vpZRyqrw+^fAUHb|D z6}Acb-B|1Wx25(qpC|GDwc7GSU?|R=h|WN+HA8h)NwpGnr7Hxe0O*NLx=8p#h;#y| zNO&j8Am^G;)hJ%hJGpQIOWGd_lC70o>bf0rEIE{L#u6b2H~hI&oaNBW#CU6brBo zrP|b5cB-{(<~AtOg1AykV*R{Gd2L6tu}S9ykE8C`iQBd%{jgKc?`ZxG?{^yaqKboB z6-OyDG!@N4;j@+B_}RLtqL)n{;MzqZU;r{!9SD%4I+|a`Es3b~$_pOwt)p9okjz?2 zOKZo2Ry_gp#Bpm7R1^c<+Yi!Xr=@{$2d$(J(F+>86h3ytXzaWsT|VRH#269Y$X-q{ z+`KfIMz_y4KDvFjInbhS9M4C{Cs(Zg_IdT?AGa;s)@#Q-J3ihq@Ri^l2^4#{q z?cNc8<&}TEa^aQEb%W|A)GexewC>rujdjQCzOTDn*RejXeoFm@`kfR`4nJEq_zXK* z`aHKb#xiRj`hiRaYQqN@-vS`-imtVdB(eP>4HPVe`Zb}+FPvwbkmZ><;O?5%`xtFj5>c4iO;AbiSxRB#y49xosJ@z{?sFjh|^7;qDWn=Kmx zvVJG&WGyLfe3Ei>3bn;)cqOz~=(B-9rmP61zgy@Ru3*UY?*>|oE*_VPL!$O#jt3re zpdIcx$;FZH|DKNW0Ej_x>Cr6TV#0ljQDF^BR$*=1lT?g4>$v#2qE#K;QO0yWyqXar zMpz-DVya&bP1URrFbM}{&I=HO317gFGo14RFf8d+-|!Kv7y;vGX1F~3(VLB;Rx?*; z{k58tjFlt&nJ;chTqWd~1p$6?B6j zQHSP56^J$+z^&qe(*RM>G_4;vQuC=i8UmQDBcIKqA%s~ww}X;Dy4|>J*#PR`&GUmN zkL_#M2ML=&3PvN%V;|ezO4tngFdDMj;FDo|MDm-5->y$@9 zWz^zuiuy4(XHlL65L64?N(R!P7A_}J;x4Eg(BV}a35hgw>2)|>b8;d7pmXBb5F}Dt zAg(|I9F)`hIp;)ZGuiQ~ULLj#I1o9%eIHPj0PJ#Z+rg6-_&FuE_bPO&@vL8F|H%$1e=-8qa}6`mAZ%PPtCv&1GUsgSex8Nz_e74HeE?AEn&BP^Zl02kW7!Gw)8D&{ z_Al*+PX8;Rf&i#UAWTLsl0H4lj84paS}J-JO6GGWEN#6A!y-uD>~G=Bc%RAI31?j* zCe_E(>@&IUjM-d+Bs~zUKF;`-DJHzfev?f?98WkNg`;Af&A;)sI#=JyZyTfQUEPr} zW>UQ?xKXO3eDyJ#Yv~6i)F3Y&VrupytTAfcdwHkajX4{GD41#8P88lfu6}QaSDoZW z8Ih>n9sXsG^7KH09oviS208c%Pa}9T{@#6jHdaaQb06mgJK<nKb9dF&a;y@bd9my`kFP`w;m4h)61CU3HF&ptx9w)6 zen#Vvx<*5tN19U?6B}6_XmkB0tUa8mk96Wc?&Fo-!PM(Ihl(?Zmw_*bj?1d&Upr~@ z077UFPl1|vIBs5~NCsS}SIZ0W$#Ld}91tk$owZJ5dd7^(euaM0; zpYA$^-&44r-lgzguSC_n%lofmGcj?mQ61}izdKYi&67J3fFho&BrFVCL~L4Q_; zh=-Jlb@B7NY)1PNtQ9`m4`j^^K+g*THVr^bhIA&3{PFVp?y7^M586hET?3lTL0uI% z84P!_{Y3wh<=5QS?w4I%VJGrq*b}sQ9kvUtH(hq=u3!kYl9N!f2_@gU4E<$SXM|*K zm;d6SvDib_`nWaH6+MQPSt7?U$sBO;;8<(8t7qBO$F1GyzO$<%y+7w-qt09Xt~jyr zanlcnjmu1-BM%!NHH8jGL~q)L7&?r866q(2er{*-FoQWN!WbD~zXVsWVnvMP)?fM;!iFR)yknQtFNaoj zn9pb(#)0*BXIkn@&Q9YOue#P zmwY!amlN*U;}xNhVw_q*v_uMV*{KEhrQi{bxJoJO4kq7DV=cr9=@{; zxSqlFIySxkfa`C#{)+2=aBW5UGw=e5bst;LL&l4E{W%`7o5~h=$ao2lhgu!g-IaT= z;~wDIQywMP+D7>Nm24Li4`BQ7qafd*BQ0b$fSUtH|K7?#krsZKFWFO;QTh=$!4e2I z(Kx2AQA!?d>p*^&nYCBq0d!86@lWrpnf)!rRKxdS*j9STc*W#)#cc{5CV%CZe2*<^QN9gV3U^|R&MVyXfEq}DqS@}`6_(O(2PCNvA zRmyUc{xQ_@Z`9K3u)$J%c!FQHdnGPBKhT_lwg;gt083r8a0C4s{D9IsM z<8}1(Q>L{z?hI2VwGfD-6VH&hgKqGR;n$>t+i1VUGw>DRN<4#TYG-VH%N}bTh2z1G zwf@l>iV2!v_pdNirXf+q1V3lB@yWqS?m1LIc+8#tHrUde+Zfex;9E?%Rl6t3>3 zIUnFjW;KmH5;fOs82z^OHZ?!ce=vxZk@tkP!{~@%h!^ZwA1V(jaS~PXR3mOG>FVYeQ|UixXu#>q31umd?_t(X*f4~v&Vx5YCN-V(A| z|0dm$9@c(|XO8qp4!50m?9j;;jFW8AEeBTMqBv(~I-3R`i2~9TU_0tVrnMuAOly0T zn3{$hiCUZRuxV|G1@CMo=S;*5(6dYzn|V|g{}AyfQ#P{KX&9XYI3Bm$~6 z`5lRJz8)-JbiW=1GY*}7!nPK-HK_9F92}UK?>g%wm|Q>7hfXMm-oKCc=bW(m8#pX^MY0%}+ed-M^dWB2N?Jlg6{V3%U|v zqWhBwWBTmf;lqzaL5(QelTc;+)1KY^#p5b^T)n62z3LNt*Y4RJKHx|cUHjvT%q#Y| z?}NR-88I8Uy#l!14Ji`d?NPSpNEFiGZWZqCi=;hk(ahSOf&6eyJI%rZK#=SI`(bN z6jRKmRffbf7(V0fUN}qGIJRaIc8$+4ZJuO`4`s*XnpvjJlTGnM=r)~hFU8C<>5b{g ztv60H)!b{c|FZvTG$0|tqB;W5%;C_?pBtke0dRg10?d_YH-Sn}SH`rMG8#Xx-!qss z9%-&`++CkVfbR)mvoae0#$QW#{kM9xK}zFip0tj7hxzB-Ls)!IHlWq5H=_E>l`*ZR zJv~`N{psrjLuE*5bmGsBhofihMpTHtiSCK;%_={pn|m6;>}P+Qba8hG+oxxH`Z9T; z4joElW3WeM5?Wm~lsb_R(Ik;DMRyZaCE9oZIw2L%jl@~(MLJJ~i#$O-c|@W_Pc4`P zo1ey-`Ll!NJ2=q5_1&G=J|o)$jr%C`)+TZ$w8wM$nIviR*)Tfn$RjJ(SqPqu5A4yK`~z z1d_Vkxv4lBM1MJi`zAk9Je~Va_CK;5(mtlrsei!q)D(!XGt-Sth9k=zp`&9EV|A?o zXHaby@Du>61Qx&`m`vlb5Th8v3n6ME;^I6{*Yo{F#6|ntJd~nupu~!h)A)D>!56EH za{r2yAMkXtKgKVZ&F8B%Cmf5ylr(IvA0CYjxAMJiOBXqvCgiZGt?yaS$#=P3KOHg- zk9H%brMX8nVldiAeA7Rs(s0{>W&P*_bM=sKqYR8Pd?klK{-n1}PGQ7xbPAAv%8zu8 zddKYV&_%oaE8lT+h?ZwE`3We{I3d=Dj#;<9gV5rkI4a)>cjFPxBL}C#{#E(TZ3obD z6lawv^bZ|u^bG=evOiXBQbmR8EV&JK^XdbH4xJbrTgwAmGv#fqaBfb|5|ewiB0|04 zN~Zi&tK4!~J_kuB4AGEjehk-g2D3ebn0&T{xZz9(e73iG7m5-a>Z!mS^#F?N6@mfc(YP;tqUVsZyb*-UJDiDZH6z1)0} z$Wof0Td<%2-8;FkFn?ioA&Sh&FQjC-g(Vj1!iQ}oR={5@!A~HbJqdFcWt+2eQNI*B zAU9STI3RBj^+7>#sm;n}l*Z?l)DS$v{ zNcr&1h<>8(^nHycnX`-YSo*SJYxYvn`&1M10Xe8U0B&*oqWq=Vd1y@$8sUY_V$J45 zDizy`a`MeQWljMcB4SWI4Omc8SXi=z8arSBn^u4_ajUgI2d#VvP7mAG=)odSDI5bl zPe2(sR?IH8ve*H|u~Ke!F^x`6J`HRhm6hG50TT)q7x00_&_9xIE@1+j+t%sRRi1h* zQns~C$E9h#37jp;ma-Sn2x(oY4RUr~9`8Cdvxo-4Q=2(|A;Rq@v}*WJYba)x764+Kp{Q>FvW5gp|h71 zSmA9F?oh=frg?xu24E7>5SeYcR!?1?ZWTC^xj~N5hk!;0z`Q`;cFua*LZu;a@wYvdd9w8b5tG?23j=!2lep?C4 zvx_-u5CUn0h)pZWvw^I%d6&MdD5pdnFZ!Sk6=9ooQGtbq8hO}kZHy_6&*aobjqp!` z2hN4oMG}0;!b1wCKymIO6~-mz0-}*pNzQ}h6_kVOgPDX+7=--d+!9+cdI+dO#H7TG zQ7(mpPs$owuppMvkCayef=F<1{3*(|<}RWHD4}413BS24wkUsTfi+etQ0Ws!(oz7* zLU_O(+oC8%aO&J{{LOI6@;fw}^AleL znvniQjFJ^I5ZziLSY@=JMi9EK%h=44($e+?3tB)zGx1|C!-y$(19!?RX~)Jz zy~Ku8X@WRFz8S8o`G`Q0ATFSeFdxCVSgI&CUc(^K<%BZetC(b}Jm#4(*+R;OaNJhL z7BraJoRkMWYxGhgNsv>$90R%vpZr2t^WcymG&GtZ)q?&B zVq?ltXAny#|K}bqL_O~L<{4SM^r3|#ZufLH$7<>nf&>^u^7eGlQc%GB+(IbCLU2_f z>{62*K`4BbV~`iG7|J`hsK5frjdV`5G*@k^Mi24| z78Y=I%wk)xpkOIFN>lq(PIl{rs^<4!)A{O>YU0^&cMr+d%54r(o*8l_q<7P`5Nu4zEif(P(ccmY87x$pa@@0CBk()EEgc{zRm*A?ZjK zF4wmq!kX&ptNw990O^41&mL99Bl!3VOkU(xnSsjbOfxh^gzOW9{Ov|+c773NGV~d; zQE){SYOvDf#4y)aLQ2uOYB^@~I?ZL4g^h zgTfWiDCB`L;|?0Y45AVx5Sa*8k`RIYH)Os^Hi6lyKEX+hAObfg)sRm6!dVzsok3El zfNTvcuNny@hYz_2VhfvK$${YGBg1O;0ua#$H93V(f2|@U$O%VS?zN)mUTX(>t8 zUaOWyZRsojCJ62gf>OKhz8W=#F}sj-_GJ>ULzII$d=s^U!;{8~e+wj2DU3gPl8IEv zwus2Q)!#r*IcqC5LxsZMWjSdkzb1EpXq;xc_ZOPGbY3r13#IXrxbkjXSeCQ~WM z>*9hEn*|dXSeK1StxX!9fwi4u;vK~;xs@(M`bryylWhffIb@SU`2q;fobr)=W=toU zZpOrkDy{UUNfpSaiOasiH1HQ%vtR`TC?ktC`W)omhjjqGk&ukg5P``Cjb0%MMPlZ6Q{~uX7krfq^WbQK#nq1~HVwo_<$3n6cCa3|$ym@LmHUk>6X1NA> z;Hwv`QqltQJQ5n$3F0{xa6@@9NiLco=s^{2I%_=P-ZmmVjidJqCnv;m$uh3-NY05o zJhGrTx6lR}2%KN!%O;7$-U5xZF5qNdSX%xsDM5u77IUkqw+9x2BEnD%8$TnlL0VE` ze#laq4LLxA7HNN9&Sev6je+-y#u^0$ZqLqrh_;sv`MmUPy+#~;6k)9vUY}r7n*4oB z%*;gyAxs>Mb3SxdZh03Kf+>Ru3(_D61)+tfq2d)6qOvhp7Ui4S1TZgdQxI6) zkg+5 z7-_EG2m;1KA_>XyMpb}I+lj-6lg3=AEM$Uu(3rt`=Fz^%ZS%qAojy#)0!K$D`VQmAPo%cG~f_?0)3>I$K zVKnAI^tynS0crYTu0q(+OeT~Ya~0o~atjBsK`x^S=~^>z96+i{#0dDlmcxSEJbJ*UJW847Dt3OB^#+02CD5VuPhH_mBBI&P8S#(oC77+?9Ic>(A^*9$FHFnnuK z>D1{{{8DG8>84GepyP}Gp<+LNH@aX0I1Y9O(rsV-uR9d^I-VNwnlU~7@bCDAFFMBH z)rHbrgeA3b2(_JM;2#|?>3FnKfX z-IqKj<*u<}%fO^ROul={)M?Xa%$zkl{hoX0WMt00Z{9!epP%(Wc1|v4$ihVh4?a{_ zgawm_p-I{nFIl?mkw+g}{&+s>s{Ehoy>+?&*Lqj7MwY-D_2|jhLxS)?e}1}-{4bcj zuJ0z^>6v)dNqzMMYkX3No{VLUTwB6shv zF2+)#?~0xtJukZbj*1(0TllVeqU?YtjrwV1@Z(a+C}tQZgj?t>qnHtT2aH@2P;@8@ zQ1@wA=pm3>2Jnd;9(h^VS zS~A$K=s(gWdo-2EmwbaO zWt-7=q(KA+-KJ)HNUZ`p1F-t9D#sLQbcmF1&Bb0Ktp^I#ghE@VPHv;!tB^;i#TKcl zh4?6zMT8MupoW?}QcX^(kJX|9kDV--QtE~$7121tX*O4k-~cseX*;lPl;PE?qSOpa z)C^)wROZc@HWx=s)Gmw%F+6WAkEofn{zM{-r!cwGpb#HzlQABD(`L+0qpLeuB`!3~ zVqr@fD6D|M&^Qw|VQ5>NNX`R=&&Ey`P_W>jQUP>!F;an*NF7FSU4-N_wrRI6lMSSDEpy&NxXsj(FSavEmH$Y9F8N!E*^98b^*G@3yC(E0tYn10LM}>KUE}9 z8@;4`qhS%JwgMSLMcGTJ@HWE)kp)8}G-aTOCeeBNWw93;fsa0mKAbwV0fZ$Oi1zXg zcVG+B93NgFJqZaWFn}4lG5_v8dXf~wSjTYIiQlmIz$zJw5Dz;Udz`WPW^fzO3j2$! zirycB@6lcFe8%4W{EII|x)bcH_c5U<(T88NkLcwOO#X5Incvv=7cO4H;}OO#vnz^7 zzK${1HTECzu%5B2;_jt>|D>Dc|H9AxjQxeN7XIO#6CdI#jeWq)+*zn4iZ$*gQ2@1V z;|aE5v{`QmH2kTCo`PB9_O1MpZQrJCsxQNfP52*eq&mmG#Mn$HW6{5{vS*)X(mxz* z;6rEG6~AZ3zL~l=wDvQ3@Q2!Kl}dehez^yAAhaOU*_~#X4|@c?FH@G;z9#y61GSGca`_cR}8wzc|060b$|(;W{>ZeANN}P!r?_@JStiqwmICxvenWD}NXB8UV&96?fU$Q&#l3u-L zuphc~^i$c|q3UGZ(dv`sAOB)MtiCvi7YEBf`K6)q=ydpJpICV?x;44cW^8D#a|Jw^ z{JQO-=Bq*Cv^1H6~gL-H60r=@I$5GlYF}i-Io8gi57i*7| z2U)1=pH&CORv#!o7m5#ms6OC2ZFkyJ0^uYli0OaP!G#$PU=YarfP~dw*nhm1;5Idl z-RH;l^k7vVRG-}2Q-{+bZXEQONjpXJIAtSdLsk}BskH=MWngRA_<%lvD+8T@jU8U= z^kt{GkPe;ug;s?ocUc+l^FxD%jT|;>m}}U`q%Vd~ z9`X0lQ>W%loinF+&c%$u)rYEc)}LJ;`|Qs($F^SI8eV&Qt#RA>ZEtP+Y1@?Ti?@Hi zz3-0V9Su8NI|^TEsI@{?+hZ({?@6nEFP}8_VC=^2Ud6oP1-~?oW4L+8w^< du06SX9^cpHE!$f!zIE-bSz^L*gt*zy{{scVGj;#~ literal 0 HcmV?d00001 diff --git a/bin/snasm/SNBUG658.CF7 b/bin/snasm/SNBUG658.CF7 new file mode 100644 index 0000000..f20719a --- /dev/null +++ b/bin/snasm/SNBUG658.CF7 @@ -0,0 +1,26 @@ +#readram + 00000000 00FFFFFF +#writeram + 00000000 00FFFFFF +#update + 00 0000 +#video + 54 84 2B +#label level + 02 7F 7F +#colour attributes + 1E 17 1F F1 E1 30 B1 14 1C 70 E1 1C 1A 00 00 00 +#mono attributes + 0F 07 0F 70 70 07 70 0F 09 70 01 07 0F 07 0F 0F +#window + 01 00 00 + 00 00 83 06 0A 01 + 00000000 +#window + 02 00 00 + 00 06 41 29 0A 07 + 00008000 +#window + 83 00 00 + 41 06 83 29 49 07 + 00008000 diff --git a/bin/snasm/SNBUG658.CFG b/bin/snasm/SNBUG658.CFG new file mode 100644 index 0000000..f20719a --- /dev/null +++ b/bin/snasm/SNBUG658.CFG @@ -0,0 +1,26 @@ +#readram + 00000000 00FFFFFF +#writeram + 00000000 00FFFFFF +#update + 00 0000 +#video + 54 84 2B +#label level + 02 7F 7F +#colour attributes + 1E 17 1F F1 E1 30 B1 14 1C 70 E1 1C 1A 00 00 00 +#mono attributes + 0F 07 0F 70 70 07 70 0F 09 70 01 07 0F 07 0F 0F +#window + 01 00 00 + 00 00 83 06 0A 01 + 00000000 +#window + 02 00 00 + 00 06 41 29 0A 07 + 00008000 +#window + 83 00 00 + 41 06 83 29 49 07 + 00008000 diff --git a/bin/snasm/SNBUG658.EXE b/bin/snasm/SNBUG658.EXE new file mode 100644 index 0000000000000000000000000000000000000000..d155b7d2c993ac9ed84904608aa2325634144346 GIT binary patch literal 45705 zcmeFadtB6Y_CNlf+k1wK<2WiPC=98Sf|(1c8w``BK~Wh(gyq z)L`B&wSD_k+g8gZ%T;VKscdqQM+Lx>AuGxtNV9bXkUF!vz=f&c%1|DWxF z!RmT_amOZpPg*rM_h3xr=Q*9mRF&#Zi0GYA?1}U3Oq|7%H}|uXA4Z2363K zP!{ZsuDRGkw=WRF>G_cKN(=o#mrVzPE633Ny7;>8HR?)j_n*}CfQEK!8SxxVw~&4f zX0T?l*PE%Jkl2-P7e^$Y7P=CCj5UVId_LNN1o0 z(cT(rpsUAXM@D#O92vXL5XTbLi2?rVuZ zsi_k?l({dye5XlSM>ID$yXww^F)sUBlk5!c;RnoW4ED!UX}!97Ay-^ivdJ|4oYlaG zqqR{6u_KN*MJHjE3A&;vQ*@u?5jFIWU@4Jb5aC#so|&;UYx%OW_l&gWrY1hrEK|!k zGS4b`&seq~f;t|d|C~kPb1Cimk_8d&4(;5sH$vj&LVKwBm$mDS4xJz5aWngJeJfYx zt;osEmfVfA*V=-e>i9Z(Sr+u37eeT|V9B$|NY-G9*2@CFr-bi*bjb6E2$~QqDP&tq z!nrb#KGs?mj^SaA({vYb){@(~vb82_=@PE|QIoagS6ta+Cac?;#+5itF8dnH14N_N zbL)>e^lr&lSLQSoS{YIM@<~Y=P?uKFEuU-2#Y%sdTL!ucD3p+Y>uvuTfwrhj;rI-8HFHJA(Da%IYwGqrFOR-j9CEy48F+Of*kjzmlT*1`E{nXsfqkECgJ9i^@#r2 zwDy;YyvroSXQwacS%-=Cr!XBh0PQ%pwaB#1#}}B2kfvXiEp26y)ZrM56!1@()Y(!7 zaK{3x&6FS9(=mOlGqlp=H6WySsw)ldmiRL`8=jIo`*Wo9jNyu}S1O*Bu-9!|XY$p@ z8VgKoBA4@(maEB_%*eQ~O}{F~ZGFb%=TO-VspKZgo9px~&ylQcO<-rTvDyz3KO0X8XH5rvVw~71RR->7LD&==>%ju-b zPm!z?t^vZVr5Tw@U5u_07gkGwsSKnEDzTUK5vyRGDK-j3O9}1nFo#Lg&Z_vcDc0yh zgZ-psh(2-ug>9PiIh_}2_hfx;&Wb!g>U7o*Kf)cRVwlC=l>ZKSc2BE<*=o^YqIC8# z{AVfmzr+7N_dmt&$8H#neQ45uLw)xD4*S-EW>x29M)^4^4dC9T;?$UEv6y>n)b18c ztILC({Zjf;^zf%W*bOwTZJnAh4F2U6*gyRv<29%eP-C7CkI^BSwNu(15>+!WH65dJ zDE5GFFMS}h!M3_}N2U)w`_++WzuKmRFZsir=apEmKbAcVy%ga4Vp{pZ8#ef~IT{V^?ZBeaC7{e>7nXs|!*rzO9+o#Y&~MpKiM;V!`n#-0hhoz^pzMd__W6t-r?H9n zahj`3<9>E4X6q!g0*mEQGCn#HT$N*X*`#O&<~ptR!!7kys#rlk!@yUn-PXw?P|IrG zHPt?2+Ps-=@s-x4iD3m`fKM654xv#g^>e-e=oZNi}~nHEB8Q znMHT{itZdNy7O|;oqa`j_E4Mbs-L^0FjQ;_+2{O0+R*;^xZc*@cAbhVXy?)^ucNxl z*&EuOe8OIEQzj~t5z!*3%b*|R9Cy8TF1>MCTAGnglAN8hLJ1vGLc=@dqiFD0^EUk| zDT;0U9i0pec1Quo-jL8vnN%am@zdyy!vi6CsEW4V!1u@%dfw%p~TJ>y;WV;!`6>HU6 z1XJ_Ph-zjpkZg(hdfQwC=SuxjW(F9n_>%|2s7X%8aTqpz>=unf9jbAk%Lc=glY6Da zsHi;O7|mp(=^W+wz-BYn-D#xRJ;J5ukX$Xx-fLKZA-+P-Pvb|wcb9Xv84gYN-ykUb z#l*1=*L1&(G<-I2wuhbI)&F9Wz2DmIcN*uE-fc%`W!R~D$oqTSBhD#Vnd1EO&AVTZ ztZ<(=vPBI}tC4Q(^Zt;V=e@(0?}VHMq>k}Rd8Lo%6!UC><9UbocW>l)t*FIZNT z!Z-juP4#)lU8yJ7hlxSGAPY1ulk>1(H<(I_*hJc3l1s)gR%~g_JAox;>t8{!ayHTm zQH+wuEArAy^M3?2QGv=D0n-8Oi6K9-71Zq;K`>}m5GV4 zsYU$Qu|N@0opUp?+*;c;4z2B4=lGP{yP}lXMBBBFaVeb_ykjx7447$Jt))<#()np# z&g)XT7wZxuc{y83&jQ|?l}H}=noLaCj8Ds7q+a_BSQP z<((@`bqxgPPx?TneKPp6Pr3Z>DrV0Wv{-1pOZuhtvZH@VpOgZCIeqAKmxyb-dbR99LG5gqVH~N5rW|H7evI%JG7s4t&?sUx68m4NNQ`r zP27oIcdNDZmT}f1z1Zh&U>)KZgSvXK8v*i`agy@8M1lgMwY9u)9Me10n96ERWp$>q zda&-djB7A$`nr4E}$z}A5ErnvgchhZS1FG z@^F7O$#e)UIV^jgH`BxYR4>;|pSE3W*)Fzje}T5h^uFv5zAi)U;TW4vo8@f2xUL3s zb6LNBJ3TLVej-77_q<}J+5NOmu6b+v2>gRGtqH)d9EShR2>e6_pRT}X$(|xJo$jNX z772($R%mv z^o6hF&Tu;HE4eG2T77iZCsB{jv)W9X`siyPBwEP174*KZ^D?#j=$OxwZ>B%>QInE& z9kTw;vOe%hA9CETNu29x4*H{RnaiMm`8;=->DE4a)#py(lAtznszY7$d&E@q8~mzi zm5-i6fTt|{>M0$+21>(k5G}@UFxl}NLcjE(fS3|0W*NaFp#W;pIc5|*t>qlil^li1--)zYyccdCv) z!!J)C57C(+Iyb}@r>fbYl>o&TL!v%emYr?XKE&#EPE+cgio%}lX8KJpc~Cw@TZ7~F zQIxM3qJIwM6nr$LQ|;Asqd#5Hc(6Vx;^?&@&mJ?y_tLXN;1%hwhFkOe=Q1KokT|Yz%h6 zOxaH>`i1Em_B!MIyA8BZPjTK^qt#h6dzd;ajK=m$OqKQ5KFOa;V|&CS^j441UArfi z@o+L#4O06cjUA#phv+v$w0?-nhv*2p*rzB)J$quskK^`HLyr(lANP2UYiUs*h+#U@ zx*kt6+RUQ=id_W#5wu4;Vm)ugDhZ26CuAzB-C}+=mmsqv@|pARyyF~*;-mFq?{*K4 z%gbKy?ZIYMDjeLbpDsULQof*HTTQjiL|{}@}z0$`d*24 zT=J~e(v05WzAnEA${xEU9N)!R(2ca_l5i}Y#7nu*{4{ak(+;Ku__Y7S;dHqOCCWKl zu;a9Cnv)WaZBJhNJIB3?k{|w~BWqFe+SQKqMajSZqa$rm@*n=_SiC5C&F>ucMajZ% z9KS@a;D|@b)sESVk{|e;!@4Nh`KZH!^glWx7A5~G-!TEdg2Ra4M;!*wb6R?)r(Zb{ zEnLFs#l@28x{|SG+Ify%jFl=B z#`KAN#wgRB9JY0~t1Fv&pF~LOKjKNdwn_ujXcjJqbdu zUh?-UW{*Uza@TD|#>O<(erkvk5{ryYX=1&GZ%oro;M&OlkEd@Y39~k(XlvD6X=B>L zZDI?`UwE^|)Fz_*g*WwieNtXRT~Ue_Buo0deu<9wgxT&EoC(4V_t)huY1s0G$g)Fe z|3(@Xl@8s9zNK5_t`j5F+z^$o$@6}o5R_-ya13H+k2gf@@NcKR;sv_*m!(Z<|3+%! zB;htF(bYv$n)R~0DOFwCl2-UzZDFdeV4J*CQs~15d73p|oj%X= zgN9CDKzsB)FhHd8mEpJ>7%ICfyiekzvX*BqTUryT_1vJP!3$J;KEB;{vm?~kU|Zzi z@w?3FT}sn0X0KS8yDBeQZ&OKBJ5+Wcjdl&C(|bcJ+@0l(X^9Z^orXl*IXN-Izy}Cg zryi^Y-+<6@KQ=QkVk3U&*Anl-u8cvB}jcG{@j@enuaa!9n&QO;f zH&BG+mp+-H z-1g)_=B}N})YQ~JDBQfYvrkc$JHoR@l-Zh5n(RE6Jpw1!Sf&+@Fk@|&MViZ|m`9Yv zKz5zkyQ9`Rt(iBNv69~$#7)-A+%~ac8@I+`$0bSqCr{2IuB z-(XVXH?(M(vB(~54uCABO#@wbjSR-q5yDMB)0WZ+!nGm*H^`1Dn{NFt_Y$^)H1U_s zoaT7Z{BO+#XVpb^G8aXiG8cuNH5VDrnVb33XibxjYtqZ~s+x9cDE@m}B>1G2YMT+C zXK{=Hfot$KMDkQ@6=}K!eDA<_9=>L-mUAVuZ#u4*FPl}+Xe?)-jxl#bNx4PsJikTdRHcf?SMgb5bDUIu5$!#1PB>N+ z)ngX_VfuQ*F~`zk$=bv7;7D&4jTg;b6Re3wJ-7CTjpTr@Sk~5#ei4_rLkW`1Gw%o zAE-w2UiEcH%YmjA`|j>`2h#uSOYMHzhx=PG4CB-=A?dtBR{#-HD`MQ}2FglJ`F*J@cjEm!142olx&4B9v=EDXsE6}SV zx(&4XVY`ETEZ*HeCSJ}{ z$l6uH)n43}fa^N{#i6HK6JK}MQ>&>|o%K{{YJ6BVgXSvbo>1iot(h>M#Y;G^>ig|r zKrW+vW$^dWP|Y&4iVk7BKD%MDYn(u zppY22`|RGul|EuB?#Nsof5v@C)F(Z=Fd8$ffGa{Ep{J+O;LxsX;tcCgKAs$Z5{f4L z)12Gh+iES;SqnFF7A3fWTgW2!DUn-OAiN@wv4Ul+xX+s~3U~z6S~vn|EgS|zVgM)= zOHh&bek-ra)eLFA^zFk=agUsvlecPRv|jpuo$z$fnjRoLoe`e?KO#H;2EqeC{~h7- z{Iu{UJ}sQ1XSnC^#jgHpBv<;W#T|Co65kWYCqzVs&5PL3j=O1DPS2ujE;m~|6u1BO zt_4tmBqY_Znd`Cx#1UTlQluF6O5_y~rxv;9(u4>R7#8xhajZUH)7b+Kc&|ABmhkHP zf3>aBvXw5uG$s`m2`HGve`;}BOXfu+@`o+XsU=4&JGAXIT~qdaWE20_NEM2dzYtkE zFQWWKBmfAgih!!Z*5Fud>vTTdqcu4qT*l`kffMD$sDy~3s23u|sCoFl7}=w>I99N1 z^-pGd?S6Rc^N|o?e+E9nh3ui9%udf*&Ig)H*O>LI^0J{P#42d(azDFp{8sm~H?yBx z#&1Ql-TzoLK3<+9zipl2oUv)fTQj5?CuR)IP+23bQ>?SB8P-RvqII*?W8GuDV4Z!f z>)Q9OZNGNP%o}HB&s;O}#hIVa%$u>@jed>qDPJ637?y%jObwSw&`3x=ilMtIIaec9 z+P6Y^RS|#kg{yMz)JW7NSI>TITj?Q7509R6K+C7%7l*rm6ms#u{;SLvkooww($=4X ztX#bnidFYLs`wsC(p1jgipT_nuh-x-l9p6X-&)bcF9FR9K%8wWDAVvAmW1}J$g5W8 z2fG)`@h2g=om5No+11p9Tt#a2)zn;-sZ>=xZTdFWMzup%L3L_rJ5{MM<3Yr5j>_S! zptsc0-BRVut@MywIcuxSetS6Qu$C?kPiU9tCq&5e=S5J4;W>U@#B*34Ah+bGr7SQ2 z3~W0#JnB{fG}{k5Z4}_7s{jxG2+%*GRH0%6as|PBG3?6V^k^FV#YTu})Q=`+G|t?u z^p>x3WuOhyuWrN3qrpa|kc4Zn|6)+lEBE*U)cIRC4_JRh->CM^99_xij-uCr=I7>4 z#VZED2J~Gr2ChvCtzQ?}^P5g2?pNnNtg|X%7 z;m!QgaLy1YQeaOW}- zFX%S3br(maheyGd3rYl(E59fFI%M`0^XcJY({&po&_b0vY9nr`n6B);aK@wtEaHae zn&hX#4saZ-3;5;006cyqR!A=KCuiY!w7RGIkz|Fhf;4c`;CYNjuOe&Z<#s$ASpKZWH` zrQQv;RoZ>uzn!eCnrWU^Y=|wbCe)U;8`{{mM@F|dw}mP z$gxFmoLG;D9g!;_MaBmwH}elo<~SG+PA;-PjM#mXgE~xXXaH6t~PPyBlHJqI$#NO!)za5YFRWfV%E=t zjcPW?>mAC&N=}TZyb2(I@hJE(7&t2hwA{wSMPUnvyO;GDZf>Mbrr~BX{ElBjS&(iFD?LwLydCj#)yq4l+HdbtzR9N6;~j)dZ|3<&t85>7#OK@i2}3KE(&vX$_OM(9;$0RJ!=4|(x^ZA* zH%KiSQ?ydeP`<#}h3Noqu!`1uhWV~Rh&LnGxUGUjP`pUqf~^xh7!C7oip+*LVjCzSEm zjH939Gy`$_DF{MI0@$p15o`r*S?JKoVJ}5UfgL)E-(LO-TT)4jwQDrpe_{1#>TgTZ zLe=Nu3Z67@j!f5+!MH204(7Udwl^&fmeZM0Gk=AQS0aiR8*0>?b-U&qeG!rmJ%Lv% zc7z;#j(f`*9hQF)K%0u+e=I~W7APtT7HBmZEA>Xu$FUN5#n($Utm{iRcT6Dp!dgsbw&O-R?W;S3BnXj*q*edm@e?E zFmu^LC_^Ri44Gbn5}auw>Pl2Y;Dr+%<{`h&A53>TW5YhRQH9W_`enZX4 z&a)7kYtlUThQ&2BBNfzzsk!0?Ycs%Qnbm+BX?9T7n!aY{&nl=*mU@7$0d*)S9I1Y! zNdRAa$}uad_~@nvO{;?Es8s|y7DRyK;*g4(fi!wWpM-)V*(|=IU($^oxlN&0WQkWQ zQVJzCti6O9HGofQbzT<*qc~tvq0T_6H3_vi#}ywPPKO_gwOq|bvqO^+fG|djH9e`p zIdK$%Q3V;LdS-}nvbp@*=JL+w@>9*_r<=>qHq#sp>jh?VvLM25EqymVAmb^5~{x#ZrH+Kc+yO4M3dnLwsvZ zWDv&z!6zIOpM_Gi&Jov)FUNX7o{x?z(c?-Lj1)Q?jUhH9o9d|8mkloM*s!LvQI9pg zJd0VCG1cp3@lameq1*i~JE;lBG6VY7StI(^Ns6Y`IW8+hQM2acIr-dGx!J>%f5Q4? z9n+yUvc5!4zjOsn(g>PZKbmO@nk6Y#w=VUH8DFCI>oY$_TtAHX$#+K(H}ljChPwKQ z@N2PS7iaRA+NkP?kcqYRfyU3e3f#~noVn$MO|{1HKqs#n72!z`s*jc_&a-zDc8XtzJFz`ik(bDB_&q6dZfoA`~939@%gF}}_C<2WEh z%|$v^aUrA#)L3|{hW@I!Srs(#1!s7P9=TFMGrt~Ce%NN-t%M8lD@7^ihE>#ok5w1g zk>i|DWG{@|ghC0}PH#mmxANZAVpL&dag;kUak+Z!Bte^`&o^6(R%)%AR`SEey*8G~ z3p0}RLQKIkJm&}!FKqh!qg7h(w?)rrH>L8U5W-~14_*9u(UaOui+RNrCK}?7qVNhz zgsu&m&cAa7_F`z#CKmI>k)nN5q&0DwTF|eJu_o#ArzrF~9xDc|B4}3f9$KqIp73iH zSsN`ZWd$dt!$`{wzW$X+w6y#sD9T=ql(W6_tZrPM0_!GVw-!7Fl6h<6Q(A9>%l=nZ zE9(?MB!;~hxz-?zP2yjOToY6{->-UOY(r*L!d^cW6NY=pt3iuzX6<+OMCVHMnomaO zXV52dl5u^cLv)=5p1);EX?k(!(foIIH8|Oc&XlRDv)PF`eeG96HX#A zIMv%)K{s&15kw5BUKGEU6ZRp(r+VLK#X~8K6LulNnCjhJ!APq>BsA6g3aAo-Y;g|W zcAaA^s|W>IMW$45w^HylBIZ=@7qoy;6$`4a2URm1Q&{0?{=yR&RSc394omfRD3Hey znUw0??}xMkG6s-&S3yRMhMY1QGKxXo$m+BxJrRT!PePsE?_D;VvnQp3rf|YJRAB*e zE}Pxig(NhLN#xh0diPQSCtPovgB$95oz@E5!w!q>PRA76TE|4&a>qE^uV8?A3vPwZ zs=fNkSg#tYx(Z-NWdqoNnN_dK`CFGA4wR0dEe7y2e^hz(TeJw|;yMn-72P^~r{n9! zmzfQ}fbY}zzK3rdz7oFI;aiLEOnmp@do8|4@Xf`yS2aA~ODK~Q^jj;{j0C#eFHF$< zkYW{aaf72&%drEf`x>>Mn5nQh27yOFlQ|3Lgu!_^4~GR0#ZUJEtp9V(YHmppjFYk1 zX>F?=VYbH{(`}FA?|#QjTRwW>QHQ->s=^+|Zu{6l^MkuFrBA9-drwzsy;Q|}Pr9B| z{mP-O3iTeXGP)P51LgL*8==GmGxwzG*T@a=?x`|(Pry9Z7M#BT7O{KXElNbtbURdH zw|KPe>$Y}bhVmP&IXM0kVX_h)52a@dZ1;s=@5hW_E#^G?^7tmS;~wOIt9!1uDq%0K zP#RsQ=eh=ipX6Pq^{$g+8T{zK-~%Jw;ry)I$0_F624^EfXJF`nqrx`VG0FA|#{`xb zj6{~8M}nsNAjgnRR|U6tPvO?hg9FUNRgg3UX0J&Xm5K?`{r(U`LS;zbHY6|=jX4!F zKW7kz?z5a4j2Ock%J4#A%V=(?fRXZ8U!!YK3!|y??$6xa-cu>w)vTP6m7^(xLW*sr zb6$mQp+gUhR#p?HxXQ=^3`^PfbjRRj6>y z(sb&U1xs$usyXuJPFp%GM1?W_u-K<06*NM@QP9ZuOXlRr)>%l6}cqyU9MqKFfZCJ=uP3*bvC*xi85i0v!H5sH)8 z%=B^z?R}CPU#9N{`-YJFB_en_-u2b3^I96B=?qV=PO6tX=Hs?7nCAB?y%GsGD ztvTua0^zTmhm$0s!`qtF_Ds8TUy_u6z}tv$ld~pCT3hF>W|rT5Qk)ctUpOI%-McKD zcwX#d=G<*(+m7dJQQ|Y)U3}Jg4zf!h_(Qmo>~-ju;ihOMGh_-Rge;>+xRqiXGoR|0 zrb*L)FhmN$Z-SJ7UvYmI&#b~bF9*V>+B#U6Ie2uPgRKl}4~zKD>4$?u=k$HjD}U>g z@PC$d=3IOaQaA&*V@`Rd9iAM^@Dl}Bgd$^xeNO2z`-Zy57tQGnLtZb-E8sKjsyPJ+ zaumxU4Mlt5wSbJfm5dBKH{xP2M~niTXk2EO=9Fd(qxuPm{}rmN<>*m1S^@NPmf2Zv zwzamki#HQ4;{@Bxc%`y4qkEcqWoto~X0}{DpcsHR)X#;+Z z%zH2;1h&80U}gvRM_E$LYG=VLxTh6HXgK`G&;%8YReL_rll2e`xKyN8Q;O`_tf#O; zl0t_O*1q(}`GkPwnrFYhX3Kv1>mebtD}$M|(6(M->Cc$69Qr|6{9l;FHKnL!JxxD^ z`vh{U=nxE}H*n-q(KyiZ1V?LBFl?s39F+9*2M&(up2K>2=AZ-{=nqfI-rJcybV40- z-iIk5+EbXKfps10p%i0Lim52Y9A5{+Kv)I(P%0Rm5Tbb3!axwl+OttUh9_>My9~HRM_qEVpo88~yq)|Lm zo-q~FtC;~r@iDJz8>|{mT#j}cDi1QpJLYk!RMlVbGz?}gMDdKJhc$3<-h>*pWf%M- zHtVBncgLnOLyyah8xd0`iCGaqz9b}eiQczYQ;)Mwo-e}ClNk^{vG+NAaX$fy_rx*^ zVany7mYVLF^0HA)H)PO3HBFF}HlJBZd(=`o49F8x__c=NNtT#m5D+o=S}@~aSDu)n zXPFoPbbew=5X%f=nIYK{z1>KE^U+^rW|BI^-`7|v1#0?ekg1+0<5hyO%9{v5ihEA| z;7Tkhq+U(ajcWSO!C{(atI60RWh#B7qmnppJZ3Kh;Lzq2EliHxY`j(_ppj^ z6TT7lf`1vJ4L;TvrjO_cAC1{B*=}==M?sC_JjJnsnx+&L=WVkscTPsK)^QA@fn=@H zlI9c`dwHvDDb8^;NxUQu>@s2|WwRK}D6vlF7pCgTtX9?-6mLv=<0Jjb1PrVitL_R3 z%_$Q&E*JFaoWb)8o`K1{h9<|+CqpE1I9XG8b&6qx4&F6j7EZbI42%x5Z~|AQ!m*#H z!vH#XDjWhGCz$a}R*;{PrT=3}o{Od1hj4!kW45|%or&!jnzBEepghE$?YtS2J2pk1-o!tPRdlhQ62G9mGW862&g$t{ zOSa+`oD#xDR)syed|3?k*I({(enXQ6Xi0yifxfP%2Yf;dJv>MW{q$msM83;(1IuZy zr^X>cPfG`BGDN{U2Fcv-*=wNwX1_PDe>z}}WRh0Gjgm4PqY|IElBf)q+scZD|77Z4 zSSq#$X8U{kD6MW{G z$UY;I9kO>9HX?@XA4h2dkln$M-E|c*!)$W^*$O|h-zms=t&$96i~Puz0@-lKW~w}A z4b;~}bssSTVStzJnB+H=d=sXW%yjZC4px@O>5gXB9ABOLfnYB-L%pvB#$FEu(;Q#E z(NkrhswT;^!9d%aSi5-aqt0^(7_f&rzgw0`|IwejGSf3Dh&DClF3UuY9=oZad)7-S zL-a}QvtN>Zi1ydw%89K`c9X4r%i=U>+3Qep+g{B~E-3m?L_62C_`^ZldBU_>A-9fsE z(X@4t7Sz&uMiWk61Eqkbmj>z6en!*$I_mCONgD^Lv7gaot)tIjO@O^}NKczT3aqX3 zo)rdq`6F5dnT1)V{sZ00EK@(h_b$gnY$Odz2cP-~je!+i2wHI`9T^l-1HI(5BcKpH zZ@&At{6@d29d-+NvOHpcV^ICW+l+-GG@yVon!6BCMzfN^Zo~rcZ=QZ4KCR8wcf+@z z!D5ooq(PxD00~*}NjU(F@S`jov@s>fTQfWf5BZccgDvq#8fm`d@2GXGqu7jOcDpr! z$@o_`8NZ;K#*wYzuYTZ>n%@`@;xlRSC3Yq`gNmZ??9rl2ULBTy%ZDrJv&%|4ykss( zNSatfV=vMDMd%to$qS(;@Oe0d9Qce0skyk1G>yV{UEh%TjBo zX`X2zHHQ6kN)irr9iaZxLUSDaH6oECH2Qt@12=G<1tB%9`>9^)!rG$N)A@K72b+fH zju4t4L3xX9$9)1E)`e~GGr)Bv+$qZEMFj5Rc4@fQlT5A>_jN^NpcI^i=*g2pY|c8| zK5>=;Tzhhz--^bTv~^$0(Om`2c_4 z=I*Dn4Z_i`4jS?bdkZcv%r*l}o(&6Ub6WrRV9zdWpj7JezoO*N?Q##?zDa33W!qhxgO=`YxjoN_$QTdKdy};0Z;Kp$U6~*o1k$UI_1+NdGt` zOt{jqmS8$wC(%+6{`C*^X`M96aW0D)C`VdaZ$rYfN^dEVm)Bs;%L zWo|1gUnA#79N;)<_Ha|T)0*^y<+4`G}?%-8$7f1!d)N8MeUB=-0kF7I$Z zF#3W~P_*(e4W3hE-E-HRO<^^6`7MxjH188H-bpGl>1oQYn$M!)`zjb~3Zrr5Qm9Qy zg?M<0RYFZ4(18z_LwL=Hp5I%j`a@4)^zQ$NuIBDI7&E6B_~wYf3>)0n(*2mH(;MyV z$)+E@nl$RIBt6_4r+ttJ?;6J#xazDm!3}5p2lVFyl<|Sz`9<-jp~uhDpDs{V4?8US zcllpj`|N`FqdV06&`n2+8$7pLXmzbO0ymnF?Cb8rI|p@l1@5-q>0&L(eLde?Fc6eK zhF!_MWMLMybbW1rY4ICa4?pE1?_y86K+gUzAKS?gd&T|M3Yy9ZlM9+uofqNjJPt}? zyqFXWeY@szPUjbWddX&i%lKBob;veYwU4{g+w0=@9$}hajN=O zKq|VUa|izkDRZhBo;n=ou}4!;jYI{zM8(Hzl84vRU3*49`a_rB?;May@CFb#N<6Z4 zFpas_W-|X;+n!1&8+O$M6bX-?&ei7|JPE-Rvd23)V17X}_P{VSRoiw#JeMiIxW$r7 z|9oGJhM*c+0COe1&v-D+xgF|DHv(Nc+Qe#uLwDPIbm%>#-p#My>xfjgf(MW1K#r`S z&i95NhEjL_AnizJtMbm{yV5!2;xP$nBwNQM-0vB-0zay*YcpKW=u~TOaYQqqa0MZ< z?|-j?igsVYdRl7xnic5ziq(h$i{9H#tAA9$U*wbFB9{03&g1h&6(d%+K2Y~8f8Dn_ zVi@Yb55rMa#VdcKTRm55KNYC`vEd>gRc-$pO&e9hixU3o?-?#rTIH{PaMu+?O7(?M zFQXT`;{4U$=9tE+pE3;hyDFy4ZKp$5!TFK*ei>-~;;QW^vTalmMx(z_`*5M?00rwu z6;mkSt4LF|E0|;TzWF6Ol+Ly>-&xami4EEf2NLRvv_*^6O^dZE+=zCbmv-N906AVw zfn*T%8|okft046Hr|7@AA-2i+de1~X)$f+FGT9Ca71EMDuo3ZbiZ^aAr>K(d`vyth zBm5H%mbrO6J$)ScpL18aF8-{K+K8`Wd|eX+1p`(E92^T%wV9rMe9epd>EP~MLFjsP zlpic!g}DZ=!{A9o=7S0w&I9ZbM|h#mp35Yc&w{}jc#{?1-*;8;Vr157w<*?XixrQ? zWr|1R?M_|ca;N-HK@;-qvM@UP2qA~=kwqwm=t^6Zu-&-4Yrp3(Ys#S?2+_L z!}YpH;?tRKh;cwnC3L`7AAg4a@Lo1!UQ@T?c`}LZho8$*iOEduOsxlr)aZGgr_~;b zI%WFkphUkq>)FXux(DUxy@T-Mff(3@9cVV=5yKe6=tTwWwX`*z^p5OX65{<-N%*rV zzl~m(eUK0&IM_195ndL!>l2Ce}L zKEU#Pa7UKwX_ZR&g(Fgg%CW7xtvjH_r-xYnZ96)@_fGd`S+`RzOS@r5=S44GYimry z2A$4Qu0cv(AmNrBo&D6fBYOq&jfF-mr}G6Sk+bf|*FbT~9(n??Y8%^bHoNg|tyk~>g|8ZQ*L}li{fc7Sz((XH;1Y;_^8xgc{?5PoUAzf`#j;g@9n`3jO0W=>k$P;B(l60#?XA>G;OPuD zoxo2Y#G8b8fDA`4hSt{z%iEp$o(oF02H8HA-Fo8c0%LkEw4P`^0Y(c?+K$SCS{1TS za4ZIo*6i4+b}v!2ce(e+pYgZKzXgE23}n2kZtwK%iw(m#pMtYc18Y{nQ7%V<9h+AJ z(=vtHsI^If=48^#hjTR}jaz<%mVYN@Ql%UaoTx;}ZbbhyrBT8W`X$T$>qxeE7%J0! z*?-e?jNb=|NGWycFqyxTNc|nw0$xmE`@3sDJ@UR_qi2o_3ls_>*G_Yf)cW;dwt>O# zq;rt-tyFIka`K6-G_^GGliz9+%=*|#K^-XDZMDszy& zZjsQtX9|@O_qDKmR+;b;@yZ3=bOI8U8u)`9q=o#G|nLL zaNH+pj_bV!T;gl-oX)BAB~4oH*$bIj%jvPNgm7kz;q6?tRR&OAUN$&xKuOZ7JRAp5 zh}c4zP!#)~a7))hy74O^sl3Kg6jo>P+!M+K+i~v+v%0P&%U8lRmPi!9 zPV)7+fQZ|KoE8g?Y2!-a~X(8EUbFq$Qza+e+5?Bv;m&mZd_ z1FDsU@puAAf+r2IW1+!z_hU|C6zzQXr>0E8PfnRzzYrqXl&LF?7EJI)+O%lgi@Lt@d2A>jw5 zx#(Zt5Sszt?D*f$fEz#Y&wzjKxOxUGZ|lY!*na+B&WZjx(AIr>*F6{BcBCt_pery7 z77WjVHufaWh0XuXJov3L50ZC`%!BwH!y`9p9@Jbt4+{I)JTUCQ{#H?WGkv+G^neA1 zZ^Uun3dT2}KBKpl1B;U6MUOiOs z4qSX_4J&u|76ko%qNDIZ>^srMc=*^R#>3yKue_GRw*ix73;a2$n{!)_SZcnsz=E}+ z^(5YGw4`Tbk!DL?PIl*6VC{dKdfxWj6hq%__uL)R!ymE0HqNs&hK_7U?yC$*W}OLwVi}5^v5kF$1HT5mE7^R|55O?+68!B5PmWaOYJm-Cg5f-Y=m%H8!qT- zXU2FnwFxo)7X@)mKD{rPpTKU)VN!eFc6L3kT&I(13*!y_uifHN-8K3Q8hSg2J=Tf} z7rvcMd93CIZ{sKaZ6z@diRmoy8kRWqZK-x)Ys~@H_zRn*nuV=!hwz8}PlxI~TZ3rw zzhE~hd#3AX?7yJmWG}YsJw-vJLi&E0J~%`%CpCTCXcsJdYxLCa=4dpt&t@(z5xa@`C-Y3EUiakNgu1%+_!9o;1 z5aOLya8`HoI^Q>ze7?o{q*BPs7HB!IVixpubJ#e>(&OYpspb9{k)()sL=R@ zB|uy3VpZ9D7QFp)iEfnLi#1ico|6F9oEw2HdC$V?Q!iFAs`Xc@r*W6)K4`h{@%dne z`o94^e353<<3uXN#aY`$cBJq2QT;_S)w48C$pNE$k)73BFH(PKJh&vvWmv9o zeUdkHnCF{5Hdrdu(&qD%B2stnod|CntK~taZdyfW>28RAc6%p6Xiv@&?f%3 zFSC$U>j}Hb#cRHG{dy(c!Yr-kgGN ze(jjv&$||4U-_u4bHKX*`oBG8GSnANyo=QRh2nJsE`vVYqGuHP_Ty~|w9z@W((auc zpo~iVnSm^IrJWut%gqvvIWa0@K!xqHV*p{FcAj?|c-G@#vD&?q_%;-+&+Vlf-_G8lcF&107tSfdgRsPvLb2(_40Uy#OT=V# zU|yNbg-Af^cz+C$ zM!#Q5GS7eV zfH3>zRVkV3EUBy{&)WMQZ9@&(<{AgaJ{s6G^%@^a#u@S3w;=q~72J#%u_5=B~LhiX8i z(=&OlssqxWOxrsY9kl=O_tj_Mu}_@*^iqdXzRqRGKIKsR{GyyZC9Oiz>KI|{NRoeym}QvSIYk-DM?Uvko{TVu%dmSdk74u5S2tZ#_B%Md zjV7iyn5=Kn6GtTvKZePj@4h9(cg>~xkA=8lxpUeWCU^erEn#w3IBoe@FbCvLk9`cg z(sRAVIQcPje6v*0509mqcgKi_{qKEi--P7w`WQU90&_EK7 zsek}AJYh_Xef9F|d{t?JaXA|{T&#vRBXJeP=gi$WYgYaEjN$3ceZuU3Q-20_YGtFo z?)6a{^(5?WQ(o`v_m0I@HvaYD4LG`7ztd8B*s`%P33rChG3ZzpoEPC#@3gxi=D+6O zjtlzX;yG9df-wa!aO$c}628Y9-@F&y^a4)DCzIe=XP!t?~}$e{|`MVpa` zx7y!$O%boZL;e5fwRN)TgfJG)LCPbYlgou$;faw4sx)jb^$Vzw8JY8J9Nz%xzL81y z-MB=@1D*jeT*sU!6U=m`OfY$tkD*m>N_qYVK97~LOV_*K#G($Zu^cEVX0}GfV7fkg zGrO$VB$&F4MaEypKm~B&O)CB#!w2ckg5r?nCcimaP`@%3p@E@T_Yu;v{6j~LyD%Kg zZRFD4BI9pj;(%0$z8UYr!0%*4jQ@4a?OnG(jJNy6c)t{HO$iJ^Kwse(iH6%MY+^!k zarub2R#46?z5^h`a{5X|PJgg`Sdt6K*|(j8oDHvUhT@KLiCw3HWnmAOqZin#<#=eX z$i&X2fEgy;@CGxFbs9VkF?6=Xn>_sD?Bx;u zIprb0$6O!tdusTH=l_`KUnM0Gtnlz#<7JKa6ThdJ*O?LO@b>DroSXv|!M~%YFU@cp z-KIG{5eLGfpkL&q*H48v!W9@t=hIF^3bPA|yx$97uQPWOB|oSNB=x1%Yioo;F;dm!}& zN^bYpl=C_@}4;Up7yabHM_6K{;bNzT9DQdB$PX(UYm^d?gO8>m7gqyCPBy-Z*vw zYTFg!7;hGfI(V_28;~psXxIF#sX=0(9;(c8?@M^R_raYV`-`xKONPek=p7}{A6Bh9` zHi*iXYT{^@(NK^geomaU2$Z@(*v>#6u!Re!j^TXZ}lO_>r6Oids9ry_+O$NFld zmPaRy_-_2_kCASFwYTU*Gx0HY7d{SRKe-<%TS%UP)rU{=dS{(jeT=meLys3J!;ekU zek-ruW@4%z_%iQpjcsXfOoq3f=e2v)XzJp^mdisWB+kYNq|NG_IqR!<>YR^10^yvOy;b%4Nj<%oducmx(<`2O#fL9sWSr*o{!iYn;?JX{QhCDxNs!!^pgf{elZv4%N>kek;fSQlCk26SA6)K2ALczIOikw zY-`;*B-05piYmfM9lP6iqL;G9v6#5Ot@YqY4%Qf7#$NNpv@RYA=G_0ww6O<%sePG| zf2w_F)ra`#4BcEd7KEJjJ(WQ?mExT5a6u*|s(nuSTwXH1TgQUelM$LK5 zx`P*Uf;0NFi)r!81Jn8JhtQ05p^y4M!jiSjP)g(Wc4R)9NU)p?$WCo#Z8#Wf=cR8x zRRH1*bRYHtI5Y=mj73vIvnJ|kDogCgsC%xh18C1F{RIWswZ$uuT6M2sA^7VsFqgl^XGrJefy=1?d{sU^@B0FrL~1?SZtRpUJT&%vCcdbp9MiM z??7(yYY02}!Rh;S;MuRSJxV`3*EU}L0X9Yn{ds%4^6O8Ox5t$KIm%P0zkXD7#wQ=e zVy9!)b46dqIUMp~GXLk8LBvkIt0xRxWkZ_0;y?}d8Nr5!yx&M%9l#b~pU&aL zr!9~DW_P-L%d}I$o7ACfM%<#m!=mreA+;zBaQr`wT}-Ba(Jm&rCzR)gl-8eJ%GM^9 zDwM~El=`2EgAFtW6VZ5;lII9Qr`%cvPu-C5rQmxH0qD6nvlp z?+p;jNSq$QTjJp3t^Vp?&A?#%T_}N(bx;l>y*A!H;KU+j$s-&a^PQV$r`(9u@|={K z&bSDfGqMif_z8B?!PZawG1F+V;`+|P8l)MVE(m+^s`B1djHQhYg!}bkCKTf^I_jPF&8x#G^AVXYi&@hp}J~OPS*GT;9t=uSP?7 z0E-wo9GjHOxFi5cSLf|>oh zuuDPqVz6iyk5V%4cr$<{x0wqLVPsu!aeQ}l@|1&g_Q8Jfr!80jbJ~(YpjS=ll71pq zB=ZhSR%pr{o3n!={$JFU1UQ5M3^P%dtUL5?jNvt>CHoHj`LSTd zCO#ekrdw|Y_{~UYqkMM4R=mL9eaMojx}K-4%G<})GZF^)q|~@p!iLE#O8-U{xtr89 z?%S2QRe4NHWDIzCuPP2*)e43+DfmB?V^Bqn;46ETdO4y7yqc?6RM zg27vd9O)-5XO-@6%Oy(RuzV}TJa;$95y>d;oK}{8J36#J6SGD?Kdt=ah>~`8xANNQ zi4;4v6u)^SsuG={Tpkkjw{<9#escR+<)&}T>(ou@mGWVE^%s6Gh0mon4q-0`%irEb zTKShF%8t{~-O3Y3l>KJ_mz-AS9#Mj4)DMyJk3?}AiQ+snRF$rzAJN!#i}H^zB(bY5 zrr>pxJ9UD3>1Uz&%F|dh0yZmUXYgspr}Fd>UHq^m>o88zxaCZAs9~yd{9DnX`Ps@( z0jyJ%9|7#hWb<^z5xMT|8fDE(@}25ymwbE!l%Jg8E1zy}FNrDjpW>_VKc$G;*U}!* z=i`W$KcpzHe=CaRBg*D)X(Z?3eRmSc|Ls%$NCFdPIGF>9JpKiV98HN1q+BY;oi~85 zo(2wF$^@JZc=}6J zV)2OrLbqQ2^P6e$@1gpCc&%7eNswm})y$Oj$8aW=`h~;w&~KC(1H4&Z*}vR#`QDim zkS9}6j`SbIao=Loadpc9O=V5gJczvk+ z@VUu2m7mC!Bf6BWNdBc`V<)EJz25ip=eFQ{9_7tJp|Vhw%|!R5|nze@r(jJvAmh^{VvLc?sssli2f=JE7Ml!dkU|lWseHJq{81;~=^x zC4X?}%rs@wL&^_EqCdTJkg{O&XSPMWL0kAs<Lox@uLO`&|PRZvFx_w@oN3wYxlHKN& zI-HW*3s*$)$quJHJ&|ZY3izFNw<{tABHbN6kHi6iC6dGGvW2iEhBF*=dILyH>T!EK zoQ%!ka5|=E?zMT`4k5{b98TQLTq%TQM zBo?v-oybk|VzT|Apd>p3OhwQq1%eJ=C)QcRS$O!D|_4ymDKmB7_ zfnZ4XN_L+&==O%d_CW%apSQfa^mK6wH@DYUo@c=qz8$#}MaQwlIwgKiu; zgBrO3#V$~K=HXJ=2(C#zK6y{TZ?g;bgDC;zfno)vE@zl)L`L-lyCjFtl;THfmhfy~*`g!s4v(sGX9Ij>BO-znStU2Z$rE4%kPLB4DcLEr@M5U)!@ zv`iZ4vT?18dXsEHB;66}>~x}JTwirbe<4L*NFc6W9KrBZvD zv~-1O#gY}qB`cOnZBnz;76}BM-2rJs&{08~yFl6vxVAvR+1=qmJ6d{s`9^!NeCdi6 zRa6gY>T!D=z8*nhQBl!!S|!UqnVS<15wdp)p+${w8-X9B!sYH1(pN;SXnBxY)Ued; z?DokKsi%vZ2Wl|rlil71lBTyYlZjC$#H8Km4SjaCLJDzH3CE5Tti%D-6m$H_cea!uiNDx9c8CXkO1Tq(3 zzC#VxydVG@E7n(hrj$tqPq0^HpvtI*D%rTMK(v~;1QGMNyWQ{+4F@vNX%m!86ai{L zglVFFLpdOG-pK-5G#4nQ#52-O5T8u*1c9HgEO0=hIFZH#&0v&}XO&M5r^gv|7Ev3Z z9r{N|IGkjv$iX(jhLD9;|h4=O7l*&!>k6YaNt!V}UJ;viJN zGl+(b_RpF^_;PluSl(C!9gtVZCA>C6?Kl?(Al*rcOF{N6qHStx_oBsneD*!4%_N@? zYDD;L0N_eh%Ohxap%rllrs{$sYDa-kL#p}XDcXiwPtq4eUBxjRK2$R|?k;YhcZaa; zQ?SQ}p5$(1$c;j!I#9vY^I6{_tt_ZmH}FJpRHm_g;R?yj$qCVc3QQ2yjehovNNQq# z6w>SLQRDi3+&QAMgdHFnwx~uyPC`&74zOC1!cAxLlK(rUC-A2hhv$(7ay z&4b>aOI%AWvd<2J)zU+WZTp;kR+~Ub^a)A$rCg#w_5*iHQCRyO!ej3Vh(9p+kM^WYtb7)UufAdTU-z&cs02Lc1eq=iq1sWj95HE zSZS#TB2)!e4?5L=N?-@8L}obaS)u+zcF}l+asRo3Jcu44VQYQ9I5z~|CSG@T3EDu8~|ZFh4!4ERDanztCou+*}mrU%=n4PwKvfi^L| zOcYcqmzq@j6pGVlSGyTupOIzcr0u(ZXP zL{)AaWzS@kgc)oThHBE#b217{0Y6u(BdJrJ3F(k$9Z&5Gf(7{^QVJ%Pz+vXi@M9_VQ1 zzbty3Nn>Qf&Y#2)BO7#uP7Ljt$B9A8vWjJ_vvDXXhVS%Z_J!=UoCP_SoQ|9WIp52P z=KMKlPVO5igEXB6juX9_-f}CYO{GD?aCM`BD2}(4>FJs9$e{t_(yC>*-Cn)iw8GY5 z$5`!GmCx@YLxaI$*k~w3l-!g7`Rg-+-<=Wq@eKRO4EI|z0-wz2(&cqd%iB9E&smb! zvmwuOX~s_tD{p=D*1)XcS^{i0go|&r*%MA+*^JlN0-8Z`)3EfNsndvz-7wUHF zvi!qYnfmF|a?*4B{&auNRDVi3o^vuN;}9;&IBu@X3k@72x;>>lwIVHDw{uFWep~vsjMS-V@i-Y%N>j_y^t!vJklvB*(0pwvEvc<( zIl5aB_tx}V;X6GZ?~wQ8`ea+F-REa$3h2E6)o8Y*cLzMF zfW{7YFb^s>B9CQ~teR8RzL&^p;cJK9P0p@uEavmVcZ{u(S+$jH^!n?cu z)o>Hs1en^f*S-XB5$-v-tGf4k89yK4`G6?DNI%2h6)6nb9gLp}e?7wWfXF{kXmi+0 z{Z6?8&=?5r%L7FDWrirfjl)rXIa3aL7$4?{9p#q^5cvm|04~Bk2X__l^8rzQnIX#W zX8a7l2l+efj9&~l$}j5ybse&83B<0nL-tevBL7GpAnq{35{EOwJTnBtwnBebMhFcM~bJ>0m%8Gt&wKQhza?JvcUKNrvlcOGCKZ=2@O(hz?_hk?e;8%=gZ~~0Zau>FfXF{QGtgnjG@U;e z5cz}e9rir57lz0mcpY|w*XJ(`ci50W_}*bBgfmR-aDHV8;3C{}a3g=)ZGia*&j&>P zI~X7JA1(~rorP#`#c=Bpt_Rf7gN-`T3#Zcl{X#QCIY$;JELg*SGbl$Y>qCKZwpW6c#`5JbN~KAQQXBEACfV)4JxT+bIVo)6 zBtr})@pLgO$(<=19?4-aC%a*{!g}FY&9IQ1USFuQD`DoqwiYv296D##mu6XKxscgv z8c3s58qCH7uln&++B9*YL}~e|UmB@iS(?{B(m;H$@TJ1n3jeDxYj)}E)wA8Rzdrlv z*~!)@Oy)h9uECU}`U+#d7bJOkR?6Ltc}5f(<6It`*j)U7;k<<_i8I~kKmbqAw2UvCfw5azyFUvJpa3qKb}1I%JCOoJMV=F`8*9wfgOn#RD4ZU z>k8r~u1uOn_y&s4?Z|;gN~$iS9F<#11FaCdPM!{gkgC&x+sf66!^Uzk%EB>VPf&$zMw(w4cuOy7aqjeD0i_^1Hf2Le_?ls7iD zwXA9&z^0YWmI^?rk%xC|!wWl~b|r^l6x@{*w$jdHdQ7!>-I(>eVJxMY?~rSrUr9oGZoEY$ZIOQc}L@05yp^io{GJRth_x!2|r zQ)%lTQA${#52gUlpn>MCP1`MN+Z!l#3O=;UoQ{8aH{;*T z+4wiF1pmsGq^GB*;ro)*vPDy-q?X?{Wr_}0s!pFusk*Feea5tDZI}y6noXtp*7Ycp zm>D84q!Q!8JHZQd#n`CJL`$oCkULaPean~9@H7o{XcM^Vz(rJaz~c)BXk+r54{hpc zV#xiOcNL6=<|V2N1?Sfl+>+)8w0QF!V4><)k$Ah8oMgg}F)&1j1ah6*Cf)>M*qnGL zh~O?8qM$u>W4gu7lL3iA7G7S_T1+Q(vfj`Jx6pDES#t8Al7%2KKZVz?JQs(txkHeR z=FM5b&cy^tP{NpAlNt?yns~MwgK@lg`6C-{5bMxj0kP!)Wo|lz}e_%0~_RQU+SmXqzQx9jyV};#f!P0XH08 zM@@jOKUp`6(x|OzMu6^!)3)Z<*OEC7chhR@-Aio^AFQGp!1X^}L)+t2-%uZ>9dT-C zsOh2hIBj0JU?1&_Q*-@u`)DQHZE)AeX=B@_ebfNB`t&~96{jt$p9zy+gBGOMcJIw} z7hr3~EwfOT&1-HC&}QIo>uaFZz*}>rmWtxk()id`DgfT*WleNToYuCPnrK0s)^Dh* z%Yx~(Ve^bSGs7*2(T$}ocX4EM!p8N;u}={APFak`!1*Wy&ourE%_ z8SVjHTgn%cSA)>5prFaSouFyWO=H`siKwP2X9ul;PHlQ;JGBs5no4)jT5zhRWe07F zlcmMFgJyuk+YWDC4E(iCpKdD$Y->v0PQ@s3)8N*0xT^qZE^4-|W*03*iQDepX@oyf z!M3FutkU2z4c?}~+cj9N!Q~n>X>f%GS8A|cgAE$AXmFJV8#Rc`K~dY<^t+j&=Czma z)*+T!I6ZKf446HvHBlIIy9iQR!?(L z4(hlC69=_$*KZ6{9o(&{ku-!i)Zf)Z6)fw|^)TnQZ1_PZbI!8iU?){^P2_cD!@a$E z2(wZw8;6~snCqtXyH-J2>x))FS?dC;psdwq6){_n+Em_-TUFkUTUFkUTUFkUTUFkU zTUFkUTUFkUTUFkUTUFkUTUFkUTUFkUTUFkUTUFkUTUFkUTUFkUTUFkUTR~|nq+wg2 zmD*4yEd7EH%TZ%Wx1hE0mTu-^sIk18x#(!@>gE=?rt$f1+Q{(LZZ1o6<2zo#-Nxx& zQCp3_|B9%s#w;1`&m zRy_Jnyw7qu7V9AyJ2yHSHoIIdv%zerT~=!}`Y#Ocx9_*x?IXiS+s*I1V{Sh>eC2xV`juhq z7~dD`o1;gneFj6H*#I<`i(It-t+&29Yt}L(Z}NCd8^T}__4W0Mc!<`A`0WORfpE9O z4YIOgSpa0T#;it;ZM9na07_hOR|%j27$6sfBf8-u=w;07u;41CK4_i^1 zTTJ=kTq1dMc+R3`$}KI;U1a$ZA+op(;=>XH88i4ocBLkdiPOldM|E{;*3@KVP+wi0aMu8Gd^q@GeEwrt z!}0mzGH6iBLMBo!Tb_u6|Hcg1E1(havpAl)zPYuvxvmE8J`h5^_4WKm$Ol&qyKxCO zNT^|dz&eJzktHEe;F$#TOr(<43x7-4g7gp<7vj0vIU&wB38EZu^&&mt-zf59FHYag zRt!CsJcP%@NhUQjCig-#P?9IfPUb!+r^C#P0{R9p-FSUVe*W` zMn(vY6cJq*^WXzK37dcJ&)EO+%loOO+O?k>yP5x>La2ny4u*aTLxZqCg5d_mOfNDX z9qrA!=0bANEow0k4!r>pH5dx3tHQ?WUPOj2f&1F%=*Oo|MJanG^pIh@-$W)+zCw*Y z5GL{gVQTu2d8Vh2TzmVxE>wueQv(;V1|o2n45kjI2b#!gWk7uxBS58Y*uBg(ngh6V zdG{+Rs#Y@K91qv1YZ+qUziSlrc1Zng`H}j2tLLrDd_R&hXh8K`6WqIIU|5aoL)@H4 zOu&Tyhx!lkAy_p=4G7V59K_Ve5Rac2qTq&WjEGB3(_om3XHFjaPh4?!;F^n@J=mY! zs{dT!&*?4af7Q#4wF0ZwsJcHA@fqtQEu8(?&FNHEesa4no+#6#`7`YtdYGlS57v7niS976;EM=ZdbV-x{E=sWvc zpr>Usv<{oB!`Fvl9G9MG-uf|BVSp3LB>QRu8_UE z_)nEPA_=*TMt#xGDFfuaYfc`^O0)cu*vd!TOG3v`QnDryQRA}~$#4+_o53N8R^q!g+;b%)gu$+%DFIgP)+!uYd2(Z&WNusgm_Z#*z2#44WlcB zpt9yg;`|3qIy^iK&2?=Q^o?Gl*f0cjIHtj2s<94_jkszEp+FlUh^wGq&ll($89jgH z+V~hD61^`4LEO0eLb zQSVxQreXiX#etDVv=!!)W;9J(f`gT74gbr zbyzcurCs-*dEm{VTT;#y9glA~SJYzTFO9_~_dK7?Z-4NyXaS{S=+Ke&UEM|9tfC!7 qxl67sSzP{Zxm2;K;_aons*+f|nd&$mt9I zt|b43{(z;Cw4AbE5*8k2cglqE8a^aU0K~iO>3`&B|HG>ddNso5+Oq-6_s~Ot9N0?i zXIeYj9xXeOR(bmGH(E~#f2Z;znH?FedRg<~F5Qve>Fj?DU46TaoR}F%*+BvcJ4xnO z?RPM(XO0r`VH^?vgJiT?WR0Bl43V?yQ8uGIjZ#CzTQwOy`gb)ReDBu~r?}Rjwv1C+ z{y5Sy5iYkCHanOTX-5*s2ltWSN5s-j9ypN}u$03;Ye+k*5NknH zl6IX!RDoDe+Vu+I2C5X-=fg?t+T+V=3hX*wW4du2rE9uu6q`KsSY5 zZL;vG@R#h*x#XrrGG}^dqoz^Uyip^Z_IK$eG5b@_Dx9?#^i7LMk3m+<<_tEul9??X zv&m(69PMh$`3(bIT}LeBgKTxI;w8CV3rD2LglKbC3YT|YeoEsi>ve<(>2=&7BvbBn z3{yNvzpiMA-^XgVo5fYSb`#po(Uk|^B61NcIM}}PedoI?^x8AF?%B4cMRWL#Qg)M1 zp2IUzX0toJbUibvyj&!z-cQ-`aT;YqiQd+l z&$M(H*4TvEtsS;z!yboh>fnDW-Q)KS6V76mIVkFeqPwV~U$csVtx)7+B|WqS9K$eP z#VuWzBDqO{uXAVjlhu|03Wb;v82cH!?Q) zL2=bof45P3M9zAdi21jaAAFGnQeGnFPL7c>FbxM@Ci{j)4!%tM3tuLLpJHhjVN4y2 zQOxdU%MZLr%=(uI_wT_MHL6v;~N;+ z-PIX(j+Ka*x-P-rZ3;LB{JsI1zyI3;mUgYiDV{Q5Kw~O}o*bLT5WGr)pCBK6W16ZI zom~k>x)j$j{_eO5oCKjd*(v^H3N!Lma z%T<36UK>o4#|%#$wcmGzaN6L;Fl-d%1lw2Dc))0g&GwhCUa2ekw}H2$bUJ(RhBu}>lmQx?iwNOnkJ{*pqCq1F*DKM ztq(ZD=8heR8yk$z(nsRv=bG~NCXKV5@k7`oHyA}dgpI;5WbOWi+X+KM?J+dbMw`@X z$}R04aQ>-_C|Rv3mm9+3TXAs0V8Asjd4-;RLd7+qXh8eBsb{k*hPU0dLzi7N*pMbT z2JMakr=0Z?66}klO#E^hy4x3U+z7ZrQn~anT522vlU>(b-^g^1kwJa7E5z%v9XGf* zOZyLDM-A+dEOg;Sn^0>`D&y!kcfjxUGUafZ@-nerGsgLFSSG3x7ggMNh)}RXN0gr#f1L^`NT@)dU7@78*LGs1jUkAZ0f>7{D4Jk^{A03Jdo_REvX2c5efck{w9sM~H1DViy*# zFiew#S?Hmv4qj%Yb{s9Vy}fz?N3DZ zKb6MmQoLLgqFpyFGVz*xwXe$(tPjbK7;eM@HF6>`Q5`NXM|M%7qRQ`!)9}+HhWU_) z^d;g7dEea*;|!OHvUhG|D#KCtkMlH=mYQ55Zv2AoxBeS4Z=$gr6Lc)qrMmkXi!@Cm zF4F7vB&FBS!brTK$*!}VX1EGok9HB@|weO2Znqjn&&1J8{=oAah$~wnk z4zs-slZ}m}q}f3ySBKqGuVw)-UhC0OA^#$I`*MipKooNU7dOV)eVQcK8rx2 zvH5rC3Adh3B$r5y6wibdO^nn=g%B4*Xa4U-S;rYQC1TWGRh2flNtV_s5`22Zt{lS8 zL^6Wo-e#SVdAN*IlyQNE@VBZpJvt|qRwwC?kxIHKl#z{D6qDrrJ-W8YzVAYARi?uw z{wNGrtE&Ym5@}AEVP$1=_ep8$taewJWQPf-Va!bpZJ-28W=C+fMvde%!6FTf477vQ z+Td@A;xwR_i0$D-_+3qpVvIzyVqxZvw#jHK$gS8(W~ z-D-pP$iWxX3`ggDtyD~HQ)ABDvE7EbPH>jkgvvOfYYh#)sAr}NXAHJI)0;cnh?ElJ zG^aTIX2fUO;KyOrW51Mc{gxTO)I`lV7^X>JZKsLsA7J40dswV~Ucb}U&&W613FG{p zzMnxhz*dDl!kO8TdCFOAX}^Nq_Ytg}xcz!9T3ypdTCv(_D^`nE%=lJVmLf}ADQC+v z!51eqBq-yiX`eUyWoCzdXIS3Rpe&ug;WqIOOZdc{K9UQT$y>Wp`=&;CG*u}!C^EzO#H1baX|u-l`-Hl;Uc6YYwQawk+i`@ zGIR?Lli(WNdcINGsQ1enURnM|b?>JB7;88y{t9;tqmr0*UT!7yOkv7dz!0wvYr&+! z&fIrl2&WU$#3AX>lW`yBdv|$c!4=9pXFusbN6hE8(+tK@kXdbOk1N2NmA2}05gE%H9ax&F(yxytn2K1dk-BX=}D(KNz% z5atO&QIh%N?NgdyD;H<$(cPCn^x4oE|7T=x!(T2W{lI=|=;{xKu4e5?c3dz?{gk8_ zN$c9)qQ4A%ru{>xD65&h*W_i{Me`B~v$(@VseE|CN*tL?>V<>mOR8kDD(5O|Ios`H zk74I4it7u8uD;>byxw|NJ*Y?SQV2)3ZT>Opt=VuFCTVSWZKqy2*jKRjkL{=0a!#a0 ze6JiDv5^k-kPbfXz%STz(jk0eJ}C@#{29FYSz;d>BC-nXHX7DgB z%6|-%OEaT>3XAhpSq{5$uOm$8MyTwf0zGe0HQUOevP@iwlM=%DE%cnW^AE%d#Z6pV zx=iA&giZ)GxlEjcUCk(&P|0v?nb?oy5hmPp#lXo_Bs!pbF(9K^-gYZQ>Hb5K=&mJv zvhxdh7>@b8??T{*K6cE(yRh)E2Jt!c5sqZ0!_3e}*jSju#DbOlZvSmXM$<=a3+PefI16*@oRiLp>Ut`(H2U3o4QGQcLIT zNYv2C3SpRM&_)=F=osn~!p9oetG{SoBHNnR%J#0-v!n8%@sgI7j#e~guf9I}n{aII zL567V{8Q4d^8mZfvoGG6oO9>fbgSBV`4wzQ%8R&O$7Ls`_!8dCJz55X=XDIcGt^g5 z*_E|Nmme;=?8_{9yjZ_?*Hf~67%BZJ*;y938MqR#X7O6ilisP#KcA-ak4$gS24Bs5tHYm5Eb6T9>!e@M+DC^RVT8}!;2Gc7 zNG}DKYw?aYOVIfpEYoz8@lG*!Y{kKy>cdOh_qD+~x#=d$e~SG$d@NW+3GeauSzISy zx_9oYXwf+1eP*|Vu983Xofl5hmyy~|jbk|YfYR`1yB&k5Yad%T7@Q%agCv~pcNT?- z24?;v_=NmxESq1OP?CNXWX|?Dz9xHIBblf6;2N%&C?);c)PuLy;Hr#$`T2ncJw}v- zcaB-YG>|@aukSaQt2yRVyxs2`#85FULecPrnf~i|ON~{KZbasIZ?43=XKn5jwc#(W z2lK-)hb?xdlr{Ih>TGu0aF&RM8!1S`gXU8^w83j6C{tt5+g{%XST=a+lb08$V9~dg za7kIhbcIYYh}_M?kG!2?SS>z%Gpk2OU@yV&M)nqcV86cmk5HK1w}TP-f(HncjgEwR zKg9sk=UvPS=#FRz?h2#zN+*1Lmau?|Sqx@bGG}#Df^F$A_wqW6;ll)-c<)X6T2Lz# z4F>VsOjJ2Y>`>YxdXiH1c*@?2-;@+13El3%+h|AlEu!HjwHpaknIr?gjmj$}zh1Eg z{lZnLf@|1($~Rg3pSX}OxR2Kq*!z6ifNP-H@imQf%H-nwbpsl|Yk;h-;^xNu|ehttJ3M`$kKhnjqs zo!hnIYa<4~?-C*vM-qA*yrLWjJLAZ*)Oo4fQx~NE_tf`OucqEel~b!z@0y{XVVaRJ zEu=Q-Kg~6Q$zZ`Rhj26rDv!sVw&SYfkQ912^kz^cv zewKyqv__!RnL%K6oQ}TpiAj8 z!>07c+YS)2MsL&LlGE~$_GD{kgm16~5{yK`MJEAQHLdtKF|>5pv=D1OquQzILQ}P2 zN6R^_1vOo^gA8domu3O@YVG z9)wOzy>M1>;JK)NL|x`796hB;H2#z~wyrXD)W0(y=PGnliT@Pw|j1yR}nqDG@M&(4!x+L>NNGyZQz?e6Xb> zr?sQyOit^WOc{CU_|EGjsX=>$B=!B3{#|`z=Or+bS~_$ji3-xk8R@jrqj+0*_3G8s zOBvZ%0$iRjq8)DS75-`Ow*|5gY}8YFCk-=P$xmzhqS1;UfCE@N60YY9jYPOAes**9 zYT*;AsYI35o++U#Vn~d1p!iqPE6&9!*oYHY#J`yAJ+m?nHqSDj-3BBhGUWofE-GAtz&_9|i}O09i%1TJ#cw02Yw~uTpVx8y!n8vL_uj@O zvbW8Df;TkHzl~=O6_^LRf+^?Awa4LW7;I_KZ#ediL>7S@WXShi|akL zRdpMz1$A6)23b~Pt|5C=k{!_sjK2@>g(c7 zQRV*+&nGXvt4U|Bpj=0JfJtYbMEMa4RaQ{fP*q#A!Rl?S;nr`mK4Kl^@ZPG8?g<%B zki2@nc7wI9p0iff*4D4D;@sBF?#=a{ttMhk_bL_D^?cn1mCV4$TJ3RrH@WNF;4P&i zw$^WP*VWW*w0i4#&w97Dx~7))=6<$@12-!4$RpPERduwZ>KZtXtMY7gbJh(t9`|}q z>2AEPNd3gR8a;|?Ydz0x;iHTZLPJw zu6Co_a7sj80PyWZ=jW^kL_R&Uj2x7AcfziU+2daX5eO4YYr zobFBcn#lbbbMGhVUK%sSM*2t5q?mu-b_KMF(a@^V4}RMs3^QIZ1-v|3G3Ij*$i;Xu zI`*?r5y8LH8S^QgfLA>M@3{$htrPHGn}GK}Cg2^PfcKXPcmosg^vbZtk7MQpyo?EW ziznc@Cg45AYOD1GmI{gHULyVx6{Y%pvhfzd~NOBgd`_xlBXr(!;oYxn>daBqvW!j!Pb`9}^q@+2Ba=B<4wMG_R5A7@8M< z_l+Ck{(Dq1y5i^)|7Z;h4rLmpXfS#xpb>+&D1^{`OQry4L8768_+6Z6DWGe5F|D73 zB2Yj#o)4*@8Ks&E#-Z$?fJTs(w!jI9+(`l53QH)UQFV=i$tamr*%Xxh6ih{Vnrcl( z$)H-Np}a`}j*#RU1$3;5w4YRz`zV-!;-?+XMA=8dEhx&~i|YgV8SVL2ly_*)IGd9m z3TC5xMNP1x+)hnML&>4wHk1kqZbx~Mf;&)tOF=ryO$uzZP=TC>#X{YVuPG zsQ+ivq1aIhD7Y7;ngTjgt;#3?KBr&~%I$QNb5Wk5;C__76wE_;i-JrPk%I4_q*6~j zfKpCD7D@&k?t>`wvPT|5q1Qd~Fbcg$kw;LDQcq+@!F+(%sY@21yhFi46xNEbMJQ$p za!@!5a#0#7SR4g;00${&3Cb@hSQ-TmfIm@AKFX&QEQ^8yfUA`AC`vN*`eP{YEkg?N zD5qdKz*-850IDch0pO;<2~b19;{Z=nPz+E{K?#7Df>HpUf|US`6u1DkQcwo)T?$r3 zK{>!S%2^Gtoq{z0J1BSpz)!(ifZY^S00@5Ru&V(8s5^qv?x5JNY|&_WE|5<~Bep<83<@5j(D#?U{A zpKZ&7#8bkjqhW>dBy+4LN5JSHf zLq|!L{+}7x-(z_IWN(OCA;l3%=Hr85VW%a^ZMvb2y?Zmz09llAqRw^VX9o84ZDw`{HS;Qm4@ zpLSPzJ?pn{n@Ht`dZiOmsodG1k)wS=z7}VG5~-_P=Xo01y~?Ayx|a8%rSiZX4#?|v zqaGIzN^SAf)N$1iuf|nrJ=kL&o2opha5z_%7Eyg}j^9#)VE{C&sRO_yF*KMSus;OVk!Ia8^6-$eYR}_Qct>UcuYF^lyLZny$>Jq)zE%A*3@;h|h%L?OBz znzB871U@Va}O61MO4I9Q+Dxg6D?z)B=PrVYobq$4e8^Hw2 z>yChun&;>|Z}Gx^mG$1uRZrE^_<(EZ(lGq=7fNtG2AG zqESlYv$lawIOTEmP=|1;+`^$H1qch&siD2Tj!pm#awXyvR2`XP%8fuwue)k97LGFi z)in635recdyi!=Xxyq}o2<~ZB_Xez%h>3v8n}yQ)5*MT+PQin^qy(=dO6xa_I;|Mf zo7cdN(yEP!F~}m;XsgOf;M`>;%L*K&3MwedD<*k!GjdCo;7is%eyL;=YyUAn5E zl;jnzC|ODr=vZ9*7~ZoLt}Izb90i3-OVsaqL}BF?77_i1SWuE%T3SvDmHrCpLR`Ldd7iVJtZ+g*{mLZ>OXx$#iwjreJ%*l(^Kwg- s$8x9gfcWTIQ*1%Exy8$t<~qqTWo6)@L_JYsooZA99XfN1^IhbB1A!EfiU0rr literal 0 HcmV?d00001 diff --git a/bin/snasm/SNGRAB.DOC b/bin/snasm/SNGRAB.DOC new file mode 100644 index 0000000..3b32ed8 --- /dev/null +++ b/bin/snasm/SNGRAB.DOC @@ -0,0 +1,89 @@ + +SNGRAB. + + +SnGrab is a SNASM utility designed to 'grab' the contents of memory from a +target machine and store it in a specified file(s) on the parent PC. SnGrab +can then download from the specified file(s) to the target machine at a +later date. + +SnGrab is used as follows: + + sngrab [/t - target num] +|- inputfile +where : + target is the SCSI ID of the active target (default 7) + +|- indicate the direction of data transfer - '+' = to the target + '-' = from the target + inputfile contains filenames to read\write and their corresponding + memory areas + +The following example of an 'inputfile' is designed to upload the contents +of the lowest 512K of memory on a SNES into the files r:\demo1-16. + + +!r:\demo1.bin +8000 to 10000 +!r:\demo2.bin +18000 to 20000 +!r:\demo3.bin +28000 to 30000 +!r:\demo4.bin +38000 to 40000 +!r:\demo5.bin +48000 to 50000 +!r:\demo6.bin +58000 to 60000 +!r:\demo7.bin +68000 to 70000 +!r:\demo8.bin +78000 to 80000 +!r:\demo9.bin +88000 to 90000 +!r:\demo10.bin +98000 to A0000 +!r:\demo11.bin +A8000 to B0000 +!r:\demo12.bin +B8000 to C0000 +!r:\demo13.bin +C8000 to D0000 +!r:\demo14.bin +D8000 to E0000 +!r:\demo15.bin +E8000 to F0000 +!r:\demo16.bin +F8000 to 100000 + + +The following would load the same memory areas into one file;demo.bin. + + +!demo.bin +8000 to 10000 +18000 to 20000 +28000 to 30000 +38000 to 40000 +48000 to 50000 +58000 to 60000 +68000 to 70000 +78000 to 80000 +88000 to 90000 +98000 to A0000 +A8000 to B0000 +B8000 to C0000 +C8000 to D0000 +D8000 to E0000 +E8000 to F0000 +F8000 to 100000 + + + +Assuming that the 'inputfile' is called demo.dat the following command line +will upload the memory from the target machine + +sngrab - demo.dat + +and the following will download from the PC to the target + +sngrab + demo.dat + diff --git a/bin/snasm/SNGRAB.EXE b/bin/snasm/SNGRAB.EXE new file mode 100644 index 0000000000000000000000000000000000000000..7adbef10b234504742dd18e30cd3a2fec9cdaaed GIT binary patch literal 44232 zcmdUY3t&{$wf3GzW+s!#5JCuuI1G=BU;vS55CVumCxSLc&^$Rz%byDezkcU@E~w2a7MS<{0i`E;4xqgumYG1 z%m9W10|6^=imf*90d@he0WSg10s-KDU=^?!_%1LVm;{UhGJrPoYV)VS?|=t^`+=1} z5pWkU1DFJi0UW?#(`xg6;J<)%z}>)ifJESngw^Kvf!_drU>Pt2$OQTVe>bi+e*kO; zYJl$n9v~O!4g56;>4DdPg}|p)#0P#4JPND>76B81VSpX@dE#pGL%=}54xF~EHtz-= z0!jcQ{qD8e{4($WuozeX+yvYR3I>3z>vgo zi4I@@aHWBY&0Ty6#B4fV~Uf5uh;Iae~a9(W080%Rb4 zAY;3L-d8b}4Q$F_?2!?S`G8viJFs>*V~c?t;JvHC6F>qM0XGBvfzMrx?F60#yud7A z1n>{!_aX3~qmTyh0(ro-z(9Zj@<`MdXaW8kco6Ucw#_9tWy{6~LW<12{Scb_jS9r~yiW`M`9*419SlV;=!KfjVFk z&<6;OX6!TI1z;0U4J-okfXTo$KsulYT2ZG1z|%J{764WQV}LW)BM-n2j0beU;Vi~} z0W1aFz)0Zhv5dV6{1li2IDxN0`(a=&&;&G$hs*#qz@0$njc6Od56lDx1Bb?e24EF1 z0yvS4IKYp9<-nalI-mpggT7x*0v*83!10NUeE@6&)&paJP!40S0ad_VKri5<35-1h zEC7Z9AI07ifTok`;oJAl)` z3E(&o1ik{fE$6~!1Hd{3E)AX1egm<2d)JM013be(DWsEbp-efI0$?M z>;qER^@&y>mEDkN1NI}&7C;6*2WH)h_5#{wGIqxd$QWP-9=HWI4A22J-(@TV*nb;i ze*o44OMn@`NWhppF7fRh@? z&4c{~?g9n?M^NrdcR^1930MW(3ETi&37oi-u}^{Rz*E2vfN8)`;IFfxzrf=_HINTn z4SX>RGysnPOM#idXg~qIZNOiE-E$!ez<&Tg1Qr6f0a-wQ;MqB7OW+p33bYl#W&_)S zO@JG?7O(=Jc^G>W_%EOycmNm)n1HWQhYx_6^FafU4#@LBAMgqw0V{#KfZ;$2(1G&P z!1ENq23UZv7BF@eSX#)~1Yp@cut~sJARRbU#MsNgeBe6ZFW-ZW1S)|d;4WYskOs6b zM7sg60QEpMa2qfbkdgOxU>%UR1bqQ81TX_XDTb~9!+_4kuo=Kpz@vZU^Ordd~gB-f&Rei zW$3ej-`0^c(#K5fByISxWv(cbc=r5nD+-uqxDR3 zjAV5OxBh@_Fx}4r4j&7Q-pGP>-LA>yOlpx1Y*(r~PyY7k#A&V@6MSto@7J_{60n!E z?RvKVsJ|)2fAmDaQOP#!%RDAc4qDeKOkZw(lj#Ha@se@>*SR@gmm69i&`F;g4h9?# zqJ#k3azD#FP}jI^X2JV|7w-St*}8qw-zfgMtj5uGCX4>fdy=sZ#`8?H~RJFo-V*q;SIWuxmH7QK?ankm_(z)IjgU^%OYJefx~n;LXw`23ZQ z<<~#0M_+pbrM9p)(^&B5YHCOSM8z=>a3<#S{Oa%5L2Hk1yRFu3e1GtEJ9~W;YkiA3 z8rTi*4-Poyf=%;TgOUGOfFBE4LjwO%gddAogNgqr#*d|}fn{uXukPUMqjc{N9@K;^ zGTu&~%W&;zFyeB7xJ^%0m4h`E8d{fUg}*+ot?pkGz_;JJ{onkB1|NA^e+ zrlhtt3I0&pn#2YZ%MZSoF87u`+k3R-;a9DS;;BE3;^!h~%38K*87jZHCwWVnMX{$@ zk{W%jpfi{qnk%v_kldyz(uvIM`>$h^H=N~IOB)K{&h`*f$K+Q`<}UA4K!&H43S zXxCin1ckTc;u@bO`ZVLCIoGJ5pcLjEl+~EEFMk#?N(q+QXXV$gbZWV^ z&~`~~i_cP@j$B$_<)jM2O{jJ2uLSKku{C)_poRJRqF#11gMVj24ietr8ed@ZUCYTK z5@K%l=QmRkXmv>i7FVFa<_hH6<$O7h5=glwm%$9Fa!KaQW>>)M=^7I?5Vd})59x~~ zr`elEDM1R;`x0I2ZpXl{^)4l=QB{-UCQpkyg!&2H@SH_6%eGyGXKURd*Lt(!KI^Xv zS-q2#JZsgBy9#Y6`4$wM)VPM%%OzFXTuQF3uF+L%+{R=`lwGN?)er1P+zYrvB(N_b z3&ocX`9QN150Y{`CZ8#5AN1CNqFl{paLqM49shTbZPRD>vwmiNzHkXv(KcKg<1wk-In!k7UayPxNWXlbM2mq zWj@Oe9eWe{H>l=pr7vNJ-XL3Q&KCKScIXqg*RVJBOisvZZ2cjpj*=kh#7u8*BDUtH zOkc)Cuh%&79^X|pXES{k3Z+O=b4I6p=tBob)dM~%CuoU@1XVfOQKui3)Y)I8h4LM~XA&{S@ zbV7+dcFAo+lZLCJwI;PC*IFB-gj#UYtJbn(;n1*5kdaZX1{e|Ct_Z7?v{MzQzQWFk zP6L9dR9J)WhEyTiRcMF4kc|=f4N)pmKx)09Q~ZcY`AA3;K7W;otw|2f=vv-YAhFhM zBCe7QY78N!2k+3zz3nznfmCH_J;-v=psw-NrV69fBAe+4RGMs)ktBHv^DYx+uZSX* z&nM&OyX*F>HaUr+7%EM!%xaUC$8nFSpsMltSMfH6>A%=>1BmIny07bWn8v?RuxY zXjh?C(`xJOQVUw@<~;9Yx*t~RD?yMwf3{0nXp@@elV(c?%#doK+SFOZs`d+9we@m+ zk^;j$NKrLzr&SeIs<<^t;azm4^m*31X#cEz!KV8}yZO)RDl^KANsZ-$G{!?}sZoN> zp?tLUyv&_h``X*(9HfKYll$;IxM>I~_XgaifX9+2HKQtNB7*`o`NQaFy!((UvpH-7 zATgc-&JOe`FtEa!3f@ZQC$e^C?vzeQoMECh^zt;$om|LGfi2+a1aozc3{FtS)_n&^5@pDh2_lE)2i_tm3nh@eOzDsu`u+L>KYrRH&zD2est2 z;5}X`|9P2NbCM}d8Bn6ymkAFe7H^G zt*h7bW}ObvzERxM8ZM~I+9#4H?eiIPTD(T787>@&j%aoZa;Ii@=Tz9rn$W`G_HFok za=xQsLSnwiaiiOsADofKRhZ1F5OQ#8HZ&HFL4}PtWfJZhf}TE-Ows%|DQj;>Vi#;rM$Jj#3) zN~&i*D6~cN#_}iXCDRGzUrIvYh0kNv`ZS2LUVfR^A=lJ?;NpZ0i6IQC5lfh%b+IBB z@i@M#DO)b?zJYK>!N4Qdd{siqGPo>Ff{#5&y_bw$z|!qDIT<{cC?`XwJar^_8`IpT z3KMS{k3INE2wYc#3nX~DAyP8I(a|!DGMO3JDg}J5HE+(h2NzHZF3jkSG0@<>`^T;G zb!x&X%x7qAW}JPvs%Nh8m8S9=H9neR`1l9aY*JdG2x>KRn#=X_&s!6Cu9Df%yv9X2 z_-yd&T$wNy0kaHNaw8b_MGdX@DNz|Jm3Fw0w)(BS!?kkjft;wtbd|Q&=U7zSu7w*~ zol5J&kQLZ#@_h^C*&;njVp8K;m#eF7)|k|fjP&|`wL4*^A%!vg1N%aqD*FtrJ3@ka zzmx}cqa^GyVodyaNVptU_S7|r&=u7ofb-%So~WEBZ{R`G@WigJfTO{cm|w4Y0#8lu zl5%1=r;5SoloSEA3yvP8S+Z!1$h0 z#IQlQ$#CW2n!`bZo36U(pv}85sjXsui>ZHNLf;nC061}y+nVII`38CF7h}2xw$>W( z*pha7q5LrEw`2Gs8(PUH#Td;ddBT}RGOslG6`hYqwus@KW_V#aM~YOUUy|XK!m`7u z=yXjmd#^w@B>E@j`%-b6+;kTsa^G%@WWr80x!4%Zc)z2%|LI>OZ~Zb^&W_1AmGVKx zjxUlaXZX+Iod2p8;k7HKFOqo-Dx)!cB^)i!mM@bf66{~+&TEN{A@L(|1$*RG%&@0=+_&p z41SR*!N3p-NybfMeW9>6j)t#zWLN+#y67_(>@<--Cb~ucL)>0>ypBOP;f_txvvL|9rRbzW)83=)m@2_Y2KI-% zZc$dfYFb;5GahH%uP~i*9%_LM1D?|XcN;Ro?121$l6Q7j;c3Nv8j`l&eP*K72c_%; z3zCHt)Vj~OXgJ!r@u4zB@^oe$%G~2W#F7rJnE|78wuY769D7GPIV&B^F=-@79q@_! zz|-Uo(HxYUiE?j~^C%e{jt!oWzv?W57hA4$%}Lss)L3!#taJ+RmS->Ish6KehK82R zX4!y?ut!23XI7gw$cY}ZCh;Mw7LeC=;wvE^K**Hm+ElrP~s6kKy|eC4$@@|dz~ z+W%r`O8v-tOiq=~B4PVqe)jO*_9L5qW@zfOSBsf|nB|ARyT;spu>FAlAX{LDT9HJE zrnU#Gl(}N=%OU02woR*bTi^DWFke_^__1uv4=yn9*xM#U65bzNX459uFbROWH;KDo zSVWlAk>+g;Y`RD@xou~S?}i55R5*isk;mv+`3-vYhwvHa%`Lm4flbA|)ErN!EU^-E zE}dn1`883>C;G7I1B0U`6EF?YiEc)p!3>j_!57Ws$b7;fVl(wk)GfnbVS2A2_!7*w z5q&=OQZbV@;i;HS1G(l>H0sBz5?%hK`p-eo2nr$(BZU`T9ZGgGG8r=bP@{16F>p(P z`=L(ikx>wiDR@4+emtLKS+IMKJs(7Q=q?Q(P#20u(=^C8?7#9Un%0-Ku5biro-BR)OVA)H9=TG)eqA!H=if8xOz)CX9GTe*JhpbIPy7LnYNX-QS-Y#vT?4yQjdhG4Es`kBmXH z`{Z5C?o;o>*sgbG-xiGTrjXbo(RIZT`hJU0{th zaHlD7n=x>=K42W=HRb0A$FMnJBjVULy%w``a1!$DZ+onyQRsOvvuKod$|h1CJ>zx8 zG`11b*nRz>IaP)o1uT$#+FJXgHY++q%w{*YAHeMSP*(ld7Sm}=Y6r5{is|y5dg>fB zCEJuyBXZ+RkXa;McH3u{O0($H!&!;iWLNMQz?_)BA%yU!@*ru zXh$nrWN&Ndsb|Y|&(s|houq0MVFQiZCaMNd&+T7G?S?U(p80O(zHL+9AG|>Do=;3j z71s7<*66c|nL+8Jq&?C}gy=A$S#RU5cEJ7OV}JBh z!O>zZ=X;L^ ze)g(Won|59aNBJ!>L9D_Z(*QqeNo^3mXZ1NFEZE%(@Q6Yj(%|9a+giM}-X178<3B$)(9fLEbYMIAK z>oRLrZ+@(;sm5o4*Fhe~=Z`6Q_BD$zVQ!u{$~Pe7R_OQaZpkKOwt*XYwxb^ZnPHV# zHD~l?qbH8>eZS^Rif=U~$%#!e@%!F+6X$q6t<^*aR+)5<-$HI`IapD}`b@-8j0W?T?EO)lGths?i^>JAtFBi_(_z`UUeuAeI~ z5bJ%ez;wN9!<|OghPzF5O~OsvHWT)_jylaU?gE=;=Lg?CGe`6s1)UC9H0k59joTIF z%-Gw?4c?{=I|r%8jtpWaH1Ys-0_|!Z?R%xr z+ZCo$zpS0ExM~+DnN4*E!|^*~V>&i8)*-R!)H8!(tSHYInIJZ0zx{!t7hJVhSsT3r zf9w6{gtyB~6W*%Sk91D>bD4RDm_?bybXzir<;V_{SF`h?t2-tnre*>}t`ZG7lnC9?wqhkKQL%y4o;;jz=u z6iIa=6J6C;8+Y8RnCH!%-(>2f%Rp79RMknX`2y;iIx)lBTg|ol@4;Uwa!l;C$8^)=`9<*7-H_hY`2^26}?6+>%@ zQghzu`xxWC(A%v=^rQT}SB$L%%BGQHHX}vl6|d+Yc2jrq;oig2p1p_n9yaXMxjR~K z;0b^Ju)7WS8lvyDwO*m1fRxr{%DTJ|(}B#dTklq0L*Me66-fDAtNtK7Hn!e#E^FU< ztUGfO<&@W~&9Gt!6#-A=<_0QEs1cSR6SAeg_*rG#SoM3f)wP(3&h%Hcp{i&WDX-(` z0RI`q+ZX0M1GB)!m&eHZmz}jcgND$NGp)HHkYk*cpI%VgvN^xjeKvn~@IYHSyq314 zebt86)2vk?Vo=(~s@LHRWGmyQO$!tnQMQwLQ?jLg{Aap3=so}9gy+-E^Md!C&u&g& zpqHU~=QifOo_Xfs50GKb-fF$Hw{;6cX5pF;_tVWFee4(6frRZk*7}FYeO`z!Ia``U z(H!O^MNZ1zML&!i8k1Ul2@dm{`ANZ%=X3JfDhyIy8?0>PBZ!G-% z>{;lqhGHEOtay&;yon7KhODfz8J`xulOkmnrv>GLRsAJTN7BBk-ogLD8p)(lKHZGW zy;X*Q=VYz>pCsuN=(YJvPU`%glk9fa`h?)qol-k-y|AMpfr^@xz`+`n7bEF+<)-5CB=V$)*>b!TFuH=6q7! zh9E4tCA~N%I1?T0x!`oHPoD&NS7*MLc@XQ_6GwS16P@TAGJR&(3&D)e8Mi+C-cd8+ z59mB{2A8RspSWJoG}91F?mTiL=}=Xov>Ro#pMxyqbb@z~FTwSsFxO?X%5%6~*SL=x z++Vo@35W#QGw0^IS`x6ep!1wmaiR{6e^uv;8NvTLN4CJ%UQ=~Ef2|?-^f|M?>KG&y zodQicd?mbL8{06rV(?po*A4!9@R`BmhD;tZZOHS3R}7gsByY&9A+19mA3A>M+@br1 z*)!8~ z`R8ctlQQbVnezQ8?oLdoE+e-I3sVV3{VbZjf@8u9@M1?EjqvCW3gt_R>XjPf`THma ztvbhvL0eYTcv(DNis+ppj^QQL_Vo+a7M>@D{4T1fj;bpv{r_ZjFIrcT598#v-avsqIoAdSM1CN5B-Rr~V@+u^ zmW1&&Qh^R;DQdkJPZ2tJ7Rv~d`z(}cpnAv4?@Y3=s)PorC3K9&UFgXX&j2r@q7yo& zU8#w}`lN+N$AB_nBN*4r7<~#4R%j|8(>~QM1#2E$_rnb!RuYzFwW%2dICYqo%IKlZ z#p1W1S#1AODqZSsFs$)Y{=&|{!e9qMOYFv@u&0VuD2)bhI?A41FE|E933+%zoBHpY zq*V3xE0zemFz=EAzZH85THIE08Ex3)-3X>x4J~j6A$n0G$FQxk8k*@Q#o`xTIothy zR4!fks##?*IS4^kiT;@8`Qj*KR0YL^Dhwkw*W3`_>^d%Lc9Yzpi^&t%mXS{hmy-HWSVV?cs*Qwzb^h83slx*?jGAf=(^s1yziEw8xP8B&~IQ*ZHfgq$xHX zX0q426{;(y5fwhyNus2#mr|aF)-Rd-D>VlpnKUWlb!pb6ffqBYYg&v+$SGD6#!)!w zL=0J?O=6;BEyS<~%$pVFqIx^5iI>7Ws;hUXHfd(6e+Tr!8d9Cr>>M|1Az^LxWAmV@ zun?>;kKtx<9`Dh3JPJwq#?33#ycY5R=|J61toCeUt-sV_YOMjT^w+||4Pt0DYGEpi zvBr0C7B?fa(hIQ|hAgV(u^I(Ss0N7^$!y_v4l3IjZa{TXU+hOVwAvN2b1fvj!YJ~{ z+W#smf=!;ZrIr54>RkNw z+h{TnJt-1Tq156s_DZe0YDqv3d}!o`z9*{Xt$Ym-Yt~}*SxpnwE+aL;=}GjPy(7d< z;np-A-~XgeIMxesp#@y8S>1Mo`(m57oyX)G5Djou(O4*kYyqh{DWy6aMnBb#52Vem zj}ojOYP{71%ez{oKA!${6^=HnVN32dL(44ij(@3%!|H}WrJ_UJr3*l*(0VPEslqU! zKkg;^V{OkgUjUKNSxdPi!_1E1?S(r$hbJhBRZ`PE2-S16)m&>85#D~_iHJ?= zfptOzX-1ukX_ud+T$RIj)%wMc+a;;Wu`n;fzR4s=ZnVosV~NFa#}ayZDJ&N)D-9Nl z?*5$urHrfpdoI}@3UA4sa3smKYY_GQUnY_8)3CsTJu)|+!uI;`Z;GoX ze)tx$dw6hw#xIvfm7#SVyG&#~fAYd)DHW+C|>!kq|3_TY7=_>fO){YxRSxV!k!P&tw--Io>)R|bjE1x5({ zxT|MMn8-z}{va153^25@2Si$Jve>Z)mhtTE%@`42gn$`dJNA?JVpvS@VT6zZXHz4) zzFO;7`2fHb89PMcsC9+2Di(u`OzkkZVywB9_vvDOIFcxmF`o{IV$Z8cAYThNArjdh zeDa^D@`X##^d_ussi)x(?DVFAMN!!kJ#ru7Yg8bWi2`^Q;T7CS`5NE(aPi;B5;)f6Ksp7^D5A3`S$4i z7C4)5IXGLGKN55YwW>mND{^4bDD*k-h+jLti`oZQ95()rRvPoE;=*y z;yTmW-d$%_ifJ0P^6!z(O!=dxGy8hbnfOG;YuQVrvL~H642rwz%w?oFj?P>%!7)11 z3Oc*$%w?qWTj1>8%fVSqXS#_^tj^3`*i~oRND^apW@-;Q16jM6&XmQ~8AEHWX2K_F z9nH)xN;6Ya8vZ;X{X*B1>+ZSR*?zja3OzqHy4!i3cRM@i^Ol{OA~pA*NIg;h_~oIz zC$$Qo7G2fqTd2jx%TWAd1b1A%CRn2y?;a#CRu}W9b=AdtNu*@R)~@wzkr?9}$@1O3F>eL&j2W3cqc`ZT^&W zB#J%~y#Tu{b8Y-LpK-;53n(bXm6MxZHWX9ZIR$BDS7JJGn?@KfL|dhx{lP>O(p0A4 z*}IflkCi`hL=ScdCmj)J)>fB0Fj8pl5R~CW8IH06*oK-@Ft7q!_9-JLCwX8uk_#NT z8I?o90Q~MBmE$!Fc1xdT`*V%EjvcxQ)8qX54^h{zMqTeZcGpBL&W@<-UB?EG*TVl0 zb-nA@qu1jg3@kiGT<@Ni3Lnu_GVFWv+4v~m+YTcrp2Ub5?MFPsdezO=TttfymYD9& z^eH`|YCCLG=LWvOj5*dO>F7E#l_1%XKl~ffx`QELfs=BFM>Du+x ztNvrGzS(=wx1LthF51v~`Fr1(Q}*D!^q81h&po_BKhzrZK%DuIqMo8gIm>TQmfx)M zVv(nQE1!hYX50AiyZh9Vh}*N&fijxXt^c))Tg9zslLP6U$t2lgt~Y!mgd5BrS^nrT_w9S zS;;<|%nPqyF_$v5DA~-eWQP!lGVA9rQ3H(#)Y}~m$gF!H%GUyUG9|meP03ET)sL9L zldFNxAn@s~fmn3Q_J#wq8eeBl>>0>bgJ;g<84ypkr`~8LM~!p~(zbiG$#0lW0m2K)j3zpk{~(fTYxaC}bnt?G@m0D>Lshum}Ku zRqHW2jxCz5S^bky*B!^GD;GV?sdC z zqXeHOP^do9$7iAipG0@ZM{JmX1~KTN;a&{EJ_O9mp`#fBIP2BfKv*5VMFEwH>%?G% zlZd3J*rfuaScak1TCBKYPXw)r)W21vPJC;`6kum)E_o11ZkyMRM-Z`F7@s!mQ0PUO zty_x6v+!xdLt0&)^cH2|NtFV-R+jq6B6{H56y%wqW66wp>9J<;Nyga`BExPEjYnlQ z)MFp&PSG;N_8NQpzVIjeh~r8`SYj?cDr5imO0o{cvu6Amew7`UJ;!L; zFP+YYaf$HqlJ=k9opgeC`DsF2@JVX5?slk^(0P)@5Miv^X+Xj`1T_OY3o2Jt?olCNxwz>T2h=;`c4?m%%R7@Q<*Om^Ow!=>$8SP?sSTN6iUOo*52^r@i=D zEIfJcFuI-7yyhcqLjzU?tp1R0jZM^Te0GOzt$D-2hO;(#JS7=lIc%*BdxtEu?ZHe1 zJ&zWsM&ic+Nd*`yDCp0WkiJ>j`*d!6JjyY7a>Y!h&T(QCD;-yEO!r~Fr24U9Kzr>l#DZv0HsQpnt%VzYVXn`g_oy|ogM$xXWxv4f=*ml*qQFA(`wIHo4J`k0Z-OZbJr#Bn+ zx_@l(Dg0he^V$L35@7TpycO&>;dfD=cJjCAa4*plrsC1hsC0q?LE>$<#TGP`7o={T z>`%^lR6@0Kbp8?qGS`SRk-{5#O|fBF6O&YOvVw3953HO0NZX^#Ar*B|`KORh1 zvm$|286;XEuZsJgkzmmRv~Q)>ow9je&K|D`kDV*Nm-%O=@Jw>tA)htJ(}|}K4PxVE z9Qsi_9*{&7t+b$1JJ$P`3Z+(dph(}nKB43B@ zmW)aL8;}%qi+sl^9Va)bC+5cEtaJjRVCSNM11J^W^A}J^oU(O6>!gT^lJJe*a+Edi9R zn}*!J#u=Y0IT)fO5KG^_07FF4YPHpFkO>t%+I%9AoH*lnjTAGm~!rIU=V@PXG8#repxkS*x`f%dLsUEi2uvlzB?jPTO6LT=UZa75GfQ_NTU80PnTua7}qF1`GCR8vGt4Kr2 z2J)3EXPJ7WB9BdLR5mKjr&_?xKsFaqG4)%)CXIl>%+Tj@I~hGE9D|l;v>3@B zjp4+rMPL3!Ki*sq#cQqsWtn`@uYbi*s+e;7z}Y5OT`r!eHqXc4X<)5bLllR@^@kI% zS+zJ}Hl&~m5!UBoCRbbi#L6XR+rq!W0)I7j+oncYt`)5N1CIEOb%;M5so zF$LUlj3$(|Yg%POq3D#iSnN`|DLlo<5A4S8tqATiAqk%nho2}8lRbRA8a)t*r&SWS zm@u7gvHOmQG@2u-DwH@Un%63-PoTAqc+(R(UHb%X>U*A^Fgmm@U`U)UfVMLCRMPBk zP*P7L_9$bk)^!fGJ4`|pnJQnz_$#&tGWD?1hdQXKV)w3c5fq;14NFze^BM|->|nJW zQ-~%npV8;0mb^=&I_`r7i&}8?gTlGkGnkVmn$^xFGRrLr!R;w@yT4dZ615V` z9^yJI&uS6VHA1haiG_>L5B&R+5#f@?iIV>JCL_WnPo|Qow-5`4DpLg~)F0GTWbSDm z z?cQ&P_6hK?v(@7(;?v#o?~<**Ok}Ip=qMMK@S`)D52eYFcZQtB`)J;{oV}OOr0|2d zM46V6vHr3VktrUSkzs_BM%J?ZH<&V@9hlhRv-SFUqcKN&K-% zE}?7dHr(m4Yl|N4v~)msND9!2q-%ZMfH-}fI^2nl6y-RqID>4yX=f82?#$s$bJ>PF zVR^wRb8whqWj;z`deGH4veC8E3HNKT2=Tgsh()mXq*_rjKm?LQALAj$`1cTaf3=O_ zpjLH6b_YgeT^-kMe%rU_&#D%ezoRfR#j6KVoKtGSm)VCGlS0}~g|o+KlY?+U&mN#2e`p3C^v0*1e_}-w*Enn)pK1IvoqrDGpAP;R z-q?URIF%5eIMNlL7XFE6$DHW&Z=}sOnR!tBJuv12cx5_V8gK z7*1k5mw^((ORjv^!@8Xe-|Ak$7PF3)gVm`aqK4?m3j3%da0QhrFk%+cmyqMJdizDX z$z!6Rn?ZHUGq$%>JhNK3ia(Kkt{;0Z23a$nsTZc*@2u)3Lr+INVP4cAX zxKjk7b|Mr5xzyNwr^- zIRx$tsO$Z@3ajR06d(bOg|R3tW^8Gd#huf>7VjkC6FEzGj_N|nK{}OM6~FLFBT{Y} z-?5v59lP}Wa}_;AO~s+$R3Jq=S3auP2DMln6@{Z;!^h^UrM8Is zgM0dz*a=UB^fbW}nSn`HHyH~TR-FpcCQw8)Rl=Z%yg|Eui|4-iE%bieDWF<*p^C;I zY8=i#(IS{Y*BE-$Ds_Y@W{1_nXswCYEQ*==QuC}Q=I0!owLt2Td0QFp=C0wGYU!+McY2P zTj^g#0V%xAzCF(YJMnwmlh305M(3nYS>+v9cd*W($D0DsM|;hqH#nxQl5gB_V04ZN zH~Vg}u+dwmu5v&GgInk|bnaF1(9seSj&4B&yf(ANG*x^}r!R^yiy}X{Y0ey+XXvQI zyTx1R{o;719`fQv;;0=e&F9*JS7Mr6I&22q@Rnf@4!aQHu<3RSW|#3rXRrtFVCD^t zE(4;~9T0Ttnvhf^Y}|0j02iUI$+cC_HXO=v;4IxM>P#k9=P;s76LW3A1?n|@Ro$T` zkV|wnjovbS6(;4K8}^^A+mlVvvo|2+hIAvV+kcKHp|njr9c4gw`5}@82y(B2g-zP$ z?S=YGUFAy%{w@SEQ|MKG?p3tbMsFi@uL2+PgZq9we?A^h_V&T1wah*AjzrwapA~!^ z;dAn{4%L2&7cI*B5A$%GIQcZf=fl`|`;QI~n*%7J4liHCTa457INggiWi>w06er>G zNJ7azH6|h0s3nvRjsPDGaIo;&NaTs8mkx3U9@6rM`OCtKflP8DxqG}T@u;fTrPN{3$a9~Q^fh+~5;=Q--3`?mnY-cHZ|3d#x zJ-hB00#Y_KCa@HWNM8r!{k%;6dc1k_<`Jhx=Rghc(uZ?~j=C1^Do-a2zt(jo6yiCBJvV7bO>WGKON&UvTIUTK#0qY!yu@K#0c7kn=yr(&ZB zF-tbj&gXP2To`8QT>Bka@5<@;!l8$}Z|_HYKh8N{mQ-oX zIaQXBdFsmZQ;z&?etQ$Wlu~MzKHe+0H{ob5?L1d#&3hKseu^I*rrVUYPyYLS`)r(W zTb?2v*nfEck+x52-b&doC+*)|)0(m=t8xF4-QfraA%e$5griAKBEr7?!QE!N3CA(o z+V{8b^*8DKd+}(#p{d*mM>nOm^(EuJzv};48{8i>?5TYlDjFyH-b{)PnW;U4=zkk~ zD06?81ZY1TiY`uI?WBWW`@D-YT19OGuQ@KIsY9koGf zNh-DW=ze*wVR!APDBgdxSI%CaKBuWkaovNdsz*$7k{>b61MW_S&1v-ovI~;~lfReT zS%>#t?xdu@Nuigj>B!ZiB{l!3&X?XY zG)=+g1gSH#qwH?!Z>B-%<~wGbdS-0n^L2lI_~gT%NKMk7X~8R4rMYZsFqKuF!MTnW z9K39tnCJ_RZIr)&wKPwC9w#j7rag3cY~z`ueP5U{a)`H=amd8Gedd~pLwx!dW+b&W z9s7q4PKV(=2pvdv%u1eSIAk~^otBPg%4J8SzXnF%nY2aSvvF|a z;j`YqXW{5HoLHmhNhQ=)LT#1oyMcMhTV^G1UCy?6lDDp8TkcHWikHQ@<|Kpt{^JA4 zKQv(rkD1|RFkbkV7T2zYM!e1CCZE0jzYVL+(7gIF#?|Jze#Nl%N=L&kj9hatbelVu z-=^6caUbVb@plG(3BQuut%CX;y47a5)Tn;1E^+ZT5q{v+m-+Q0Fbu_m8scaqEU*kj zK40Qdf)i>v>I06VjCwmO#p_VL)=07H!zrGGQp?=ATc3of|2f;`coMrH=s3H(ai&}L z&+&5HCt3Z~28x2zW3lL;8V>$iPr+_FlRSiHM|7fk@U|mm$a**4p`lx|%|CfFy85A< zL~#I9{ZGtP$u306gQKIoV2KlD%cCsGvd`GR^+_|V5PR5F~_O&?O zr#&_&dLbQ-m=BVY2U5xVfb~J2>W|h2#A1>IT zt_e)iu?j@_C?*Q3!E>Q^MQvv2Bk=B?dVKTqnNDjdlUYT75#ne_!fB(VNkYP9HC#;D z)qgRF-@pb)7S-UxJR9Agrsp?2#T@zDhq3w}`|zMhn|v#6S8+KSyao&nXb1Vm!cPqc zvcVLb|LbU2Y0wI?$%EoWxIY}h;*P#AnX{8}^}a3IU~<@6NNz?A?NgEsu z)yN9_km&8donb4o^(WB(#~I8Bj)Q}Yopm()Fj6-XoX7R@Jpbf+V@YGcY z&VY7h_H$jCi-y5-IQ(HFd6A>xaGY{yIvT#XK)LIBESG1k)m|@Sy-mK$(eSsfdExLK zJuRKtG1IJP)uXWM0+VW$8DiXwH(5nm!qMQw%$?|>sKuYbqg1WGz@ADd3f^Ou>Z)a% zG0;z-&6FmXb@!TMbp9&cX=ZpSR#rH**mv$|n4^Y(#g2xB;oH!+sOy@j>;H(lZi>3@ zjJh715JhB^lCL|yS?4LhN#3Gr4ewA#`Oq?0%aQ~xFK8ov;vU{*U?zVNQ&Al71dYY1b^IZ+ zl}TF9$W!w@{=8lg!w_CUa{2i3-v2UR=p3GSd=b4q@x^G07Jyj3>`J_3zHl$VUu9!u zeJjoDutB!E!bZ;wREvGt7$4CDa`dxlnV!xo-J$4Lr}I${9hQAMfP>_B`rs;ziKeb~ zym~mMqrszwL%v$gIyx~I|4$296^$D147MkgVpb`I9yqv`rU0*r>vo~6dt=IaAA2=~ zyR}twjUZXVo>E!$zfK}Ou;GRE5Y$1AhNr^G)xK72!Xe#XM{XbeQvV2-M5Ga(jw|rmwy`|g5WF3+s)JPwKo5h1}aTb-2OkW9uhA2W2XV-E!$q2vbaW-nte>FdA77v@b3 z2j<-cw<2)zC#cZTB-5x?Ch&3*ojg7+#0O>be2CYYwJXClkj28w@DdN&@Xr4@^p`m3RM+km3S`v~0U z_3qB~?sNFL`K5&YhnZW!rNtfMpXd1};&u)}i0Ay+J$QR8er?{{F@~bh1zjSZxTGHx zee)BE?zTiv2VNSq`3aChHP=Eyo1a*hhbknxaa+Od{!zD~L^#wms=A<>SfXhbtq&PI zoqaHf1as+uFGoY6DlE`;&KCY~OzU2B=xQ5KS5n`#4KNteyQF?mP1QY`l{ZyRf!>$k z$}xK@#rl-N)6oY{&&-0O8ZH^{tn9Hc5W6iTCzmxIphl&Fd8J8PB7)veKQ8@(c&F*PD~t z)&?C7ADXH2Kbc>@O^i-3mc9xOXP7ugL!w2qAXGnEJ7L=3LC7?Jc|#$H=)NBkOM)cH zvbt&7!&M6F#B;P2YW4hlb^3qa6I9)Rw)$vF7)~mP0KB9KS>fo!;o>*FvoO4vs68Qz z1xiGvr%)hE+;h8dR+n<;dpydAQJMk7^mD6Gd0jpwJ-oVtH)BigoiNfN9b{sGg7q8i zrPoxxl>2{|32VMJCh&cnHN*sdY%ynooD9CJJek8E(Ev~KqIjZGL)P;mT&I{kPqCgP z9vf-Ng~&k&;7E%_++V8?1)`ZTRYEVJyqmu8n88I0=+dn4RH~mP_eU*Q#{~ohVw*s? zN$DQ#qw(R86gf>!Rr7d}kEuzW#1?JrO^EjCkP61uwLX`&!s2m5T+#3V_8C_r`Bf*b zMyW=lr_`gvsjm&EriXuE@{#(wsLc$`xx;J3q?`EExV0D~0kNivWT=RTdHL>KaF5jb zA}zujBC8Qw$iT`ZcK;{>Xxl)DT|koS7Is3?{9qVHJ77bE7*#f~<9YDZ5; zXQFKdtA_LEQDRsYscg8b614s1tl*G#O!$?s@SWN$jnhglJnBQw1cy5wwUDv+u63tE zJVsDROYS@?njnQwV2Ql*&m*z$pEOqdfPx~##Ks@og_amG$QH$h#efQOjG&@p+x-CKNDYd;(TKA)qnQw=$*%|B zu`x47s$(;GfO6N4lf(rMQhBzg0&nk9V3_N-V@GYopi^7d<`%-o7gr16-HWU5!NV6< zMeAuY9usrotPegNC+^%6PPZtWZgDtWF;9p0R7ItWbOs`af4$_`4q-55)1{4~_2faw zeHT5so`NWuYU5(1wO`vs8_Ic+GJAI~vk`LGbD3N5kmN;#<6`yvPbwg`Cbx+o8qmbv z82`@7A4aDca%gaCqSd7vZFJDyTMb(#=psFiZQbVm+UQ*n>z<)83#*31omBr4hGs>?>>gFtND7f8!ZE@3DA}#N^r&MfYi}uiE-5XeFZ|cEgd_xHIE%{f zLyaIkMa~C`%b*8k&XVG#(B2AEXeHO2(k0BP)*y-ykvQpoQ#m;*~vkxYbAVwm>9Q6+@T|?B&RsV2H$VoT?q@>8XtoZxRaNNFD@4L3#}WKY)vE?Z)>C~ zD_&KMdV80lAe9G1cu~0%8hAe!65_7&{!*W_a(Vf(h&o1$4hfHtIo0M6`+3W#}%B<$`~B#hrqkhV++m=Xdkj=wZW#@n3!PdSikK(wEjZp^uWD&|4pqPeMY>PyFbm z4AtWUe|;21uTSVj32-U?hSJwi{AR;Td@ELrU@R?7m)4hbK+n<)#=dqZM>6*8wTyj@->KIzHsW5+ zq5n@m=;+}$FvfWopyP)Bgzvuq*b~pNrI<0Ss-(o!jm})8lPJExc{y|n#R+`VV}VOC j3rLRymgAe=8EgQrh;`$4Kc-N;Sns@&PJ@J;; literal 0 HcmV?d00001 diff --git a/bin/snasm/SNLIB.EXE b/bin/snasm/SNLIB.EXE new file mode 100644 index 0000000000000000000000000000000000000000..35d2aad9bf3d17bc2bf4a3429e8df900f0f8eeeb GIT binary patch literal 4927 zcmeHLYj6}*7QX$Mp6&^c@Gx4U&{-afJd!Y2mWJUe5ThgviC|<=H)aMI2s7E99tbIF zNem!NXCt*0-K|6V%Ip?19o!7m0CTsm-%7zdU(WiVYHiasM@pu$Ni{MG2fwavM4E}%p&qm;S zQyZ!b+b;#jlp?hZwdSCngEQJKs3Kh1iJeG2jqq0q*e*x{&O#C!oADxBAJdUjnOw~7 zIV%fzM#539m_j4(z zdfrkWWCC<=1W!v)?`H7i1htC8_atC8p)Z0H6Vyxw+kj>P0`9f}?Yd2UkHJ@Mgw}1t z-vCYhgf3lF#i9VCbz1NQ0pBM`sn~1rC*eyL>596G!R;V##bFz+wdv>8bqxN%AiQr= zJq&)wrhjG+Yv-cF6uoT0b0|NforoUgcC#!x%I$?{;2c`A2l`0Z@C9KgWcVw1A>nFV zYQ&;(T}zdvl>`d(z7(yGX)g{}ZnxmAJl@7jB0k1S7W`{gx`LNkq>C!U;z1XlV2tceD31aOKh-Zd_aNYp~O+X9|4tK_knXR3xVrR8;udoOoq5T8%!`jz(7E>p% zc$K;)S~J>fr6}64+!HRZTJHkm{myCgk~Pq22bHP`3t?cj<3U0 zdHfbUvU&Ul|M7iBJ2ayuMCWCzsdM8XOsfT#a_n8oF-S%Epi>%;-Ta#7NeFxR3j znNb$KBFGcxDHM`mU;7o61_|kII<=b@YD=d^y zDlEsOLwMK$0u%m2yf>ou33a50>qrOd@592+Sny?5OYbX-X)j3=i9^cgEzn^nWGi0D zwrZJ?UT_mOD%gEsr%7Q=H=Fy^tl^uD%xG`*8P+q2^@9+EcftR6%a8J^mRUx6cluMe zHZN#3o41pB&QGdHd%mwW*lCZY#NK|{TE`!;difu$GT&{r@eV$Ne}>QDON`GR{s8|f z{~G@WUjx(kZG0kB70T^_x zy^HDACV*OtIpm22b2u0+Z|BV6PcUS(i-e&43+}9TJ_;~)8KAxbLR`@aL*f%dLXB#N zqbs!!30FG=qq-v@0qV;R7?b_|NR)E$@Q|r*qQQkJH{0lTx+51?`8+sV6(<75JCaFr z9=BGp;DZGjO=6q^Manz{!Ym7txyIG7A!jKM9k&|Fvr8T1L{)=e?W+xeIaqrFSF`s6 zzkNjv!5Y_^!Q#EBF`FC!&CUEf8gHP;>bH)<%P9S?FjT%HyXPo446u$3fga^M{j&Oz zRT&`Xgg}22g7YMqJ6=tsuxQM^m%<#Sd15uiEJ(IiS?fj8sUaBE;!#ux&K4}p?Ew^G zJNKFukp&LG$_wmW#MVSdN`P*pz#47ua-;`zdzb$G?ykUnr8}ePauVD($cgRCV=0Pd zKO0;DLi?A~k;cUx$&odUOFELDmbe8+$eFY+(zpnS&goMSUWXdy;>{?}dC2l5o<0`g z6@>6K$~z>$`z~a0B8N+&=eY136mcF(03ys1QA0X@@W!mg2#+O%sVEPaU!2DjirBjv zY*&AB22Yi?rC}S&3;vx9fYC^U>4wqxYOKMAuf!q^BGzLO;-3&awGN+)J*)o|apX&! zqhvchM~pPC>PSYT(4j|tMLQ(V3!7wdX2ApEyhk2Mn+rF)n46NFBIfS!%kHgyG1s?I z-kvYH#Z{iI9@*_eB3w%g3q@n5UzFT_x3tae%0dmO4Aqaeq=`OBEX2epkW%m$2G0qRf`GF!A`2L^#;yB-3EKB(X@{qu| zxPrpCK%vJ!j0>S&>)qn@ZTCV94L?#*w(rK+U*sx32{ely zuZV^r03-QcQWHaA7E!wB0YV{BvO{ziLkJ)_XsOHPzLARI*y6CDpo@GYr}4_V^?kXK zsyiqcfog@B7%(`3yw=F)kdiE;bV%2T%Smj=^`vJj8Gr`2SWIu%eETY3%# z+x|kyE$Uoq%oJrZi>}W<4U+$uptLcO%5a_1TONza<7QCzU+5|8N8NH#SsO~!zo`f> zsSKB2P6Wndm0|sY5>XcMNO{PHm~M)r(cDBtARk7kzw&G4`+<~LYU7f_G-^&l6(=gs iwA@W~BsaxixpU?hY=J}>z3lOeq~HQYG6iA1^Yw3qc^|m| literal 0 HcmV?d00001 diff --git a/bin/snasm/SNLINK.EXE b/bin/snasm/SNLINK.EXE new file mode 100644 index 0000000000000000000000000000000000000000..079d2c8186198d522a795f63020668149670c4e6 GIT binary patch literal 27217 zcmeIbd3;pW`3HPvyOV@0!xkn9b2DKXmOy}@C}bECAPFcT8-xHF3=kk15<0oVVg*A- zmgJ@ptzxUz8Uj`!*hr}cw3C>@gj!L8R8hN7uzIc|l|su-=KY>?XOe*0<@b62dOxo; zbI(2ZEYErN^PK12W-ZDklL#SJQXf_ycEnVLazFBr;J{Twu8)7viNgQ?-~VSZaHIJi zLtU$_R$Okb*AiR91LpcMxGXg%pR0+iBA(5pC68R(ZEH7>+Sa;L^e0;SK$hnMp;xl# zKQk+JO1PHBPNpQM%JGm$q_K6vQZpTwR~hPAY@ks|%HK2{g2xm}I)sC!dkh`I0h7nn zA-rnR68ctNm1Cor>I$J##$%v2H8jIOuN#s-KNedZZ4;{;t1)1|`Z9ALq1^1!DKhb# z2vw5DRXIxWU{jT&LL{zr>bxu2ECQ)7H*Y=N&}u>_T-!|bPIf(Fs$avd?U=bY6t9~? z09Uh#;P)*K-C@&F4qX_bVxAoRo~izQ)7A^pe%hI+?PIf15{ z+>RYF#1%s)4y8XFMz`7Mqa)~rQS{&~QhVTWcW zes^jHv3gB%>s{-Kr(5BSc_uv}txhHaS`|mLG7~deeO+%kB zw+kCn2wk2{2M?#i4fL5%hV4XPn_=8DM?(|l)bEI)mMqUQ4IMbA-X^}eoLoNp=IiA0 z#fc~048tXl`sW--qV(QEdTEa52O9eKdwqs>Az#!{GpTa8K$MVn!6jZ zcH3SHtqN-RVDtyWkW<+l5LovO~CA3Vzmx<|$~cM)3FN34T%c+6y?|Ih%)!lAE7lmFu04k4Lzq4yoo4Yk4~67Lmq3l_-d zX?ebANvd={S#oe{7ONtg6y_|6+o$@D*%DXz{Lyc!+Qp?e(Dn}Wx z7cPsdMY-kEs4ad;NB7P;yfKO9&2HEk(~QEi{)Q*xcsq>noQ5?`^8fOv?tNXT;m^9m zz9?A)X7z;5oUJ^fplZK>X}-1yFSx~-sH^D7c)#r@`FYORwml8rhoyF%c zFMTs_DW4`+)>qHe%QLmrGllLcA;4Qm&6Y~jt}UjTEsvOXZU651tD~z;4YDbpuOoyU z-eRH~W-Yc|5(HA^GIxWlnW^tPPoT$%T5!+Qhr8zqB)^$VXFcV4dU=lE{z~uugd9r< z;Ng8;xcd-R`b`vl zJky(hs2_1pBd$JfulCHP#S>d6p71Vi){==QKtT3Da6hUgQXhksxK9wBvHt?C+p9nG zx6ad@C)l6cH_SWnGtOB+`Bu!~fwH|_@tIL`tK!>X4yfhfSzH#*_nr9J0?!Wfp>T5X zr6rQ+?g*dZzHV^&+J)y???%fGbFKKQ#nUH3d0|wQV=i>EX^NO7O8pBwlOyT15kw9IgRcc9`YJ?dIgxi+jDYSYvMAw!;i*_n1cg4`Yp(11R!E%|@=$ zG|K4fDjkQ=6y4#U?vW$kwDR4Z)EHSf_fH-$tT+N{%$dm^xFq?wQ;t~IP*o1V6_W{VvqcSvM-S!r-Z7UqC7h%!*eei~*J5o%ptV6m8<#3Jlq&#P?Or+j}?rcQ&lm(I1oG0KVUXpB@Ac45I(kG-yrL(Rvk2269+q zqA$$gDASO`m!s$t{DwBn=n!_oM5@+sIx})f#}XBspIvj~K?xs3$LX~4J z6iqDS^rqgN9+2nxv%NX_xq0&o76>A9_D*sA_`zmnXNe>n-dRFpXT%$q6y3jQ;o`!U zoh9BHu@HpsJllD;s^v6LHY0#}dE04A-6`NVguG}Z@wO>o0hd{h))EzQavSir+5hAo zwthcHoa+PbGd}9)@+mvsw@myD7B)ZECjY{k28(`A-Dj5tErC9}+Y>_e>}k@t9ReYe zwn@9!6HaQvlh37H+`ZZV)WNlbL^c)^!4DGO<#M-ldVC9RMUdz-*Xs)xGckaTtvgkX ziM-7bgubS$6^>hM9T*ZGhcyjz?=Q#&K}+EB`myb?1!m8|vW{Dl&((ga5!kt7msmk3^+`U#3R+2<{P|*)$j}?F!=w5E zy6BWfLMt;4ZyZlE)8H(>K;RDq36rE3x15urJuCat2^#ts5$fKt$w_)*+;Yx!US*R0 zo~QfL0UEl-Ppz8fh_HP$72d~6@Z~IF0X@;R#OQgoFTF?@8dMYvuRGOb-3y0-ISAB8 znyuD-APN1L@b01p&3qp-bo2Xvz>MvTp_@~h^i$F=Ak5> z0qN5~Z!>NIVoUv;HK!17>`K2UCx&eC%`AoP*Q(btWcAbzj%7)xD`F z`3GklTe%QVld7Y4SaJ`}ls~z*#w(+IY~`7M985d5vd&xhTG)rD8CTb~(k9~5voXDS zhoMT^YTImXhnDz3=NA*8xEYlp0_(n%Mv8Tx*0$-6S3^J4eQINBf)!C9BoVa%Ia%aI zyY8p)i@b&?(n-~S@O8Z+o95Grt*n3Dr|xThDT+-{`3>WJ#(`fz&~(bs1RHLq64P2; zGwC;#=r`%c5^X#!^m_#kD4!ywZQZ{Bu?~}`9CwWsq16F~2IB>4Z7G<>)t8GhujgB0 zEr~aJ`2e;CK+y{B#Q<%tfxQOk)sQXI=tVOOp4cWu+t^}rYFl+}b*+T~G?x0k9m1xm z8%1h_EA={dG+w%d_)(H4ZJOG$F@-IU#w6GirK=$0jJ=I^s}zbm19=2Te0JKUG zTmfPe{+F&o-#3uf*DdhPeql`qb6D%V@+tROhfR)WyjbT2O_|4O3#i(^U-fbueZ@;7 zHA1sM=bg}*| zOC6@v8JdA9J#Za_3*|MA>4Xu9 zJ;pZ3f74sgnEbhXR6d?t<;aFAje7GhgKG32>yB^NXPmj@Gc@XspSixUC}Z;(zefHP zSa=4e>4X$7zg^b`BNq-=yr3lly1z(1Dn)PxhWS4`_o~mt&4-Cd2H+!v%mqT8^jD6S z-!{PZ+;FsvhwT#tEJcV#}9NhjakKwc;gLnP=yoYFn7Nxoc;G^G;}+Lp%2*zMpt? z0c(44cM%_iq(U2|L=G0$>Sg@Wt5YydwIH8r-?p>F?~lA&bcL!LU^+Xc(LR1%#LF62 zXw9i)KlYQO`*~!6<_uN}1y4Z)%^a*`3meS$_501B&VFwV%Itr!|EPiY58OTITJ$%A z*uQIotmbj%DdtRbfq6IXe>JGw{F4Fus~ihpVFniD)CtWN8)T%R(c;{EHgOANsr=M=)~3k}vAGw75#4!bDAv1YolY_OH~)R-o@Na;dQZhn z{GI#}oFkp`ssUJ0d|mtLgqzL@oHBYMCEMx+(tybsfod^jy8w^^yGfn$Ru{YXD+jv( zS%IfXP;w2tYY5^JEC8jKjO?OyMcHaZBVm3~-L}-FzLyJXu>-0Vu>YwDQ3e~_?Yd+? z6^Qa+7bT?8EM{n|OPz~c)w-jL5HJtTKL4<*IO#wMs zr|VtlbVY}Ifq62SH-oq<@O&r)$IvMs={)BJ<+$#4_}CEr*u1~d0cu)(y{F3!6>`XE zBd<&)F5CAnA(cVHK|gYXl{h?ugAlK4#}xZ+1edbz4dsO!4A274eUZu(4i$}1=f->k z`Fzva-frCun;Y8&jZ)6rGo~o;xWg17u#yn5an~}Dc3$IMX0R@y8eRsM>69kb3k9?4 zl(*sZhd@US;4}oTX245h?59&^Le+-r>7TD4#3E=XwqD$~C=aGZ3#;sQw8Kvis}tI> zI;cop!x2kEyT!=q2J(Se^&uj(q@i9f`pg22x`w5`tIi9e>6Bv_1qv9R{W8(Ei|@e% zQX?+IMnK;7=f2(2Se+TBY{!ncmtai0g~5DQK9dw9(7jiktAeX6XTx&_iDxrR0fVf4 zj!ooyx<`BHfa_LN2%*|JH3zcs79rtCQ^|C#ot9Jr5eU&jk}hM^zN%1%uGgr$GVYH>~`ou z385Anbzc}1bjK<#>QTZ`$1H~U*bqk|KjXQdbNEFvq zz^B2zdb{H4{+^qKS7}IekqmRC%)Q#k>}yg{pB5M zc$j{3$*EJ$U-5RUc@_<-POkI?S?bgd_v=P zL*|)lUV7=DAj~wJ3^DhI?lE=~{IDMTq9B)CzKQ4`++^U3dp$}2rPrfN#_03)x41it zk~tf~&GE;k#7~Hex2(nA@8dp>I~R8)u5_?&@MD%5%Q*3U@t>kDJ~BQoesp});2oAh zgYSwz6GxxvTZglRi9r8Ri>}>in7VV%S{wJkF76(?6Y&VF`zZ9qyB7Il>Yfk}08Z){ zozwmD(Zu&5Rdzqk>${&;|1+o_BW}^5x4SIe59Mv<`y0k1pxWDo`6l4PeA(5QvtPba zNPjp|`3zJEQE7I(r}z$|m0EikMT1)W6^c5wxVwwsfGKjY-dEVTOEm4Dc!KK_9h4n5 z>H=ZAcD7+}h(Q=DPCfa8LR;O%L!>Az>k3A@`zt)EEs(=8CVFVRGP{e93|)wzI9fhO z_9Nyd&4G7RK&vi(HzHWTC}?_WPuZY?;n>S?2zB~>a%PyV|4c1*6LqJ+k4;)%Ec1R@ z7le_tzVKdmnxrtSYA;SS!{K$a=n#u2!IS*;&0g`O>ge|^G;+N1!p&~M_{mNBN)*$w zsv`@+!;{nm)vF@i%sE7=m|d0M?NriKwqTaI5c`MF>gc1E99kO1wkOc~H*-3KqZU#{ z7eoaLbE67pxfCVoCL#gK%j8WhQFf6xbwqg{4kVEx?Ru%7eT-CLPm-joUZ~Xd=Kb*A zXzm5)LXI$O52AyZ%k1V7=AXi3Vu!>|dsy@a8wz&1bLLvZ!{#79Z48g{f-oD4nb=s+ zgpGg2d(}%f`w_T!#P6l+{myHE#1*oClsflSFG2>)juF>P_4swFdeDH=Uv_deu4Y?= z2|K9A^`>3@Ou5uHcwzl|bHhMW{ln&lL8ko;{dw&3a6c2>lcd~v14;&G8c-mkZ``Kw z^66J``%{Ekvny5&U_};q{v1L*QAN!7U%%ciJSh6*D+>!e>&=@xc9`i4*Nc?TuXo$D zH@^8^o94NGi%t6#ZUZ)L_w`;j?V0NkiXJnz{dzB(_QUHL$~w3~ss&s859*HK)=L?y zS})b2MbpveVZ?@AuO9;EOS-RJm@oQ$;dO!)2TgnzKD(~9mfvA#^t#1^ec{FwTa7*g zsuBDAf3dy1b*%G!?By9P8wQJP7U)*Q$w6KgpFWmApP8U^Tw`M+hVaTa&^C*;sxtj< zO}hU|P=^ltcRG~*ZVZOnrU|Ob(biuk+-F^q;KpBvbx^|Cgu4^wCd^1E;r|{@s7ct7 zuruLj2|q_|Ny5T}hJ+yr%m4~()3)~U@7l4A)-bJD>EBNu{U*TA(#YGD->u3n!>{hw z9R6F_-S@k|>B@2INBH+gt7lz=5*h`?M&FC&;uqm(A%2}=@MABEjVB`52SYD?ZnS9y zBau(BXt#S?-cpVGnR!cz@|PZ^d9tVYI}`)@(}Q9_fBqW9fc|_L#ek>wb5^T7gI~S! z1T&^8pKrZ=ci25*8SAU8;2j?5QtpQtU5Fd4l8;h0G_1;N-~62p>ce?$W8$s6<~avm zGjyrEw(#4$mhqo??cnJDz-!9Bn<}Hph&FY8+4-d!<&}mZMkJ?0pUe+SgpG)Ujo|yn zY8K)RM2-IFMYyUFY<*pBAG06-LzfE#hh6;>HObf&&3AuKOp2ix4MzGC~caf%uPWswYK4 zh8#GDtbU_vDvzp#;ITdsRSOpbQMHewX!0mJHHk^!3!^Fr$>`TU({E*AxLxS*0y=!- z`zZudJ{1Vp{A`r-6Be-P%O+<5o3l}Pf+K$A)OJ{w1}}EY`oQ*2RkTW{eUm zCJF<@xaepRilRDN6hmeZjz|m0PWN0~9iXF~qh?K(%Rw8BE{2m5X{9=pQzEBat!C{Ua))WZt>s zF#t}03@}b0V4Ft2ywS}J(IDdhFawufdI3l6(CREIte*M7k?dl8f~agL-8e!;B@geu z6yim|rD2wM3lhQdU4#V+1Gq$VM5ml4f+#eH5c(8#J2F)1%Mg77>%agj79z%=)o@*IjZ+243keeZDur2&htkCm>4p0c2=)2; zqTI97t_blFT4ZD!Ro`#xGg!Dpr@TQL?h)x8L!EGsh{+May=|@CX6W0#d0_2yrP`ZW zYt~zJB~7=wlD^dc>`BHO;7Lk@f^MVAzXTvPr}2WCf87YwMzZF7s1jq%vtg?u~}3hbc-f%j)-H#7Bz^mGBDm6JPF1_ z5u|0wIeJ$-I_D1E7MsgVZ896F8Wr-kSX^Useb(UEiQ5Kb#XS?9rQ0?jxBoNIxvW+7 zGqE@n#+fDNYVg@vMgW5p84@k#EDxR=s^@Fv!9(xUy080PhH8EFJY+X8*R?4)#j%S?>LVY$ z{E7RoI?3iUsl_OtQ9kPat4122dmAY{?6g)BiIwm;xG~-r79Uhl^>y!HwuUMsAO6VP z@E9&z&1enhC8xd$^!<^!7ZrfUETA!qen?W#&KczrQiR9MYmGe}dq{@H^U-*|yT!ba zxQyl>gXnm=Xc?Bvi|-;K%r7g zitR>}FNmmZK-8a=#s2!o0OMAi@2aETA4GJw+y=+^US$6vFvR_V^JK}{8{zS;MJ*xZ z5J50CT}xV}G5nh9>L;JN{F(c#&KIo~qI?njNtNpxcGjfPd4YD@HiK>3d@a)~Hl4xN zf4-J+!*2kap6L{QkOp-D*D^6NC2>NcJ&}`+6V4l;Y~V)ZnRt1Lh4}Yn;w_3;s_eFA zt|i=>A!uHJ83cA1oh8K&YagXX-=SM~u&$|d1*X$l}Qi9+l2f-P28#sJy zIXz4bFaj_J5#yxpsa{j3dJ#b$O)8N_cr>YW6meRy_miWs^IH$beb|hh8ZxwW4rD__ z)|u(Kb6$@noPMqGHrj_tA@=dkzV^xMBIt7#rvUFt%eJBg0e`V5vEBW5?RGt#__B zXyk`@=?qHP#lmj55jy46w2rln%^myT*!orGhO1Ha51JdUN7Wy-G~C1<-p^C_kZc)B z4qu5{AkY&?qw$q-V~zyG=v7$fgJyS|n!e=A=a#QW0)q{7&zP6?e>`?6%h2jn{lc9w z#}a%)*+79oWN|!P*3Pqu&sb;2Jw9Z1r%(O`Ya~Y=MSz4oWA{AfH1PzzCqAAb0C0kI zFgpA|jT;N%Wj)k51wB8^cgj;Wjk$qyY5G}?RvZB(1cKYRFb8};6IT2{$*QM7zW2Z5 z^v1=GZm5+MK?(cz^9(wznn9=a3s1(-f}sVTfg0a%mRhG%ALy#=qg|mHYLb)2>lcuA ze04~HCtO26BSpV$v@qK1l;0EkaLHJ6oUV`eoolo&muA>kN%z{zr8V|tQntNJT41k~ z;_NFV!7fP~d>^Tvo3{Xa13Evx$aknfbjWLoqpnEnen(HI$D?kKL(U-XceHep)%UYt z`D@YlV@FK^uMdy+ZD#cjxq$a7uqtc(jym2c8TJ6FKsXV$)eOv}e-+PMR`P=n*%@bn zrzq+boT~KkERT8x8v>oDEQ-D>7Aa%>v{?j~GS{-S!0o{4yfn}4z=^z+Rfo`f{YVjK zBsd3(;z<=mx{JFUzOOK$K$6dSjF?iNL4P6E950}&#G*6j4~(P_i1nl4HjWYL$@l}K zXs+lJ*xFZ#9;=4ti$yeB^wO}`thO=Fi> zUtzDuL)hb2nuf5)R(6T?O|IJLw@Oc+{mE?P_4t0wh7vH;5Z}K50DI=rWaAP6AS(Pw zpD96Mg@3QI)Zgo&q;EGwWbTE|uma#v=`znO^K4>UJ#{0FF+@h57d#!fwk(BIir&~#OUZ#~S z$j-YrKPPPl>eF-QTS;DeX1+CN##EA-mpwm+W-m88v?otAG!;?IOj6ZFX? z$)6-k6JMVA%3TvCGN>9spl}dq07JLynh%@O5mJ9(bI@MjKO6A?U`c&=IRd)uhR|-X zW_o4EZVPSkSL34KP|xv_g}#Qnmn_OK#8g&^{zm&WSKoG_l$96_BK(Lw4yEB{tgBg& zqnQ$CKIOx>mP3!ScQ7pWF|L?KN(Z6MQ-L;X0&SdTfT2@13W~R@k-o#4{6OGU4+=po z{0ib4O!p$I-(%7v(`>zY>xJs*b`zV1m5w0k_rHE)qs)perDt6@y+2M#6bfWcF{?{o zq+Bu3!9r0ZoyMT>88{T5s|Wp&Oy57nlp8zI3n)DpE~fSnwd|$%819wJH+zr!qJbVU z6bX&gV_1ZdpD-|{MwW#EQE4?Zinu0oy=lUZ2?+>nh=VuTrA3pf;`u&{Xe z%aw_AmH`7a={Sduxnp{MK4Z#}B)cFzZzN;QnIvQOoOD!7zh@-LpS=jh>1lKC9Z6(lrK;k2KGY5NTFwcNSx-akuFX`|{?WO|tU7r7e@=ho~{;p2ZR=lj^ zt$Nqm&C&&GoO-AlpiWo$&5?I-6tXA$#(-br#c{F<9B0wiJ=F#wh zi#ibeX1V$gdeu?ht~3)Iu+H`0QP2)4Yoqgz zNg$9NV@truy+G<2ujcY&-dLBx}u9fhp4{AHZ0Q zl9KZ1h5oGX5)Fs4Q1dMWLYcRz6V7@zGQgwOUB`POU;)!7y<_Kid90^ZuIwtfq~9%u*j9O&t%66t}( zJ}f6f3Io}7%1?;$ha20Ymy1DK)+dXgz2p0J#Cs0pHFGU~1qB zJeAHc`o*Op-(cofF?s*w(p9hL*$7{V=j(8v&f^cEwbAf}nLex0L!dVx|L;9ZyM9yA zTzcU;ug_>il4y?(IC7omiX8Z8L3gsqlK&}N1h2xd*h)K63iL(wllYx-{R&j*Ni{)& ztIhk>Y3}`hWg1muJ#z(ecMl^;xRl@}tkGEiN?m*QPL`ZrV@XLtfMzrh0+GlDAtEb}zUiHd<3?AV07JE3d*m3B&q$s}D;O@|JzIgWJ|CKK=OE+Jzje}0P+s`xYGf#rW!(l;L zx=gPW8L$v`5%@K7rtLDF{}?VoL%-Vr#-fuxcnYgm}>qCjw~v)`!kZ z!N%tTjnCZLm_8vmbq6(p4)TZ2cX$VEku1CbyZCF)3DPUwVXdCS?CvS|)0_{THNhU6 zX^P<7k5N^q`?T>R3NH@e!w@aiGvMSlc57qF_Z3G%a$v zW%`)uDbow4yQh1n|6uxlcqjW2*#LHnPB_g$?8e<1_wW|5q}nEd?mAx-JTh_h?TKse zHqz~QqGp%X8tD&%H#~W@!$==Q#eRCD)A{Gp7if%u+<}eE?1_ z*PGeNCDb?$TLNvr3bb|o)pw$P6S_TYe&i!pNBtuxt~am!z3;7HZL90GK&|sPzLx^E zkM4Cn7pSfLg>Of&_9@qvK<&C}WrH7Oma2oNeKEclw~4p~CQ%cI8m2L5E)=2z+ZKfO zx$mo|P>}_quppnuk3DY~sPpT^ON{YFx2y_-C8%R31jY_kZ$ksO6V%%Y>{gD%oR46; zzsY=7V6Pq{!AK4D-u6h%J?ehMx~w;Mp5Ylu>&>?UYNCBK7*t&jTS_mWj~eK2ecuW6 zE2Ij($i=Q6OiZ0d)<#U-M5K@)P8cGlNgN-WTwewFTfpmi>_s)n+6X?FBQHlkV!n)SZg3=WZa0|;?N2TPiG6rN$P0vqXntnR-T4v0wAEf^{eOLM$>7D7Hr{`oo zpBa%cG=up`jTYu7vHW^#2z^Z{Vv_f>6hP++pIYUA#5mPY9@Xyk`aa}b?+UMSY=8_H zUR-ZRrRVm>kE)Gd3f8O40sFr7^ggfeadiwMp0ayo6Z6#6$#?^0b$3OuqZZ8;_|<*} zw8rhM?nW;5bh7`&hs{5oV*8<)y;$-?02i`1IDrKwkJa=+lkUop?hd_LUhHCn-#!u+ zu6%OK6Bny$heczepRBBNi2ynLR+oK1d?lCvy?OAuFr)vUK0xwcY5bQ0rYR zY%?{AtP25>Z6WCzuQEUIq#vHlnrbBLLQ{tskDs=M7_fc@-z)H+8TqT1x0E{d`@J&*?nERPDrZR7CmdPvL4wgJ)c*xYbyoMGaBU#@tj43Y?q~K z8on?i;;RcAli1HV_A{RSOdvEt?@SPdc%yt7c|&Tjo@MX03+qH=0+!&&uu{Xr`K*R` z@%TI|t9haXG z`)pjkCiW~`&JlYyE`KNX99*)s_B>o#H1cSVT1jsxu%SbKXD& z1HGcZsvbRngJKZEMd;Sbv&Sw4?k8ex{NW?Wyday{1;?@N>l7pCH>w;-#_sQ8SgCHc4H&0 zBFPiE|tqkv>73Uj#dT-!%k-_)fB} zOVs7j%{qI&G}4|X37*ZN$UASO6*>_8LL*(N1Az^5oAkcn?Lw~TM`3Uy&DGiGxlT6H z**g0I_EhULwhK9Us(!n}CG1))Ds?wKFNZ3rXK_kBz&?3xKYN-p+@7&E(q8P;+gGd& zyXqY?{)%_ZUHDsYB@oUy)-IF*?fFQVECHeKy~$_`vSG0;8^r~PHT40R@7#;3M&Cbd z{E*9-%|5i{yRc-lf1~IUS~ey%`u?!FgJ^I+VV$ngchvJU1M<#qYhC4lz_>QB&uI}! z!X*igU%OC&bmJ*6;-f*Uwf1?E)|d3+rXc=!dkdb^kxIp}+C8eSfc_gveBABcX>#&Iq0N725>zZ~Dv8HJMx5HH@K{OJY@ z38f@|j+0wyfIZ2jJ*ctY=h7nTF~#Le{@nK^-ZLLu^+?wgDH^}`NhXBil4K;764f7N?%8XB0y0`&kiM4;=`YCGJX@9mn;+vMWq`Gkc| zL(1DO1TNUuGd!X|Up?bxFTwYWi8nll^7ctpj>ocy6pptYaP_1_(I1^ba=Zk(3_7Se z7A^I~2e+c_ajpv`q<@-JF!jQ5Ip0AvdQ8Gsf^??i9S!sh~pvQiC7 zi{icP+XgyP=p#AZUpELNg?NGWfR#oP@9-${=Fd$ZNgSBHsmm8;&oku$m81^Nkl$A=n>YryXO(+pimN{x~-C@+qn(U~Tp~Y(KcS z6Hi>ej-nxtMX_qy^y8884(E77IB$I7^6^xcW_qy8374LAqi_EcFJ7~vAs^iq*Lc-# zfo91k@MM5|yy{F;@CyQL{B!lG)=qw16Z2(xOSD(S8qpKkw>xW4@!!jV{)v_20I+ z&f7fyZ`*vy+pPb$ZNB1d=Kb3?mw21;z1mbciuxh6=~>cGcD!ggWI{0XSxfGMLu1Ir zJxdnq96K#%{*goLZ?f-005|%B>Bm+U9-Mj5LTz$h&Er&_7 zt^OW;!&8>d(+xY3cK?_@`Ey`aXf~6>q$#!GNlSI~vzGcNEn`ji?nA@#minhGW5=-K z3#@qA_Pj+Wynx<1;ir~{7cKJnmL>fF7&|+u69HTS2d-$LO*aY9omU--qJG$MJZoW# zsIfW41xjX@HrN&k%9vVm*_no=HV5o^q=!?vZA$@c4iLRQ#$^MK(eF(iw7M}S#%m5Sn z;oVLnYme-N=5T_yXxKNxjw6LO@Zp_6(E&o=p+W^b%ixogJ4~c}{^`9CS^lFTpv+h-h0>e3|bw&1Gw(Yd&IKFD3*Ppf2 zedg2bgYGze&1Fn$0@Cs61vo6%p{_{N#)D9A@^$w&A)c=YK8A+8dF@p%(`b2c4WKhO zdS49d3k|x_E94*4vLJ@{%~wswHezGWR9zkcH-_M8PQGwZe{7>?quo|xoUvV>fhqol zJLBR)FF#mLAV}lF5j4)5SFtPmC?q?+mfz;*(!Ecet0RLS9jnFnA*&sS(ezalNT4M} zAXsQ$eBXku^jG$!`Hb`1f8BLd`iAEEeP6W;p?&>yp5GZ=hx`S3(g71PcZRfXu&@Wj z7fpw-4o|ZVpHM4YK~{UvtA0twQ_+Y1;nR5Ulp{`q=MN^Iq2`F^Pxyu*GIDXi1J5Sb zUMxj-9kJ)(#L6j!qck0CB1 z9M4HpU^LWyeKT;YHu=-dLNER8Ro)J7h!?^T4agXBLK2vf@m<9Ife2PS5XOGFY4rPN zRIJ(HEM2)uvW{Ff$~s~4`jt`37qV zYf?GJT2@;AP>Iu8ytKk8p=DB168cAk%|SKmxU6FJnz9lA%Bs~)BP&N)OUp4}Wy!LN z^5vD??SsRVEVnM*V0B?3c$8nxX0Vo*lq|2b&dkp51~xfqycIx}6-y;fGNZy(w%l4? zAz3Tdl$2Xnl$MoPM+~nV5$xW3g;wkT3DXf_-+^X^t@-(Bnd!hzr3&e|iZv3DS!u1T za5ZKKBV^$Zh8Bu!PMFDM=6<3x3hvk)}Qt8?fPKb!Gfq_QL1C5rK zEOo8q16apemsfBUIbG!uVKIS*7y@8TU0Yn{D*0hbPkAHws^kYQV3#+jD8oV`!o~%9 zl?I0|tz1)9yaDuFQQ=%&%oc84S6aTjVqHYo;6U5efwpC(m6Ek&J;+sFToxF#l5qkM z!YLLJHZ;(s!ph+Z!EFd>s&KBfswlKdfhRo+t*}nxZJ8Y4KX47WVx_gX@}Yn%FfLSE zEZrb6gij1ivL*o68fV3F*Rm4p8W+TBX=!<}b3<@C#zzrh34snytGY;aCTp;tv!twI zS+P{S6gF-2bQ zQ8{kGQLZ&>AZ8eqX#jAXg#WFx7O%$t)^ov~U;a>e#kz7x0UvK1nOhOyLk=2-2m(gZ z*Q3Zp)>>>`4ro_{D3GUBCF`x_uGLE+R7_7;mpj2+&PvWWWfjHCp<1|hsI)G3Ia%*D z#aPP(a2GEmtC|3r^G z7l%e=1Ts3WWJQUyq#O)~fn8YfiqdjSt11WU(BZ2{KGze_U*!;eSD940rfdU9#M_bK zlKQ||66{%AX)R>hT^)gp?%f^2xeS8?6jy*VpmaHF^qTK`dX!g`kNu9;0AP4IWUJTo zfmXKw&UA4Q=3AB=TmWDyS-*x!0+a?xlVIM~NE{~+;b0@It(e&f4)U!%ve%S=L6!$( zd-!tb9hkwTP*E3`g%8ul@0 zVV89quH_}nPTs0{NR~?e5-W6ePy}v~BXt}s28I@P8Ty7X;S?Co`Q<<_FbDDj6O3>9 zBQzb#6mAfysPEks9kZc6r&*U3LyMGy@qke%RiJkj3@a3SInx4Mnz&WNJWkm0mF1#4;r~~Ype{+aZWY^W0K(bQUye871T~|%@-J>rzvzhh?*bNVo*Aa20hQ6 zC5)v?%Gt89R8??CFu#Z^X=a}S00mj>7EWI2tZ=O%ne0~;vu=F}_5u}`m#*N_D_M&r z$yvJ81t#excVQl+(AitOgA9=$;9xG&Ju)e+tLQZ%pSzM|bELaU%Oth{wUSdKh$v2o z)y3Ss`Zm5H|KB}XPyz4}d#ju8>%ipyTaEvtj+_Bsg#o@4Rw2k^Y}vPK$6_Y9rOTnl znJ2VCRm$Bq10Ij742%w;VtW3+5X^2t7zca7tshvqyV6;*va+|j$?mZPa6bSsr!G_d zkOye}5;zc*C97f5ojrq$Ti-MCf(qwDm203}yQSy96`0;&jf1=gVa@DeIw&0pes&qN zI!tv1lo3RYI~hO<_i}>*)+6z%@rPrw3~Cj|T-vZE=m&o{Q+$iYj8uTImnj1+d*dY_ zJJVx;Qj zyyQ4b)|OVdD%I6k*TKB;J*M!$fY!u_^o z#ZGlY6wrro(3m7K#m)C>(4zCP!-5wJR)Mly3fJkOaWf=m+1ME*Ju9ma|BWYH{z+hU zB;aA_84-w{5rODHf-0hC==!F_p&u5 zm;uJAto$LUh2KY=#=B>0oGbBaiDu5+d$lui(luFgk^Momthk;k2`}Xq_I8k7;9dM#Js`jAS*LHAQJ2wuS6D{afdaqgDvQ_ z$+qhCc>zy#3NZ#Y*_BhQ<4FitS=bz~o3I@2TN8dsCJO>?Y;eB>H-c><@d%ae;j40 z_^sk4LRu%?J%0Rn4uaJ>>CU?+OzJL=PreH~T443zyT|LW1qvlim1~yW6>Lo+$N>$W z?#Lr1;9ev;au10DCGim~G7~j=5{nYvaa3U$2~xNXO&YCEZxD@CHLxXF*PQ5-XH8rz)tn?+` z@FgSeVo1}A{H}rBMUbXn?p8`#<$rz2>;_71Jvw4au8c+iEb8$as90 zK!*%ud;$tD%gI-><;yoO?v|q?Nlx?KBssC4nDAg$U90bmjQ6yVOE-yq?^J`#MnuIK$ZryIt?ncF%j-%0aR*cJ zp{PUAr1ssqPY(~$;9SQ)2OpM(U4gDr9ob5lUZ>NwMj8#?C?gk0n8s(&2|pKpBPfE# z_+jWbq3ID*BI_g1MjlA~dfe{hw~}AD}}cmvOmbanEgiHfc(ev|CXb8apwq`52c1iI}lO6yEl4mTeHVDql)owXO>F1_k^9ey;>%#3FblcpZ zEB{vZ0r?I)A;7vQ~YTn?HHs*`Is)zKj1Bl4fCCO3nW2L>iJ!)^0dnBn%K2DdTbU8wo zcDfwGWko}juCMbo%-4;4{a?Pm$JZTv{gAJ3@wJJsjeKq4>lVJY^7S2DTUX?s#N`#b zOY{VBl~SLBF8k$bl9-M$wY9lN|O zW07-`-x2xH7Wsp%s`8sj0Y~IM5PP%m|srbgm~IaODI4sB6FSwZunS@nX`f!sdO1bG<%y>~T%T!FjWac2SfcP@ZT z@N>#b2wc&fcvpIkgzpIkIrT^0(q zquHi>N%#e8J@6z1V$8t zk2+{xu3CjU)?JIypG~7bN+f&2%Ym^kh%!(Dw;$D%meLqs%VRuyw6Y?MQP~*vXB)6A zK7$*`5RXh4`y50V(?p6V{8vj4xm8biu_Y-0K&+j9o=UG?VLus?57zorvq6x-Id(w? zDW33tfR+!?6o8^U5e6CT2+1Fc*Ms$n5A}*2p715elu2EIsMIS|z2cyFqu%i(n0!d? zoUZGE?66&0w@d;yhqaU)^tKgdN)WhoGn^#;ab0gI+W~U1+q|hOoi_h1qLE=JR!nd7 zH=H(H>^60?4S|D-olQY!i2~4BN2Ked=$IlOb+{gd{JnuHQ@*^pS$6uI>CST5NhMPPqU+!6c$P+#nshg0h$S%d_4EcIP zax|gHb7qmAd^vfkCMK{aJQ?J;awW*IrL5ONP{S2Dxd5cpYayiy9RQ1LvF=ebU{k-N zelVQ5nXBa;nA49-#TzC{>Xet;WN4Av>|kZo78vgdrz90CWzp^Xx9>+j@L-JYWa5d= zq+(Sk{Ar$3zE1|6pW}V9E=LnGDDKIrh4#Q74G5~+AH)PflLlj~)Qi=0lq1G?HjtAf zf%KD$)ir-$4l&4*)bd+!m2=|>7%wXQ;nQf9s4o6SU5l)>yQa8`M+cItXOO7z4~+59 ztxHQw>#rT1>Y6>8QVrsG1F57lD=Syfq+Mn651~i>ja4)uSJ>1eNoIx`%1|52P)iwV zZ0Z`wW?)B-#dYNmA<5a{Q2#x)k_|uWn?<$fg)sAT4QP z=@lu!uU-KO;Z(CFur#1932(H)P)7=EvNKrOD+T_L*91k=B%0A}atHA>Vbj>irlE^U zX?Q_myMvqQU`UQln@*4%10=6XtK?%)MgzghG|8Xq2@gt&3$s@r#K?KVGb~Aepd>&O zS?bg-Zz)R#@}46MK5G*XYiyqIJWKvX$Pal~ZAqGMRx!n?$Q`qYnSo6!veO}V6rkh9 z>a^dNmO@TIX+0%aBl?5oGVA=(@q@tnsJb6m%}C;&J?Dr#>gbQMEdLOoY=S?H5Xb17 z9{2?JSpgTY8(HX}w}C8H>QZ#s$x59ux*cAOF6Ej+xun39V5KVgK>)BnwTX#H&nGw% zk$#Q|nwyGG4~(PYfzif@Qq_W7{`bbxQj9uig+QGiNaJQ0ye7MmXYX3V?E%9Wm}D2j zX3QZYPs!~7VJ!q%$k&;=1-!S>1~sS-YLKZ7EqWL^%nX6k5yBeFsNY`27~f(^x&cXy z@e2UOac|qo8u+R3rxt${`M}ya#cD0|UwRjEdqX95u%I8()OXAKHFXe4+|=8k)8k}J z18a(WklHxMY@^VLMu^Y-kVYQw-$=FD$StA~824y}5FF!Kfiv-_$eoH0eR>j%ECfcT zm5DqU1O;g!Uw4QY2NjDYk(|(=@AfEo%fhLptQ#3(`Yx4$Ao&dPC02ipg!*eG?IJO* zp734^_IFl7SR^WKO-N!a{n4N(!j+*u%z$>4tljAe4{?DJlhx_kr#3#BK^Z`=& zi8mzcy!=JqGe`&5W=cO|p)9K6g8WcMpfK8|mY%^|Wm6S|wu zGNX@avKMv>CL`#g=RXkdnHV?IO8NIvo*{*nq!uLc*oW<&@GorU7$;FO^th1kIO+zg zy2DcD5qjwf|G|>9%&g`lH`U&-^q>J$gmA`tl!3 zOG&!qfn9Mzz(FjHziRTVq6`RG@{CHxGs$v;FL)LXHf37qb8br37Mz+-PM)7x?|}f{zG{Ahoy7( z|C;ggr6uVON}`^X+N@QX{W#2Re|4%?VCCo{q)r!0ovxg~CkBYj_(@w(7xp0L9mr?f@CVpQZoBq}pEQ#HQXV6kB~V4pS#sB~#ltQeHac@L{w^Mt z%~x^1c-T$Del(1AY^RS&DpDmu5nB-WIr9SdEcz32r#3Ckud*b~s~4uh^H}55 zQVmWr5EkkxIXW#R09ys`SFW*Xmug<%0CZC$>)usvpuMUxy1)o0RWuPF+(Q;oKSf_LlRfT0M;9%id}8N`@Z`z>BA>=ZH$0Q=p>*I0+Aj7 z`x;Fui!rto@Fr3tG5ZYfIb1-Ib|&C%K<gk=`=;Yzj2rbtaqbvX0VZWh40E}y~eHhdW=SPV`v03 z8O}3}=G%13e47+#L&~JUnQ+v_YRy7n{I4zMuEJPOXiZx{bp(JvSOWl8y9Uo$JoN?y zrj!2e=e7c=X2C)|OK>@i4OdmQT!*m{e;5#Opnc4dXjCJ5C8L7#oDqK*KtA3csZ!(w zQ!p?^?DACoC7v?CU1HZtiPLK)G98T?v>kB+FL|ra#vcek_)FvynIhgpgDPg{}uGS~vKD1ir8q*-T~N zJwGc0?^u%FKoU!<9S%{(@P--fy>*dj@03;VvDEVmQT31k@zxfYRWISHm6b792n~>E zz+kkc@EOKr*(@xFk=iV@V+Bq&xf6t`Mo){Xgo+J4l2`s<8(Xn=G5NwFG98iS5?gOm zjoNcibzGMwUA>mpX;=d%(lPHzKM>a5G|)(_L<*HiODNG&)&ZHBg17?wNy8uOREc~F zu;SzQ2h!>otQG;*oir2*N`oHLZ2k)qIu0*ZASvJ1?H`$tOhrQQqcJ4h9ReRoaFJNM z6Ys)}r|3dLm4c#AQ5V{Rrmy(g<1Jdd#~8ArEO9)$Q{^5%m>G33VdOx*^vV&r7S5TD zRQV_ry&$Zr(@YQ(Jw>OiDn9RiaO*^9fw#GH#2(_EJ1qza;2YDq+Z)_81S?PZOkuDB z`%#vL&i5l8W5WIJad@rQvHrhaZvG_$_GA&4D%)3$O5bYgg{V{%2z5CNDX zx2MWSi0JzPC@397KTl7bGUhZ%Ks^l*(3dJlQKBT8FvvwrHHI(nAZc<3=(1OmR?C0K zdRDD38qSd@?!XXGSwSGx6vaaB_M4L}Wf`F7Cq$6JK^srNC9El5F={ z=%Zs1NJfC<2|sOl@o&6HBMa$nyEcaES~jdrMn5dz5u8{n5?sV2XIiw>E39x54O5=@ zg3+odud^HixL8;@6mi3XJQR}mh?)#-B9I^Ju_zr|L$P!`b0Bv-R?|N)1@{b)4{28= z)^*7bsO!D7#JcXYX|s8*6b>gs%`Y5Y0e2)&pyekf2e5z!Vk^XSr)-&KwxI^OWWy~V zlJ^BG_euU&z}(0xX*1Afb`~B0!dai566y?kxn&sRY_GuC-pEdgSv-+QOy8oZ3}xva zo-O98mzd1LS`@=_6jPeA7#^Y3upTq8GzPYlgk>)6SErbOP~R~DtyLO==1+-2;y*Ch zLj&XkpiNwj>4upW6I^@2)(=?p=U>A7gvZDAB!yw8}SZSo$v+eMe% zba_vb>Sv8sGUd@BUrR`CZIhcQc?(?{>GBpXu4V9_u9hDO$^TpH`=_BxO-+e;!uAAd z#NP3QU&o9Pp1dUwVa|tS=)Onc<#9xgkiVB!ztvO(xrAh>v4`*iJbD}R=pEqE+YD8* z)iSS^-_1O_+mdt-l9)%Y9sr@|D0|1tZVPfC>J`wJRrLV*?oDl(Tyq?1Ub{+$T;)?aIsbLw^$yLPu26GsM1uTfAceDHPnD7Jk#>x9=u4y$bs3+ zackEo^8Y4zz(f9N0`Lh|+Tn!GBNu;2ZV&m62pa;9F%nKKLtg7^7m;sh} zmbg6OVoRUa{1k{06?nqGvAkH07e;6AaFKpgHS_$y{d}|BcD;t_TsFZzLv$ACPninBrJ|`PA0PeK6%C4Oq%esopIS^DdPEhJU;7P<} zho(XcW!&1#^U>YEV`}tkOBnsaWq}nr*t?g+diy&|r`F$v-g?4~mZT?k6{Wsu`pxc{R}|579lE#T@mGL z)l0?gBe=cq`!J?f{W2ssg?wAU7>0Pl?$ly+IqDZ!xeJq<=*ttnEESZ#MXzX5ZzDj# zqHOTGbxMAS0~$T}RK4Bbr6y?~19%2r=@Gbb>Sh6PeS@ zRt=w+b$Xm3v_gjufjI}TKC6{!wAx}!LDYus2{$>VkyDYqgKLys=3EX4*C1B1$}3o z7OGtR6EFv;W#c7X>Db_u-n`_(+$op(-B(_@uPc&e%hm6~+`HdWU;zpwM@FGrg2W%S zyeq)Fw1^Hj!0VRO^QeSUwx@c(E?pNqk%F+@-%A{}JN80P_ybE$iR*q07`x<x`V>D<`DD4!(|HCc;Y)yj}(F!4GC-5b*G~V?8gb zJ!JSvtJ@#48J4W6EU%XT0u`~=cXaiNr8+dJTHg`ViWQzk^7A4jX(=K-@553ERL*q8 zmu4=elR+JGQ5t>B796qY@&2~q)y z!h7Pa(ahq0%?wOz1|p8!XL0=M6}$C{T?R}SQ*jwLEOX}tm#e+OCoiy<8k2#epEyaX0MJwuJ6Y?)>@So~~~Oky?YAh}Ka zuOxVaP+73@fF4-JE`ya1lBfD18<~y095!gW_Nriy{n`TKEWn5$vAOM=-Om5=p!S z51k|hvxtJ~$osj)f{*bx@yOn3Ng9GA9@(d%Y^NX$Kz$eykT9SS46Ik+|4)t9f{Pgk zy=-_!DO8*Q+gy%R!!9OGNZm%V2)N~k4T}ZM=Nr!#_pkbS%+7QARZXdPQq^SYP*rG- z(xQ|GMh`ao5P4@Gv@UCzz87ND>?IQWi+*z}n{xB@TI3hn0yr$5$N{&p+0n6KtMd`@-xOsFvV?V4vfF#Dc17Ph_e0v?fc8Bj!$Vcqk0AT$>0$>~B zyIaaWB*ri1ca0ob1NpbtAp@v=#cIk$m?)Sf9L~}JrFjP&EC!$w`Ed+ajsMrBrIBhV zzE8qzJNZi}OBRF_gOd@KZ8=tapySZ^_o_Pv{8#;bx};x!LrCKOo?#20O$g&2MopOi| zghaj^UAo^8*Bx-oi5?&r%hGSy6NsBJbx~R-<3LWEj8X4_qsP!Y$Q)E>lh^|V@xvwX z9Kbhe(K~veceD`qt8qU!$3r(fb7%lO;W?wBFJfVXxa_lYJm^yGZY0Jascv5d;l(C$mVgq0kC$|37NMcg*dl1{{r>~cz<<}Vto|Jv zXm;!hn^s_SEMI<$basL^FP^jn>&)Dte0ih!+U(4NTZko#&TJ&L-?DDdA=cd})-XZY zNA;*{3o@%^tMBX;jxx_J_sD|~Kzky1UnUR5Gnp=Jf;6n$-!94rI9 zr;r~)*m6H7kmmw^6lB2)6F5Ul8D+|aUSYux3UKbn?lMJrzN^Pg%k$B7J#Nia-{Xdu zQ{8#>oo*$w+b!C!KkCL=4Qsnj%<9TL?%uxFCU>%U6}zRTb8jgWTk?-J?y(@0Bq4UO zcRQqVr(?G_M4`1Bc9P*_1WMWEZF6TKtp_dZMNu%M4Y5c%SVauv5ojhLCdU6h+|PGx z%kiaOF~@kl93~9(G;H~!tsfbl@KaDB|Id#QQoIA|3-*9xUs9SwhAD%cNyp|IP`5LT#`h_-x~l3d^o82_hQ-E^V%PS-8bs zG`h|k%lEjpy5@Os`Ot&Z8}ZH)Zh`S2Fi-$rHU^bqH34>kNEKJ2KoKh3YOyumKmqt{ zqL!rRsSYxwegkQhY^)byF#{T-s0rcRpJHo1^$Z#CfGC(}ZQj}^pR+~kVSAw+L`nxM zJ1Cy?5o`@OD*3appK%bNWRo+AiedzzFCk1XvdE6;3|bSant4-zd6!tD!fVXUNRjdG zEGU{+pf;RsFxpfbxw>`db9KUW*v2{h6GeU5fvkkAhesnT6d_us;h9-)#}c@i_pF4x zoBQSs*dtqvyt#q1MPBTI^O)UQhA0l&*3A{qvPXUgv-ONvr*E3WO?R8QFTY(#+51H6 zS^l4jn*siXp75EmVrNsae7SouZzW-$R43XP&3UkH;-FAU&~Yz`2g+yH#wK*5tqm_s zsQzxlq64)nUKtAwI5ydp^Boi4zwu$FWvLuZ&izjXgG6jeGHeRx? zxXCFvC;@vMKyMu7%2u@b8PE2>XJtVo(= zZ{Q6i`(jKIO!1TrAG5SFOEi3LMjU;Ym<^}$+|u8u6`OcP>*`b&w-Mj+bjmiSSt>kG z$ejyVlC~p>JNIXUg{jTZg9`F?U^Vd!sL`a@gv^bH1wWu4RiX`RKNcBnXwY40K&Vb5 zG^u?dny2Ts!ej~vE=-|tGkSDN0EmntBRuMjA;_6vOPhl}1OOvWqvCW}whr$;x!>@9 zfF#Di9Tf0m9mLun(<&CQuRo1>dk0hSF@QC&q$g^lUqt8Gl zjNgM9$dE98Bdr0Md{vdrQ*0>Sg)46` zIW{1G#ct^A@Xuqvq+Pq4-=B^?{%yhHmaDkaj=}L+_%|dmeYDz9O+KAbfu$?vgKk*y zui7=Z;BSPDmJ;9XWb0`44R1T zK7N~sbEqx8`}k`iG!3`W>H0Rt(vgE#a^HSrN!p1d?%N>{C+$8?vBkpjDS;JaO1F!2 zC;o_xE|HKNOGp<98L@;Rd}rZFag$a3mRuUtZ3XHORTx?+;V(TSD4)(PpiRQq>T7Hg zHX`+DlQ880s;qlL5|U!Rr9PX4V=>y~)9brQ7y5Wo&YptF{9 zr8SoU+|A^yCYz9~$8mzhYUHD^TX^fcT|;a}2gQO#p6s)47(6Hyq3kZ!^6%2lOAu?> z1RbfOiVqbeYlSc`c;_(463%-H29M#CkPe(~N~mBjc}^@9h-TskHo%{Cia@3l(|A5( zVH~OwuF!qVT%=W5V{`z^bD_4E)51fQKn^I->fsg@SSln5cGH zl7=IRiE0d*JOYsdKMNh!BPdKABig`%Lpyukzv|0B{#L|5hzx<#Np>s$cwuHg{_z-+ zz|U?14~WYll4 z52gy}E7q&>ybb`~kzo&#*$mtN>X&Kt-Gy1WR^N>WNtz96<&@isJm786b`JW-^ zx<)2KJ2hr9!vb0k?2EMZY*)0k$5`LAGW~RIE_Jn%aZgGk{EP~ zON-YUoyYA5CZ3KrEJ?QThGqm;M;akNsVg;dF9yh3&gj$rPD_zTq)3Ri0RSNr1IvsY z<9-Z%SF%-{j+C}r06&_~ed)C%eU2n1rHjqhEh6bGtFU2*L)%IxW-qqNx13BMry=b2 zAbx4O+^S|yq(d8yR#u)4%R|nH6UdDMvTH0!R~wK;o&rl_FCOb3w9aiP&4%Et6v~ls zL4l6`M9i(mq8#lYGx2*h_YzCn?@nbzt+FJ&jwBxRRDi?5Sp0`%H7mM^?Of)z>0=>}!r5 z`&tTo@gEUx?crBb`t|TbBykVV1s63D4q1;wh=6JMBFvQ?^(p~2MJ`BoC}?&&DQJk# zCY1mj7KtGwY9oZsk5}{RUFN4^Ey$vIgS)TG1A-GA2v_IC?GG(|y9vEF$SlO^H-ZF=<7$>Q-{{ z3zZ0KzrDsjqj=0+T#piSk~a0IYvP4^AegkidK3V0rphj|dC1i*j&{+mG8ZwaPAfnH zZ?T{sqsh;BnViaFG*^&1j5B%Sy4p~o@R?&zn$}-wl41lfH5H71|DN}mlQYObH7%Oc z%8=(Q6Y!Et`%&yTBr(NKLWF?u4)FT;^FKm*oL()aMveJKtjEwBy2BdjFX@fIMiuCv zj1cj+&qO9NGsCzXk(-b;f$(ViRUgN}T(XnJUY-A-;O($<_1ekY)$c4xmm-O~O6Nti zn+}ulaI_2WOaOtlv`iKA&Ri~Z&F9Rc$cO=vbz*I2-zELp`2b1W&Zl5&W;;}|;i9>Q z6h(SYaM94po{1E2g5zR;Cuj$WAw)M(3PK>C01zfk z0QDND7Z@DIFaeDnrNm)q#07^jb_}YWy$oYQ{Hh>qNQb)<1sD24jaYyO8#4&s1inz@ zi3Mq$at@iXAjS;(+%khoFpCOPEc3@`)Z7dxc&E`Q5@{E$W;7bx?Dzk>QEW89b_8Bo zGNv!t)^=Oq=b%^XxvuNTK&32XhO@r>AINs}(6|tPEU(`TtwIu$(_U(snuqyDK_!7~ z;De5bGL9Zh#=;e(i2>4=!F!{a((LN9;eLWkV28uPDa0E9Acq?gm9V`1%ZJ0 z;B1F7wMzaD!V#+LcMywwh3!bECJoe!KriggKu!RFtF`i&n}IqH{NP~mlmAp;goM?4VMnQbAHdoE-$u`Z>RB8iqt$uA+)q34MbaLgojBNL&} z(NzKiX-p{Q>o4<~@^)ZT=3nw5(Z&9ymWnjTF>mN0WC=a~0*0^G7(Oc5hVv_V_+G#t zPdGS~)S7t3@xw32UI2)`mudgT(yia21Y#cFv?M)9mCy>|T7(&4{3E$GEg(2yjr<*s zpI>_sR$6I|4Uv$i#M_6K+AbCi*w2j5xNK_RG(FfoTO1rV#+K+Op&=VYgGEOseK!#- z@g8ik!q~x;i4Ou$T41P97kE1og+1{b0eCnC2hDzg@nX1d`T=k+oy3T-!u>NOoeu7C z0&eY2fK8kN0KN;^&H*BCPv8w@a3vHFPi`%w;Jj0fpFy`@la>J?pNf-FAc9C#7;pYX zZ%BiSAMOMjrkglar@^NBdVh`qaWIRI2|x2WhM$=Zyp#g4ImcsM#B3>|6%H7pusJ8j z5~mo6kjv!vf6kQ4=3?_jmSMd%moc``l5{DOlEb9p>y{MKM5Yzddg+S*E;0|1W5 z2j~xn+QAEknNpuCJ_WDvS_{;s8!WrFTTKu2mI zx~Dw(EZFlA%prjmVXX;Y8B%b#_+DY#VzUdzs6+7`fv~~)cnGDbt~9Ev?+YC19_!{o zQmnX}uVa3pn*tjmO2Zh7_pyr)j0L?v)o}i|U4Lz2zpg)nB<}jnPGImHI%MgT<7>s> z-J+V;El4dftI32QBMsf~S_)r>*f)4=+PMbN{qVukbNWHtjwFWoB}bd939dHX)tJsu zuYAhJC=|_+R%PrvqPM|KPh*9beZBh1XK=uq=qOAFI&0W6t~rBvSOS@Ct}Uot)ciDR z23}B5)LO$r+B$27)5;2u=A(jWgEJb_PfwBWT97xge<$5$CtKMt5y0apF~IOJ!9e|a z1{-U+KW!_~H3pRP1zZFGzL*1SHR3R8Fj?OIEH8lRS@qS_1qJ_ z0ssg;^#Un++z;arI{2X|z=>fo@+h{`=q|^mnH=zk6Jzx;8=-%DG zspIDuP5qCFXeMv+qX<4K_SXaNowot;2fUOTtz1jd*ORC?JZc)~tUP6@+y6owfK+K(j|JAlboEu@zcnT^@ zB7yPySoBziK@!tI65viEGzfh99MZ9-gE!EZRE$U-)*5doDKA#CIoZ(Ft{2I)R%uP1 zOvzZCxcoHeT53Vpxf2*&f3YNGB8drUt>8H3A?Sw7=Lsx}(E-lJfgZGu1QmFKux$Bg zT*Ef~EiGa|r#4oapcGNY(~Y4OsK>qifT~6k0i_{A(;5Je2=}*Q)9`K*o^Z>U)3SJ` z2zY|Sl`Vy;nbS!(gYiZ?e0z250`IlZ0}N@H8?2!*W%QME-{B09!!>fUdE#q2c1y1l zC%)S6Mdl)CSy5@}Xrncn4&Na@9j^cHIKc9|sR3-OB?*d@Tw<6E6;uwCqkZ5{2){ko zBG$BpoBlfypo#KdfRF^i(!v2qE7lg@knbBn9*E37ZB0|zl3PFyPPB z+F7)wbU25U=fmd{VI9skzLRtgr^5t3d_Hf2=rtC@4NmiL68Zw?;+HTA`IwY*KyHIc zgFu7!)9YH!VR5k=9mgo@wxCEu5}ReKo%D4Re6D5~%s^+7L-=^l!1505uo@#?PEUB* ziB$=_WN3>REbgR+&#PeygDnDGWIw>IMH5lPbsR;+=DCJspnECsCHg>t$#j1@hG*@? zW&L|G-t0x216xcfelUm6=r_riCh}n%)I-=kmzK{DpT4OA3&OT$Gs2cwk~SiV5w<|A zr+3!KhgZ?pv4|^hPk6c96JEi%a)3#Zf`6D66o4yimO^Jys2vj>!qLaL|3wd%m>y88(X-V~-~i800ULXOOcCTsOWY6J5TC355dqW4slUCYF=VsM3BZ zDiczuhwC!3bkhUZXOtiqcg3p`tAdn?+yOrjre%Bhn>&uk3cn1=!(Fm zKXLG{*v-(#byzj?Y}46iVJ1>+7m`dud zMeFVQ&JsqD7+x#L7dizQ z+m;`op0wiJ646%BXp3X|Yp`}fuU8dv7h4OHvM8pCAT;tB5SrNpg#104>{B2BwkXdN zaWGpEg~*6%Xjm?2^3^lQAGy#EjYW)90*Ww=1X8S?el zO6xkX?`7R_$OZ4S4@u*i0`^yj7pyz#_SP3k>$=@xDeSGD@e`@R5paa1SJ!pA#Ydqg zh9}Th>f{eiEIj~QI;CsZsHTFLZajOofv0F5Z_4q6y)mTz3!YaqWcaT*DchV6V$Y2s z&T?YeU$A7av}A|hga;HH!&I+WfueLwar$5=z6El@@M6_HkVk z?t?jY8QNBInDJwC6j(B?bkmA0R9$ga_TG!Ih*+$iJAlXZ7ZBcAB9NM3h*}&!2qZjCtAepOJ4fVl%xnaE72^9@CD`gjoYv%p zjNpZ|CSf4^9~UwKk%iOJ+YfF+KXe&cK#%4jLd zmi&`x)QZ)jvr3&!tK`;JdGlzH_fewpCUH?0g2bUcH|YU7IFh=lEqnHA8tcHhRWlr@ zB$ke?ng(4iNRX7;Y}B{SB(n?Im57z0DBDB;W#emSdH z^^(RWZjbhIn42ps*&`Y7aj~H)g1c$|cSfo_#DAe84^ey>;*fa_O~pR2Q+NRg(O$#SYvj3>AP&7F?)sGi5hy7vZV1H*r-ior{0+l5~hB9PEugKIZhI+#DfmY8+l&SxO{O)4#& z1zk_e#&=1pHqFnPPv0=;HXd-ik$8x%oS!8Myx7AdwVfi?D=%OSC!qzYfM4S{1$Dpx z-W4d01ONhix^@+ZCENVeE!t%BfKH48=@C5mRAwX$xD40`yijT$%C#G6D2j_&E7dQu zE1s?Px~BZ*C3F3SUWdye734Qp9PtKcz$qJ;S=)SN{Z@NUvsdvr@ZIq1yz3m2w+8N6 zZ>vj^uE#qFl2!EFMscM0z!UNziHrN~-!*&d9a6sQ1N-7h-l`cW5omYSHanUN%shcl zDMta<>Gs;tK3Au;i55K{*!`1S*VQ&TP>0B6Hb^ZQt-1|pKCm-$|fO_aKuKiF?S_9xfa)KC0#8m`6H*C#Ksr*`5X)y!YmmEBh=GZx$PkNUIoyZmG7 zTD|!mc&CTvPeyV0dMBb|a$Rdt!!=v8@ly07+3T3>kYw%MT6|4?;}g=G58}(?*KN(N zeZTILy5_o_iuVzzcimz8(>H}YU)!r@{MwHFh0D{sno+Z};rgxF4YTpPRzVN9W-oSy zbv!s=`a0*f0Z=}M&KZ?3Q_ zn{(c|q1adQnq%kQ=;oaVm3NN)X<=C@aJJd;Obrq=#s+8n3jkbN9hIEr1I=1; zsTQAydO&U9NWy~~GNesg?Z=)UTPDxdGrzIlR6ZbbpWblw*1O&xOIL=oeu;hE7xp&S zS9S^8)%NrCOI+)BQXNgoHgJXDzCr6gvxhQ2x7TmCuZ!40D(f_R<7f7URNeD4JEY~0 z>p!#GP`dte`(W3Xb^+lfZLZJk8L0Jh)K}}#&`QLmMY_8iyc>Bn}+en~g<5oN88G}3{4BQOX{@gHw8)~?GYxeu4rDH9RjH>t1@UvcJ z@-to~^J38SMz1pCEpMIcB5$4NDKCv`TYOY+-*nnh{mL9wz~=Z)Ku4qcoEHadR_oSL z9ZR*8pjTf3>c$%HvF8^ShR|>b4d*pAi(#}Ur8YI!KkH2xul3$g=F6BaBmuyYBDa`is5m8oZ6`y)<@SFUIcb`t_KsuY2oX_6~N1G2ID{^V?kOy)6Z#AkfsjE1 zRpV`-JSoWILM!H|O!3kniI&6}g1(a4vZ&&DKnj)h*1ogxk)^=KA;*@Db0x>l{4Glc zgzdJ4yhI(WbV`-OpS{dG&E_9G&F&vK&FLQymXgF(28M*Hb(brI05VxVZpW3RYs3%^C7s;LQWqXqW^3(UjWk z&%k%)VMa2;bk@4g^G2?=)t~1DGp}<^^b*Hh_q6x?xzf64y|u2VP}eiwf~5M%-gVF6 z{&m#bhC!k0M!vq~m7HRT=79GjR6|Lh2pnJF1>@iBPtD)rcQeaFyQ0loge1^DuXWXk z=4!nK>mKofb?*w5^|ZO_P;dQn-dXD&MTyJ2HaHgX$L>#2r$)78b-+o@p+0*uFi*5P zw@!|N5H~&L#qb<^K2okzZm0h&QFf4p4s1!)4+*yd!NoZf|^KDaNg~`MNq5S*JxWmA+kS^m;e_3|x{X*i&9+m_YnD_TzT^ISkH) zKVK6AYx1tcR}a_K;kSYkA0!#s=0e=^z_%+>e4B28#GJ88DnUhgO~+nZ7`C0eHG9)9 zDYX{gU&TY&CY7EZlJedyd>I}^=laXFu2%=HhnQ5Qw<}zmo{(N%4=+y7n{y?lD1AS^ z=;6eX#iZAjWdxfCfuuD@vv=Kts37kXZS>{aBg*C^x3pcQe~w*mS7g*SCCvqZ4}uy| z)SmZ=w>EPD8ugZ;19jWp`lrjn?TJqkl``D|bKPrVtQ&7?$#|`SJKa6-)2*g%t+5&vDlQ`hb*seeG~Gp(+R&#Nxk300aWR_*)d z#NJI4dt3hQC28TPj;Sf?{m&DR=3DJ%fN2BZnGPv9*huWC-vKHfB}|NP@L2?HyQqp~;iI05wAj+>Jk2#arNc^{$3X zzy&~>jnjU!DMi|lEFF7cY~V_;G!p#z4Kn}Dn|49@B=9pzn-KC`S#Yi1k#obRGUc6_^^9uG~6lT2CC}SF*9NEwo7sH@ID;>0OYS%_~$;rThtE-i?F*pwf&}Ryg zB&-&ei4R}Tm^VBNE6!L^IYaTx8@_!%SbAt>qgQv$8=lw1d3;KRGqrPRQZPDn=>R&q zPHh>Dvq1K2-`}>fW2CP8)S6+XMs@AUzD9DM#gBMJnC+yzPFlc$iPcNr2*qaPOVw@T zV0Jm+r$hiPTU`DD^m$nFr2R`4o+|Q6kfN_XkGxnnadbed%PMz7-j|ftq(Ok=lk>^d zEWW>quTPSfmH0k=X^BsC3(DPts_*RXz+z?sK$mOhC4yr(DbCD*Z6j)=sD7AP{3*Bo zAa0JoHek)Alr}eZ>B`-)ljJy-zG=`YD#dr<$no8(ImsJGvUf|GL{2B{oaAB9pKSEj z&l|3%&l?WQTZ7%J{&7jE7>^P-ZVQx-oXHOmB4$dUVpZwLca@ERoDe?U%$3r*5PjHM zlkxVTGGjHeI$RG(`EBsPw&mbX>G0{OK@E(Br9_7<1X7h&s%l-?2rUe*<7jJhDd6Ml zR)c3REW3G&Qv!fW`fnt~6O_c+DXKiFJWEMO?HN+iRkE;(!3OXo0NoIIy z4$>hscI{JvSi@)FYou?>AoRL$NPaUWat%?4H@Msd9nKq^DJ>fWIOnSOOYEAn^B4Z; zkY`xM13{=*3|*3}K60K22gJdV(o&q&QRsvR<*VLOg`Dh&P-iJv2pNIl$E6icUo?>+ z`Ns$c6DrA22MxwD&|l9IHMJFHfVH-YDdWS0OT|_Sm-?0@NZ0@urjpLiRzN&cT3SSa zB};)b@fyVJ3~s^6+^)bS-YF$%0h~ApP^kHYm&5tx5G1C+|AbiUk~FMArE5iW7#E?% z<#o70Ntl8;t=^E^*EeVJ9HYOp27`$6Tm1+CauOhtJutvq{UcZ~krD(Zo3r2PE6LLv zhr%Dxd&>yuoEp|`dMUpHL0uTBxoR3biiKbVLzUJdjM!eKO-LuAEcQ?P*#`_h;2$BD zFL+r7yOV@-+&vX{a9^a#>3#%<(J?jnemb_5%{55whBTgTbgmG=%C56?`ZEd2U#lCQ zDimj*5DwNrxTfj)91$<4$U{@*Oh4X}W5lTUyVQhw!5d=C5wZNKa+-fScoj0M-;OCQ z&2KFq?Gw-9><)Fl2d-mUZEwY*E!q`czcjEobw0U!Fuzi0e)$Zj*?ofb1MW7QyyBSq zs@1tu+D3F90SR`qJTaa zn8YKWpJZSLj~T@M3`F2@C_VB~-}L1wT4ZCms_^|@3U-~VO6WACPBA`%*;tHeW*#dJ zB#>A#X6cX7(-a$hx{2TCb>a+3y5}#D^lWfTZ~pAzT*ZyWL+;~o?Q`@oJ++e#BEk_> z%OS-EFd6+Ts&pW^sjJN{1vXZH02A35EOHq`Xcnut!aK^XXiwE{TkTs92Uy^dd|z)s zfj?vt$A^ehubkB%B%`?43eUwaNAYoHae%1(97Pn0$0aO(Lx@#kbzL;rAdJX-w$B0COqv&bJ9T~+y_xyrs*=BGgPx}T6rKD zB6<@Whka!|P0~&hYq)L0B!P zVK$65>-Lopry`jPQ6%J!MxWt@=vkY$C1;D$q2QoAmMsNzehLmwA_0{Sxn+DSfD4bO zoi)rds%EAHY=uIKv}+jRUd&Aps3p~yBtmZyxKe9@1dKN8B)7teZle#aF<_Oz3>evu z^tI>8$s>Fe)8wtb%f2vb3f2S}8at865Rf_v$Y75iNQs4T##J7{!)WBj;_TT#9**iQy#TN0Y~o$kU}CgT&1?BIxk-(ztt-LV`{71xBzov6MaWeJq$&Y z_e+Rj{}EoiRjl4M4AMwWE=Nr7GHINok%CY91~#=Nt51ysG{zBpoFQ4#ws1KEU7Kho z3004%D=b~QHM?d@1FNcG+od%==hkciSQE!UhO@9XB z8_`1Y{;iV-mo=wODRKmI$VCMn!BPqRg{%P0xrE}PeQexf3l^({KOqY9LnTa}tKK1M zvTF^L2?X1<>-&MqN&w1`@#;uX70zYWwVOFdV7vhrOvsVY(Sf+pqlyheS`9rR8G){W zG2%_s3ufdpUjEW}NqQLf%%X=4(4UQZ8zfV!q=hp7h+?1mR1~N-QcDzQ|Bx^|&ypdT zv}`e6P)u|=h2(It`ZFrPRE!1O`8F}dc14P?lxfUQSi)~fj5ORmDtV_YaV@^s#2%=5 z!ncM(-3akdDh`ztit{U^>*TlMV7%udy{wKaZb$3Vv#E3-4JxlU2izg#CKZY8*-wsh zT@HBXEtTm@(xF$Usn3Dtxpu0BM##1#4copgIYqOV8qGQ)=jr&EBu_pv18&yl(7m9! z)TU)#jCFePT(7b)O=-S~K0%^C`pwPH-aY~&6B-EK1zGC7ZG?W3#MEzG zw~gp4qdehTMii@4F~QQFy`M_WHA_{*N>xxP$a%0RL$Wcks7@+HW~i@3sZ3KUIKqHs zNgy?-+n2klqx$j+o?l;LS3=e(DKMzI(&-<8rASxSDCiVJgEKrx9f;IhM$n>?2dRv1 z%&nnB(x&(To!r%9j2`A@g3UD=+9VZ1?~jqxhIOT|VGFAVRt$6nSTu$;1GxMpsht&b zaRBFt`=1dCZeWa0{l^$EH|$MMLA*DM8c2713eFLkNk*Z$0autr@k*Dtg1RlhJR(`x zy^Ie-vluai)Z79{I+C3RiH;eFZU`pnFs!;@yVD1+$3$~~JO#aI6rzYJM&f>|+dm?% zO9l=?pO)z@)WyL2Fb(6vA2h^N@yEe%m?#~^>cP>51VOh38*ibQ^r}z5f&mz$J6OtV zc?mGUAdAC5F*9`ZyEfVa%bu8SF9ljiSBk+xp<56g{;S1UgfgWG>+BP>$q5Z$I|v^W z?~x`@FQIoD;+o@8g~pw(yQB#%l!N-)6uT3G%U5roprCGH-)om9R6jbQ`T)!+IB8HD zKHU^==#zx{HzoK4g6%`FW$x@z#p>>4inlP1L`oy^XeY;5BzBbIk`Qv+GrdY$ zKB!pz`KTD`iQtz>5SLrAeK0A2iNdx9g$EAQN^lmAlNEUiDeP6-pxW@+D>BZDpEwHC zL-vCD0+t$*6tT2yAK2MMS`j5cS<0Ekmt}vg&zbG1HX}-m7?2eHnR;V)eL<6QFg%I@OU_ zoliD2I)qH~rr5{eJP|H4gdmL~6}ek2{5IAx5GnX`Z@qH@=4?iSE(0n`m6Ium!R4Az zTLy*QMWg8nUrZQ+5PkylDw(!|A}7a9CzGB-uCO}+2)+$@8D|9U)SpvUoFsvqH*ax~ z;UF*?oZ*~6J8Hn|9O#S9<(vRe@X18zxTN=>K0xeLHy$$h_d8-na3{&j0*#J!#gWAL zm0g|qIwqFH=m9|?nv-t+Q{H4F?_PWjB$l_jy-osyl~W3)+oi=6CY6F=cxWOJg)en1x;KL%z|n_pxXWTjsoIHXj{72T#-VXD2OJBd~k=o zz+R@t)ho(%oS>5TNzS(5CsOJsOA8@Uda9)InTc4&0nToLI8b4e!qxsZBWf z7A`nAtx|H(>b2TzDg+vaSHRdu8_@gKqijiSsu({9M~9c|T;q$e8V8|9yY@1wbF{%5 z>cCCRA0&9FX*yY5Nx}y(rX3$ygAFB>s$AlB-TkSu&|gyP*8Mt}h(T zLq75!(uOEKDA@JIlPkA(?R)e|NAnrm&74Wdxp?pOOWj?&yLRD2F*mzm-I7odBnnzA z6^>m`L;l;OP>(Gq``cNpE=an$xnacC>@}3TA)U4DHC)uA6s0s=i48YK0mpAC|3u`c zoHIZxw0K~8=tXVm;%%_q1>!4m5}|*i^t`#(cGm9Kl6GprePcIB(X&3-9$B%qbF(9W z{@N>SS71fpk84Ibc3+3JUGsHg_IyY<_K8*B7P1FUD^F!m)YCM~>Drb~;>djXEQbik!q-CU&ilTJPzcHs3m}Alq<{XG4K4lC$eKyuYMbBq+Zm9}f61H8D z_pY)Xn8=+2$BFSE81DSi@)2SQW=Os-T0US2ys5qAcI^#;t9_2R)bjz?3N{I|s8nYR z7o3CH#_jm{rKk^l4r|UL=#|dk(WV6}T~c}a5;rs$)@;|@hLLakk`Yr=q!gv`@IPJJ zFmnFbt=TvHAx1gl9D+>ZB|s1jKiZmoioBh6&P&tH>bhk~s}$PDOOEN1!|=OZ9}#m- zsJNe*(}$D_=x~zV?@<4TUGB6ip^w`+=1d+!S}+v}x$))k0p8$U_RoR#b18|;fDDwh zk6#`gn1#jJLc4}7s)lzv2#+)PkFgX%$wG6-OqvVj)tE=@LPbTD9+87gPgDtCg0LrH zOV&6eub67-Qnn2*ICqC1jr@GBPZ;A?IZRi9T zYo@8Qp9UBDI`>PMAy=O)r9F&x?RN}WLN9ByRaG;FP8digs$pmOe^0lBo@a+nVAD=0 z_anhESS$536oM&Wb=<-uZ_xGLqpx&rzXo3co*|E} zm7}%Ish@``djkX6DV7Je=xnL%^|nl>7k+$vF$qiY`={;=e5riwt(r1D@R{fB3MUq7 zSH2(EQK+=lZn3ay@=$go2pQijzVdukF;cxQ8SK9`+xLf_>=vbh0aiDS!00w0Lmpx}b!@p|__sVW3b7vhq}Xo*G;P#W#=&oJ}ZXh^ilkif+Ft z=fsmS#^-66$um90N_q7sm?V9*%`FuWbfdksv+ds6c}{Qb0#{wL;LwPQEpye$q^hx2 z?V1bc+ld>wV6c56xMb$o)xHlKMiLJ52&)@1rG_GU8eij!ZB5WHQo<^R~uB-lML4k21tp4q9wSjH&hq{go**Q>-S}K##@ekL$ z^jJ>QOHaH6#NhhUV=p0E9lws3e*4m+v40c=5aZyz9-6QJzNiU8<>)J})d3w<@qO3! zobOy?-7C8&>cnqE4=~^_=Fq-DYDY zy@@$Ji1tEZ#9)n0;6TKsdQk~-Q}r8ub?eLCnlTW7qhIlVJN4~y*VN5R>=U!5epc?D z`tcG+m6io?Kee<(EG(~ymgSuYlS=7jbo{n!+bqGoM6Z>PwA6{0PaCl$$$9IltMr%0 zH3d2zP3#YE8jc% zit;)7`QG9I6j}Bre18L1zPEpXviX{0FDUN>J|3$y&m#4}AZI7ME?eMrxxFi{GK0%L zCP9(zRX1Z_&UB{)$LNF5yLds^{Cs_yg4%f^HHAqHAu_Hi8b(Aw!uOvmfKv7qv#Z`x8ZA; z{Q4+;a>FR~MRL)2!tYYjMkIwtsZUT+DOS3V>0$_gU7P>#jN5wIN4KL zJPo7ZF>*lP?_~!EJ{fo4H~4JQoV*?3jP%l*)NQHj-WnBxnM5E-QlmrC?&n@eMz7NR zccHMO71bB3zlJ=ZZ5vqJ1ilIq@O3?Rv>*XrQwXqF@fgg1Ltg+}SimNbp$&w863D%b zPT*Lo$M^uNRTCjcgO$no<>b-AoZmwyC`dG8&!;BSsakFBt z^N|Td3nr{5WvROT33zt+$bvSxy%^H_5KwS!31$<`VrbqAnZ)&jzu)@)s_LrlhIt6+@DdLC)hP-jrQ`Dh zzkEDq-|=wcfNh+fB4SrM#^K)z=}OrJs|%$08q~d?MSd2GMn*=>q1Fp5MYP$a4Q48*IN3GGH z(!2MK(v7n63go38O^H4;vdOG;Se%Nr3oA1_ubIRJ>HY0^vPR)y3h}6UBoOPoW|9AZ z8O`f&jkxHAc;cu9ON^emZPjzA(YE-gX&-hM_CNXF;77_y`c6IbaeUEpv>)s8 z7>d!%SJLoy#T4YK89%PB4z=1q5mt6toysCyc32K{`~rQoGJQxcrtjsESD;&z+AHUl zbX|=}ix7wu;31pk=c}TopL5;EBj)R8uZ~n-2RfFA>aw?Wzx`YtW{T_TBcEK#MvQ(r zeP}Nhf)IQa3eBRm10Ba8(azYN6&0bK7PQS_<-b$8kzHonBht0rvKO=MJ0g3oIe%qk zZ-WGRV+U%F6<^)=I{+*n+-p(>M-CDIi&H|mpKS-~CFh+XD;A#OVP5<`Yeb&wRMya9 zx%Tu}G~M|oyWRD9@!E zI`=}A@U^t)&7I>8r?ectdz`FbI2N=`$=uJY*wHJW^Wc7xqt(S{5j^AJY!QRWr z!dis%-c^zN5F?F6HjXO|7lB?;@rmNom_k^6yOfGo+gb2gYkT40NWpl`{qTTxlwUG_ z_9{*n6n{{7Sl3K*WbXLc3+ZP%<|yx?jnOg4Lisp&2l-ODo*w6V)UNRygM?eFJ~db2 zt#wuug6!F=s|(+@Vi(`|n9_OyPsBldZ$ac=#;7fjM>{({t^1xi^1>Lt#PJCvmCn{; z+Uau^xnW7o`ImnC(&F9^UaIch-1~Izv%P=cYwCTo_l4e{_P*G={>bM?esJW-ky~GG zV*kE;>FbwZ)F6V|)O4z}kgh+{pWs;{bH1RCux}lTV3JIWfAK{zHV~$fa^`j#;{WZ1 zM+dNwH2L8u&*u81JHw~Lxh6bQ#Bxf>?&!(Q>xZ?s{X4{p9;J{dl)<>M1n^YDNN4;rzEANxEu6FXbfj+OAIR6J7#=uQDvvA^ex zITv@Z1HnXk&Xnh31iv_JvypJF1#Q|$VGm9~SAJjk%mC1(cn&xY=J#PfiR&5uI(*Ve zi#vNzvnSg^!oy>%3avZ9>QMmnt~!H)tinEoujxZl2I$G_ldX}Lu`(}`8Dr$rz^jg( zfe&?&uJ;-nu^Clb$hM=F_Om;9r|BN#Z?7vo)BPdcKr#Fg%f~pR9{G(J*-AG#LX^!R zv=BZW0*Rq)JSMY{fztjT4s-Bwa&9SU-CFLQF0P_L1SUX=j;GfF~SIz}q2d zfpR*0`ffBP<|x@VQa&tSh*Za-OCuj&k1mO{#-fWrNHnwU%gDXhB9+Qe0hVzd);kpE z^1cTA-rCm?5o3*wu=b|Lfu-T&w%mF=rzGR|y^eKnqp*6Heu4(??CHn;r=C;m!l$s@ za#T6-)K!w%YJQDm4t`AkUHZvWpCE(oMj@HBApLVHI?P(cvcnxac2F)k%Ao>Mg)(No z5`J6xq8M-8c0$-Fr)+gp%NyiXz3tEJ7S zuyU&|Qa+?L!1x=rG3L@2J0Q%`_>0b7-sd=UYacd|mME0jr7E*^CIg^?9WLeX(D`j; z7>z`4ifoES7e-EEIU`m&KX5&2g%EAM_Sj=_M%w4u!pDDz^;{+_uUHB{al`1z(LpO# z%ny?2M)N7r^3-vX+5Pbm%h36fe)GxhC^qyAcfSRxZKM;pp>3vjXN2+Z#S{j3tHUUs z4hCy{cyzF9AcZ!*(mbFwK7z*oX!@!_ebpZROdAdj9qXZ^wGpUiJOJ9^Ii{?38T-4V)N+G_W? z;poHWce_7C^IhjMutUUDJ}?j~yf254r){fqp0=$;T9*S|*!Eh;xgjUCc4N+X7)$zp z*-1*y7am^M^VYuif2cS`QdI@_jy<`=EX)tvWTIR@vX=&;=K`Hy?5$JYzy{@l>oH}# z_=@m|@@L!9Z2RV#OaENb|6=$*_g&ogfij?+tcWZoZFXT@WC3ZTZ}m;ZM2cng963_b zA3YD-W#2$|Wu;X7%||8uSI;dvTytBVlwrwR{fKPuT%9MI4%eh#9vJyU^~2^95CtHd z>&&SzpD~|NzEnOfjtb|MKZYE4<@98i<(!)zY9&1lrmnXvPB^oVzLq{K?GII9HqFGU zDxg&Xtug01q_sH*ojHfR{9Q%)DUpvC|LcztD^J&{Ef*%K2`lyI^VEyGtlx?-PKem(&%m^`U{t)ag~$?{H+PJx_n*_C$@Pd_iiqY%u@b#P6`G&Kx*e)LbEt)d9c*0HNn_MxF)ZU z=K?N2KWp7YRX<3e^(c0G8~{YxW=B*GxOSl_DaZ-D$1Ct|i6aaL&d-aYtC<(+J%&yh zS0ECg%0JGqQ@3RUm?;iFRE+ zU(oH6ATfcU+`OCkd4Eu7PqdRp^lnJhiQ^iSr50I=qX^I%$%fvm4RR8?ftN&1Y~kJB zCNB{62GBn$zbHavi&kPXA!@#gb08?~2I1T;+3S;lATa0T7TUN6_=9LjRSO^R@Bz1% z7t63#uYP@XB_{@GOWbNzdU$ayw_0x+r;OQ@ziZP-122fs3*b)bnW%yLD|xOKaM-l6TYo2UWw;$#6IZlxEp+XPVzLL*sadRZ?C+#0TErMHNc@o-ET z^g?CorC!LR7Wyu?S@xn~4N@5w2y(o8caUP?f{g6u;|+6Ok!rge^22*r3oTro5PY1< z7OM^tRF?RH!960^1Rc{E!cihPDp?RSi4RbAFc7=A4d6Ni3_NFq&=W!SfkXTgyjzyQ z9f5Cl2_9sLkihl0@7C1WN7cE-1@pth{D@3SgDunqxqNBpv?iB~4vphL^^Ouzq~{a? zUV%vE`3^^E=~M}uWHxkN_EX*92oou&3_3QhJaNs?jLh-^&kA&HLO&+JAgX?STNsd6 zU8$hWOsgO(tZTrnX>kD_9=XNmWlE{iU?8EWKrG@&Ufv`wOd%kltmrAG;Di(9rY0|R zBLHSk(Q?(MqH$f_Cvi0a5T?cx?_14Q8mOVlYPg&YuEuGC{-@ogk~#~kfu)We>#{Q4 zeuGBUM?evE>7&XW_Zd2UQ~1SXl)4RHFwhLgIBtjUN66vHOS|BxnR-X%zni|6R_*RG#=WRv|%5#X}WZnP- z;gN1?62#9GSxhY*1nUve`dfm63o#4Rbc5+>RBaFSxe0?o!>C6MJeZaiPD8In;NiQd zqlNVr+gvR$CUwvR4t|rh$oy3i?foLSWpF(x2jF-s;TJVVG(ms3`W9CkbwNb;E!1&x zO>)3ZeN!5Aly?_-G>{1}S~k@^BXpOWI(SH50O3>`U`{pe)UngrX=IlRT@q;Z3c-M% zTK^UojSVP0hWiW~I#`g>2nf(3N?JgrB8}9>9a<8Z+?uGu!uAO?YcVT0w>2K{Qd^_( zM++kjU03$VED{cGgJ^e9pfR(r-4I$DpiJ~Vs?ATRTwE`x=8^<+jWU`Hf-y|+Q%CKU zSSX~031F;l=rk8(kTxd6Ga@oMf}Y~363U`milnSEULjsJdGCNUst9 zho(ndS{9>|64I?x}Xo#h1zM&5^hbHJq8aQA8ogj+ov>y4fjxhk! zH2P7p2Akv724r8^j9|A0^x-Z##T^WISX|+PP11t{L%^P-+mqs&kYHwBeXd=+kIU0B ziw9;&>&DwPM05x`2#Y$tDFX0`ntj&=U~-sg;Fu=B$+2-s)OfP-TO5?enS^&#$KI+J zj*Ccgc+;V!>w_w8sZxi6oD3lZM(QK+Z9!P}eGn(ZZqfs+<7D5p^oubG1GXg0~SR`FF zh^A(`C{5L}PmXi%KRayaCRPc<9#9IE6s1GYwJl zZ`a#Tt|OD{aDJ~SQn4dND~gOfjGI~*YogiD8L^7%@nki|T*q|NFUfICgyZqZO^=W; zeWuQ&6Gz2^n~0-wdnLh1eO+Y*sawD0UQ$lzn1zn-^YhoU!+~EKmC=bjb}XZZev$Z_ zvTovGiXU2j7CS6S4z<8d>_|;=(EA+4;s5n;hUhTwJG za|^Bkd?^%c2YG`0h#V#FkxOKL%Bqyw6n{!~(XsR;NOe^NUkBc@gH+aUA(b1q5V9P@ z7T*d&va(XL7Eui{ku0+%YmQYvRJCHi88>F6;>4bn9nYK_Kg-HY%gD$`P0Px%Wi3db Tmz6a?>xQf>{l3bVNx%OKr5frd literal 0 HcmV?d00001 diff --git a/bin/snasm/SNRUN.DOC b/bin/snasm/SNRUN.DOC new file mode 100644 index 0000000..4b52082 --- /dev/null +++ b/bin/snasm/SNRUN.DOC @@ -0,0 +1,34 @@ +SNRUN temporary documentation. + +SNRUN is used to send a cpe file to a target machine and then start execution. +It can cope with cpe files produced by all versions of the assemblers and +linkers and copes with all embeded register and workspace information. + +To get command line help type 'snrun ?'. + +To send myfile.cpe to target 7 just type - +snrun myfile + +To send myfile.cpe to target 6 but not start executing type - +snrun /h /t6 myfile + +The following can be used in your .prj file if you want to work via a cpe +file. + + ... + +demoag.cpe; demoag.68k + snasm68k $! demoag.68k,demoag.cpe,demoag.sym +!ifdef(debugstr) + snbug68k /edemoag.cpe demoag.sym +!else + snrun demoag +!endif + + ... + +It returns error codes but I don't have a list of them yet! + +Ian Oliver + + \ No newline at end of file diff --git a/bin/snasm/SNRUN.EXE b/bin/snasm/SNRUN.EXE new file mode 100644 index 0000000000000000000000000000000000000000..ac519336234b24c8f4e0de435d1818bcb0971bcb GIT binary patch literal 4045 zcmeHKk5d%)6@Rz8w}(Ft3C5Ec#V^(n9Tg6!KVnW)P@~4+0scU!Iw!YL4BpZ2?#0*{ zXVBA&$C7L6bec}4KR}X9YOr%MWCGZMlXbvENr>$jqG@8qv|oBn;)FJEfPDMieu*TvZ z)#@G*nhoqJ7B_PjUV?yjA&>7lT4vIUMdpgDxzsH59Btphr`MSBPYD|s_7BFH%Czm( zxw8cD%p<>}athf7_-W}wczY4Sv@Kg83n_Vjyn2j!geESWntjc-R!JG} zv=u4I?4GAg9q(Lh#h=(rhx@qRA|lX|(%ofy_slif3|cf-<SqeROAYgc`WgCjK9i&h0P01?AjW)a;!oVncZ*wifLhVc`*RBzm&Z>A zjrCI0BOoB>Dfx8V#2TpW(2+DCzoz8s_?`)tgWA-M%xWTm&3p<=Ch9NM&d>t>dekFJ z>(z1A9>IF%8@^+dZ@bc5gn1~HGH-s=)A08s@2eAoS!dGW&P)gtZp zw1ydi-!fAWch-Iu=m(zFSeWjj9&L zd|iJcj=ZT$qq1M=lxjI)j7Rc~`UaR&zuCW=(_Kn@T%x0M{Le(ut-R(NZRH@$f1Aqv z9Q|`hrk-U(GWabI194LOH@!7|#r4Uc>iiWNr#92Fm0H;^;%rk~@JlTxlykFnGStl8 zP#w17M^}C<&wPgt{fX16{{ZqpBb#Dj08gC7I5(?AlcEhglXL_XILj$XMonTu}P2DPZM-vXu{jgu{+L-Qt zu~0~-;#NU-G|7fMLtX1AXa!m@d0+}p9;0~DssxaQbhZVw^e)jMP|a^i0CHnQHn#8( z(c0<^L>g^{>NcN=^o(GedU=%yOlXAg(srNe+^T%L=j(H}^`0-P#|(V*ZT2`W! zmU%C+t=BI!ZW`9eM-+37n>25P!^U&o0Zt>Iv(#&Dkx!X`O_nzz;7RAy-gBo}XpLN^ zcoq|0qHMlIQWJ##j9EJydyjgN7$T{f;!mo18AKB zgRc*3V=e4;)K@D4v^~!@bcC4h5o<&?1|J@%1AXdAUPLyN6%n#hM`sMwz{Kn3)seO@ zc>EUNd|A?BnRp=kgx#?#c-k&N$aUq-TcM63I7&?v% z`j?5^9n!x5>PKYFyP0viQs%7U0rM>!ROdR_doab;G%CP2`QNFwC2(H+FKtT)27ow~up2$YUr~nlokrnBXjJ7Dw46_-`6G3e9;R>bv zfF$Qey}BHSB=m1Evw4T3vciet+Gs%)mdYik=avA0V-VkQCvMEBf|^@ZiGE9oIm?grx@~Fz*U-py#9Ih=+wuu5$OGDu+^`wek zN{XtmyPTcOjUmtBWKN^@sItN(#To?L+-_D?*OB|V$a+=oC&tPg^P$5rugv~AG#!9Z5T`e zPP)$iVHV}phKxy&IJbdqad9dHLTVyg!jY}&(7nOeR7)b*TULPmO08Dgx2Q9~mQ}|z zXk{m$gTH-B9a1$0>d<*X?HcO+Jy9mr-dNNdxZ!>OuG$>~IvLRE{orn6O>eY-{p7H~3mj=bHwlt$m3hajwdi*;vjsrrAGT?c}vU=$tz2rBrz+ z-7x3&;IR?7t5}Y8LQ?pX+R&On$oo|i6Ko8G!najT%}oGx*<|4F8bl73B(cRC2weae z30znIGMYg%o7Y_6pw$Ak?*(qO%{26+wNSRd?2hv1*g!=$32Q^S8)HwEk^nmmYdM8m T%$M^&<^OCtqyJO?FV{Z-UOI}L literal 0 HcmV?d00001 diff --git a/bin/snasm/SPC700.DOC b/bin/snasm/SPC700.DOC new file mode 100644 index 0000000..24cea99 --- /dev/null +++ b/bin/snasm/SPC700.DOC @@ -0,0 +1,93 @@ +64K address space. +0000-00FF Page zero +0100-01ff Page one (used for stack as on 6502) + +Regs +A, X, Y PSW, (program status word), PC, SP + +A is 8 bit. When using 16 bit instructions in is paired with Y, with Y +being the high order byte. + +Boolean bit operations can be performed in the memory range 0000-1fff + +Format of PSW. +NVP-H-ZC Negative, Overflow, Direct page, Half carry, Zero, Carry +The direct page flag determines if DP accesses are to page 0 or page 1. + +Addressing modes +---------------- + +Mode Desc. Bytes +dp Direct page 2 +dp+X X-indexed direct page 2 +dp+Y Y-indexed direct page 2 +(X) indirect 1 +(X)+ indirect auto-inc 1 +dp.dp Direct page to dp 3 +(X),(Y) Indirect page to IP 1 +dp,#imm Immediate data to dp 3 +dp.bit Direct page bit 2 +dp.bit.rel Direct page bit rel 3 +mem.bit Absolute bit 3 +!abs absolute 3 +!abs+X absolute X 3 +!abs+Y absolute Y 3 +[dp+X] X-indexed indirect 2 +[dp]+Y Indirect Y-indexed 2 + + +Latest Changes to snasmspc +-------------------------- + +All instructions are now implemented including bbs, set1, etc. + + + +The character between the memory location and the bit number in and1, etc. +is now '.' rather than ',', eg. + + and1 c,$23.2 + set1 $30.1 + +If the memory address ends in a name it will have to be bracketed to avoid +the bit number being treated as part of the name, eg. + + and1 c,(fred).2 + + + + + +There is an assume statement for the direct page selection flag : + + assume dp:0 -- page 0 is direct page + assume dp:1 -- page 1 is direct page + +initially page 0 will be assumed to be direct page. Optimisation to +direct page addressing will check that the operand is in the assumed +page. + + + +Absolute address mode can be forced by using ! as an operand prefix, eg. + + mov !$30+y,a + jmp !fred + jmp [!jtab+x] + +Direct page addressing can be forced by using < as an operand prefix, eg. + + mov