From e13a6e2678f4628dde4a67921485d7713a00c1f6 Mon Sep 17 00:00:00 2001 From: Michael McMaster Date: Thu, 5 Nov 2015 21:43:36 +1000 Subject: [PATCH] Final tweaks for v4.5 --- CHANGELOG | 2 +- readme.txt | 7 + software/SCSI2SD/src/main.c | 10 +- .../Generated_Source/PSoC5/cyfitter.h | 238 +- .../Generated_Source/PSoC5/cyfitter_cfg.c | 3305 ++++++++--------- .../Generated_Source/PSoC5/cyfittergnu.inc | 238 +- .../Generated_Source/PSoC5/cyfitteriar.inc | 238 +- .../Generated_Source/PSoC5/cyfitterrv.inc | 238 +- .../SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx | 118 +- .../SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cyfit | Bin 275449 -> 273097 bytes software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.svd | 364 +- .../SCSI2SD.cydsn/TopDesign/TopDesign.cysch | Bin 247571 -> 247906 bytes .../Generated_Source/PSoC5/cyfitter.h | 180 +- .../Generated_Source/PSoC5/cyfitter_cfg.c | 3037 +++++++-------- .../Generated_Source/PSoC5/cyfittergnu.inc | 180 +- .../Generated_Source/PSoC5/cyfitteriar.inc | 180 +- .../Generated_Source/PSoC5/cyfitterrv.inc | 180 +- .../SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cycdx | 266 +- .../SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cyfit | Bin 268557 -> 272852 bytes software/SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.svd | 900 ++--- .../SCSI2SD.cydsn/TopDesign/TopDesign.cysch | Bin 248081 -> 248863 bytes software/scsi2sd-util/BoardPanel.cc | 58 +- software/scsi2sd-util/BoardPanel.hh | 8 +- software/scsi2sd-util/scsi2sd-util.cc | 1 + 24 files changed, 4957 insertions(+), 4791 deletions(-) diff --git a/CHANGELOG b/CHANGELOG index ea5ccc8..a7a1ead 100644 --- a/CHANGELOG +++ b/CHANGELOG @@ -1,4 +1,4 @@ -20150x0x 4.5 +20151105 4.5 - Fix bug in SCSI MODE SENSE that returned the wrong mode type - Fixes CDROM emulation - Added selection delay parameter. This should be set to 1ms for older diff --git a/readme.txt b/readme.txt index 3a87917..c6db34c 100644 --- a/readme.txt +++ b/readme.txt @@ -111,6 +111,10 @@ Compatibility 1 spare sector per cylinder 2051459 usable sectors on volume Apollo 400/425s running DOMAIN/OS + Motorola System V/68 R3V7 and R3V8. + Since the installation have information about limited number of drives(most of them with custom commands) it requires a pre-installed disk image to be dd-ed on it. Works with MVME167 and MVME177 + Motorola System V/88 R40V4.0 through R40V4.4 + It requires to describe the disk into a configuration file. The process is described here - http://m88k.com/howto-001.html Samplers @@ -134,6 +138,9 @@ Samplers May require scsi2sd-config --apple flag Yamaha A5000, A3000, EX5, EX5R EMU ESI4000 + Synclavier 9600. + Disable Parity. Max size == 9GB. + Other diff --git a/software/SCSI2SD/src/main.c b/software/SCSI2SD/src/main.c index 992f286..7678394 100755 --- a/software/SCSI2SD/src/main.c +++ b/software/SCSI2SD/src/main.c @@ -50,14 +50,20 @@ int main() // Optional bootup delay int delaySeconds = 0; while (delaySeconds < scsiDev.boardCfg.startupDelay) { - CyDelay(1000); + // Keep the USB connection working, otherwise it's very hard to revert + // silly extra-long startup delay settings. + int i; + for (i = 0; i < 200; i++) { + CyDelay(5); + scsiDev.watchdogTick++; + configPoll(); + } ++delaySeconds; } uint32_t lastSDPoll = getTime_ms(); sdCheckPresent(); - while (1) { scsiDev.watchdogTick++; diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h index a859ebf..105d7c3 100755 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h @@ -391,34 +391,34 @@ #define USBFS_USB__USBIO_CR1 CYREG_USB_USBIO_CR1 /* SDCard_BSPIM */ -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB07_08_ACTL -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB07_08_CTL -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB07_08_CTL -#define SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB07_08_CTL -#define SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG CYREG_B0_UDB07_08_CTL -#define SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG CYREG_B0_UDB07_08_MSK -#define SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG CYREG_B0_UDB07_08_MSK -#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG CYREG_B0_UDB07_08_MSK -#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB07_08_MSK -#define SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG CYREG_B0_UDB07_ACTL -#define SDCard_BSPIM_BitCounter__CONTROL_REG CYREG_B0_UDB07_CTL -#define SDCard_BSPIM_BitCounter__CONTROL_ST_REG CYREG_B0_UDB07_ST_CTL -#define SDCard_BSPIM_BitCounter__COUNT_REG CYREG_B0_UDB07_CTL -#define SDCard_BSPIM_BitCounter__COUNT_ST_REG CYREG_B0_UDB07_ST_CTL -#define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL -#define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL -#define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B0_UDB07_MSK -#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB07_08_ACTL -#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG CYREG_B0_UDB07_08_ST -#define SDCard_BSPIM_BitCounter_ST__MASK_REG CYREG_B0_UDB07_MSK -#define SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL -#define SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG CYREG_B0_UDB07_MSK_ACTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG CYREG_B0_UDB07_ACTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG CYREG_B0_UDB07_ST_CTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG CYREG_B0_UDB07_ST_CTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_REG CYREG_B0_UDB07_ST -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB08_09_ACTL -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B1_UDB08_09_ST +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG CYREG_B1_UDB07_ACTL +#define SDCard_BSPIM_BitCounter__CONTROL_REG CYREG_B1_UDB07_CTL +#define SDCard_BSPIM_BitCounter__CONTROL_ST_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter__COUNT_REG CYREG_B1_UDB07_CTL +#define SDCard_BSPIM_BitCounter__COUNT_ST_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B1_UDB07_MSK +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG CYREG_B1_UDB07_08_ST +#define SDCard_BSPIM_BitCounter_ST__MASK_REG CYREG_B1_UDB07_MSK +#define SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG CYREG_B1_UDB07_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_REG CYREG_B1_UDB07_ST +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB04_05_ACTL +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B1_UDB04_05_ST #define SDCard_BSPIM_RxStsReg__4__MASK 0x10u #define SDCard_BSPIM_RxStsReg__4__POS 4 #define SDCard_BSPIM_RxStsReg__5__MASK 0x20u @@ -426,9 +426,9 @@ #define SDCard_BSPIM_RxStsReg__6__MASK 0x40u #define SDCard_BSPIM_RxStsReg__6__POS 6 #define SDCard_BSPIM_RxStsReg__MASK 0x70u -#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B1_UDB08_MSK -#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB08_ACTL -#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B1_UDB08_ST +#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B1_UDB04_MSK +#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB04_ACTL +#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B1_UDB04_ST #define SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG CYREG_B1_UDB04_05_A0 #define SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG CYREG_B1_UDB04_05_A1 #define SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG CYREG_B1_UDB04_05_D0 @@ -450,8 +450,8 @@ #define SDCard_BSPIM_TxStsReg__0__POS 0 #define SDCard_BSPIM_TxStsReg__1__MASK 0x02u #define SDCard_BSPIM_TxStsReg__1__POS 1 -#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL -#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG CYREG_B1_UDB07_08_ST +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB08_09_ACTL +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG CYREG_B0_UDB08_09_ST #define SDCard_BSPIM_TxStsReg__2__MASK 0x04u #define SDCard_BSPIM_TxStsReg__2__POS 2 #define SDCard_BSPIM_TxStsReg__3__MASK 0x08u @@ -459,9 +459,9 @@ #define SDCard_BSPIM_TxStsReg__4__MASK 0x10u #define SDCard_BSPIM_TxStsReg__4__POS 4 #define SDCard_BSPIM_TxStsReg__MASK 0x1Fu -#define SDCard_BSPIM_TxStsReg__MASK_REG CYREG_B1_UDB07_MSK -#define SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB07_ACTL -#define SDCard_BSPIM_TxStsReg__STATUS_REG CYREG_B1_UDB07_ST +#define SDCard_BSPIM_TxStsReg__MASK_REG CYREG_B0_UDB08_MSK +#define SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB08_ACTL +#define SDCard_BSPIM_TxStsReg__STATUS_REG CYREG_B0_UDB08_ST /* SD_SCK */ #define SD_SCK__0__INTTYPE CYREG_PICU3_INTTYPE2 @@ -1941,15 +1941,15 @@ #define SCSI_Out_Bits_Sync_ctrl_reg__0__POS 0 #define SCSI_Out_Bits_Sync_ctrl_reg__1__MASK 0x02u #define SCSI_Out_Bits_Sync_ctrl_reg__1__POS 1 -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B1_UDB05_06_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B1_UDB05_06_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B1_UDB05_06_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B1_UDB05_06_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B1_UDB05_06_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B1_UDB05_06_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B1_UDB05_06_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B1_UDB05_06_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B1_UDB05_06_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB10_11_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB10_11_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB10_11_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB10_11_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB10_11_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB10_11_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB10_11_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB10_11_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB10_11_MSK #define SCSI_Out_Bits_Sync_ctrl_reg__2__MASK 0x04u #define SCSI_Out_Bits_Sync_ctrl_reg__2__POS 2 #define SCSI_Out_Bits_Sync_ctrl_reg__3__MASK 0x08u @@ -1962,37 +1962,37 @@ #define SCSI_Out_Bits_Sync_ctrl_reg__6__POS 6 #define SCSI_Out_Bits_Sync_ctrl_reg__7__MASK 0x80u #define SCSI_Out_Bits_Sync_ctrl_reg__7__POS 7 -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B1_UDB05_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG CYREG_B1_UDB05_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B1_UDB05_ST_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG CYREG_B1_UDB05_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG CYREG_B1_UDB05_ST_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB10_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB10_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB10_ST_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB10_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB10_ST_CTL #define SCSI_Out_Bits_Sync_ctrl_reg__MASK 0xFFu -#define SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B1_UDB05_MSK_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B1_UDB05_MSK_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG CYREG_B1_UDB05_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB10_MSK_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB10_MSK_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB10_MSK /* SCSI_Out_Ctl */ #define SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_Out_Ctl_Sync_ctrl_reg__0__POS 0 -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB05_06_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB05_06_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB05_06_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB05_06_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB05_06_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB05_06_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB05_06_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB05_06_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB05_06_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB05_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB05_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB05_ST_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB05_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB05_ST_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB14_15_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB14_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB14_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB14_ST_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB14_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB14_ST_CTL #define SCSI_Out_Ctl_Sync_ctrl_reg__MASK 0x01u -#define SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB05_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB14_MSK_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB14_MSK_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB14_MSK /* SCSI_Out_DBx */ #define SCSI_Out_DBx__0__AG CYREG_PRT6_AG @@ -2818,8 +2818,8 @@ #define scsiTarget_StatusReg__0__POS 0 #define scsiTarget_StatusReg__1__MASK 0x02u #define scsiTarget_StatusReg__1__POS 1 -#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB02_03_ACTL -#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB02_03_ST +#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB12_13_ACTL +#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB12_13_ST #define scsiTarget_StatusReg__2__MASK 0x04u #define scsiTarget_StatusReg__2__POS 2 #define scsiTarget_StatusReg__3__MASK 0x08u @@ -2827,9 +2827,9 @@ #define scsiTarget_StatusReg__4__MASK 0x10u #define scsiTarget_StatusReg__4__POS 4 #define scsiTarget_StatusReg__MASK 0x1Fu -#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB02_MSK -#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB02_ACTL -#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB02_ST +#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB12_MSK +#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB12_ACTL +#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB12_ST /* Debug_Timer_Interrupt */ #define Debug_Timer_Interrupt__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 @@ -2950,8 +2950,8 @@ #define SCSI_Filtered_sts_sts_reg__0__POS 0 #define SCSI_Filtered_sts_sts_reg__1__MASK 0x02u #define SCSI_Filtered_sts_sts_reg__1__POS 1 -#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB01_02_ACTL -#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB01_02_ST +#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB02_03_ACTL +#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB02_03_ST #define SCSI_Filtered_sts_sts_reg__2__MASK 0x04u #define SCSI_Filtered_sts_sts_reg__2__POS 2 #define SCSI_Filtered_sts_sts_reg__3__MASK 0x08u @@ -2959,67 +2959,67 @@ #define SCSI_Filtered_sts_sts_reg__4__MASK 0x10u #define SCSI_Filtered_sts_sts_reg__4__POS 4 #define SCSI_Filtered_sts_sts_reg__MASK 0x1Fu -#define SCSI_Filtered_sts_sts_reg__MASK_REG CYREG_B0_UDB01_MSK -#define SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB01_ACTL -#define SCSI_Filtered_sts_sts_reg__STATUS_REG CYREG_B0_UDB01_ST +#define SCSI_Filtered_sts_sts_reg__MASK_REG CYREG_B0_UDB02_MSK +#define SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB02_ACTL +#define SCSI_Filtered_sts_sts_reg__STATUS_REG CYREG_B0_UDB02_ST /* SCSI_CTL_PHASE */ #define SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS 0 #define SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK 0x02u #define SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS 1 -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB11_12_ACTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB11_12_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB11_12_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB11_12_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB11_12_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB11_12_MSK -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB11_12_MSK -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB11_12_MSK -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB11_12_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB05_06_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB05_06_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB05_06_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB05_06_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB05_06_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB05_06_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB05_06_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB05_06_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB05_06_MSK #define SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK 0x04u #define SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS 2 -#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB11_ACTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB11_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB11_ST_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB11_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB11_ST_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB05_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB05_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB05_ST_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB05_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB05_ST_CTL #define SCSI_CTL_PHASE_Sync_ctrl_reg__MASK 0x07u -#define SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB11_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB05_MSK /* SCSI_Glitch_Ctl */ #define SCSI_Glitch_Ctl_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_Glitch_Ctl_Sync_ctrl_reg__0__POS 0 -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB10_11_ACTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB10_11_CTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB10_11_CTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB10_11_CTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB10_11_CTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB10_11_MSK -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB10_11_MSK -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB10_11_MSK -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB10_11_MSK -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB10_ACTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB10_CTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB10_ST_CTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB10_CTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB10_ST_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB04_ACTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB04_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB04_ST_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB04_CTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB04_ST_CTL #define SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK 0x01u -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB10_MSK_ACTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB10_MSK_ACTL -#define SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB10_MSK +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB04_MSK /* SCSI_Parity_Error */ #define SCSI_Parity_Error_sts_sts_reg__0__MASK 0x01u #define SCSI_Parity_Error_sts_sts_reg__0__POS 0 -#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL -#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB04_05_ST +#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB09_10_ACTL +#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB09_10_ST #define SCSI_Parity_Error_sts_sts_reg__MASK 0x01u -#define SCSI_Parity_Error_sts_sts_reg__MASK_REG CYREG_B0_UDB04_MSK -#define SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB04_ACTL -#define SCSI_Parity_Error_sts_sts_reg__STATUS_REG CYREG_B0_UDB04_ST +#define SCSI_Parity_Error_sts_sts_reg__MASK_REG CYREG_B0_UDB09_MSK +#define SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB09_ACTL +#define SCSI_Parity_Error_sts_sts_reg__STATUS_REG CYREG_B0_UDB09_ST /* Miscellaneous */ #define BCLK__BUS_CLK__HZ 50000000U diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c index 815d6a9..721464b 100755 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c @@ -122,7 +122,7 @@ static void CyClockStartupError(uint8 errorCode) } #endif -#define CY_CFG_BASE_ADDR_COUNT 41u +#define CY_CFG_BASE_ADDR_COUNT 42u CYPACKED typedef struct { uint8 offset; @@ -382,43 +382,44 @@ void cyfitter_cfg(void) 0x40004501u, /* Base address: 0x40004500 Count: 1 */ 0x40004F02u, /* Base address: 0x40004F00 Count: 2 */ 0x4000520Bu, /* Base address: 0x40005200 Count: 11 */ - 0x40006402u, /* Base address: 0x40006400 Count: 2 */ - 0x40010037u, /* Base address: 0x40010000 Count: 55 */ - 0x4001013Cu, /* Base address: 0x40010100 Count: 60 */ - 0x40010245u, /* Base address: 0x40010200 Count: 69 */ + 0x40006401u, /* Base address: 0x40006400 Count: 1 */ + 0x40006501u, /* Base address: 0x40006500 Count: 1 */ + 0x4001003Du, /* Base address: 0x40010000 Count: 61 */ + 0x40010138u, /* Base address: 0x40010100 Count: 56 */ + 0x40010248u, /* Base address: 0x40010200 Count: 72 */ 0x40010356u, /* Base address: 0x40010300 Count: 86 */ - 0x40010455u, /* Base address: 0x40010400 Count: 85 */ - 0x40010555u, /* Base address: 0x40010500 Count: 85 */ - 0x4001064Bu, /* Base address: 0x40010600 Count: 75 */ - 0x40010756u, /* Base address: 0x40010700 Count: 86 */ - 0x40010922u, /* Base address: 0x40010900 Count: 34 */ - 0x40010A4Eu, /* Base address: 0x40010A00 Count: 78 */ - 0x40010B51u, /* Base address: 0x40010B00 Count: 81 */ - 0x40010C53u, /* Base address: 0x40010C00 Count: 83 */ - 0x40010D59u, /* Base address: 0x40010D00 Count: 89 */ - 0x40010E50u, /* Base address: 0x40010E00 Count: 80 */ - 0x40010F40u, /* Base address: 0x40010F00 Count: 64 */ - 0x40011454u, /* Base address: 0x40011400 Count: 84 */ - 0x40011548u, /* Base address: 0x40011500 Count: 72 */ - 0x4001164Fu, /* Base address: 0x40011600 Count: 79 */ + 0x40010445u, /* Base address: 0x40010400 Count: 69 */ + 0x4001054Au, /* Base address: 0x40010500 Count: 74 */ + 0x4001064Eu, /* Base address: 0x40010600 Count: 78 */ + 0x4001074Fu, /* Base address: 0x40010700 Count: 79 */ + 0x40010856u, /* Base address: 0x40010800 Count: 86 */ + 0x40010954u, /* Base address: 0x40010900 Count: 84 */ + 0x40010A4Cu, /* Base address: 0x40010A00 Count: 76 */ + 0x40010B4Bu, /* Base address: 0x40010B00 Count: 75 */ + 0x40010C51u, /* Base address: 0x40010C00 Count: 81 */ + 0x40010D56u, /* Base address: 0x40010D00 Count: 86 */ + 0x40010E4Fu, /* Base address: 0x40010E00 Count: 79 */ + 0x40010F42u, /* Base address: 0x40010F00 Count: 66 */ + 0x4001145Eu, /* Base address: 0x40011400 Count: 94 */ + 0x4001154Au, /* Base address: 0x40011500 Count: 74 */ + 0x40011650u, /* Base address: 0x40011600 Count: 80 */ 0x4001174Au, /* Base address: 0x40011700 Count: 74 */ - 0x4001184Eu, /* Base address: 0x40011800 Count: 78 */ - 0x40011943u, /* Base address: 0x40011900 Count: 67 */ - 0x40011A04u, /* Base address: 0x40011A00 Count: 4 */ - 0x40011B0Fu, /* Base address: 0x40011B00 Count: 15 */ - 0x40014017u, /* Base address: 0x40014000 Count: 23 */ - 0x4001411Du, /* Base address: 0x40014100 Count: 29 */ - 0x40014215u, /* Base address: 0x40014200 Count: 21 */ - 0x4001430Eu, /* Base address: 0x40014300 Count: 14 */ + 0x40011804u, /* Base address: 0x40011800 Count: 4 */ + 0x40011913u, /* Base address: 0x40011900 Count: 19 */ + 0x40011B0Cu, /* Base address: 0x40011B00 Count: 12 */ + 0x4001401Bu, /* Base address: 0x40014000 Count: 27 */ + 0x4001411Au, /* Base address: 0x40014100 Count: 26 */ + 0x40014213u, /* Base address: 0x40014200 Count: 19 */ + 0x4001430Au, /* Base address: 0x40014300 Count: 10 */ 0x4001440Eu, /* Base address: 0x40014400 Count: 14 */ - 0x40014514u, /* Base address: 0x40014500 Count: 20 */ - 0x40014610u, /* Base address: 0x40014600 Count: 16 */ - 0x40014710u, /* Base address: 0x40014700 Count: 16 */ - 0x40014809u, /* Base address: 0x40014800 Count: 9 */ - 0x4001490Cu, /* Base address: 0x40014900 Count: 12 */ - 0x40014C01u, /* Base address: 0x40014C00 Count: 1 */ - 0x40014D05u, /* Base address: 0x40014D00 Count: 5 */ - 0x40015006u, /* Base address: 0x40015000 Count: 6 */ + 0x4001451Bu, /* Base address: 0x40014500 Count: 27 */ + 0x4001460Cu, /* Base address: 0x40014600 Count: 12 */ + 0x4001470Fu, /* Base address: 0x40014700 Count: 15 */ + 0x40014807u, /* Base address: 0x40014800 Count: 7 */ + 0x40014909u, /* Base address: 0x40014900 Count: 9 */ + 0x40014C03u, /* Base address: 0x40014C00 Count: 3 */ + 0x40014D03u, /* Base address: 0x40014D00 Count: 3 */ + 0x40015002u, /* Base address: 0x40015000 Count: 2 */ 0x40015104u, /* Base address: 0x40015100 Count: 4 */ }; @@ -426,39 +427,61 @@ void cyfitter_cfg(void) {0x7Eu, 0x02u}, {0x01u, 0x20u}, {0x0Au, 0x36u}, - {0x00u, 0x13u}, - {0x01u, 0x06u}, + {0x00u, 0x05u}, + {0x01u, 0x13u}, {0x18u, 0x08u}, {0x1Cu, 0x71u}, - {0x20u, 0xA0u}, - {0x21u, 0xC8u}, + {0x20u, 0x50u}, + {0x21u, 0x90u}, {0x2Cu, 0x0Eu}, - {0x30u, 0x05u}, - {0x31u, 0x03u}, + {0x30u, 0x0Cu}, + {0x31u, 0x09u}, {0x34u, 0x80u}, {0x7Cu, 0x40u}, {0x20u, 0x01u}, {0x87u, 0x0Fu}, - {0x06u, 0x07u}, - {0x08u, 0xAAu}, - {0x0Au, 0x55u}, - {0x0Cu, 0x99u}, - {0x0Eu, 0x22u}, - {0x10u, 0x44u}, - {0x12u, 0x88u}, - {0x17u, 0x01u}, - {0x1Au, 0x70u}, - {0x26u, 0x80u}, - {0x2Au, 0x08u}, - {0x31u, 0x01u}, - {0x32u, 0x0Fu}, - {0x34u, 0xF0u}, + {0x00u, 0x20u}, + {0x02u, 0x40u}, + {0x03u, 0x04u}, + {0x04u, 0x01u}, + {0x05u, 0x08u}, + {0x08u, 0x0Au}, + {0x09u, 0x09u}, + {0x0Au, 0x35u}, + {0x0Bu, 0x72u}, + {0x0Cu, 0x48u}, + {0x0Eu, 0x36u}, + {0x10u, 0x07u}, + {0x11u, 0x01u}, + {0x12u, 0x18u}, + {0x13u, 0x66u}, + {0x14u, 0x4Fu}, + {0x16u, 0x30u}, + {0x17u, 0x7Fu}, + {0x1Bu, 0x01u}, + {0x1Du, 0x62u}, + {0x1Eu, 0x02u}, + {0x21u, 0x20u}, + {0x22u, 0x20u}, + {0x23u, 0x40u}, + {0x24u, 0x05u}, + {0x25u, 0x74u}, + {0x27u, 0x09u}, + {0x29u, 0x20u}, + {0x2Au, 0x27u}, + {0x2Bu, 0x40u}, + {0x30u, 0x1Fu}, + {0x31u, 0x60u}, + {0x33u, 0x1Fu}, + {0x36u, 0x60u}, + {0x3Au, 0x82u}, + {0x3Bu, 0x02u}, {0x40u, 0x32u}, {0x41u, 0x04u}, - {0x42u, 0x50u}, - {0x45u, 0xEFu}, - {0x46u, 0xDCu}, - {0x47u, 0x02u}, + {0x42u, 0x10u}, + {0x45u, 0x2Du}, + {0x46u, 0xFCu}, + {0x47u, 0x0Eu}, {0x48u, 0x1Fu}, {0x49u, 0xFFu}, {0x4Au, 0xFFu}, @@ -469,7 +492,7 @@ void cyfitter_cfg(void) {0x59u, 0x04u}, {0x5Au, 0x04u}, {0x5Bu, 0x04u}, - {0x5Cu, 0x91u}, + {0x5Cu, 0x11u}, {0x5Du, 0x01u}, {0x5Fu, 0x01u}, {0x60u, 0x08u}, @@ -478,969 +501,1042 @@ void cyfitter_cfg(void) {0x68u, 0x40u}, {0x69u, 0x40u}, {0x6Eu, 0x08u}, - {0x83u, 0x08u}, + {0x02u, 0x04u}, + {0x03u, 0x91u}, + {0x04u, 0x30u}, + {0x0Au, 0x80u}, + {0x0Bu, 0x11u}, + {0x11u, 0x10u}, + {0x12u, 0xA8u}, + {0x1Au, 0x80u}, + {0x1Bu, 0x80u}, + {0x20u, 0x30u}, + {0x23u, 0x90u}, + {0x28u, 0x48u}, + {0x2Au, 0x04u}, + {0x2Bu, 0x10u}, + {0x32u, 0x88u}, + {0x33u, 0x11u}, + {0x38u, 0x10u}, + {0x3Bu, 0x05u}, + {0x40u, 0x10u}, + {0x42u, 0x04u}, + {0x43u, 0x81u}, + {0x4Au, 0x20u}, + {0x4Bu, 0x05u}, + {0x50u, 0x80u}, + {0x53u, 0x28u}, + {0x58u, 0x40u}, + {0x59u, 0x20u}, + {0x5Au, 0x02u}, + {0x5Bu, 0x84u}, + {0x60u, 0x04u}, + {0x61u, 0x49u}, + {0x69u, 0x84u}, + {0x6Au, 0x20u}, + {0x6Bu, 0x40u}, + {0x71u, 0x80u}, + {0x72u, 0x88u}, + {0x73u, 0x20u}, + {0x80u, 0x80u}, + {0x81u, 0xC0u}, + {0x85u, 0x04u}, + {0x8Au, 0x08u}, + {0x8Eu, 0x10u}, + {0x8Fu, 0x22u}, + {0xC0u, 0x0Fu}, + {0xC2u, 0x0Du}, + {0xC4u, 0x0Eu}, + {0xCAu, 0x07u}, + {0xCCu, 0x0Fu}, + {0xCEu, 0x07u}, + {0xD0u, 0x0Fu}, + {0xD6u, 0x0Fu}, + {0xD8u, 0x0Fu}, + {0xE0u, 0x05u}, + {0xE2u, 0x02u}, + {0xE4u, 0x03u}, + {0xE6u, 0x08u}, + {0x00u, 0x96u}, + {0x02u, 0x69u}, + {0x04u, 0x55u}, + {0x05u, 0x33u}, + {0x06u, 0xAAu}, + {0x07u, 0xCCu}, + {0x0Au, 0xFFu}, + {0x0Bu, 0xFFu}, + {0x0Cu, 0x33u}, + {0x0Du, 0x0Fu}, + {0x0Eu, 0xCCu}, + {0x0Fu, 0xF0u}, + {0x13u, 0xFFu}, + {0x14u, 0x0Fu}, + {0x16u, 0xF0u}, + {0x17u, 0xFFu}, + {0x18u, 0xFFu}, + {0x1Du, 0xFFu}, + {0x1Eu, 0xFFu}, + {0x25u, 0xFFu}, + {0x29u, 0x55u}, + {0x2Au, 0xFFu}, + {0x2Bu, 0xAAu}, + {0x2Cu, 0xFFu}, + {0x2Du, 0x69u}, + {0x2Fu, 0x96u}, + {0x32u, 0xFFu}, + {0x37u, 0xFFu}, + {0x3Au, 0x08u}, + {0x3Bu, 0x80u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Fu, 0x01u}, + {0x80u, 0xE0u}, + {0x84u, 0x40u}, + {0x86u, 0x80u}, + {0x89u, 0x44u}, + {0x8Au, 0xFFu}, + {0x8Bu, 0x88u}, + {0x8Cu, 0x06u}, + {0x8Eu, 0xF8u}, {0x8Fu, 0x80u}, - {0x93u, 0x70u}, + {0x91u, 0x99u}, + {0x93u, 0x22u}, + {0x94u, 0x01u}, {0x97u, 0x07u}, + {0x98u, 0xC6u}, + {0x9Au, 0x19u}, + {0x9Bu, 0x70u}, + {0x9Cu, 0x40u}, + {0x9Eu, 0x80u}, + {0x9Fu, 0x08u}, + {0xA0u, 0x14u}, {0xA5u, 0xAAu}, + {0xA6u, 0x09u}, {0xA7u, 0x55u}, - {0xA9u, 0x44u}, - {0xABu, 0x88u}, - {0xADu, 0x99u}, - {0xAFu, 0x22u}, + {0xA8u, 0x09u}, + {0xAAu, 0xF2u}, {0xB3u, 0x0Fu}, - {0xB7u, 0xF0u}, + {0xB4u, 0x3Fu}, + {0xB5u, 0xF0u}, + {0xB6u, 0xC0u}, + {0xBAu, 0x80u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, {0xD9u, 0x04u}, {0xDBu, 0x04u}, - {0xDCu, 0x10u}, + {0xDCu, 0x11u}, + {0xDDu, 0x90u}, {0xDFu, 0x01u}, - {0x01u, 0x18u}, + {0x01u, 0x10u}, + {0x02u, 0x90u}, {0x03u, 0x01u}, - {0x08u, 0x01u}, + {0x04u, 0x20u}, + {0x05u, 0x04u}, + {0x06u, 0x40u}, + {0x07u, 0x02u}, + {0x09u, 0x04u}, + {0x0Au, 0x06u}, + {0x0Eu, 0x26u}, + {0x10u, 0x80u}, + {0x12u, 0x20u}, + {0x13u, 0x18u}, + {0x15u, 0x90u}, + {0x1Au, 0x06u}, + {0x1Bu, 0x30u}, + {0x1Eu, 0x20u}, + {0x21u, 0x20u}, + {0x22u, 0x04u}, + {0x24u, 0x02u}, + {0x25u, 0x40u}, + {0x2Bu, 0x10u}, + {0x2Eu, 0x20u}, + {0x2Fu, 0x21u}, + {0x31u, 0x20u}, + {0x32u, 0x04u}, + {0x33u, 0x41u}, + {0x36u, 0x89u}, + {0x37u, 0x01u}, + {0x38u, 0x20u}, + {0x3Au, 0x80u}, + {0x3Du, 0x80u}, + {0x3Fu, 0x18u}, + {0x58u, 0x10u}, + {0x5Bu, 0x80u}, + {0x5Cu, 0x50u}, + {0x5Du, 0x09u}, + {0x60u, 0x08u}, + {0x62u, 0x40u}, + {0x63u, 0x08u}, + {0x65u, 0x80u}, + {0x81u, 0x08u}, + {0x82u, 0x40u}, + {0x83u, 0x80u}, + {0x85u, 0x20u}, + {0x87u, 0x08u}, + {0x89u, 0x20u}, + {0x8Bu, 0x80u}, + {0x8Cu, 0x40u}, + {0x8Eu, 0x04u}, + {0x90u, 0x20u}, + {0x91u, 0x10u}, + {0x93u, 0x10u}, + {0x94u, 0x04u}, + {0x96u, 0x06u}, + {0x98u, 0x04u}, + {0x9Au, 0x80u}, + {0x9Bu, 0x42u}, + {0x9Cu, 0x08u}, + {0x9Du, 0x61u}, + {0x9Fu, 0x15u}, + {0xA0u, 0x80u}, + {0xA2u, 0x28u}, + {0xA3u, 0x08u}, + {0xA5u, 0x08u}, + {0xA6u, 0x80u}, + {0xA7u, 0x10u}, + {0xAAu, 0x40u}, + {0xACu, 0x10u}, + {0xAEu, 0x04u}, + {0xB0u, 0x04u}, + {0xB3u, 0x20u}, + {0xB6u, 0x28u}, + {0xC0u, 0xFFu}, + {0xC2u, 0xE7u}, + {0xC4u, 0xCEu}, + {0xCAu, 0x72u}, + {0xCCu, 0xDFu}, + {0xCEu, 0x7Cu}, + {0xD6u, 0xFCu}, + {0xD8u, 0x1Cu}, + {0xE0u, 0x08u}, + {0xE6u, 0x03u}, + {0xE8u, 0x0Au}, + {0xEAu, 0x10u}, + {0xEEu, 0x06u}, + {0x01u, 0x02u}, + {0x02u, 0x02u}, + {0x03u, 0x01u}, + {0x05u, 0x01u}, + {0x07u, 0x06u}, + {0x11u, 0x04u}, + {0x13u, 0x08u}, + {0x17u, 0x10u}, + {0x1Eu, 0x01u}, + {0x21u, 0x02u}, + {0x23u, 0x01u}, + {0x29u, 0x02u}, + {0x2Bu, 0x01u}, + {0x2Du, 0x02u}, + {0x2Fu, 0x29u}, + {0x30u, 0x01u}, + {0x31u, 0x20u}, + {0x33u, 0x03u}, + {0x34u, 0x02u}, + {0x35u, 0x10u}, + {0x37u, 0x0Cu}, + {0x3Bu, 0x08u}, + {0x3Fu, 0x40u}, + {0x56u, 0x08u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Du, 0x90u}, + {0x5Fu, 0x01u}, + {0x81u, 0x0Du}, + {0x85u, 0x02u}, + {0x87u, 0x54u}, + {0x8Bu, 0x10u}, + {0x8Cu, 0x02u}, + {0x8Du, 0x02u}, + {0x8Eu, 0x01u}, + {0x8Fu, 0x0Du}, + {0x94u, 0x02u}, + {0x95u, 0x62u}, + {0x96u, 0x09u}, + {0x97u, 0x08u}, + {0x98u, 0x01u}, + {0x99u, 0x01u}, + {0x9Au, 0x02u}, + {0x9Bu, 0x32u}, + {0x9Cu, 0x02u}, + {0x9Eu, 0x05u}, + {0xA1u, 0x0Du}, + {0xA5u, 0x0Du}, + {0xA8u, 0x02u}, + {0xA9u, 0x0Du}, + {0xAAu, 0x11u}, + {0xADu, 0x0Du}, + {0xB0u, 0x04u}, + {0xB2u, 0x10u}, + {0xB4u, 0x03u}, + {0xB5u, 0x70u}, + {0xB6u, 0x08u}, + {0xB7u, 0x0Fu}, + {0xBAu, 0x20u}, + {0xBBu, 0x80u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x01u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x01u, 0x06u}, + {0x03u, 0x20u}, + {0x04u, 0x40u}, + {0x0Au, 0x02u}, + {0x0Eu, 0x1Au}, + {0x14u, 0x08u}, + {0x19u, 0x22u}, + {0x1Cu, 0x40u}, + {0x1Eu, 0x1Au}, + {0x20u, 0x01u}, + {0x21u, 0x45u}, + {0x22u, 0x91u}, + {0x25u, 0x50u}, + {0x28u, 0x02u}, + {0x29u, 0x22u}, + {0x2Cu, 0xA8u}, + {0x2Du, 0x40u}, + {0x30u, 0x02u}, + {0x32u, 0x08u}, + {0x36u, 0x20u}, + {0x37u, 0x08u}, + {0x39u, 0x0Au}, + {0x3Cu, 0x08u}, + {0x3Du, 0xA0u}, + {0x3Eu, 0x02u}, + {0x58u, 0x10u}, + {0x5Au, 0x84u}, + {0x5Eu, 0x40u}, + {0x5Fu, 0x20u}, + {0x60u, 0x02u}, + {0x61u, 0x24u}, + {0x62u, 0x04u}, + {0x64u, 0x08u}, + {0x67u, 0x02u}, + {0x68u, 0x02u}, + {0x6Du, 0x08u}, + {0x6Fu, 0x1Au}, + {0x83u, 0x0Au}, + {0x84u, 0x10u}, + {0x85u, 0x08u}, + {0x86u, 0x04u}, + {0x8Bu, 0x20u}, + {0x8Du, 0x10u}, + {0x90u, 0x22u}, + {0x92u, 0x80u}, + {0x94u, 0x14u}, + {0x95u, 0x89u}, + {0x97u, 0x02u}, + {0x98u, 0x04u}, + {0x9Du, 0x45u}, + {0x9Eu, 0x30u}, + {0x9Fu, 0x15u}, + {0xA2u, 0x10u}, + {0xA3u, 0x20u}, + {0xA5u, 0x0Cu}, + {0xA6u, 0x84u}, + {0xA7u, 0x80u}, + {0xABu, 0x18u}, + {0xACu, 0x10u}, + {0xAEu, 0x04u}, + {0xB1u, 0x84u}, + {0xB3u, 0x01u}, + {0xC0u, 0x88u}, + {0xC2u, 0xE1u}, + {0xC4u, 0x40u}, + {0xCAu, 0xFDu}, + {0xCCu, 0x63u}, + {0xCEu, 0xF3u}, + {0xD6u, 0x3Eu}, + {0xD8u, 0x3Eu}, + {0xE2u, 0x22u}, + {0xE6u, 0x16u}, + {0xECu, 0x09u}, + {0xEEu, 0x06u}, + {0x00u, 0x02u}, + {0x02u, 0x01u}, + {0x05u, 0x34u}, + {0x07u, 0x08u}, + {0x09u, 0x01u}, + {0x0Bu, 0x38u}, + {0x11u, 0x05u}, + {0x13u, 0x38u}, + {0x14u, 0x02u}, + {0x15u, 0x10u}, + {0x16u, 0x01u}, + {0x17u, 0x20u}, + {0x1Bu, 0x01u}, + {0x1Cu, 0x01u}, + {0x1Du, 0x30u}, + {0x1Eu, 0x02u}, + {0x1Fu, 0x08u}, + {0x21u, 0x02u}, + {0x23u, 0x30u}, + {0x28u, 0x02u}, + {0x2Au, 0x01u}, + {0x2Bu, 0x40u}, + {0x2Cu, 0x02u}, + {0x2Du, 0x10u}, + {0x2Eu, 0x05u}, + {0x2Fu, 0x20u}, + {0x32u, 0x04u}, + {0x33u, 0x40u}, + {0x35u, 0x30u}, + {0x36u, 0x03u}, + {0x37u, 0x0Fu}, + {0x39u, 0x80u}, + {0x3Au, 0x80u}, + {0x3Bu, 0x20u}, + {0x56u, 0x08u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Du, 0x90u}, + {0x5Fu, 0x01u}, + {0x81u, 0x01u}, + {0x82u, 0x3Fu}, + {0x84u, 0x04u}, + {0x86u, 0x08u}, + {0x88u, 0x10u}, + {0x8Au, 0x20u}, + {0x8Cu, 0x10u}, + {0x8Eu, 0x20u}, + {0x8Fu, 0x01u}, + {0x90u, 0x04u}, + {0x92u, 0x08u}, + {0x95u, 0x01u}, + {0x98u, 0x01u}, + {0x99u, 0x01u}, + {0x9Au, 0x02u}, + {0x9Cu, 0x01u}, + {0x9Du, 0x01u}, + {0x9Eu, 0x02u}, + {0xA0u, 0x3Fu}, + {0xA1u, 0x02u}, + {0xA4u, 0x3Fu}, + {0xAAu, 0x3Fu}, + {0xAEu, 0x3Fu}, + {0xB0u, 0x30u}, + {0xB1u, 0x01u}, + {0xB3u, 0x02u}, + {0xB4u, 0x0Cu}, + {0xB6u, 0x03u}, + {0xBAu, 0xA2u}, + {0xBFu, 0x01u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x91u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x00u, 0x04u}, + {0x01u, 0x01u}, + {0x02u, 0x04u}, + {0x03u, 0x02u}, + {0x07u, 0x40u}, + {0x08u, 0x02u}, {0x09u, 0x20u}, - {0x0Au, 0x08u}, - {0x10u, 0x28u}, - {0x18u, 0x20u}, - {0x19u, 0x08u}, - {0x1Au, 0x08u}, - {0x20u, 0x40u}, - {0x26u, 0x88u}, - {0x27u, 0x02u}, - {0x2Du, 0x04u}, + {0x0Au, 0x01u}, + {0x0Eu, 0x12u}, + {0x11u, 0x94u}, + {0x12u, 0x80u}, + {0x14u, 0x01u}, + {0x17u, 0x20u}, + {0x18u, 0x04u}, + {0x19u, 0x41u}, + {0x1Au, 0x01u}, + {0x1Bu, 0x02u}, + {0x1Eu, 0x12u}, + {0x1Fu, 0x84u}, + {0x21u, 0x01u}, + {0x22u, 0x04u}, + {0x25u, 0x40u}, + {0x27u, 0x25u}, + {0x2Bu, 0x80u}, + {0x2Du, 0x01u}, + {0x2Fu, 0x09u}, + {0x30u, 0xA8u}, + {0x36u, 0x80u}, + {0x37u, 0x15u}, + {0x38u, 0x20u}, + {0x39u, 0x50u}, + {0x3Au, 0x02u}, + {0x3Du, 0x14u}, + {0x58u, 0x84u}, + {0x59u, 0x20u}, + {0x5Eu, 0x40u}, + {0x60u, 0x02u}, + {0x61u, 0x04u}, + {0x62u, 0x18u}, + {0x64u, 0x01u}, + {0x85u, 0x20u}, + {0x8Bu, 0x01u}, + {0x8Du, 0x04u}, + {0x8Eu, 0x40u}, + {0x90u, 0x23u}, + {0x91u, 0x05u}, + {0x92u, 0x20u}, + {0x93u, 0x02u}, + {0x94u, 0x04u}, + {0x95u, 0x40u}, + {0x96u, 0x08u}, + {0x98u, 0x04u}, + {0x9Au, 0x04u}, + {0x9Bu, 0x10u}, + {0x9Du, 0x41u}, + {0x9Eu, 0x12u}, + {0x9Fu, 0x0Du}, + {0xA1u, 0x20u}, + {0xA2u, 0x90u}, + {0xA4u, 0xACu}, + {0xA6u, 0x28u}, + {0xA7u, 0x80u}, + {0xAEu, 0x24u}, + {0xB1u, 0x01u}, + {0xB4u, 0x11u}, + {0xB6u, 0x40u}, + {0xB7u, 0x20u}, + {0xC0u, 0x1Fu}, + {0xC2u, 0xABu}, + {0xC4u, 0xCFu}, + {0xCAu, 0xB1u}, + {0xCCu, 0xFEu}, + {0xCEu, 0x69u}, + {0xD6u, 0x1Eu}, + {0xD8u, 0x1Eu}, + {0xE2u, 0x01u}, + {0xE6u, 0x03u}, + {0xEAu, 0x0Bu}, + {0xEEu, 0x08u}, + {0x01u, 0x02u}, + {0x03u, 0x01u}, + {0x04u, 0x06u}, + {0x09u, 0x02u}, + {0x0Bu, 0x01u}, + {0x0Cu, 0x2Au}, + {0x0Eu, 0x11u}, + {0x10u, 0x19u}, + {0x11u, 0x01u}, + {0x12u, 0x24u}, + {0x13u, 0x02u}, + {0x14u, 0x20u}, + {0x15u, 0x02u}, + {0x16u, 0x18u}, + {0x17u, 0x09u}, + {0x18u, 0x09u}, + {0x19u, 0x02u}, + {0x1Au, 0x32u}, + {0x1Bu, 0x05u}, + {0x23u, 0x10u}, + {0x26u, 0x40u}, + {0x2Au, 0x80u}, + {0x2Cu, 0x40u}, {0x2Eu, 0x80u}, - {0x2Fu, 0x28u}, + {0x31u, 0x08u}, + {0x32u, 0x38u}, {0x33u, 0x04u}, - {0x35u, 0x04u}, + {0x34u, 0x07u}, + {0x35u, 0x10u}, + {0x36u, 0xC0u}, + {0x37u, 0x03u}, + {0x38u, 0x20u}, + {0x3Au, 0x08u}, + {0x3Bu, 0x80u}, + {0x3Eu, 0x40u}, + {0x54u, 0x09u}, + {0x56u, 0x04u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x10u}, + {0x5Fu, 0x01u}, + {0x84u, 0x06u}, + {0x86u, 0x09u}, + {0x87u, 0xFFu}, + {0x88u, 0x05u}, + {0x89u, 0x50u}, + {0x8Au, 0x0Au}, + {0x8Bu, 0xA0u}, + {0x8Cu, 0x60u}, + {0x8Eu, 0x90u}, + {0x90u, 0x0Fu}, + {0x91u, 0x90u}, + {0x92u, 0xF0u}, + {0x93u, 0x60u}, + {0x94u, 0x50u}, + {0x95u, 0x30u}, + {0x96u, 0xA0u}, + {0x97u, 0xC0u}, + {0x98u, 0x03u}, + {0x99u, 0x09u}, + {0x9Au, 0x0Cu}, + {0x9Bu, 0x06u}, + {0x9Du, 0x0Fu}, + {0x9Fu, 0xF0u}, + {0xA2u, 0xFFu}, + {0xA3u, 0xFFu}, + {0xA4u, 0x30u}, + {0xA5u, 0x03u}, + {0xA6u, 0xC0u}, + {0xA7u, 0x0Cu}, + {0xA8u, 0xFFu}, + {0xA9u, 0x05u}, + {0xABu, 0x0Au}, + {0xAEu, 0xFFu}, + {0xAFu, 0xFFu}, + {0xB3u, 0xFFu}, + {0xB4u, 0xFFu}, + {0xBEu, 0x10u}, + {0xBFu, 0x04u}, + {0xD4u, 0x01u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDDu, 0x10u}, + {0xDFu, 0x01u}, + {0x01u, 0x10u}, + {0x03u, 0x21u}, + {0x04u, 0x04u}, + {0x05u, 0x80u}, + {0x08u, 0x20u}, + {0x0Au, 0x80u}, + {0x0Bu, 0x20u}, + {0x0Cu, 0x10u}, + {0x0Eu, 0x20u}, + {0x0Fu, 0x80u}, + {0x11u, 0x04u}, + {0x12u, 0x45u}, + {0x14u, 0x24u}, + {0x17u, 0x40u}, + {0x19u, 0x20u}, + {0x1Cu, 0x04u}, + {0x1Eu, 0x22u}, + {0x1Fu, 0x04u}, + {0x20u, 0x10u}, + {0x24u, 0x08u}, + {0x25u, 0x01u}, + {0x26u, 0x08u}, + {0x27u, 0x02u}, + {0x28u, 0x20u}, + {0x29u, 0x04u}, + {0x2Au, 0x41u}, + {0x2Bu, 0x05u}, + {0x2Du, 0x02u}, + {0x30u, 0x04u}, + {0x32u, 0x44u}, + {0x33u, 0x61u}, + {0x35u, 0x10u}, {0x36u, 0x08u}, {0x37u, 0x02u}, - {0x3Du, 0x40u}, - {0x3Eu, 0x02u}, - {0x41u, 0x08u}, - {0x42u, 0x80u}, - {0x43u, 0x29u}, - {0x4Au, 0x50u}, - {0x4Bu, 0x80u}, - {0x50u, 0x80u}, - {0x52u, 0x20u}, - {0x53u, 0x04u}, - {0x5Au, 0x25u}, - {0x5Bu, 0x40u}, - {0x5Du, 0x80u}, - {0x5Eu, 0x0Au}, - {0x5Fu, 0x20u}, - {0x60u, 0x08u}, - {0x61u, 0x40u}, - {0x63u, 0x50u}, - {0x64u, 0x02u}, - {0x69u, 0x61u}, - {0x6Bu, 0x10u}, - {0x70u, 0x28u}, - {0x72u, 0x42u}, - {0x80u, 0x02u}, - {0x81u, 0x02u}, - {0x84u, 0x08u}, - {0x86u, 0x20u}, - {0x8Bu, 0x24u}, - {0x8Eu, 0x10u}, - {0xC0u, 0x07u}, - {0xC2u, 0x0Au}, - {0xC4u, 0x06u}, - {0xCAu, 0x70u}, - {0xCCu, 0xC2u}, - {0xCEu, 0x90u}, - {0xD0u, 0x07u}, - {0xD2u, 0x08u}, - {0xD6u, 0xFFu}, - {0xD8u, 0x1Fu}, - {0xE4u, 0x06u}, - {0xE6u, 0x09u}, - {0x04u, 0x24u}, - {0x05u, 0x01u}, - {0x06u, 0x08u}, - {0x09u, 0x07u}, - {0x0Bu, 0x18u}, - {0x0Cu, 0x01u}, - {0x0Du, 0x2Fu}, - {0x0Eu, 0x02u}, - {0x0Fu, 0x10u}, - {0x13u, 0x07u}, - {0x19u, 0x05u}, - {0x1Au, 0x20u}, - {0x1Eu, 0x1Du}, - {0x23u, 0x20u}, - {0x24u, 0x28u}, - {0x25u, 0x2Au}, - {0x26u, 0x14u}, - {0x27u, 0x15u}, - {0x2Au, 0x02u}, - {0x2Bu, 0x02u}, - {0x2Cu, 0x10u}, - {0x2Du, 0x28u}, - {0x2Eu, 0x20u}, - {0x2Fu, 0x16u}, - {0x31u, 0x20u}, - {0x32u, 0x03u}, - {0x35u, 0x1Fu}, - {0x36u, 0x3Cu}, + {0x39u, 0x28u}, + {0x3Au, 0x04u}, {0x3Bu, 0x20u}, + {0x3Du, 0x02u}, + {0x3Fu, 0x20u}, + {0x59u, 0x40u}, + {0x5Cu, 0x0Au}, + {0x5Eu, 0x90u}, + {0x64u, 0x40u}, + {0x67u, 0x02u}, + {0x8Bu, 0x04u}, + {0x8Fu, 0x10u}, + {0x91u, 0x3Cu}, + {0x92u, 0x88u}, + {0x93u, 0x02u}, + {0x94u, 0x10u}, + {0x97u, 0x80u}, + {0x99u, 0x04u}, + {0x9Bu, 0x01u}, + {0x9Cu, 0x40u}, + {0x9Du, 0x20u}, + {0x9Eu, 0x01u}, + {0x9Fu, 0x42u}, + {0xA2u, 0x40u}, + {0xA3u, 0x25u}, + {0xA5u, 0x04u}, + {0xA6u, 0x21u}, + {0xA7u, 0x40u}, + {0xAAu, 0x04u}, + {0xABu, 0x05u}, + {0xACu, 0x40u}, + {0xAEu, 0x40u}, + {0xB2u, 0x01u}, + {0xB6u, 0x82u}, + {0xB7u, 0x02u}, + {0xC0u, 0xA7u}, + {0xC2u, 0x7Eu}, + {0xC4u, 0xEFu}, + {0xCAu, 0x8Fu}, + {0xCCu, 0xEFu}, + {0xCEu, 0xA6u}, + {0xD6u, 0xF8u}, + {0xD8u, 0x90u}, + {0xE0u, 0x10u}, + {0xE2u, 0x81u}, + {0xE6u, 0x43u}, + {0xE8u, 0x40u}, + {0xEAu, 0x12u}, + {0xECu, 0x10u}, + {0xEEu, 0xC0u}, + {0x00u, 0x0Fu}, + {0x02u, 0xF0u}, + {0x04u, 0x09u}, + {0x06u, 0x06u}, + {0x07u, 0xFFu}, + {0x08u, 0x05u}, + {0x09u, 0x50u}, + {0x0Au, 0x0Au}, + {0x0Bu, 0xA0u}, + {0x0Cu, 0x90u}, + {0x0Eu, 0x60u}, + {0x10u, 0x03u}, + {0x11u, 0x60u}, + {0x12u, 0x0Cu}, + {0x13u, 0x90u}, + {0x15u, 0x30u}, + {0x16u, 0xFFu}, + {0x17u, 0xC0u}, + {0x18u, 0xFFu}, + {0x19u, 0x06u}, + {0x1Bu, 0x09u}, + {0x1Du, 0x0Fu}, + {0x1Fu, 0xF0u}, + {0x23u, 0xFFu}, + {0x24u, 0x30u}, + {0x25u, 0x03u}, + {0x26u, 0xC0u}, + {0x27u, 0x0Cu}, + {0x28u, 0x50u}, + {0x29u, 0x05u}, + {0x2Au, 0xA0u}, + {0x2Bu, 0x0Au}, + {0x2Cu, 0xFFu}, + {0x2Du, 0xFFu}, + {0x31u, 0xFFu}, + {0x32u, 0xFFu}, {0x3Eu, 0x04u}, {0x3Fu, 0x01u}, {0x58u, 0x04u}, {0x59u, 0x04u}, {0x5Bu, 0x04u}, - {0x5Cu, 0x11u}, {0x5Fu, 0x01u}, - {0x83u, 0x80u}, - {0x86u, 0x04u}, - {0x87u, 0x07u}, - {0x89u, 0xAAu}, - {0x8Au, 0x08u}, - {0x8Bu, 0x55u}, - {0x8Cu, 0x2Au}, - {0x8Eu, 0x54u}, - {0x8Fu, 0x70u}, - {0x95u, 0x99u}, - {0x96u, 0x02u}, - {0x97u, 0x22u}, - {0x9Au, 0x20u}, - {0x9Bu, 0x08u}, - {0x9Eu, 0x10u}, - {0xA6u, 0x01u}, - {0xAAu, 0x40u}, - {0xADu, 0x44u}, - {0xAFu, 0x88u}, - {0xB0u, 0x18u}, - {0xB1u, 0xF0u}, - {0xB2u, 0x01u}, - {0xB3u, 0x0Fu}, - {0xB4u, 0x60u}, - {0xB6u, 0x06u}, - {0xBEu, 0x51u}, + {0x81u, 0x02u}, + {0x84u, 0x04u}, + {0x86u, 0x38u}, + {0x88u, 0x10u}, + {0x8Au, 0x20u}, + {0x8Cu, 0x10u}, + {0x8Du, 0x05u}, + {0x8Eu, 0x20u}, + {0x96u, 0x07u}, + {0x98u, 0x09u}, + {0x99u, 0x01u}, + {0x9Au, 0x32u}, + {0x9Bu, 0x04u}, + {0x9Eu, 0x30u}, + {0xA0u, 0x30u}, + {0xA1u, 0x01u}, + {0xA3u, 0x04u}, + {0xAAu, 0x08u}, + {0xACu, 0x3Au}, + {0xAEu, 0x05u}, + {0xB2u, 0x0Fu}, + {0xB3u, 0x01u}, + {0xB4u, 0x30u}, + {0xB5u, 0x02u}, + {0xB7u, 0x04u}, + {0xBAu, 0x20u}, + {0xBFu, 0x44u}, {0xD6u, 0x08u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, {0xDBu, 0x04u}, - {0xDCu, 0x19u}, + {0xDCu, 0x91u}, {0xDDu, 0x90u}, {0xDFu, 0x01u}, - {0x00u, 0x20u}, - {0x01u, 0x40u}, - {0x02u, 0x11u}, - {0x06u, 0x01u}, - {0x07u, 0x20u}, - {0x09u, 0x24u}, - {0x0Bu, 0x02u}, - {0x0Du, 0x10u}, - {0x0Eu, 0x01u}, - {0x11u, 0x02u}, - {0x12u, 0x04u}, - {0x13u, 0x05u}, - {0x14u, 0x05u}, - {0x15u, 0x04u}, - {0x18u, 0x08u}, - {0x19u, 0x0Au}, - {0x1Bu, 0x80u}, - {0x1Eu, 0x11u}, - {0x20u, 0x60u}, - {0x21u, 0x05u}, - {0x25u, 0x11u}, - {0x28u, 0x01u}, - {0x2Cu, 0x80u}, - {0x2Du, 0x04u}, - {0x2Eu, 0x80u}, - {0x2Fu, 0x04u}, - {0x30u, 0x08u}, - {0x33u, 0x10u}, - {0x36u, 0x23u}, - {0x38u, 0x40u}, - {0x39u, 0x19u}, - {0x3Du, 0xA0u}, - {0x3Fu, 0x08u}, - {0x58u, 0x20u}, - {0x5Au, 0x80u}, - {0x5Cu, 0x06u}, - {0x5Eu, 0xA0u}, - {0x61u, 0x10u}, - {0x62u, 0x40u}, - {0x63u, 0x04u}, - {0x67u, 0x01u}, - {0x80u, 0x04u}, - {0x81u, 0x98u}, - {0x84u, 0x20u}, - {0x86u, 0x20u}, - {0x8Au, 0x40u}, - {0x8Bu, 0x40u}, - {0x8Cu, 0x84u}, - {0x8Du, 0x10u}, - {0x8Fu, 0x40u}, - {0x90u, 0x20u}, - {0x91u, 0x35u}, - {0x92u, 0x40u}, - {0x93u, 0x08u}, - {0x96u, 0x04u}, - {0x97u, 0xC0u}, - {0x99u, 0x04u}, - {0x9Bu, 0x10u}, - {0x9Cu, 0x01u}, - {0x9Du, 0x82u}, - {0x9Eu, 0x80u}, - {0x9Fu, 0x04u}, - {0xA0u, 0x80u}, - {0xA1u, 0x20u}, - {0xA2u, 0xF0u}, - {0xA5u, 0x08u}, - {0xA6u, 0x05u}, - {0xADu, 0x40u}, - {0xAEu, 0x01u}, - {0xB1u, 0x10u}, - {0xB3u, 0x30u}, - {0xB4u, 0xC0u}, - {0xB7u, 0x40u}, - {0xC0u, 0xA7u}, - {0xC2u, 0xC7u}, - {0xC4u, 0xE6u}, - {0xCAu, 0xF8u}, - {0xCCu, 0xA6u}, - {0xCEu, 0x7Fu}, - {0xD6u, 0xFCu}, - {0xD8u, 0x1Cu}, - {0xE2u, 0x0Cu}, - {0xE4u, 0x04u}, - {0xE6u, 0x2Au}, - {0xEAu, 0x08u}, - {0xEEu, 0x48u}, - {0x00u, 0x40u}, - {0x01u, 0x04u}, - {0x02u, 0x80u}, - {0x05u, 0x30u}, - {0x06u, 0x1Cu}, - {0x07u, 0x05u}, - {0x0Bu, 0x04u}, - {0x0Du, 0x04u}, - {0x0Eu, 0x43u}, + {0x01u, 0x10u}, + {0x03u, 0x61u}, + {0x05u, 0x90u}, + {0x06u, 0x20u}, + {0x08u, 0x12u}, + {0x09u, 0x04u}, + {0x0Cu, 0x20u}, + {0x0Eu, 0x21u}, + {0x10u, 0x09u}, {0x11u, 0x04u}, - {0x12u, 0x20u}, - {0x14u, 0x03u}, - {0x15u, 0x07u}, - {0x16u, 0x40u}, - {0x17u, 0x18u}, - {0x18u, 0x01u}, - {0x19u, 0x04u}, - {0x1Au, 0x02u}, - {0x1Cu, 0x90u}, - {0x1Eu, 0x63u}, - {0x1Fu, 0x08u}, + {0x14u, 0x10u}, + {0x15u, 0x08u}, + {0x16u, 0x8Au}, + {0x19u, 0x08u}, + {0x1Du, 0x14u}, + {0x1Eu, 0x24u}, + {0x21u, 0x01u}, + {0x26u, 0x44u}, + {0x27u, 0x04u}, + {0x28u, 0x01u}, + {0x29u, 0x04u}, + {0x2Au, 0x01u}, + {0x2Bu, 0x05u}, + {0x2Fu, 0x40u}, + {0x32u, 0x44u}, + {0x33u, 0x21u}, + {0x36u, 0x20u}, + {0x39u, 0x28u}, + {0x3Eu, 0x01u}, + {0x3Fu, 0x80u}, + {0x5Cu, 0x80u}, + {0x66u, 0x40u}, + {0x68u, 0x88u}, + {0x69u, 0x24u}, + {0x6Au, 0x08u}, + {0x6Bu, 0x01u}, + {0x71u, 0x60u}, + {0x72u, 0x50u}, + {0x79u, 0x10u}, + {0x7Bu, 0x04u}, + {0x80u, 0x80u}, + {0x86u, 0x04u}, + {0x8Cu, 0x02u}, + {0x8Du, 0x04u}, + {0x91u, 0x08u}, + {0x92u, 0x81u}, + {0x94u, 0x10u}, + {0x95u, 0x22u}, + {0x96u, 0x50u}, + {0x99u, 0x80u}, + {0x9Au, 0x28u}, + {0x9Du, 0x68u}, + {0x9Eu, 0xC2u}, + {0x9Fu, 0x01u}, + {0xA0u, 0x20u}, + {0xA3u, 0x25u}, + {0xA4u, 0x80u}, + {0xA5u, 0x20u}, + {0xA6u, 0x01u}, + {0xA8u, 0x81u}, + {0xAFu, 0x80u}, + {0xB0u, 0x20u}, + {0xB1u, 0x08u}, + {0xC0u, 0xEFu}, + {0xC2u, 0xEEu}, + {0xC4u, 0xD7u}, + {0xCAu, 0x8Fu}, + {0xCCu, 0x2Fu}, + {0xCEu, 0x96u}, + {0xD6u, 0x10u}, + {0xD8u, 0x10u}, + {0xE2u, 0x20u}, + {0xE6u, 0x27u}, + {0xECu, 0x20u}, + {0xEEu, 0x02u}, + {0x00u, 0x03u}, + {0x04u, 0x10u}, + {0x06u, 0x23u}, + {0x0Au, 0x20u}, + {0x0Bu, 0x08u}, + {0x0Cu, 0x2Bu}, + {0x0Du, 0x0Au}, + {0x0Eu, 0x14u}, + {0x0Fu, 0x05u}, + {0x13u, 0x20u}, + {0x14u, 0x24u}, + {0x16u, 0x0Bu}, + {0x1Au, 0x5Cu}, + {0x1Bu, 0x17u}, + {0x1Eu, 0x03u}, {0x20u, 0x01u}, {0x22u, 0x02u}, - {0x23u, 0x02u}, - {0x24u, 0x2Bu}, - {0x26u, 0x54u}, - {0x28u, 0xA4u}, - {0x29u, 0x03u}, - {0x2Au, 0x4Bu}, - {0x2Bu, 0x28u}, - {0x2Du, 0x04u}, - {0x30u, 0xC0u}, - {0x31u, 0x07u}, - {0x32u, 0x3Cu}, - {0x34u, 0x03u}, - {0x35u, 0x07u}, - {0x37u, 0x38u}, - {0x3Au, 0x22u}, - {0x3Bu, 0x33u}, - {0x54u, 0x01u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Cu, 0x01u}, - {0x5Du, 0x10u}, - {0x5Fu, 0x01u}, - {0x81u, 0x05u}, - {0x82u, 0x80u}, - {0x83u, 0x0Au}, - {0x84u, 0x01u}, - {0x86u, 0x12u}, - {0x89u, 0x03u}, - {0x8Bu, 0x0Cu}, - {0x8Cu, 0x04u}, - {0x8Du, 0x0Fu}, - {0x8Eu, 0x28u}, - {0x8Fu, 0xF0u}, - {0x92u, 0x40u}, - {0x93u, 0xFFu}, - {0x9Bu, 0xFFu}, - {0x9Cu, 0x08u}, - {0x9Du, 0x09u}, - {0x9Eu, 0x04u}, - {0x9Fu, 0x06u}, - {0xA0u, 0x02u}, - {0xA2u, 0x01u}, - {0xA3u, 0xFFu}, - {0xA5u, 0x30u}, - {0xA7u, 0xC0u}, - {0xA9u, 0x50u}, - {0xABu, 0xA0u}, - {0xACu, 0x53u}, - {0xADu, 0x90u}, - {0xAEu, 0xACu}, - {0xAFu, 0x60u}, - {0xB0u, 0x0Fu}, - {0xB2u, 0x30u}, - {0xB4u, 0xC0u}, - {0xB5u, 0xFFu}, - {0xBEu, 0x15u}, - {0xBFu, 0x10u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDFu, 0x01u}, - {0x01u, 0x0Au}, - {0x02u, 0x02u}, - {0x05u, 0x04u}, - {0x06u, 0x81u}, - {0x08u, 0x08u}, - {0x09u, 0x40u}, - {0x0Au, 0x44u}, - {0x0Eu, 0x82u}, - {0x11u, 0x04u}, - {0x12u, 0x40u}, - {0x13u, 0x20u}, - {0x15u, 0x42u}, - {0x19u, 0x0Au}, - {0x1Au, 0x04u}, - {0x1Du, 0x19u}, - {0x20u, 0x44u}, - {0x21u, 0x02u}, - {0x22u, 0x50u}, - {0x26u, 0x10u}, - {0x28u, 0x02u}, - {0x29u, 0x20u}, - {0x2Bu, 0x80u}, - {0x2Cu, 0x04u}, - {0x2Eu, 0x82u}, - {0x2Fu, 0x2Au}, - {0x30u, 0x20u}, - {0x32u, 0x44u}, - {0x33u, 0x01u}, - {0x35u, 0x01u}, - {0x36u, 0xE0u}, - {0x38u, 0x84u}, - {0x39u, 0x11u}, - {0x3Cu, 0x20u}, - {0x3Du, 0x40u}, - {0x3Eu, 0x01u}, - {0x3Fu, 0x11u}, - {0x5Bu, 0x40u}, - {0x65u, 0x02u}, - {0x67u, 0x80u}, - {0x6Du, 0x40u}, - {0x80u, 0x02u}, - {0x81u, 0x18u}, - {0x84u, 0x08u}, - {0x8Bu, 0x04u}, - {0x8Eu, 0x42u}, - {0x8Fu, 0x04u}, - {0x90u, 0x20u}, - {0x91u, 0x06u}, - {0x92u, 0x41u}, - {0x95u, 0x40u}, - {0x96u, 0x04u}, - {0x97u, 0x80u}, - {0x99u, 0x04u}, - {0x9Au, 0x60u}, - {0x9Bu, 0x60u}, - {0x9Cu, 0x04u}, - {0x9Eu, 0x14u}, - {0x9Fu, 0x04u}, - {0xA0u, 0x10u}, - {0xA1u, 0x60u}, - {0xA2u, 0x80u}, - {0xA6u, 0x06u}, - {0xA7u, 0x24u}, - {0xA8u, 0x02u}, - {0xAAu, 0x30u}, - {0xABu, 0x21u}, - {0xACu, 0x01u}, - {0xADu, 0x48u}, - {0xB3u, 0x80u}, - {0xB4u, 0x18u}, - {0xB5u, 0x04u}, - {0xB6u, 0xC0u}, - {0xB7u, 0x20u}, - {0xC0u, 0xBDu}, - {0xC2u, 0x9Fu}, - {0xC4u, 0x9Eu}, - {0xCAu, 0xFDu}, - {0xCCu, 0xBFu}, - {0xCEu, 0xBFu}, - {0xD6u, 0x08u}, - {0xE2u, 0xD4u}, - {0xE4u, 0x04u}, - {0xE6u, 0x09u}, - {0xE8u, 0x01u}, - {0xEAu, 0x82u}, - {0x00u, 0xFFu}, - {0x07u, 0x02u}, - {0x0Au, 0xFFu}, - {0x0Bu, 0x01u}, - {0x0Du, 0x04u}, - {0x0Eu, 0xFFu}, - {0x10u, 0x60u}, - {0x11u, 0x04u}, - {0x12u, 0x90u}, - {0x14u, 0x03u}, - {0x16u, 0x0Cu}, - {0x19u, 0x04u}, - {0x1Cu, 0x05u}, - {0x1Eu, 0x0Au}, - {0x20u, 0x06u}, - {0x22u, 0x09u}, - {0x24u, 0x50u}, - {0x25u, 0x04u}, - {0x26u, 0xA0u}, - {0x28u, 0x30u}, - {0x2Au, 0xC0u}, - {0x2Cu, 0x0Fu}, - {0x2Eu, 0xF0u}, - {0x33u, 0x01u}, - {0x34u, 0xFFu}, - {0x35u, 0x02u}, - {0x37u, 0x04u}, - {0x39u, 0x80u}, - {0x3Eu, 0x10u}, - {0x3Fu, 0x40u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Cu, 0x90u}, - {0x5Fu, 0x01u}, - {0x80u, 0x04u}, - {0x81u, 0x0Cu}, - {0x84u, 0x09u}, - {0x85u, 0x40u}, - {0x86u, 0x06u}, - {0x87u, 0x30u}, - {0x8Au, 0x06u}, - {0x8Bu, 0x0Cu}, - {0x8Cu, 0x06u}, - {0x8Du, 0x50u}, - {0x8Fu, 0x2Fu}, - {0x90u, 0x02u}, - {0x91u, 0x0Cu}, - {0x94u, 0x01u}, - {0x95u, 0x11u}, - {0x96u, 0x0Eu}, - {0x97u, 0x62u}, - {0x99u, 0x04u}, - {0x9Bu, 0x08u}, - {0x9Cu, 0x06u}, - {0x9Du, 0x0Cu}, - {0xA0u, 0x06u}, - {0xA1u, 0x08u}, - {0xA4u, 0x02u}, - {0xA6u, 0x04u}, - {0xA8u, 0x07u}, - {0xA9u, 0x31u}, - {0xAAu, 0x08u}, - {0xABu, 0x4Eu}, - {0xADu, 0x04u}, - {0xB3u, 0x0Fu}, - {0xB4u, 0x0Fu}, - {0xB5u, 0x70u}, - {0xB8u, 0x20u}, - {0xBBu, 0x20u}, - {0xD6u, 0x02u}, - {0xD7u, 0x24u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDFu, 0x01u}, - {0x00u, 0x04u}, - {0x01u, 0x10u}, - {0x03u, 0x81u}, - {0x05u, 0x11u}, - {0x06u, 0x02u}, - {0x08u, 0x02u}, - {0x0Au, 0x21u}, - {0x0Eu, 0x40u}, - {0x0Fu, 0x11u}, - {0x10u, 0xA0u}, - {0x12u, 0x08u}, - {0x14u, 0x18u}, - {0x15u, 0x40u}, - {0x16u, 0x40u}, - {0x18u, 0x04u}, - {0x19u, 0x20u}, - {0x1Au, 0x04u}, - {0x1Fu, 0x10u}, - {0x22u, 0x0Cu}, - {0x23u, 0x04u}, - {0x24u, 0x02u}, - {0x25u, 0x14u}, - {0x27u, 0x04u}, - {0x28u, 0x02u}, - {0x29u, 0x20u}, - {0x2Bu, 0x80u}, - {0x2Eu, 0x40u}, - {0x2Fu, 0x12u}, - {0x30u, 0xA0u}, - {0x32u, 0x04u}, - {0x33u, 0x01u}, - {0x36u, 0x12u}, - {0x38u, 0x40u}, - {0x39u, 0x11u}, - {0x3Bu, 0x04u}, - {0x3Cu, 0x80u}, - {0x3Du, 0x08u}, - {0x3Eu, 0x20u}, - {0x62u, 0xC0u}, - {0x63u, 0x04u}, - {0x68u, 0x21u}, - {0x69u, 0x11u}, - {0x6Au, 0x01u}, - {0x6Bu, 0x01u}, - {0x70u, 0xC0u}, - {0x78u, 0x10u}, - {0x7Bu, 0x08u}, - {0x80u, 0x01u}, - {0x86u, 0x01u}, - {0x88u, 0x20u}, - {0x89u, 0x10u}, - {0x8Cu, 0x80u}, - {0x90u, 0x60u}, - {0x91u, 0x40u}, - {0x92u, 0x20u}, - {0x93u, 0x11u}, - {0x94u, 0x80u}, - {0x95u, 0x11u}, - {0x96u, 0x08u}, - {0x97u, 0x08u}, - {0x98u, 0x02u}, - {0x99u, 0x09u}, - {0x9Au, 0x22u}, - {0x9Du, 0x20u}, - {0x9Eu, 0x15u}, - {0x9Fu, 0x05u}, - {0xA1u, 0x22u}, - {0xA2u, 0x90u}, - {0xA3u, 0x04u}, - {0xA4u, 0x20u}, - {0xA6u, 0x25u}, - {0xA7u, 0xAAu}, - {0xADu, 0x01u}, - {0xB2u, 0x02u}, - {0xB4u, 0x04u}, - {0xC0u, 0xDFu}, - {0xC2u, 0xBDu}, - {0xC4u, 0xFEu}, - {0xCAu, 0x4Du}, - {0xCCu, 0xAFu}, - {0xCEu, 0x7Fu}, - {0xD8u, 0x04u}, - {0xE0u, 0x08u}, - {0xE2u, 0x01u}, - {0xEAu, 0x32u}, - {0xECu, 0x02u}, - {0x81u, 0x40u}, - {0x82u, 0x40u}, - {0x84u, 0x04u}, - {0x85u, 0x01u}, - {0x88u, 0x08u}, - {0x8Au, 0x16u}, - {0x8Eu, 0x01u}, - {0x8Fu, 0x40u}, - {0x90u, 0x20u}, - {0x91u, 0x06u}, - {0x94u, 0x04u}, - {0x95u, 0x01u}, - {0x96u, 0x08u}, - {0x9Bu, 0x02u}, - {0x9Cu, 0x40u}, - {0x9Du, 0x08u}, - {0x9Eu, 0x20u}, - {0xA0u, 0x02u}, - {0xA2u, 0x80u}, - {0xA4u, 0x08u}, - {0xA5u, 0x08u}, - {0xA6u, 0x10u}, - {0xA7u, 0x42u}, - {0xABu, 0x04u}, - {0xADu, 0x04u}, - {0xB0u, 0x40u}, - {0xB4u, 0x16u}, - {0xB5u, 0x40u}, - {0xE0u, 0x80u}, - {0xE2u, 0x04u}, - {0xE4u, 0x60u}, - {0xEAu, 0x33u}, - {0xECu, 0x40u}, - {0xEEu, 0x20u}, - {0x00u, 0x08u}, - {0x02u, 0x10u}, - {0x08u, 0x01u}, - {0x0Au, 0x0Au}, - {0x0Cu, 0x02u}, - {0x0Du, 0x01u}, - {0x0Eu, 0x01u}, - {0x0Fu, 0x02u}, - {0x14u, 0x02u}, - {0x15u, 0x02u}, - {0x16u, 0x01u}, - {0x17u, 0x01u}, - {0x18u, 0x02u}, - {0x1Au, 0x01u}, - {0x1Du, 0x02u}, - {0x1Fu, 0x01u}, - {0x20u, 0x02u}, - {0x21u, 0x02u}, - {0x22u, 0x11u}, - {0x23u, 0x01u}, - {0x29u, 0x02u}, - {0x2Au, 0x04u}, - {0x2Bu, 0x01u}, - {0x30u, 0x04u}, - {0x31u, 0x03u}, - {0x34u, 0x03u}, - {0x36u, 0x18u}, - {0x3Au, 0x20u}, - {0x3Bu, 0x02u}, - {0x3Eu, 0x40u}, - {0x56u, 0x08u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Cu, 0x99u}, - {0x5Du, 0x90u}, - {0x5Fu, 0x01u}, - {0x80u, 0x10u}, - {0x81u, 0x35u}, - {0x82u, 0x0Cu}, - {0x85u, 0x08u}, - {0x87u, 0x34u}, - {0x89u, 0x0Au}, - {0x8Bu, 0x11u}, - {0x8Cu, 0x10u}, - {0x8Du, 0x35u}, - {0x8Eu, 0x08u}, - {0x91u, 0x02u}, - {0x93u, 0x01u}, - {0x94u, 0x10u}, - {0x95u, 0x05u}, - {0x96u, 0x0Au}, - {0x97u, 0x0Au}, - {0x98u, 0x08u}, - {0x99u, 0x35u}, - {0x9Au, 0x10u}, - {0x9Du, 0x34u}, - {0x9Fu, 0x01u}, - {0xA9u, 0x35u}, - {0xACu, 0x10u}, - {0xADu, 0x0Bu}, - {0xAEu, 0x09u}, - {0xAFu, 0x20u}, - {0xB0u, 0x04u}, - {0xB2u, 0x01u}, - {0xB3u, 0x3Cu}, - {0xB4u, 0x18u}, - {0xB6u, 0x02u}, - {0xB7u, 0x03u}, - {0xBAu, 0x20u}, - {0xBBu, 0x88u}, - {0xD6u, 0x08u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDCu, 0x09u}, - {0xDDu, 0x90u}, - {0xDFu, 0x01u}, - {0x00u, 0x02u}, - {0x01u, 0x20u}, - {0x03u, 0x02u}, - {0x04u, 0x41u}, - {0x08u, 0x20u}, - {0x09u, 0x08u}, - {0x0Cu, 0x20u}, - {0x0Eu, 0x14u}, - {0x10u, 0x04u}, - {0x12u, 0x40u}, - {0x14u, 0x01u}, - {0x18u, 0x02u}, - {0x19u, 0xA1u}, - {0x1Bu, 0x80u}, - {0x1Cu, 0x41u}, - {0x1Eu, 0x14u}, - {0x20u, 0x80u}, - {0x21u, 0x01u}, - {0x23u, 0x40u}, - {0x25u, 0x04u}, + {0x24u, 0x01u}, + {0x25u, 0x09u}, + {0x26u, 0x02u}, {0x27u, 0x02u}, - {0x29u, 0x01u}, - {0x2Bu, 0x04u}, - {0x2Cu, 0x0Au}, - {0x30u, 0x04u}, - {0x32u, 0x84u}, - {0x34u, 0x40u}, - {0x35u, 0x08u}, - {0x36u, 0x10u}, - {0x37u, 0x02u}, - {0x3Bu, 0x40u}, - {0x3Du, 0x09u}, - {0x3Eu, 0x20u}, - {0x3Fu, 0x80u}, - {0x58u, 0x10u}, - {0x5Au, 0x40u}, - {0x5Bu, 0x04u}, - {0x5Du, 0x40u}, - {0x60u, 0x02u}, - {0x62u, 0x18u}, - {0x64u, 0x01u}, - {0x68u, 0x01u}, - {0x6Cu, 0x04u}, - {0x6Eu, 0x08u}, - {0x6Fu, 0x01u}, - {0x83u, 0x02u}, - {0x87u, 0x80u}, - {0x88u, 0x10u}, - {0x8Eu, 0x04u}, - {0x92u, 0x40u}, - {0x94u, 0x06u}, - {0x95u, 0x02u}, - {0x97u, 0x40u}, - {0x98u, 0x20u}, - {0x9Au, 0x40u}, - {0x9Cu, 0x02u}, - {0x9Du, 0xA0u}, - {0x9Eu, 0x10u}, - {0x9Fu, 0x01u}, - {0xA2u, 0x80u}, - {0xA3u, 0x04u}, - {0xA4u, 0x60u}, - {0xA6u, 0x0Cu}, - {0xAAu, 0x10u}, - {0xAFu, 0x20u}, - {0xB0u, 0x04u}, - {0xB5u, 0x40u}, - {0xB6u, 0x50u}, - {0xC0u, 0x9Bu}, - {0xC2u, 0x66u}, - {0xC4u, 0x8Au}, - {0xCAu, 0x35u}, - {0xCCu, 0xFAu}, - {0xCEu, 0xF8u}, - {0xD6u, 0x1Eu}, - {0xD8u, 0x1Eu}, - {0xE2u, 0x44u}, - {0xE4u, 0x04u}, - {0xE8u, 0x01u}, - {0xEAu, 0x10u}, - {0xEEu, 0x14u}, - {0x00u, 0xD3u}, - {0x01u, 0x53u}, - {0x02u, 0x20u}, - {0x03u, 0x24u}, - {0x05u, 0x20u}, - {0x08u, 0x01u}, - {0x09u, 0x01u}, - {0x0Au, 0x02u}, - {0x0Bu, 0x02u}, - {0x0Cu, 0xC3u}, - {0x0Eu, 0x20u}, - {0x0Fu, 0x7Fu}, - {0x11u, 0x01u}, - {0x13u, 0x02u}, - {0x14u, 0x04u}, - {0x16u, 0xE3u}, - {0x17u, 0x04u}, - {0x19u, 0x24u}, - {0x1Au, 0x04u}, - {0x1Bu, 0x4Bu}, - {0x1Cu, 0x40u}, - {0x1Eu, 0x80u}, - {0x20u, 0x08u}, - {0x22u, 0xC3u}, - {0x23u, 0x10u}, - {0x24u, 0x40u}, - {0x26u, 0x80u}, - {0x28u, 0x01u}, {0x29u, 0x04u}, - {0x2Au, 0x02u}, - {0x2Bu, 0x1Bu}, - {0x2Cu, 0x14u}, - {0x2Du, 0x0Bu}, - {0x2Eu, 0xE3u}, - {0x32u, 0x3Cu}, - {0x33u, 0x7Cu}, - {0x34u, 0x03u}, - {0x36u, 0xC0u}, - {0x37u, 0x03u}, - {0x38u, 0x08u}, - {0x3Au, 0xA0u}, - {0x3Bu, 0x80u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Cu, 0x11u}, - {0x5Fu, 0x01u}, - {0x83u, 0xFFu}, - {0x85u, 0x69u}, - {0x87u, 0x96u}, - {0x88u, 0x55u}, - {0x8Au, 0xAAu}, - {0x8Cu, 0xFFu}, - {0x90u, 0x33u}, - {0x91u, 0x0Fu}, - {0x92u, 0xCCu}, - {0x93u, 0xF0u}, - {0x96u, 0xFFu}, - {0x97u, 0xFFu}, - {0x98u, 0x0Fu}, - {0x99u, 0x55u}, - {0x9Au, 0xF0u}, - {0x9Bu, 0xAAu}, - {0xA0u, 0xFFu}, - {0xA1u, 0xFFu}, - {0xA4u, 0x96u}, - {0xA5u, 0x33u}, - {0xA6u, 0x69u}, - {0xA7u, 0xCCu}, - {0xAAu, 0xFFu}, - {0xABu, 0xFFu}, - {0xADu, 0xFFu}, - {0xAEu, 0xFFu}, - {0xB1u, 0xFFu}, - {0xB4u, 0xFFu}, - {0xBAu, 0x20u}, - {0xBBu, 0x02u}, - {0xD6u, 0x08u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDCu, 0x11u}, - {0xDDu, 0x90u}, - {0xDFu, 0x01u}, - {0x01u, 0x90u}, - {0x02u, 0x08u}, - {0x05u, 0x91u}, - {0x08u, 0x02u}, - {0x0Au, 0x14u}, - {0x0Du, 0x08u}, - {0x0Eu, 0x0Au}, - {0x10u, 0x40u}, - {0x13u, 0x64u}, - {0x15u, 0x82u}, - {0x16u, 0x14u}, - {0x17u, 0x10u}, - {0x1Au, 0x04u}, - {0x1Du, 0x10u}, - {0x1Eu, 0x12u}, - {0x1Fu, 0xA8u}, - {0x22u, 0x02u}, - {0x24u, 0x20u}, - {0x25u, 0x0Cu}, - {0x27u, 0x22u}, - {0x28u, 0x40u}, - {0x29u, 0x81u}, - {0x2Au, 0x02u}, - {0x2Bu, 0x18u}, - {0x2Du, 0x82u}, - {0x2Fu, 0x08u}, - {0x31u, 0x08u}, - {0x32u, 0x02u}, - {0x33u, 0x10u}, - {0x36u, 0x04u}, - {0x37u, 0x22u}, - {0x39u, 0x0Au}, - {0x3Cu, 0x80u}, - {0x3Du, 0x10u}, - {0x3Eu, 0x0Au}, - {0x58u, 0x04u}, - {0x5Au, 0x20u}, - {0x5Bu, 0x40u}, - {0x62u, 0x44u}, - {0x63u, 0x04u}, - {0x80u, 0x04u}, - {0x82u, 0x80u}, - {0x83u, 0x40u}, - {0x86u, 0x40u}, - {0x89u, 0x04u}, - {0x8Bu, 0x03u}, - {0x8Du, 0x01u}, - {0x8Fu, 0x04u}, - {0x94u, 0x22u}, - {0x95u, 0x02u}, - {0x96u, 0x14u}, - {0x98u, 0x20u}, - {0x99u, 0x02u}, - {0x9Au, 0x0Au}, - {0x9Cu, 0x02u}, - {0x9Du, 0xA0u}, - {0x9Eu, 0x10u}, - {0xA1u, 0x01u}, - {0xA3u, 0x10u}, - {0xA4u, 0x20u}, - {0xA5u, 0x04u}, - {0xA6u, 0x06u}, - {0xA7u, 0xA0u}, - {0xAAu, 0x40u}, - {0xABu, 0x04u}, - {0xACu, 0x40u}, - {0xADu, 0x20u}, - {0xAEu, 0x04u}, - {0xB2u, 0x02u}, - {0xB3u, 0x0Cu}, - {0xB4u, 0x20u}, - {0xB6u, 0x20u}, - {0xB7u, 0x02u}, - {0xC0u, 0xD3u}, - {0xC2u, 0xEEu}, - {0xC4u, 0xFFu}, - {0xCAu, 0xBFu}, - {0xCCu, 0xE7u}, - {0xCEu, 0xF3u}, - {0xD6u, 0x0Eu}, - {0xD8u, 0x0Eu}, - {0xE0u, 0x40u}, - {0xE2u, 0x30u}, - {0xE4u, 0x80u}, - {0xE6u, 0x2Bu}, - {0xE8u, 0x40u}, - {0xEAu, 0x95u}, - {0xECu, 0x40u}, - {0xEEu, 0x80u}, - {0x01u, 0x3Fu}, - {0x04u, 0x69u}, - {0x05u, 0x3Fu}, - {0x06u, 0x96u}, - {0x08u, 0x0Fu}, - {0x09u, 0x01u}, - {0x0Au, 0xF0u}, - {0x0Bu, 0x02u}, - {0x0Cu, 0xFFu}, - {0x0Fu, 0x3Fu}, - {0x14u, 0x55u}, - {0x16u, 0xAAu}, - {0x17u, 0x3Fu}, - {0x18u, 0xFFu}, - {0x1Bu, 0x3Fu}, - {0x1Cu, 0x33u}, - {0x1Du, 0x10u}, - {0x1Eu, 0xCCu}, - {0x1Fu, 0x20u}, - {0x21u, 0x04u}, - {0x22u, 0xFFu}, - {0x23u, 0x08u}, - {0x25u, 0x10u}, - {0x26u, 0xFFu}, - {0x27u, 0x20u}, - {0x29u, 0x04u}, - {0x2Au, 0xFFu}, + {0x2Au, 0x80u}, {0x2Bu, 0x08u}, - {0x2Du, 0x01u}, - {0x2Fu, 0x02u}, - {0x32u, 0xFFu}, - {0x33u, 0x0Cu}, - {0x35u, 0x03u}, + {0x2Cu, 0x40u}, + {0x2Du, 0x10u}, + {0x2Eu, 0x80u}, + {0x2Fu, 0x20u}, + {0x32u, 0x03u}, + {0x34u, 0x3Cu}, + {0x35u, 0x0Fu}, + {0x36u, 0xC0u}, {0x37u, 0x30u}, {0x3Au, 0x08u}, - {0x3Bu, 0xA8u}, + {0x3Eu, 0x40u}, + {0x3Fu, 0x40u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Fu, 0x01u}, + {0x81u, 0x10u}, + {0x84u, 0x06u}, + {0x85u, 0x01u}, + {0x86u, 0x09u}, + {0x87u, 0x02u}, + {0x89u, 0x10u}, + {0x8Cu, 0x0Fu}, + {0x8Du, 0x23u}, + {0x8Eu, 0xF0u}, + {0x8Fu, 0x4Cu}, + {0x90u, 0x30u}, + {0x92u, 0xC0u}, + {0x93u, 0x40u}, + {0x94u, 0x50u}, + {0x96u, 0xA0u}, + {0x98u, 0x60u}, + {0x9Au, 0x90u}, + {0x9Bu, 0x20u}, + {0x9Du, 0x08u}, + {0x9Fu, 0x04u}, + {0xA1u, 0x02u}, + {0xA3u, 0x01u}, + {0xA4u, 0x03u}, + {0xA5u, 0x10u}, + {0xA6u, 0x0Cu}, + {0xA8u, 0x05u}, + {0xA9u, 0x10u}, + {0xAAu, 0x0Au}, + {0xADu, 0x04u}, + {0xAFu, 0x08u}, + {0xB0u, 0xFFu}, + {0xB1u, 0x10u}, + {0xB3u, 0x0Fu}, + {0xB5u, 0x60u}, + {0xB9u, 0x02u}, + {0xBEu, 0x01u}, + {0xBFu, 0x15u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDFu, 0x01u}, + {0x00u, 0x04u}, + {0x01u, 0x40u}, + {0x05u, 0x10u}, + {0x06u, 0xA2u}, + {0x08u, 0x08u}, + {0x09u, 0x20u}, + {0x0Au, 0x50u}, + {0x0Du, 0x08u}, + {0x0Eu, 0x09u}, + {0x0Fu, 0x08u}, + {0x12u, 0x18u}, + {0x13u, 0x08u}, + {0x14u, 0x10u}, + {0x15u, 0xA0u}, + {0x16u, 0x40u}, + {0x1Bu, 0x01u}, + {0x1Cu, 0x02u}, + {0x1Eu, 0x08u}, + {0x1Fu, 0x08u}, + {0x20u, 0x08u}, + {0x21u, 0x04u}, + {0x22u, 0x01u}, + {0x24u, 0x0Au}, + {0x26u, 0x20u}, + {0x28u, 0x01u}, + {0x29u, 0x68u}, + {0x2Bu, 0x80u}, + {0x2Cu, 0x24u}, + {0x2Du, 0x40u}, + {0x2Eu, 0x20u}, + {0x30u, 0x80u}, + {0x33u, 0x21u}, + {0x34u, 0x01u}, + {0x36u, 0x20u}, + {0x38u, 0x18u}, + {0x39u, 0xC2u}, + {0x3Du, 0x80u}, + {0x3Fu, 0x20u}, + {0x5Cu, 0x80u}, + {0x5Du, 0x05u}, + {0x5Eu, 0x20u}, + {0x64u, 0x02u}, + {0x78u, 0x02u}, + {0x7Au, 0x80u}, + {0x84u, 0x10u}, + {0x85u, 0x01u}, + {0x87u, 0x08u}, + {0x88u, 0x05u}, + {0x8Bu, 0x08u}, + {0x90u, 0x04u}, + {0x91u, 0x84u}, + {0x92u, 0x81u}, + {0x96u, 0x42u}, + {0x98u, 0x04u}, + {0x99u, 0x90u}, + {0x9Au, 0x08u}, + {0x9Cu, 0x08u}, + {0x9Eu, 0x10u}, + {0xA1u, 0x40u}, + {0xA2u, 0x98u}, + {0xA3u, 0x30u}, + {0xA4u, 0xA0u}, + {0xA5u, 0x28u}, + {0xA6u, 0x01u}, + {0xA7u, 0x80u}, + {0xAAu, 0x01u}, + {0xABu, 0x01u}, + {0xACu, 0x04u}, + {0xADu, 0x41u}, + {0xAEu, 0x05u}, + {0xB2u, 0x10u}, + {0xB4u, 0x80u}, + {0xB7u, 0x40u}, + {0xC0u, 0xF5u}, + {0xC2u, 0xEEu}, + {0xC4u, 0xF6u}, + {0xCAu, 0x7Fu}, + {0xCCu, 0xADu}, + {0xCEu, 0x3Fu}, + {0xD6u, 0xF0u}, + {0xD8u, 0x10u}, + {0xE4u, 0x80u}, + {0xE6u, 0x21u}, + {0xE8u, 0x80u}, + {0xECu, 0x09u}, + {0xEEu, 0xC0u}, + {0x00u, 0x80u}, + {0x02u, 0x40u}, + {0x06u, 0x1Cu}, + {0x0Cu, 0x80u}, + {0x0Du, 0x0Au}, + {0x0Eu, 0x41u}, + {0x0Fu, 0x14u}, + {0x14u, 0x24u}, + {0x16u, 0x08u}, + {0x18u, 0x80u}, + {0x1Au, 0x40u}, + {0x1Bu, 0x04u}, + {0x1Cu, 0x40u}, + {0x1Eu, 0x80u}, + {0x1Fu, 0x10u}, + {0x20u, 0x80u}, + {0x22u, 0x42u}, + {0x23u, 0x08u}, + {0x26u, 0x20u}, + {0x27u, 0x02u}, + {0x28u, 0x10u}, + {0x2Au, 0x20u}, + {0x2Cu, 0x28u}, + {0x2Eu, 0x14u}, + {0x2Fu, 0x01u}, + {0x30u, 0x01u}, + {0x31u, 0x01u}, + {0x32u, 0x3Cu}, + {0x33u, 0x18u}, + {0x34u, 0x02u}, + {0x36u, 0xC0u}, + {0x37u, 0x06u}, + {0x3Au, 0x80u}, + {0x3Fu, 0x44u}, {0x56u, 0x08u}, {0x58u, 0x04u}, {0x59u, 0x04u}, @@ -1448,144 +1544,141 @@ void cyfitter_cfg(void) {0x5Cu, 0x11u}, {0x5Du, 0x90u}, {0x5Fu, 0x01u}, - {0x80u, 0xE0u}, - {0x83u, 0x70u}, - {0x84u, 0x14u}, - {0x85u, 0x40u}, - {0x87u, 0x1Fu}, - {0x88u, 0x09u}, - {0x89u, 0x10u}, - {0x8Au, 0xF2u}, - {0x8Bu, 0x2Fu}, - {0x91u, 0x03u}, - {0x92u, 0x09u}, - {0x93u, 0x0Cu}, - {0x94u, 0x06u}, - {0x95u, 0x0Fu}, - {0x96u, 0xF8u}, - {0x98u, 0x40u}, - {0x99u, 0x20u}, - {0x9Au, 0x80u}, - {0x9Bu, 0x4Fu}, - {0x9Cu, 0x40u}, - {0x9Eu, 0x80u}, - {0xA2u, 0xFFu}, - {0xA5u, 0x06u}, - {0xA7u, 0x09u}, - {0xA8u, 0x01u}, - {0xA9u, 0x05u}, - {0xABu, 0x0Au}, - {0xACu, 0xC6u}, - {0xAEu, 0x19u}, - {0xB1u, 0x7Fu}, - {0xB2u, 0x3Fu}, - {0xB4u, 0xC0u}, - {0xBAu, 0x20u}, + {0x81u, 0x44u}, + {0x83u, 0x08u}, + {0x86u, 0x10u}, + {0x87u, 0x17u}, + {0x8Bu, 0x40u}, + {0x8Cu, 0x0Au}, + {0x8Du, 0x4Au}, + {0x8Eu, 0x05u}, + {0x8Fu, 0x05u}, + {0x91u, 0x10u}, + {0x92u, 0x20u}, + {0x93u, 0x20u}, + {0x94u, 0x09u}, + {0x96u, 0x02u}, + {0x97u, 0x20u}, + {0x9Au, 0x07u}, + {0xA0u, 0x04u}, + {0xA2u, 0x08u}, + {0xA5u, 0x49u}, + {0xA7u, 0x02u}, + {0xAAu, 0x08u}, + {0xABu, 0x08u}, + {0xACu, 0x10u}, + {0xAEu, 0x20u}, + {0xB0u, 0x30u}, + {0xB1u, 0x30u}, + {0xB3u, 0x0Fu}, + {0xB4u, 0x0Fu}, + {0xB7u, 0x40u}, + {0xBEu, 0x01u}, + {0xBFu, 0x41u}, + {0xD6u, 0x08u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, + {0xDBu, 0x04u}, {0xDCu, 0x11u}, + {0xDDu, 0x90u}, {0xDFu, 0x01u}, - {0x01u, 0x80u}, - {0x02u, 0x10u}, - {0x03u, 0x08u}, - {0x04u, 0x22u}, - {0x05u, 0x10u}, - {0x06u, 0x20u}, - {0x08u, 0x08u}, - {0x0Bu, 0x09u}, - {0x0Cu, 0x08u}, - {0x0Du, 0x20u}, - {0x0Eu, 0x90u}, - {0x0Fu, 0x02u}, - {0x10u, 0x08u}, - {0x11u, 0x08u}, - {0x12u, 0x40u}, - {0x14u, 0x04u}, - {0x15u, 0x02u}, - {0x16u, 0x42u}, - {0x1Bu, 0x08u}, - {0x1Du, 0x20u}, - {0x1Eu, 0x20u}, - {0x1Fu, 0x04u}, - {0x21u, 0x10u}, - {0x22u, 0x44u}, - {0x23u, 0x0Au}, - {0x25u, 0x01u}, - {0x28u, 0x4Au}, - {0x2Bu, 0x10u}, - {0x2Eu, 0x04u}, - {0x2Fu, 0x08u}, - {0x30u, 0x08u}, - {0x31u, 0x10u}, - {0x32u, 0x40u}, - {0x34u, 0x04u}, - {0x36u, 0x16u}, - {0x38u, 0x02u}, - {0x39u, 0x20u}, - {0x3Au, 0x40u}, - {0x3Bu, 0x08u}, - {0x3Du, 0x0Au}, - {0x3Eu, 0x10u}, - {0x58u, 0x10u}, - {0x5Au, 0x40u}, - {0x5Bu, 0x04u}, - {0x60u, 0x08u}, - {0x63u, 0x23u}, - {0x81u, 0x20u}, - {0x86u, 0x48u}, - {0x87u, 0x80u}, - {0x88u, 0x30u}, - {0x89u, 0x02u}, - {0x8Fu, 0x20u}, - {0xC0u, 0x77u}, - {0xC2u, 0xF7u}, - {0xC4u, 0xDEu}, - {0xCAu, 0x6Fu}, - {0xCCu, 0xEEu}, - {0xCEu, 0xEFu}, - {0xD6u, 0x0Eu}, - {0xD8u, 0x0Eu}, - {0xE0u, 0x80u}, - {0xE2u, 0x40u}, - {0xE4u, 0x04u}, - {0xE6u, 0x20u}, - {0x02u, 0x02u}, - {0x06u, 0x30u}, - {0x08u, 0x04u}, + {0x00u, 0x84u}, + {0x01u, 0x08u}, + {0x02u, 0x40u}, + {0x04u, 0x40u}, + {0x06u, 0x10u}, {0x09u, 0x08u}, - {0x0Au, 0x08u}, - {0x0Bu, 0x22u}, - {0x0Cu, 0x01u}, - {0x0Eu, 0x02u}, - {0x10u, 0x20u}, - {0x11u, 0x14u}, - {0x12u, 0x0Cu}, - {0x13u, 0x08u}, - {0x14u, 0x10u}, - {0x15u, 0x09u}, - {0x16u, 0x0Cu}, - {0x17u, 0x10u}, - {0x19u, 0x37u}, - {0x1Au, 0x01u}, - {0x1Eu, 0x04u}, - {0x27u, 0x07u}, - {0x2Au, 0x48u}, - {0x30u, 0x03u}, - {0x34u, 0x3Cu}, - {0x35u, 0x38u}, - {0x36u, 0x40u}, - {0x37u, 0x07u}, - {0x39u, 0x20u}, - {0x3Eu, 0x01u}, - {0x3Fu, 0x40u}, - {0x40u, 0x24u}, + {0x0Au, 0x05u}, + {0x0Du, 0x08u}, + {0x0Eu, 0x48u}, + {0x10u, 0x54u}, + {0x11u, 0x80u}, + {0x15u, 0x85u}, + {0x16u, 0x24u}, + {0x19u, 0x0Au}, + {0x1Au, 0x05u}, + {0x1Bu, 0x40u}, + {0x1Eu, 0x08u}, + {0x1Fu, 0x21u}, + {0x20u, 0x82u}, + {0x22u, 0x04u}, + {0x25u, 0x06u}, + {0x26u, 0x88u}, + {0x29u, 0x08u}, + {0x2Au, 0x40u}, + {0x2Bu, 0x80u}, + {0x2Cu, 0x60u}, + {0x2Du, 0x02u}, + {0x2Eu, 0x10u}, + {0x30u, 0x80u}, + {0x31u, 0x20u}, + {0x34u, 0x08u}, + {0x37u, 0x01u}, + {0x39u, 0x80u}, + {0x3Cu, 0x40u}, + {0x3Du, 0x89u}, + {0x3Eu, 0x20u}, + {0x58u, 0x80u}, + {0x5Du, 0x40u}, + {0x62u, 0x40u}, + {0x64u, 0x02u}, + {0x65u, 0x80u}, + {0x69u, 0x80u}, + {0x6Au, 0x80u}, + {0x6Bu, 0x01u}, + {0x81u, 0x40u}, + {0x82u, 0x24u}, + {0x83u, 0x10u}, + {0x84u, 0x01u}, + {0x85u, 0x02u}, + {0x86u, 0x08u}, + {0x88u, 0x10u}, + {0x8Cu, 0x02u}, + {0x8Du, 0x10u}, + {0x8Eu, 0x10u}, + {0xC0u, 0xADu}, + {0xC2u, 0x77u}, + {0xC4u, 0xDFu}, + {0xCAu, 0x6Bu}, + {0xCCu, 0xCCu}, + {0xCEu, 0xF8u}, + {0xD6u, 0x18u}, + {0xD8u, 0x18u}, + {0xE0u, 0x60u}, + {0xE2u, 0x10u}, + {0xE4u, 0x10u}, + {0xE6u, 0x04u}, + {0x01u, 0x5Cu}, + {0x05u, 0x11u}, + {0x07u, 0x22u}, + {0x09u, 0x50u}, + {0x0Bu, 0x0Cu}, + {0x0Du, 0x0Cu}, + {0x0Fu, 0x50u}, + {0x15u, 0x30u}, + {0x17u, 0x0Fu}, + {0x19u, 0x54u}, + {0x1Bu, 0x08u}, + {0x1Du, 0x5Cu}, + {0x21u, 0x08u}, + {0x27u, 0x40u}, + {0x29u, 0x21u}, + {0x2Bu, 0x1Eu}, + {0x2Du, 0x24u}, + {0x2Fu, 0x10u}, + {0x31u, 0x30u}, + {0x33u, 0x40u}, + {0x35u, 0x0Fu}, + {0x3Bu, 0x02u}, + {0x3Fu, 0x04u}, + {0x40u, 0x23u}, {0x41u, 0x06u}, - {0x42u, 0x30u}, + {0x42u, 0x40u}, {0x44u, 0x01u}, - {0x45u, 0xFEu}, - {0x46u, 0xDCu}, - {0x47u, 0x0Bu}, - {0x48u, 0x1Fu}, + {0x45u, 0xBDu}, + {0x46u, 0xF0u}, + {0x47u, 0xCEu}, + {0x48u, 0x3Bu}, {0x49u, 0xFFu}, {0x4Au, 0xFFu}, {0x4Bu, 0xFFu}, @@ -1593,9 +1686,11 @@ void cyfitter_cfg(void) {0x4Eu, 0xF0u}, {0x4Fu, 0x08u}, {0x50u, 0x04u}, - {0x58u, 0x04u}, + {0x54u, 0x40u}, + {0x56u, 0x04u}, {0x59u, 0x04u}, {0x5Au, 0x04u}, + {0x5Bu, 0x04u}, {0x5Fu, 0x01u}, {0x62u, 0xC0u}, {0x64u, 0x40u}, @@ -1609,605 +1704,481 @@ void cyfitter_cfg(void) {0x6Du, 0x01u}, {0x6Eu, 0x40u}, {0x6Fu, 0x01u}, - {0x81u, 0x02u}, - {0x83u, 0x09u}, - {0x8Du, 0x02u}, - {0x8Fu, 0x01u}, - {0x91u, 0x02u}, - {0x93u, 0x11u}, - {0x95u, 0x02u}, - {0x97u, 0x05u}, - {0x99u, 0x01u}, + {0x80u, 0x20u}, + {0x82u, 0x01u}, + {0x84u, 0x10u}, + {0x85u, 0x04u}, + {0x86u, 0x42u}, + {0x87u, 0x23u}, + {0x89u, 0x48u}, + {0x8Bu, 0x03u}, + {0x8Cu, 0x02u}, + {0x90u, 0x02u}, + {0x91u, 0x80u}, + {0x94u, 0x44u}, + {0x96u, 0x10u}, + {0x97u, 0x7Cu}, + {0x98u, 0x02u}, + {0x99u, 0x11u}, {0x9Bu, 0x02u}, - {0xA8u, 0x01u}, - {0xB1u, 0x10u}, - {0xB2u, 0x01u}, - {0xB3u, 0x03u}, - {0xB5u, 0x08u}, - {0xB7u, 0x04u}, - {0xBBu, 0x08u}, - {0xD6u, 0x08u}, + {0x9Cu, 0x02u}, + {0xA0u, 0x08u}, + {0xA3u, 0x02u}, + {0xA5u, 0x80u}, + {0xA8u, 0x0Eu}, + {0xA9u, 0x70u}, + {0xAAu, 0x30u}, + {0xACu, 0x02u}, + {0xAFu, 0x01u}, + {0xB0u, 0x01u}, + {0xB3u, 0x70u}, + {0xB4u, 0x7Eu}, + {0xB5u, 0x0Fu}, + {0xB7u, 0x80u}, + {0xB8u, 0x20u}, + {0xB9u, 0x80u}, + {0xBEu, 0x10u}, + {0xBFu, 0x04u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDCu, 0x99u}, - {0xDDu, 0x90u}, {0xDFu, 0x01u}, - {0x00u, 0x04u}, - {0x01u, 0x40u}, - {0x02u, 0x08u}, - {0x03u, 0x40u}, - {0x08u, 0x28u}, - {0x09u, 0x02u}, - {0x0Au, 0x02u}, - {0x10u, 0x08u}, - {0x17u, 0x20u}, - {0x18u, 0x04u}, - {0x19u, 0x01u}, - {0x1Au, 0x02u}, - {0x1Bu, 0x10u}, - {0x1Fu, 0x04u}, - {0x21u, 0x40u}, - {0x22u, 0x10u}, - {0x24u, 0x40u}, - {0x26u, 0x04u}, - {0x27u, 0x2Au}, - {0x2Bu, 0x10u}, - {0x31u, 0x02u}, - {0x32u, 0x18u}, - {0x37u, 0x2Au}, - {0x39u, 0x20u}, - {0x3Cu, 0x40u}, - {0x3Fu, 0x01u}, - {0x40u, 0x0Au}, - {0x41u, 0x12u}, - {0x42u, 0x14u}, - {0x49u, 0x04u}, - {0x4Au, 0x88u}, - {0x50u, 0x08u}, - {0x53u, 0x90u}, - {0x5Cu, 0x80u}, - {0x67u, 0x01u}, - {0x6Eu, 0x02u}, - {0x6Fu, 0x2Au}, - {0x76u, 0x25u}, - {0x77u, 0x40u}, - {0x84u, 0x88u}, - {0x8Au, 0x80u}, + {0x04u, 0x04u}, + {0x05u, 0x02u}, + {0x06u, 0x02u}, + {0x0Cu, 0xA1u}, + {0x0Du, 0x08u}, + {0x0Fu, 0x40u}, + {0x15u, 0x60u}, + {0x17u, 0x02u}, + {0x1Fu, 0x22u}, + {0x20u, 0x18u}, + {0x21u, 0x10u}, + {0x22u, 0x20u}, + {0x23u, 0x44u}, + {0x26u, 0x84u}, + {0x27u, 0x0Au}, + {0x28u, 0x01u}, + {0x29u, 0x04u}, + {0x2Au, 0x01u}, + {0x2Bu, 0x04u}, + {0x2Du, 0x02u}, + {0x2Eu, 0x20u}, + {0x2Fu, 0x22u}, + {0x30u, 0xA0u}, + {0x31u, 0x08u}, + {0x36u, 0x21u}, + {0x37u, 0x08u}, + {0x39u, 0x50u}, + {0x3Au, 0x02u}, + {0x3Bu, 0x04u}, + {0x3Cu, 0x24u}, + {0x40u, 0x04u}, + {0x41u, 0x09u}, + {0x42u, 0x01u}, + {0x48u, 0x04u}, + {0x49u, 0x06u}, + {0x51u, 0x20u}, + {0x52u, 0x01u}, + {0x53u, 0x04u}, + {0x60u, 0x92u}, + {0x61u, 0x20u}, + {0x82u, 0x20u}, + {0x84u, 0x04u}, + {0x86u, 0x01u}, {0x8Cu, 0x02u}, - {0x8Du, 0x41u}, - {0x91u, 0x40u}, - {0x92u, 0x14u}, - {0x97u, 0x28u}, - {0x98u, 0x20u}, - {0x9Du, 0x04u}, - {0x9Eu, 0x25u}, - {0x9Fu, 0x40u}, - {0xA1u, 0x02u}, - {0xA2u, 0x08u}, - {0xA6u, 0x05u}, - {0xA7u, 0xAAu}, - {0xAAu, 0x10u}, - {0xABu, 0x80u}, - {0xB2u, 0x04u}, - {0xB5u, 0x08u}, - {0xB6u, 0x01u}, - {0xC0u, 0x0Fu}, - {0xC2u, 0x0Fu}, - {0xC4u, 0x42u}, - {0xCAu, 0x02u}, - {0xCCu, 0xE7u}, - {0xCEu, 0x94u}, + {0x8Du, 0x04u}, + {0x8Eu, 0x04u}, + {0x90u, 0x04u}, + {0x91u, 0x52u}, + {0x97u, 0x48u}, + {0x9Au, 0x02u}, + {0x9Cu, 0x80u}, + {0x9Du, 0x02u}, + {0x9Eu, 0x01u}, + {0xA0u, 0xB0u}, + {0xA1u, 0x08u}, + {0xA2u, 0x01u}, + {0xA3u, 0x04u}, + {0xA6u, 0xA0u}, + {0xABu, 0x01u}, + {0xB2u, 0x08u}, + {0xB3u, 0x20u}, + {0xB4u, 0x04u}, + {0xC0u, 0xB0u}, + {0xC2u, 0xF0u}, + {0xC4u, 0xD0u}, + {0xCAu, 0xFFu}, + {0xCCu, 0xEEu}, + {0xCEu, 0x6Fu}, {0xD0u, 0x0Fu}, {0xD2u, 0x04u}, - {0xD6u, 0x10u}, - {0xD8u, 0x10u}, - {0xE0u, 0x12u}, - {0xE6u, 0x52u}, - {0xEAu, 0x04u}, - {0x00u, 0x0Fu}, - {0x01u, 0x05u}, - {0x02u, 0xF0u}, - {0x03u, 0x0Au}, + {0xD8u, 0x0Fu}, + {0xE2u, 0x44u}, + {0xE4u, 0x02u}, + {0xE8u, 0x01u}, + {0x00u, 0x03u}, + {0x01u, 0xC0u}, + {0x02u, 0x0Cu}, + {0x03u, 0x01u}, + {0x04u, 0x05u}, + {0x06u, 0x0Au}, {0x07u, 0xFFu}, - {0x08u, 0xFFu}, - {0x09u, 0x03u}, - {0x0Bu, 0x0Cu}, - {0x0Cu, 0x60u}, - {0x0Du, 0x0Fu}, - {0x0Eu, 0x90u}, - {0x0Fu, 0xF0u}, - {0x11u, 0xFFu}, - {0x12u, 0xFFu}, - {0x14u, 0x03u}, - {0x16u, 0x0Cu}, - {0x19u, 0xFFu}, - {0x1Au, 0xFFu}, - {0x1Cu, 0x05u}, - {0x1Du, 0x09u}, - {0x1Eu, 0x0Au}, - {0x1Fu, 0x06u}, - {0x20u, 0x06u}, - {0x22u, 0x09u}, - {0x24u, 0x30u}, - {0x25u, 0x30u}, - {0x26u, 0xC0u}, - {0x27u, 0xC0u}, - {0x28u, 0x50u}, - {0x29u, 0x50u}, - {0x2Au, 0xA0u}, - {0x2Bu, 0xA0u}, + {0x08u, 0x40u}, + {0x09u, 0xC0u}, + {0x0Au, 0x1Fu}, + {0x0Bu, 0x08u}, + {0x0Cu, 0x10u}, + {0x0Du, 0x80u}, + {0x0Eu, 0x2Fu}, + {0x13u, 0x9Fu}, + {0x14u, 0x06u}, + {0x15u, 0x7Fu}, + {0x16u, 0x09u}, + {0x17u, 0x80u}, + {0x19u, 0x1Fu}, + {0x1Au, 0x70u}, + {0x1Bu, 0x20u}, + {0x1Fu, 0x60u}, + {0x21u, 0xC0u}, + {0x23u, 0x02u}, + {0x24u, 0x20u}, + {0x25u, 0xC0u}, + {0x26u, 0x4Fu}, + {0x27u, 0x04u}, + {0x28u, 0x0Fu}, {0x2Du, 0x90u}, - {0x2Fu, 0x60u}, - {0x30u, 0xFFu}, + {0x2Fu, 0x40u}, {0x31u, 0xFFu}, - {0x3Eu, 0x01u}, + {0x34u, 0x7Fu}, {0x3Fu, 0x01u}, {0x58u, 0x04u}, {0x59u, 0x04u}, + {0x5Cu, 0x01u}, {0x5Fu, 0x01u}, - {0x82u, 0x0Au}, - {0x85u, 0x60u}, - {0x87u, 0x90u}, - {0x88u, 0x01u}, - {0x89u, 0x05u}, - {0x8Au, 0x04u}, - {0x8Bu, 0x0Au}, - {0x8Du, 0x0Fu}, - {0x8Fu, 0xF0u}, - {0x90u, 0x01u}, - {0x92u, 0x04u}, - {0x96u, 0x02u}, - {0x98u, 0x05u}, - {0x99u, 0x06u}, - {0x9Bu, 0x09u}, - {0x9Cu, 0x0Au}, - {0x9Du, 0x30u}, - {0x9Fu, 0xC0u}, - {0xA0u, 0x0Au}, - {0xA4u, 0x0Au}, - {0xA5u, 0x03u}, - {0xA7u, 0x0Cu}, - {0xA8u, 0x0Au}, - {0xA9u, 0x50u}, - {0xABu, 0xA0u}, - {0xB0u, 0x04u}, - {0xB2u, 0x08u}, - {0xB4u, 0x02u}, - {0xB5u, 0xFFu}, - {0xB6u, 0x01u}, - {0xBEu, 0x55u}, - {0xBFu, 0x10u}, - {0xD4u, 0x09u}, - {0xD6u, 0x04u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDFu, 0x01u}, - {0x00u, 0x02u}, - {0x02u, 0x08u}, - {0x05u, 0x90u}, - {0x06u, 0x80u}, - {0x09u, 0x0Au}, - {0x0Au, 0x05u}, - {0x0Bu, 0x20u}, - {0x0Cu, 0x01u}, - {0x0Fu, 0x19u}, - {0x10u, 0xA0u}, - {0x11u, 0x10u}, - {0x14u, 0x44u}, - {0x15u, 0x04u}, - {0x18u, 0x10u}, - {0x19u, 0x40u}, - {0x1Au, 0x58u}, - {0x1Cu, 0x80u}, - {0x22u, 0x20u}, - {0x26u, 0x02u}, - {0x28u, 0x20u}, - {0x29u, 0x08u}, - {0x2Au, 0x30u}, - {0x2Cu, 0x04u}, - {0x2Du, 0x84u}, - {0x2Fu, 0x2Au}, - {0x31u, 0x20u}, - {0x33u, 0x40u}, - {0x34u, 0x41u}, - {0x35u, 0x11u}, - {0x36u, 0xA0u}, - {0x38u, 0x20u}, - {0x39u, 0x40u}, - {0x3Au, 0x84u}, - {0x3Du, 0x40u}, - {0x3Fu, 0x19u}, - {0x58u, 0x02u}, - {0x59u, 0x04u}, - {0x5Au, 0x60u}, - {0x61u, 0x40u}, - {0x63u, 0x40u}, - {0x80u, 0x12u}, - {0x87u, 0x40u}, - {0x8Du, 0x40u}, - {0x90u, 0x20u}, - {0x91u, 0x50u}, - {0x92u, 0x05u}, - {0x93u, 0x11u}, - {0x97u, 0x08u}, - {0x99u, 0x09u}, - {0x9Du, 0x10u}, - {0x9Eu, 0x15u}, - {0xA0u, 0xA1u}, - {0xA1u, 0x22u}, - {0xA2u, 0x88u}, - {0xA3u, 0x04u}, - {0xA4u, 0x40u}, - {0xA6u, 0x27u}, - {0xA7u, 0xAAu}, - {0xA8u, 0x0Cu}, - {0xABu, 0x20u}, - {0xAEu, 0x04u}, - {0xB0u, 0x01u}, - {0xB5u, 0x14u}, - {0xC0u, 0xDAu}, - {0xC2u, 0xFFu}, - {0xC4u, 0x7Eu}, - {0xCAu, 0x76u}, - {0xCCu, 0xBCu}, - {0xCEu, 0xFEu}, - {0xD6u, 0x0Fu}, - {0xD8u, 0x09u}, - {0xE2u, 0x08u}, - {0xE8u, 0x04u}, - {0xECu, 0x08u}, - {0x00u, 0x10u}, - {0x01u, 0xC0u}, - {0x03u, 0x08u}, - {0x04u, 0x07u}, - {0x05u, 0xC0u}, - {0x06u, 0x18u}, - {0x07u, 0x04u}, - {0x08u, 0x22u}, - {0x0Au, 0x08u}, - {0x0Cu, 0x40u}, - {0x0Du, 0xC0u}, - {0x0Fu, 0x01u}, - {0x10u, 0x40u}, - {0x11u, 0x80u}, - {0x14u, 0x04u}, - {0x17u, 0xFFu}, - {0x18u, 0x01u}, - {0x1Bu, 0x60u}, - {0x1Cu, 0x01u}, - {0x1Fu, 0x9Fu}, - {0x20u, 0x01u}, - {0x21u, 0x1Fu}, - {0x23u, 0x20u}, - {0x24u, 0x08u}, - {0x25u, 0x90u}, - {0x26u, 0x21u}, - {0x27u, 0x40u}, - {0x28u, 0x01u}, - {0x29u, 0xC0u}, - {0x2Bu, 0x02u}, - {0x2Cu, 0x01u}, - {0x2Du, 0x7Fu}, - {0x2Fu, 0x80u}, - {0x30u, 0x3Fu}, - {0x32u, 0x40u}, - {0x37u, 0xFFu}, - {0x38u, 0x0Au}, - {0x3Eu, 0x01u}, - {0x3Fu, 0x40u}, - {0x54u, 0x40u}, - {0x56u, 0x04u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Fu, 0x01u}, - {0x80u, 0x10u}, + {0x80u, 0xFFu}, {0x81u, 0x04u}, - {0x82u, 0x20u}, - {0x84u, 0x01u}, - {0x86u, 0x02u}, - {0x8Cu, 0x02u}, - {0x8Eu, 0x09u}, - {0x90u, 0x02u}, - {0x92u, 0x05u}, - {0x94u, 0x02u}, - {0x96u, 0x01u}, - {0x9Cu, 0x02u}, - {0x9Eu, 0x01u}, - {0x9Fu, 0x01u}, - {0xA2u, 0x20u}, - {0xA6u, 0x10u}, - {0xAFu, 0x02u}, - {0xB0u, 0x04u}, - {0xB1u, 0x02u}, - {0xB2u, 0x08u}, - {0xB3u, 0x01u}, - {0xB4u, 0x03u}, - {0xB6u, 0x30u}, - {0xB7u, 0x04u}, + {0x83u, 0x20u}, + {0x84u, 0x33u}, + {0x85u, 0x39u}, + {0x86u, 0xCCu}, + {0x87u, 0x06u}, + {0x88u, 0x0Fu}, + {0x8Au, 0xF0u}, + {0x8Bu, 0x46u}, + {0x8Du, 0x46u}, + {0x8Eu, 0xFFu}, + {0x90u, 0x69u}, + {0x92u, 0x96u}, + {0x95u, 0x01u}, + {0x97u, 0x5Eu}, + {0x98u, 0x55u}, + {0x99u, 0x42u}, + {0x9Au, 0xAAu}, + {0x9Bu, 0x04u}, + {0x9Du, 0x46u}, + {0xA1u, 0x46u}, + {0xA2u, 0xFFu}, + {0xA4u, 0xFFu}, + {0xA5u, 0x77u}, + {0xA7u, 0x08u}, + {0xAAu, 0xFFu}, + {0xADu, 0x42u}, + {0xB3u, 0x70u}, + {0xB4u, 0xFFu}, + {0xB5u, 0x0Fu}, + {0xB9u, 0x20u}, {0xBAu, 0x20u}, - {0xBEu, 0x40u}, - {0xD6u, 0x08u}, + {0xBBu, 0x0Cu}, + {0xD6u, 0x02u}, + {0xD7u, 0x20u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, {0xDBu, 0x04u}, - {0xDCu, 0x99u}, - {0xDDu, 0x90u}, + {0xDCu, 0x01u}, {0xDFu, 0x01u}, - {0x00u, 0x44u}, - {0x01u, 0x02u}, - {0x04u, 0x20u}, - {0x05u, 0x08u}, - {0x07u, 0x81u}, - {0x09u, 0x82u}, - {0x0Au, 0x10u}, - {0x0Eu, 0xA4u}, - {0x0Fu, 0x02u}, - {0x11u, 0x06u}, - {0x14u, 0x04u}, - {0x16u, 0x01u}, - {0x17u, 0x0Au}, - {0x18u, 0x46u}, - {0x1Au, 0x10u}, - {0x1Eu, 0xA0u}, - {0x21u, 0x42u}, - {0x22u, 0x04u}, - {0x27u, 0x01u}, - {0x29u, 0x40u}, - {0x2Cu, 0x06u}, - {0x2Fu, 0xA0u}, - {0x32u, 0x80u}, - {0x36u, 0x10u}, - {0x37u, 0x8Au}, - {0x39u, 0x02u}, - {0x3Eu, 0x06u}, - {0x3Fu, 0x80u}, - {0x5Au, 0x40u}, - {0x61u, 0xC0u}, - {0x64u, 0x20u}, - {0x66u, 0x80u}, - {0x67u, 0x88u}, - {0x87u, 0x40u}, - {0x8Du, 0x02u}, - {0x90u, 0x20u}, - {0x91u, 0x06u}, - {0x92u, 0x44u}, - {0x94u, 0x04u}, - {0x95u, 0x80u}, - {0x96u, 0x08u}, - {0x98u, 0x04u}, - {0x99u, 0x08u}, - {0x9Au, 0x03u}, - {0x9Bu, 0x0Au}, - {0xA0u, 0x02u}, - {0xA2u, 0x80u}, - {0xA3u, 0xE1u}, - {0xAAu, 0x20u}, - {0xABu, 0x08u}, - {0xADu, 0x08u}, - {0xAFu, 0x80u}, - {0xB0u, 0x40u}, - {0xB3u, 0x21u}, - {0xB5u, 0x08u}, - {0xB7u, 0x02u}, - {0xC0u, 0xFDu}, - {0xC2u, 0xFDu}, - {0xC4u, 0xFCu}, - {0xCAu, 0xF8u}, - {0xCCu, 0xF8u}, - {0xCEu, 0xD1u}, - {0xD6u, 0x08u}, - {0xD8u, 0xF8u}, - {0xE0u, 0x40u}, - {0xE8u, 0x80u}, - {0xECu, 0x50u}, - {0xB9u, 0x08u}, - {0xBFu, 0x04u}, - {0xD9u, 0x04u}, - {0xDFu, 0x01u}, - {0x26u, 0x08u}, - {0x87u, 0x80u}, - {0x8Bu, 0x04u}, - {0x97u, 0x08u}, - {0x9Fu, 0x80u}, - {0xA6u, 0x08u}, - {0xAAu, 0x08u}, - {0xB0u, 0x20u}, - {0xB4u, 0x01u}, - {0xB5u, 0x01u}, - {0xB6u, 0x80u}, - {0xE0u, 0x80u}, - {0xE6u, 0x02u}, - {0xE8u, 0x90u}, - {0xECu, 0x10u}, - {0x12u, 0x02u}, - {0x16u, 0x80u}, - {0x17u, 0x20u}, + {0x00u, 0x01u}, + {0x01u, 0x20u}, + {0x02u, 0x10u}, + {0x03u, 0x01u}, + {0x04u, 0x2Au}, + {0x06u, 0x04u}, + {0x07u, 0x01u}, + {0x08u, 0x02u}, + {0x09u, 0x20u}, + {0x0Eu, 0x28u}, + {0x11u, 0x05u}, + {0x12u, 0x04u}, + {0x15u, 0x04u}, + {0x17u, 0x10u}, + {0x18u, 0x08u}, + {0x19u, 0x20u}, + {0x1Eu, 0x08u}, + {0x1Fu, 0x20u}, + {0x20u, 0x2Cu}, + {0x21u, 0x08u}, + {0x22u, 0x08u}, + {0x26u, 0x01u}, + {0x28u, 0x10u}, + {0x2Au, 0x82u}, + {0x2Cu, 0xA0u}, + {0x2Du, 0x40u}, + {0x30u, 0xA0u}, {0x31u, 0x08u}, - {0x34u, 0x18u}, + {0x34u, 0x10u}, + {0x35u, 0x02u}, + {0x36u, 0xA8u}, + {0x37u, 0x08u}, + {0x38u, 0x04u}, + {0x39u, 0x50u}, + {0x3Au, 0x01u}, + {0x3Bu, 0x01u}, + {0x3Cu, 0x04u}, + {0x3Eu, 0x92u}, + {0x3Fu, 0x48u}, + {0x63u, 0x02u}, + {0x68u, 0xA8u}, + {0x69u, 0x50u}, + {0x6Au, 0x10u}, + {0x72u, 0x02u}, + {0x88u, 0x80u}, + {0x8Bu, 0x04u}, + {0x90u, 0x23u}, + {0x91u, 0x07u}, + {0x95u, 0x40u}, + {0x98u, 0x02u}, + {0x9Au, 0x10u}, + {0x9Bu, 0x11u}, + {0x9Eu, 0x02u}, + {0x9Fu, 0x08u}, + {0xA1u, 0x20u}, + {0xA2u, 0x10u}, + {0xA3u, 0x01u}, + {0xA4u, 0xACu}, + {0xA6u, 0x20u}, + {0xABu, 0x58u}, + {0xB1u, 0x01u}, + {0xB3u, 0x04u}, + {0xB4u, 0x80u}, + {0xB6u, 0x10u}, + {0xC0u, 0xFFu}, + {0xC2u, 0x6Au}, + {0xC4u, 0x6Eu}, + {0xCAu, 0xDBu}, + {0xCCu, 0xFEu}, + {0xCEu, 0xFFu}, + {0xD8u, 0x08u}, + {0xE2u, 0x28u}, + {0xE8u, 0x04u}, + {0xEEu, 0x01u}, + {0x39u, 0x20u}, + {0x3Fu, 0x10u}, + {0x59u, 0x04u}, + {0x5Fu, 0x01u}, + {0x27u, 0x08u}, + {0x87u, 0x08u}, + {0x88u, 0x08u}, + {0x90u, 0x04u}, + {0x96u, 0x10u}, + {0x97u, 0x80u}, + {0x9Cu, 0x18u}, + {0x9Du, 0xC0u}, + {0xA6u, 0x20u}, + {0xA7u, 0x40u}, + {0xA8u, 0x04u}, + {0xA9u, 0x04u}, + {0xAAu, 0x01u}, + {0xB0u, 0x02u}, + {0xB1u, 0x02u}, + {0xB5u, 0x10u}, + {0xE0u, 0x80u}, + {0xE8u, 0xE0u}, + {0xEAu, 0x10u}, + {0x80u, 0x04u}, + {0x84u, 0x10u}, + {0x86u, 0x20u}, + {0x90u, 0x04u}, + {0x9Cu, 0x10u}, + {0xA6u, 0x20u}, + {0xAAu, 0x10u}, + {0xB1u, 0xC0u}, + {0xB3u, 0x40u}, + {0xB7u, 0x40u}, + {0xE8u, 0x80u}, + {0xECu, 0xC0u}, + {0x12u, 0x08u}, + {0x16u, 0x80u}, + {0x17u, 0x80u}, + {0x33u, 0x04u}, + {0x35u, 0x08u}, + {0x36u, 0x80u}, {0x3Au, 0x81u}, - {0x3Eu, 0x01u}, + {0x3Du, 0x04u}, {0x3Fu, 0x20u}, - {0x42u, 0x08u}, - {0x53u, 0x01u}, - {0x5Bu, 0x08u}, - {0x5Du, 0x02u}, - {0x62u, 0x20u}, - {0x66u, 0x04u}, - {0x77u, 0x10u}, - {0x7Eu, 0x02u}, + {0x43u, 0x20u}, + {0x52u, 0x20u}, + {0x5Bu, 0x01u}, + {0x60u, 0x20u}, + {0x64u, 0x08u}, + {0x65u, 0x40u}, + {0x82u, 0x01u}, + {0x85u, 0x40u}, + {0x87u, 0x01u}, {0xC4u, 0xE0u}, {0xCCu, 0xE0u}, {0xCEu, 0xF0u}, {0xD0u, 0x10u}, - {0xD4u, 0x80u}, + {0xD4u, 0x20u}, {0xD6u, 0xC0u}, {0xD8u, 0xC0u}, - {0x30u, 0x02u}, - {0x33u, 0x10u}, - {0x34u, 0x02u}, - {0x37u, 0x20u}, - {0x3Bu, 0x20u}, - {0x57u, 0x20u}, - {0x5Au, 0x80u}, - {0x5Eu, 0x10u}, - {0x66u, 0x80u}, - {0x82u, 0x30u}, - {0x84u, 0x02u}, - {0x8Au, 0x80u}, - {0x96u, 0x01u}, - {0x97u, 0x02u}, - {0x9Bu, 0x30u}, - {0x9Cu, 0x18u}, - {0x9Du, 0x02u}, - {0x9Eu, 0x0Cu}, - {0x9Fu, 0x08u}, - {0xA5u, 0x08u}, - {0xA6u, 0x20u}, - {0xA7u, 0x10u}, - {0xB6u, 0x01u}, + {0xE2u, 0x60u}, + {0xE6u, 0x10u}, + {0x33u, 0x18u}, + {0x35u, 0x04u}, + {0x37u, 0x80u}, + {0x39u, 0x80u}, + {0x54u, 0x02u}, + {0x57u, 0x10u}, + {0x5Bu, 0x40u}, + {0x63u, 0x80u}, + {0x95u, 0x04u}, + {0x9Bu, 0xD0u}, + {0x9Cu, 0x20u}, + {0x9Du, 0x08u}, + {0x9Fu, 0x04u}, + {0xA6u, 0x80u}, + {0xA7u, 0x20u}, + {0xA8u, 0x08u}, + {0xAAu, 0x08u}, + {0xABu, 0x50u}, + {0xAEu, 0x20u}, + {0xB7u, 0x10u}, {0xCCu, 0xF0u}, {0xCEu, 0x10u}, {0xD4u, 0xC0u}, - {0xD6u, 0xA0u}, - {0xE2u, 0x80u}, - {0xE6u, 0xC0u}, - {0x10u, 0x10u}, - {0x30u, 0x20u}, - {0x80u, 0x02u}, - {0x82u, 0x04u}, - {0x88u, 0x08u}, - {0x8Du, 0x80u}, - {0x8Fu, 0x20u}, - {0x96u, 0x81u}, - {0x97u, 0x22u}, - {0x9Cu, 0x18u}, - {0x9Eu, 0x0Cu}, - {0x9Fu, 0x08u}, - {0xA4u, 0x02u}, - {0xA5u, 0x08u}, - {0xA7u, 0x20u}, - {0xABu, 0x10u}, - {0xB1u, 0x02u}, + {0xD6u, 0x20u}, + {0xD8u, 0x40u}, + {0xEEu, 0xE0u}, + {0x12u, 0x80u}, + {0x32u, 0x10u}, + {0x82u, 0x10u}, + {0x83u, 0x50u}, + {0x85u, 0x08u}, + {0x8Bu, 0x08u}, + {0x8Cu, 0x20u}, + {0x95u, 0x84u}, + {0x9Du, 0x0Cu}, + {0x9Fu, 0x04u}, + {0xA6u, 0x80u}, + {0xA7u, 0x78u}, + {0xA8u, 0x22u}, {0xC4u, 0x10u}, {0xCCu, 0x10u}, - {0xE2u, 0xA0u}, - {0xEEu, 0x80u}, - {0x81u, 0x08u}, - {0x83u, 0x01u}, - {0x96u, 0x01u}, - {0x97u, 0x02u}, - {0x9Eu, 0x08u}, - {0x9Fu, 0x08u}, - {0xA1u, 0x80u}, - {0xA5u, 0x08u}, - {0xACu, 0x20u}, - {0xB3u, 0x10u}, - {0xB6u, 0x40u}, + {0xE2u, 0x20u}, + {0xE6u, 0xB0u}, + {0xEAu, 0x20u}, + {0xEEu, 0x20u}, + {0x81u, 0x44u}, + {0x95u, 0x84u}, + {0x9Du, 0x04u}, + {0xA0u, 0x20u}, + {0xABu, 0x04u}, + {0xAFu, 0x20u}, {0xE2u, 0x80u}, - {0xE6u, 0x20u}, - {0xEAu, 0x40u}, - {0x08u, 0x28u}, + {0xE6u, 0x80u}, + {0xEAu, 0x80u}, + {0xEEu, 0x10u}, + {0x08u, 0x04u}, + {0x09u, 0x80u}, {0x0Fu, 0x20u}, - {0x13u, 0x01u}, - {0x17u, 0x08u}, - {0x53u, 0x21u}, - {0x55u, 0x08u}, - {0x5Cu, 0x40u}, - {0x83u, 0x01u}, - {0x8Bu, 0x10u}, + {0x12u, 0x20u}, + {0x17u, 0x01u}, + {0x50u, 0x04u}, + {0x57u, 0x20u}, + {0x58u, 0x20u}, + {0x5Fu, 0x40u}, + {0x80u, 0x40u}, {0xC2u, 0x0Eu}, {0xC4u, 0x0Cu}, {0xD4u, 0x07u}, {0xD6u, 0x04u}, - {0xE2u, 0x04u}, - {0x01u, 0x82u}, - {0x06u, 0x08u}, + {0x00u, 0x40u}, + {0x02u, 0x10u}, + {0x05u, 0x20u}, {0x07u, 0x20u}, - {0x08u, 0x80u}, - {0x0Bu, 0x20u}, - {0x0Eu, 0x01u}, - {0x0Fu, 0x80u}, + {0x08u, 0x02u}, + {0x09u, 0x20u}, + {0x0Du, 0x01u}, + {0x0Eu, 0x02u}, {0x83u, 0x10u}, - {0x84u, 0x20u}, - {0x85u, 0x01u}, + {0x8Au, 0x10u}, + {0x8Bu, 0x60u}, {0x94u, 0x40u}, - {0x97u, 0x20u}, - {0x98u, 0x28u}, - {0x9Bu, 0x08u}, + {0x97u, 0x40u}, + {0x9Bu, 0x01u}, + {0xA2u, 0x20u}, {0xA3u, 0x10u}, - {0xA5u, 0x08u}, - {0xA7u, 0x60u}, - {0xABu, 0x41u}, + {0xA7u, 0x20u}, + {0xA8u, 0x04u}, + {0xACu, 0x20u}, + {0xB0u, 0x04u}, + {0xB5u, 0x80u}, {0xC0u, 0x0Fu}, {0xC2u, 0x0Fu}, - {0x82u, 0x40u}, - {0x83u, 0x20u}, - {0x8Au, 0x08u}, - {0x94u, 0x40u}, - {0x95u, 0x02u}, - {0x98u, 0x08u}, - {0x9Au, 0x08u}, - {0x9Bu, 0x28u}, - {0xA7u, 0x20u}, - {0xA9u, 0x0Au}, - {0xB2u, 0x01u}, - {0xB4u, 0x80u}, - {0xB5u, 0x80u}, - {0xE2u, 0x04u}, + {0xE0u, 0x05u}, + {0xE6u, 0x04u}, {0xEAu, 0x08u}, - {0xEEu, 0x03u}, - {0x08u, 0x10u}, - {0x0Au, 0x40u}, - {0x0Du, 0x01u}, - {0x0Eu, 0x04u}, - {0x83u, 0x08u}, - {0x94u, 0x40u}, - {0x95u, 0x02u}, - {0x96u, 0x44u}, - {0x9Bu, 0x08u}, - {0x9Cu, 0x10u}, - {0xABu, 0x20u}, - {0xAEu, 0x04u}, - {0xB0u, 0x10u}, - {0xB4u, 0x08u}, + {0xEEu, 0x01u}, + {0x82u, 0x05u}, + {0x84u, 0x02u}, + {0x8Bu, 0x11u}, + {0x91u, 0x02u}, + {0x98u, 0x02u}, + {0x99u, 0x20u}, + {0x9Bu, 0x21u}, + {0xA1u, 0x20u}, + {0xA2u, 0x01u}, + {0xAEu, 0x20u}, + {0xE2u, 0x04u}, + {0xE6u, 0x04u}, + {0x0Bu, 0x21u}, + {0x0Eu, 0x08u}, + {0x0Fu, 0x20u}, + {0x83u, 0x11u}, + {0x85u, 0x01u}, + {0x8Cu, 0x04u}, + {0x91u, 0x02u}, + {0x97u, 0x20u}, + {0x99u, 0x20u}, + {0xA6u, 0x04u}, + {0xAFu, 0x20u}, + {0xB5u, 0x20u}, {0xC2u, 0x0Fu}, - {0xEAu, 0x06u}, - {0x65u, 0x01u}, - {0x86u, 0x09u}, - {0x96u, 0x01u}, - {0x9Eu, 0x08u}, - {0x9Fu, 0x08u}, - {0xA1u, 0x80u}, + {0xE6u, 0x02u}, + {0xEEu, 0x02u}, + {0x67u, 0x80u}, + {0x87u, 0x40u}, + {0x89u, 0x04u}, + {0x95u, 0x04u}, + {0xA0u, 0x20u}, {0xD8u, 0x80u}, {0xE2u, 0x10u}, - {0xE6u, 0x40u}, - {0x04u, 0x08u}, - {0x51u, 0x80u}, - {0x56u, 0x80u}, - {0x8Cu, 0x04u}, - {0x8Eu, 0x80u}, - {0x8Fu, 0x08u}, - {0x9Fu, 0x08u}, - {0xA1u, 0x80u}, - {0xA9u, 0x01u}, + {0x06u, 0x40u}, + {0x50u, 0x20u}, + {0x57u, 0x80u}, + {0x86u, 0x40u}, + {0x8Fu, 0x80u}, + {0xA0u, 0x20u}, {0xC0u, 0x20u}, {0xD4u, 0x60u}, - {0xEAu, 0x20u}, - {0x94u, 0x40u}, - {0x00u, 0x08u}, - {0x84u, 0x04u}, - {0xB4u, 0x40u}, + {0xE0u, 0x10u}, + {0x94u, 0x04u}, + {0xB5u, 0x20u}, + {0xEAu, 0x08u}, + {0x00u, 0x04u}, + {0x94u, 0x04u}, {0xC0u, 0x08u}, - {0xE8u, 0x02u}, - {0x10u, 0x01u}, - {0x11u, 0x01u}, - {0x1Au, 0x01u}, - {0x1Bu, 0x01u}, - {0x1Cu, 0x01u}, - {0x1Du, 0x01u}, + {0x10u, 0x03u}, + {0x1Au, 0x03u}, {0x00u, 0xFDu}, {0x01u, 0xBFu}, {0x02u, 0x2Au}, @@ -2241,7 +2212,7 @@ void cyfitter_cfg(void) /* UCFG_BCTL0 Address: CYREG_BCTL0_MDCLK_EN Size (bytes): 16 */ static const uint8 CYCODE BS_UCFG_BCTL0_VAL[] = { - 0x03u, 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x02u, 0x01u, 0x03u, 0x01u, 0x01u, 0x01u, 0x02u, 0x01u}; + 0x03u, 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x02u, 0x01u, 0x03u, 0x01u, 0x03u, 0x01u, 0x02u, 0x01u}; static const cfg_memcpy_t CYCODE cfg_memcpy_list [] = { /* dest, src, size */ diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc index 0907392..b6b926e 100755 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc @@ -391,34 +391,34 @@ .set USBFS_USB__USBIO_CR1, CYREG_USB_USBIO_CR1 /* SDCard_BSPIM */ -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB07_08_ACTL -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB07_08_CTL -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB07_08_CTL -.set SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB07_08_CTL -.set SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB07_08_CTL -.set SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG, CYREG_B0_UDB07_08_MSK -.set SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB07_08_MSK -.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB07_08_MSK -.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB07_08_MSK -.set SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG, CYREG_B0_UDB07_ACTL -.set SDCard_BSPIM_BitCounter__CONTROL_REG, CYREG_B0_UDB07_CTL -.set SDCard_BSPIM_BitCounter__CONTROL_ST_REG, CYREG_B0_UDB07_ST_CTL -.set SDCard_BSPIM_BitCounter__COUNT_REG, CYREG_B0_UDB07_CTL -.set SDCard_BSPIM_BitCounter__COUNT_ST_REG, CYREG_B0_UDB07_ST_CTL -.set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL -.set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL -.set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B0_UDB07_MSK -.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB07_08_ACTL -.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG, CYREG_B0_UDB07_08_ST -.set SDCard_BSPIM_BitCounter_ST__MASK_REG, CYREG_B0_UDB07_MSK -.set SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL -.set SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG, CYREG_B0_UDB07_MSK_ACTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG, CYREG_B0_UDB07_ACTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG, CYREG_B0_UDB07_ST_CTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG, CYREG_B0_UDB07_ST_CTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_REG, CYREG_B0_UDB07_ST -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB08_09_ACTL -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB08_09_ST +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG, CYREG_B1_UDB07_ACTL +.set SDCard_BSPIM_BitCounter__CONTROL_REG, CYREG_B1_UDB07_CTL +.set SDCard_BSPIM_BitCounter__CONTROL_ST_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter__COUNT_REG, CYREG_B1_UDB07_CTL +.set SDCard_BSPIM_BitCounter__COUNT_ST_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B1_UDB07_MSK +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG, CYREG_B1_UDB07_08_ST +.set SDCard_BSPIM_BitCounter_ST__MASK_REG, CYREG_B1_UDB07_MSK +.set SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG, CYREG_B1_UDB07_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_REG, CYREG_B1_UDB07_ST +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB04_05_ACTL +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB04_05_ST .set SDCard_BSPIM_RxStsReg__4__MASK, 0x10 .set SDCard_BSPIM_RxStsReg__4__POS, 4 .set SDCard_BSPIM_RxStsReg__5__MASK, 0x20 @@ -426,9 +426,9 @@ .set SDCard_BSPIM_RxStsReg__6__MASK, 0x40 .set SDCard_BSPIM_RxStsReg__6__POS, 6 .set SDCard_BSPIM_RxStsReg__MASK, 0x70 -.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B1_UDB08_MSK -.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB08_ACTL -.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B1_UDB08_ST +.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B1_UDB04_MSK +.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB04_ACTL +.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B1_UDB04_ST .set SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG, CYREG_B1_UDB04_05_A0 .set SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG, CYREG_B1_UDB04_05_A1 .set SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG, CYREG_B1_UDB04_05_D0 @@ -450,8 +450,8 @@ .set SDCard_BSPIM_TxStsReg__0__POS, 0 .set SDCard_BSPIM_TxStsReg__1__MASK, 0x02 .set SDCard_BSPIM_TxStsReg__1__POS, 1 -.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL -.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB07_08_ST +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB08_09_ACTL +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB08_09_ST .set SDCard_BSPIM_TxStsReg__2__MASK, 0x04 .set SDCard_BSPIM_TxStsReg__2__POS, 2 .set SDCard_BSPIM_TxStsReg__3__MASK, 0x08 @@ -459,9 +459,9 @@ .set SDCard_BSPIM_TxStsReg__4__MASK, 0x10 .set SDCard_BSPIM_TxStsReg__4__POS, 4 .set SDCard_BSPIM_TxStsReg__MASK, 0x1F -.set SDCard_BSPIM_TxStsReg__MASK_REG, CYREG_B1_UDB07_MSK -.set SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB07_ACTL -.set SDCard_BSPIM_TxStsReg__STATUS_REG, CYREG_B1_UDB07_ST +.set SDCard_BSPIM_TxStsReg__MASK_REG, CYREG_B0_UDB08_MSK +.set SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB08_ACTL +.set SDCard_BSPIM_TxStsReg__STATUS_REG, CYREG_B0_UDB08_ST /* SD_SCK */ .set SD_SCK__0__INTTYPE, CYREG_PICU3_INTTYPE2 @@ -1941,15 +1941,15 @@ .set SCSI_Out_Bits_Sync_ctrl_reg__0__POS, 0 .set SCSI_Out_Bits_Sync_ctrl_reg__1__MASK, 0x02 .set SCSI_Out_Bits_Sync_ctrl_reg__1__POS, 1 -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B1_UDB05_06_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B1_UDB05_06_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B1_UDB05_06_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B1_UDB05_06_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B1_UDB05_06_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B1_UDB05_06_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B1_UDB05_06_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B1_UDB05_06_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B1_UDB05_06_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB10_11_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB10_11_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB10_11_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB10_11_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB10_11_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB10_11_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB10_11_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB10_11_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB10_11_MSK .set SCSI_Out_Bits_Sync_ctrl_reg__2__MASK, 0x04 .set SCSI_Out_Bits_Sync_ctrl_reg__2__POS, 2 .set SCSI_Out_Bits_Sync_ctrl_reg__3__MASK, 0x08 @@ -1962,37 +1962,37 @@ .set SCSI_Out_Bits_Sync_ctrl_reg__6__POS, 6 .set SCSI_Out_Bits_Sync_ctrl_reg__7__MASK, 0x80 .set SCSI_Out_Bits_Sync_ctrl_reg__7__POS, 7 -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B1_UDB05_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG, CYREG_B1_UDB05_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B1_UDB05_ST_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG, CYREG_B1_UDB05_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B1_UDB05_ST_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB10_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB10_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB10_ST_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB10_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB10_ST_CTL .set SCSI_Out_Bits_Sync_ctrl_reg__MASK, 0xFF -.set SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B1_UDB05_MSK_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B1_UDB05_MSK_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG, CYREG_B1_UDB05_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB10_MSK_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB10_MSK_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB10_MSK /* SCSI_Out_Ctl */ .set SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_Out_Ctl_Sync_ctrl_reg__0__POS, 0 -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB05_06_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB05_06_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB05_06_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB05_06_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB05_06_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB05_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB05_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB05_ST_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB05_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB05_ST_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB14_15_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB14_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB14_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB14_ST_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB14_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB14_ST_CTL .set SCSI_Out_Ctl_Sync_ctrl_reg__MASK, 0x01 -.set SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB05_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB14_MSK_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB14_MSK_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB14_MSK /* SCSI_Out_DBx */ .set SCSI_Out_DBx__0__AG, CYREG_PRT6_AG @@ -2818,8 +2818,8 @@ .set scsiTarget_StatusReg__0__POS, 0 .set scsiTarget_StatusReg__1__MASK, 0x02 .set scsiTarget_StatusReg__1__POS, 1 -.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB02_03_ACTL -.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB02_03_ST +.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB12_13_ACTL +.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB12_13_ST .set scsiTarget_StatusReg__2__MASK, 0x04 .set scsiTarget_StatusReg__2__POS, 2 .set scsiTarget_StatusReg__3__MASK, 0x08 @@ -2827,9 +2827,9 @@ .set scsiTarget_StatusReg__4__MASK, 0x10 .set scsiTarget_StatusReg__4__POS, 4 .set scsiTarget_StatusReg__MASK, 0x1F -.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB02_MSK -.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB02_ACTL -.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB02_ST +.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB12_MSK +.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB12_ACTL +.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB12_ST /* Debug_Timer_Interrupt */ .set Debug_Timer_Interrupt__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 @@ -2950,8 +2950,8 @@ .set SCSI_Filtered_sts_sts_reg__0__POS, 0 .set SCSI_Filtered_sts_sts_reg__1__MASK, 0x02 .set SCSI_Filtered_sts_sts_reg__1__POS, 1 -.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB01_02_ACTL -.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB01_02_ST +.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB02_03_ACTL +.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB02_03_ST .set SCSI_Filtered_sts_sts_reg__2__MASK, 0x04 .set SCSI_Filtered_sts_sts_reg__2__POS, 2 .set SCSI_Filtered_sts_sts_reg__3__MASK, 0x08 @@ -2959,67 +2959,67 @@ .set SCSI_Filtered_sts_sts_reg__4__MASK, 0x10 .set SCSI_Filtered_sts_sts_reg__4__POS, 4 .set SCSI_Filtered_sts_sts_reg__MASK, 0x1F -.set SCSI_Filtered_sts_sts_reg__MASK_REG, CYREG_B0_UDB01_MSK -.set SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB01_ACTL -.set SCSI_Filtered_sts_sts_reg__STATUS_REG, CYREG_B0_UDB01_ST +.set SCSI_Filtered_sts_sts_reg__MASK_REG, CYREG_B0_UDB02_MSK +.set SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB02_ACTL +.set SCSI_Filtered_sts_sts_reg__STATUS_REG, CYREG_B0_UDB02_ST /* SCSI_CTL_PHASE */ .set SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS, 0 .set SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK, 0x02 .set SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS, 1 -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB11_12_ACTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB11_12_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB11_12_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB11_12_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB11_12_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB11_12_MSK -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB11_12_MSK -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB11_12_MSK -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB11_12_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB05_06_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB05_06_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB05_06_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB05_06_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB05_06_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB05_06_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB05_06_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB05_06_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB05_06_MSK .set SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK, 0x04 .set SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS, 2 -.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB11_ACTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB11_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB11_ST_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB11_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB11_ST_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB05_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB05_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB05_ST_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB05_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB05_ST_CTL .set SCSI_CTL_PHASE_Sync_ctrl_reg__MASK, 0x07 -.set SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB11_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB05_MSK /* SCSI_Glitch_Ctl */ .set SCSI_Glitch_Ctl_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_Glitch_Ctl_Sync_ctrl_reg__0__POS, 0 -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB10_11_ACTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB10_11_CTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB10_11_CTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB10_11_CTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB10_11_CTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB10_11_MSK -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB10_11_MSK -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB10_11_MSK -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB10_11_MSK -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB10_ACTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB10_CTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB10_ST_CTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB10_CTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB10_ST_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_ACTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB04_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB04_ST_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB04_CTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB04_ST_CTL .set SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK, 0x01 -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB10_MSK_ACTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB10_MSK_ACTL -.set SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB10_MSK +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB04_MSK /* SCSI_Parity_Error */ .set SCSI_Parity_Error_sts_sts_reg__0__MASK, 0x01 .set SCSI_Parity_Error_sts_sts_reg__0__POS, 0 -.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL -.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB04_05_ST +.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB09_10_ACTL +.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB09_10_ST .set SCSI_Parity_Error_sts_sts_reg__MASK, 0x01 -.set SCSI_Parity_Error_sts_sts_reg__MASK_REG, CYREG_B0_UDB04_MSK -.set SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB04_ACTL -.set SCSI_Parity_Error_sts_sts_reg__STATUS_REG, CYREG_B0_UDB04_ST +.set SCSI_Parity_Error_sts_sts_reg__MASK_REG, CYREG_B0_UDB09_MSK +.set SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB09_ACTL +.set SCSI_Parity_Error_sts_sts_reg__STATUS_REG, CYREG_B0_UDB09_ST /* Miscellaneous */ .set BCLK__BUS_CLK__HZ, 50000000 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc index b5e792b..bfc5642 100755 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc @@ -391,34 +391,34 @@ USBFS_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 USBFS_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 /* SDCard_BSPIM */ -SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL -SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B0_UDB07_CTL -SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B0_UDB07_CTL -SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB07_MSK -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST -SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B0_UDB07_MSK -SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B0_UDB07_ST -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB08_09_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB08_09_ST +SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B1_UDB07_CTL +SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B1_UDB07_CTL +SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B1_UDB07_08_ST +SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B1_UDB07_ST +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_05_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB04_05_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -426,9 +426,9 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB08_MSK -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB08_ACTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB08_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB04_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB04_ST SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B1_UDB04_05_A0 SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B1_UDB04_05_A1 SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B1_UDB04_05_D0 @@ -450,8 +450,8 @@ SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 SDCard_BSPIM_TxStsReg__1__MASK EQU 0x02 SDCard_BSPIM_TxStsReg__1__POS EQU 1 -SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL -SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB07_08_ST +SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_09_ACTL +SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB08_09_ST SDCard_BSPIM_TxStsReg__2__MASK EQU 0x04 SDCard_BSPIM_TxStsReg__2__POS EQU 2 SDCard_BSPIM_TxStsReg__3__MASK EQU 0x08 @@ -459,9 +459,9 @@ SDCard_BSPIM_TxStsReg__3__POS EQU 3 SDCard_BSPIM_TxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_TxStsReg__4__POS EQU 4 SDCard_BSPIM_TxStsReg__MASK EQU 0x1F -SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B1_UDB07_MSK -SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL -SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B1_UDB07_ST +SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB08_MSK +SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_ACTL +SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB08_ST /* SD_SCK */ SD_SCK__0__INTTYPE EQU CYREG_PICU3_INTTYPE2 @@ -1941,15 +1941,15 @@ SCSI_Out_Bits_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Bits_Sync_ctrl_reg__0__POS EQU 0 SCSI_Out_Bits_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_Out_Bits_Sync_ctrl_reg__1__POS EQU 1 -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB05_06_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB05_06_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB05_06_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB05_06_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB05_06_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_11_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB10_11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB10_11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK SCSI_Out_Bits_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_Out_Bits_Sync_ctrl_reg__2__POS EQU 2 SCSI_Out_Bits_Sync_ctrl_reg__3__MASK EQU 0x08 @@ -1962,37 +1962,37 @@ SCSI_Out_Bits_Sync_ctrl_reg__6__MASK EQU 0x40 SCSI_Out_Bits_Sync_ctrl_reg__6__POS EQU 6 SCSI_Out_Bits_Sync_ctrl_reg__7__MASK EQU 0x80 SCSI_Out_Bits_Sync_ctrl_reg__7__POS EQU 7 -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB05_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B1_UDB05_CTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B1_UDB05_ST_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B1_UDB05_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B1_UDB05_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB10_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB10_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB10_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB10_ST_CTL SCSI_Out_Bits_Sync_ctrl_reg__MASK EQU 0xFF -SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB05_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB05_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B1_UDB05_MSK +SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB10_MSK /* SCSI_Out_Ctl */ SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB05_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB05_ST_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB05_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB05_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_15_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB14_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB14_ST_CTL SCSI_Out_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB14_MSK /* SCSI_Out_DBx */ SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG @@ -2818,8 +2818,8 @@ scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB12_13_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB12_13_ST scsiTarget_StatusReg__2__MASK EQU 0x04 scsiTarget_StatusReg__2__POS EQU 2 scsiTarget_StatusReg__3__MASK EQU 0x08 @@ -2827,9 +2827,9 @@ scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__4__MASK EQU 0x10 scsiTarget_StatusReg__4__POS EQU 4 scsiTarget_StatusReg__MASK EQU 0x1F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB02_MSK -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB02_ST +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB12_MSK +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB12_ACTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB12_ST /* Debug_Timer_Interrupt */ Debug_Timer_Interrupt__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -2950,8 +2950,8 @@ SCSI_Filtered_sts_sts_reg__0__MASK EQU 0x01 SCSI_Filtered_sts_sts_reg__0__POS EQU 0 SCSI_Filtered_sts_sts_reg__1__MASK EQU 0x02 SCSI_Filtered_sts_sts_reg__1__POS EQU 1 -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB01_02_ST +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST SCSI_Filtered_sts_sts_reg__2__MASK EQU 0x04 SCSI_Filtered_sts_sts_reg__2__POS EQU 2 SCSI_Filtered_sts_sts_reg__3__MASK EQU 0x08 @@ -2959,67 +2959,67 @@ SCSI_Filtered_sts_sts_reg__3__POS EQU 3 SCSI_Filtered_sts_sts_reg__4__MASK EQU 0x10 SCSI_Filtered_sts_sts_reg__4__POS EQU 4 SCSI_Filtered_sts_sts_reg__MASK EQU 0x1F -SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB01_MSK -SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL -SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB01_ST +SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB02_MSK +SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL +SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB02_ST /* SCSI_CTL_PHASE */ SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS EQU 0 SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS EQU 1 -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS EQU 2 -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB11_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB11_ST_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB11_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB11_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB05_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB05_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB05_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB05_ST_CTL SCSI_CTL_PHASE_Sync_ctrl_reg__MASK EQU 0x07 -SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB11_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB05_MSK /* SCSI_Glitch_Ctl */ SCSI_Glitch_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Glitch_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_11_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB10_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB10_ST_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB10_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB10_ST_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB04_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB04_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB10_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB04_MSK /* SCSI_Parity_Error */ SCSI_Parity_Error_sts_sts_reg__0__MASK EQU 0x01 SCSI_Parity_Error_sts_sts_reg__0__POS EQU 0 -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB09_10_ACTL +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB09_10_ST SCSI_Parity_Error_sts_sts_reg__MASK EQU 0x01 -SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB04_MSK -SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB04_ST +SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB09_MSK +SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB09_ACTL +SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB09_ST /* Miscellaneous */ BCLK__BUS_CLK__HZ EQU 50000000 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc index d456caf..b0cda45 100755 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc @@ -391,34 +391,34 @@ USBFS_USB__USBIO_CR0 EQU CYREG_USB_USBIO_CR0 USBFS_USB__USBIO_CR1 EQU CYREG_USB_USBIO_CR1 ; SDCard_BSPIM -SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB07_08_CTL -SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB07_08_MSK -SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL -SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B0_UDB07_CTL -SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B0_UDB07_CTL -SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB07_MSK -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST -SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B0_UDB07_MSK -SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB07_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B0_UDB07_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B0_UDB07_ST -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB08_09_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB08_09_ST +SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B1_UDB07_CTL +SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B1_UDB07_CTL +SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B1_UDB07_08_ST +SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B1_UDB07_ST +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_05_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB04_05_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -426,9 +426,9 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB08_MSK -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB08_ACTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB08_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB04_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB04_ST SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B1_UDB04_05_A0 SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B1_UDB04_05_A1 SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B1_UDB04_05_D0 @@ -450,8 +450,8 @@ SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 SDCard_BSPIM_TxStsReg__1__MASK EQU 0x02 SDCard_BSPIM_TxStsReg__1__POS EQU 1 -SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL -SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB07_08_ST +SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_09_ACTL +SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB08_09_ST SDCard_BSPIM_TxStsReg__2__MASK EQU 0x04 SDCard_BSPIM_TxStsReg__2__POS EQU 2 SDCard_BSPIM_TxStsReg__3__MASK EQU 0x08 @@ -459,9 +459,9 @@ SDCard_BSPIM_TxStsReg__3__POS EQU 3 SDCard_BSPIM_TxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_TxStsReg__4__POS EQU 4 SDCard_BSPIM_TxStsReg__MASK EQU 0x1F -SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B1_UDB07_MSK -SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL -SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B1_UDB07_ST +SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB08_MSK +SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_ACTL +SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB08_ST ; SD_SCK SD_SCK__0__INTTYPE EQU CYREG_PICU3_INTTYPE2 @@ -1941,15 +1941,15 @@ SCSI_Out_Bits_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Bits_Sync_ctrl_reg__0__POS EQU 0 SCSI_Out_Bits_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_Out_Bits_Sync_ctrl_reg__1__POS EQU 1 -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB05_06_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB05_06_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB05_06_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB05_06_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB05_06_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB05_06_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_11_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB10_11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB10_11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB10_11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK SCSI_Out_Bits_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_Out_Bits_Sync_ctrl_reg__2__POS EQU 2 SCSI_Out_Bits_Sync_ctrl_reg__3__MASK EQU 0x08 @@ -1962,37 +1962,37 @@ SCSI_Out_Bits_Sync_ctrl_reg__6__MASK EQU 0x40 SCSI_Out_Bits_Sync_ctrl_reg__6__POS EQU 6 SCSI_Out_Bits_Sync_ctrl_reg__7__MASK EQU 0x80 SCSI_Out_Bits_Sync_ctrl_reg__7__POS EQU 7 -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB05_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B1_UDB05_CTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B1_UDB05_ST_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B1_UDB05_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B1_UDB05_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB10_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB10_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB10_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB10_ST_CTL SCSI_Out_Bits_Sync_ctrl_reg__MASK EQU 0xFF -SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB05_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB05_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B1_UDB05_MSK +SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB10_MSK ; SCSI_Out_Ctl SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB05_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB05_ST_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB05_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB05_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_15_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB14_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB14_ST_CTL SCSI_Out_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB14_MSK ; SCSI_Out_DBx SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG @@ -2818,8 +2818,8 @@ scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB12_13_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB12_13_ST scsiTarget_StatusReg__2__MASK EQU 0x04 scsiTarget_StatusReg__2__POS EQU 2 scsiTarget_StatusReg__3__MASK EQU 0x08 @@ -2827,9 +2827,9 @@ scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__4__MASK EQU 0x10 scsiTarget_StatusReg__4__POS EQU 4 scsiTarget_StatusReg__MASK EQU 0x1F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB02_MSK -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB02_ST +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB12_MSK +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB12_ACTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB12_ST ; Debug_Timer_Interrupt Debug_Timer_Interrupt__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -2950,8 +2950,8 @@ SCSI_Filtered_sts_sts_reg__0__MASK EQU 0x01 SCSI_Filtered_sts_sts_reg__0__POS EQU 0 SCSI_Filtered_sts_sts_reg__1__MASK EQU 0x02 SCSI_Filtered_sts_sts_reg__1__POS EQU 1 -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB01_02_ST +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST SCSI_Filtered_sts_sts_reg__2__MASK EQU 0x04 SCSI_Filtered_sts_sts_reg__2__POS EQU 2 SCSI_Filtered_sts_sts_reg__3__MASK EQU 0x08 @@ -2959,67 +2959,67 @@ SCSI_Filtered_sts_sts_reg__3__POS EQU 3 SCSI_Filtered_sts_sts_reg__4__MASK EQU 0x10 SCSI_Filtered_sts_sts_reg__4__POS EQU 4 SCSI_Filtered_sts_sts_reg__MASK EQU 0x1F -SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB01_MSK -SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL -SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB01_ST +SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB02_MSK +SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL +SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB02_ST ; SCSI_CTL_PHASE SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS EQU 0 SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS EQU 1 -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB11_12_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB11_12_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB05_06_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS EQU 2 -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB11_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB11_ST_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB11_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB11_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB05_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB05_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB05_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB05_ST_CTL SCSI_CTL_PHASE_Sync_ctrl_reg__MASK EQU 0x07 -SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB11_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB05_MSK ; SCSI_Glitch_Ctl SCSI_Glitch_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Glitch_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_11_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB10_11_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB10_11_MSK -SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB10_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB10_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB10_ST_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB10_CTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB10_ST_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB04_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB04_CTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB10_MSK_ACTL -SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB10_MSK +SCSI_Glitch_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB04_MSK ; SCSI_Parity_Error SCSI_Parity_Error_sts_sts_reg__0__MASK EQU 0x01 SCSI_Parity_Error_sts_sts_reg__0__POS EQU 0 -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB09_10_ACTL +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB09_10_ST SCSI_Parity_Error_sts_sts_reg__MASK EQU 0x01 -SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB04_MSK -SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB04_ST +SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB09_MSK +SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB09_ACTL +SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB09_ST ; Miscellaneous BCLK__BUS_CLK__HZ EQU 50000000 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx index b9e78c0..57ece01 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx @@ -1,42 +1,12 @@ - \ No newline at end of file diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cyfit b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cyfit index 004e661d9846e6ddd1861af76652287d6a1f25c4..92a3773aef592a418a9b6eeda85f31b1948cd15c 100644 GIT binary patch literal 273097 zcmb5VV|ZrU(k>j^wmaz99XzpZ+fK)}Z5y4A-LaF7ZKGqG9el}J>%3?0efE0S_5GMv zW!5uhjT(1V-J|A}Ipick!O(z!fS`bI(~U*RR4FmUoPmJ8d4U3fzH5b46;9h>6SWuA z_uW2~w>=XD#s(rW2_N;@qexOEtrIiSDT_C3hXj)GqEJvOC=aQSjD(YM+4X=WOd{o` z=nDj!+!{=kXWIlX1S4R1za~ArJ@Z^Y=?EN4pN^o$ByfY z>(-t&O5UWm#__YZv$V5LIc;XLU`q`(_m@qzN~a@Ofucc|Xl4+O(wqN4DBBc3HE#5LYP7~70NTp+-h9|RH%06r8{lC>r zBVNBdnkSfn@x=sHo*=;)B~T5Kf}agcuYh>3m4eGMtGmTA{(!{mwyNyl)K z8L|pWPbj_53r9g{aJN^p&Qe(#%YyE{2z1Y}0Jcrs_+HC=RMLIVwq@$@Aa&@W*~RQK z2E#=$2m7^t(JjNhw)ooN$w_H<)sC+-<}h=8y`)Um5dpUL{_sSpDQ92SdA-78VC9?( z1Y-ptYuJ?j^m;a!@HN*i6S_(ISM{kt12U5VZ1yUxk_|KnVh@1aX7!Uz=KNb5Pwd@~ ziOQ9)$k^?d{$feXg!3^Y!YZt)bTC9a3<@rmKzL`Fh+5E{#E#B~9vWJ(s%P_a6`VHm z-(yOxzE@iNQCkw*+mEiPMN5=cN|nI2f(_gFSy%+w0MSX9YQ}~-?iLTGS4zQtRf5L4*%0?a0;P=2Ogop?jwyCrb6C)Q8{L`a1WX_1RxTeEd<)AdCn7K@ z&j6u1+AOY2$8r6hURlaR=NkjfA~rS)Zup}@pr6qz;}@Uy2?i5}vc1;54gBC@{`Z)p z#{!~W7M)f}N9J^N$12KB^#L>3uR4jvCvw24RKu#rsni+ci^f;P;&f68!tf(|<9S5e zGGf61w8B-=7B>23XL7NYbri>pY(e*BQ@m-^c~SI&;6_NDC0ZIoVC=I2dS&9W2B|e_ z8luY_s8luDr=7L@a1tc(PcZrg5?CFoyS)sd=Chf!6-HS28Py6kV>a-xSGfA295v!J zj8%T&!N>A7u(dC)vA7wI`v~x7&TC_e5&Zo``vG50K0#M6ldc@x4CSwWuNq{_yzds1 zH~4|Mo5cx{o$t*5!sMloQBW?wV!{FzmZ&Wpo)vd;)bOCgsY33VkV{;boo*^CNKo*XY(w;!9evw?9fg1EcAIjjD(Olu0k>p${`HEG))iU_3!8KY9j4TnC z6Fb0Hh5iGBgm{XS&5W?`D-uvCbwkn{dGUkNnV7rC_$dKhCk)-lKVD1pE=ZN4VM&;C z#@Kl(Hd3cd<&3M|GP9fc;k*2_IP&cAVsLGrX+|I8&>0u}O7joQ%NZx<`uPwcbcdcB z+>jxY(MYh^I@@?O<%Ft-`exX@8|Et}hKX=R8rh;ISX=PsU37Q-@jIau(Ga0xhCGQ+ zFu6aSU<UxoHmb#B=TLAD@z3awH+xYDA6v>ITWN3vJUi#Oi!#HJpCtB+C289Y{9nMTBEjV zLz!nv2j(p(z5dt$O&p9P_d_ z_sv)&>R5?0_*SnfEtN{HVn;O&eJc!^2W7vNQOX(m)v&7_iK0CUJ2)YV6txvg`@{mjEN|}#C zm}F1;Xb%XLA;>{Lo#83LIv_`BEE`(3bCPr&ZfoVnG&8WcKrSLc1NG+yRpM%P@r^3k zWxQhVuPFV@NM*-)4-)P%ZLkTLSf!1!1A*|T9u~FJyZQ83O4F> zq-+afDR0%PI{BIMOf;urU}fzf2rcz)g$q$>6t~q*Cw5`c%%wn;^%Mrjm4P^pY}BiV zaB_+(3*RVf(C!KNfg*Yi1K^)jVmaR8u>m%D|Q-WGwu)8 z<>NP?E4M<(B2h1*a5s4dvpT9`9BXTR0^@P*qtQr)YE@T8KYf3KW^F{!nu;YNRfOZa z)-v4VmhYNoN7{`;vVC2|%1$n&_*)&Kd_?*t%33AU4N7O)mWg?hWL`~z3b**IM>qvb z82#Xt z8^B3j_#pzM4tFX5djl)@sh1s&^BbM)ph#9Mpr!rVBu!@KNo8rqsiLokmedyx(rSFA zkY0AMqfHYhfg3VojG+yYr5q~tatMwZ;)SnRKXktvDCgvH62-sBa^)i!RZdo&Jv zF?DN{RKpifbjrYg$Cu_PCyZkny+{eP2u4AiDPVAeSRXiE04a0YPR8@Go8_WD0^3Kc zC&<&cpcFdgw&^ImW-zN1`}(c;Ot0TQBDb3(RUz%?r^eDb{1R;uvLCIyshcudXwuD ziJL&k#wF$v36fB}W4h^&j6h^0*BCQ9ZXt{9TT9zL$?>%GVddkr%)uA*+C;_ee?oycWAIl^h&CB zX7>ulB^$&wEpw^@wBi-=(p*L+3tvCRK&GL_Jb+nJ*^RmBK}Hg7DVjyuieyupu7Ca< zMl?H;0P@}n60B~sdK9Ft0@s?!A`)GWbZV1YuW|ismfb7TVE`kt4hhO0PHOrM z*lRSC+6)}Q*A@#_&$wJHnlBsqs_qe=65KVHY;%j<6LO7@TAdKuzkb)WAY_txRyY;e zN`V3x&xmYW+{9lW$Gity0dfe4ZOeXnHB01D*aG?7QwEVu!+#*wW8l_yDR{DANE{Xj z`<&T^ygQcT=4(pyO$y^`vWCUoY3`F-(-H|4U=wA{;EIq#4F3kcg;mD1Jl5_4m=vfg zVI$bv_u3N1x*^o6yQ$Rd2KDHRH9u{?q{!Q(V50Gkk^uby^sw^*dmH3J_w^*D!p&F< zP6^CdpW>{fGgLLRrRh^-@}|)P<`Vc@!ST>QfHO|@_NVhWXirMBbI~?EiWw*iU=k*+ zSb@kO@wQZ~p<)JT#PvLK$qE0NSa`8tz|}c2)xGQ{yhu+)$_%gs&`|-j41L&jnXBE{ z^GLwX)e&(0cS9!#R;7LH_mq?Z0>=h6;3UDOf^9}RGfxo5e3~(k4@M0*ETB%H#FM#( zqbi~HXs1vodNA!lLcWSqhm-Jl0ydM3Q*d7bN@>4CJ^6CRs_Fr!!Qsm%d=m5Z*@0*3 z+hMK8^qN{e>J{nw#kFu?L!K6Qq=)qar>k$R!B%{kcA0%>sppqKYYPqCi`7MpZJO}| zdj*h}Y{w-F!D4#a120CulQSfC^ph=D`vE#kV9dlXebvZ4u(gKBjH3=uq1B=EAd?5M zB778JSB$1PUZfM5OvN9bN~dQPR~%WS&aSpACf#3MWLsx{c<%izm&p>4gRC-d_$6RJ z&wgY^#H0Z8pypC~7F#8_Vlee%!W^+ zCqxesDwbGnT#j}Xnx^%ZpKBJ0kG>E;LEBZNV8L`Y9^B7_uQ4r|p(F6TV9$`|GHsK* z5W69M*WMsz;naX?x82%Y;{jF@cu5a~4l9H+lAdNJmM1;Nl4EJ52ObLnCWSYpOMQG#@AN?FhjZ@p z3nyTZBB8U0>wrC(gC*41w017nlq^@|I=p!}HJA0mZY&}br6K0iK?YCR(kHP=!CDr} zwy2cGSm8m?`VQ$De%?L$sn&`oc0fkCeQ{Sgf)!Ff(e=G9E%$Dl@YSoG{1-p?Sna4+ zR}Vk!sI`%Fv=e7Dh~ot_i1h%jTqcoT1K5jC1^OLnOKzk2lvC-MKeMeB<&8v;%t@(k;*!G1zaTO}0MR&Z+)K?7f*hx9knLSC@A= zRSEkmvpJ8>Lrv!vgyb4~D{g4@W1fqZ^g|jJD!|X63AZ(oXHv1>2>T&z`D>i0nXJ2S zeRpYqKy2yW81N@K(wNCc@`~!KYRpOK3-0*^rVEDv*k?0VZb=rJ-wxH}}1ut+4I@Gg5BW z9bT87IQ0=*rEd-`fhQhfOxs^goNk#Qn2y2JE}mkw_p)*|%Ln>~#sq)%*B`*F1}DLf z$Lg9XKPedM*Xh-$?eYKYyo8^iTJOe*ageYK?@*ZeGPGIan)Z|F0&ddqYspf#9!Trt zudT>LH`75q8idWCHayvz`r{j$99q3~vaSY9KI&$pzXgA9j}c8=>PZoZv_hFxYvtLE zR}W3i?O9{HEG#xt@u3#DhOmlCwPm~2vN(x(hL)tJpXFhjjkn=AMn8u2^LGWJn{z{W znh_b*KVA-6UI)kFvyw^8^x5Iyz!VceTTok0x?v2lWq%*2kD@hTWHNY)gwG5Xw(gKN zfhVUF=>ne(n+VoosRX98g51`k>}H|gHb{TSHWDn2PyIv7VB^GGCJ?DOwL zpAOkapYh>|5C_3^%-X9&0r^Cq9-Qwx8DrTCQfV> z*V>$d@CbSSvErUgP}R|)Bki(+mSyMBfm`GVUDc#IGGH?Pz2iz1JEY%iVnwH`Pg9lxP}msU{YnQ=EtqOK5=N5=vM_gGU z(7k{nJU|RJ5Q#;H)ZJ5vF?_m^I|}yz+@^Alt@6QlrXwTIP2@_Am+ra!K!S`R%X;z- zO(-Aqval>0zYBvR=6xo-R;m`eXt+NJ#rHq0m3=dU5}2-=F7UWvVMIt3>jf@6Rms@? zo>5&kcsm>~K~z(R6Q>BQv-8?K-s{gT;!zF>;bCdE#nIr&|F9W3hi^2yH;Xh>ZnU(n zd|j`Xd*3H)BIwh7SN=3`LSNTiP0sdxDeXbp;WH_Rg$PzJ4kRQO2%ABmY3^4sYAFk) zTZ6I1HU4rpomkCJ!jE#fvP#Hs*VNrhe1UqKLYz zI-)K>`5EO8E+BG691{}?=kS^M5#=#rxR$Gu`rw5xKxQ59G4wX z^X#3ZbIc9A%F8cDp{iF#5zFgTi+5q;(%DwXc**kMNwvVRJjk&Q!9J4QcpHeE!dF*; z@TbLv0o;CSP)JL`>TLRU8sP-2I3q26HQ8kz*_2{lwcuQ{pT3UJ!k#Ft!&k2#_3B_i~`z-Oj8?v93Sb8j=%y2k@^qa)@ zJq@3bafM9yr;1Y8z%7Cs;#?-tYraT|2p%C8BBPP!jN*BgdDkXjfp!~wO|HqATWS-E zdaQK@AIZr|eIPGhC``l4JDR7Bk|3N?%PB3*(zg3SI~N@4P4wAr%Rr<5eTg8(DKWGgsA(-G_GXbZ;c8U|mu@X{6!OPTLM z7)rO3q1coMam3(!4!A+G7gdr@&431b_fRrKBhX)BO9LD9F)@^CmB$MB;d;Vp%N!jF zIQL{3?!uJf=#3c|zeP@fXo<}XM<-lNeVuQ&n!f>_`zqtaUB0}SQsE;l+)N55Vw@(+ z4%BmR#wJ^(K(rZ{O6^iKetd|NZ=!r!L+0$sc{5WFK@LEWl`UtkivvR$ITxD)O4do5 zrR>oQT(^vs^DfD*6F>wDT*g&Wlpo)tv@lqfynqgRV?LtbEv2|$WxxZ zXgE2FoCk8mk53vraUcc*xm)N^eW@rk>vlWDxfmZjDV`X78NAxJqU%yh*&d|b+h?kl ziW17V>%8t_%6ZEqF4jXXlze#zmA~0ZLUn%>>4uh(L5c$?pnhofaHMd6WadxKH@)Dt z;)9S7V;Xu8f~NBGzjYK4oY2FCzdSC4!0$#0QD?uxP@&Zdl$-27H5+)G zbp3fOv~MsYMRw;?P7Fd(J22Rog>%%6VVCY8YfFN@Q}~$WC3fAG9(~WNOWT$I{L%$V zifh9W9C5{rO}bk=HsVluFI*vpRvBXc>jA#^_Kosb$OhBPM}?;}NIOyGxc1@a<@U_a z@<65(eU+ka8fPaV?e%Y6{7ke#u)iWPP<}d?j;I>W4xTk9P2$bB?LSuU?WWkOMO^ue zix{LLza*TzdJ0`%O^MzRB4T>HY2;DDhKA?|BE(rlTf;H2Q;garLF7TK^ZLFb}FS+HQ_1BC8lMt;8Szkc=wGbP~bT_$@fD z)CV`6#j!}9diZ^B9iQK8r!$EF=A5u>k?bQYy&-)iAyA{9 z{m?y(3k^$Tt}^Ju!thDJM7=&F3-(qmv2+e;9sQ`QEU)8!&SsJi6#H_3VqQ71#08Wv z(CeWP(iTq894)g+ri*wlUNeYfV5?RNMiQ+dWIW`ENpndHkbjyiZ`IPukvBWL`Vypo zlj*l+@aD-=qY2_8!E2ZcPxxiL0D1NsY9Eimi64hTM37oaJicyX`=w$cqLC{XVLIE7 zOTG8@V&<%%CI;wJO(rx4=_hW(MCeOn1|4ctk<-gf9(686W2?lnb*-blUFwt;;j{@E zRxnHA+(BQX`<>S}^CC*j))%eCU8k?|PvL78a`DlkeS5$^+~tTc-E&rN!tLw<8@~_p zpLJOZ&6BCaGW-%{z9h>QI@*HJ4$olo=RohTfu)w+X98VcC86eiEp^^?qjmai&U!3m} zv}C6V`kX9^n8 z007WPG+DvYv2W$gS-(%>ctMxo1!sxOAG&1V9P+x7h+U1jBWund9ZC6KR791MAZ4qq zlDLs%r!T`)rYc<_692eG&Cir`AjstQ$Ut@-f(^Yxmf$dzp2$e`s6=Sa)spylxg}P# za)B-4_aa0%Jvb6V9JPae8!8%`NBBcghOoI9Tcof&*jJFZac|f>FNYwSr{D77*^01b zXe1;rgEekCnuc;Y5xek(dq-<0noi;MXA)6b&e6w&I76v-YF-L^6jfkD&(}O;2`N=^ zqZXuT2MHsF#uBD7mz*OIpCVm5e>u~LW8sZj_r=#(Ay6%1>Y8y}6_~HBZi>3$54u-V z)gHGsJr(jz2mBCcXXxoFq{+G2oOq38jqWQ#^$U3t^Qt{gO1 zupW`g@I1y-7q0FNnUdKbCT--1LfL~S%R=n#zK{oqD91LBaf}K%@b)(AmJS}kd>KQB zC;MiTaXJu8l*Ub&kRYYwO-05m1;j~_mRTP{oc8=W$H&AWzGovrKyyuz#uD{~Wa#-f zu^9Q-27Ai+&TfhT6C0+v0!<9zp1P1Fnc)L=PNF#TD5!W5mwhaCF7Ii9?<+U;gg7bY zLo>*5Go>n@TfdA9j+@*2_0lVr@cdc@VIIHDkwcrutzjaZ77qszFVaZMtnt~KnfYir zwq(+JWHmOaDlUF&zeLJnUohPYJ8i*8J+|kEx+J5T9&&(HbMmn1Bb~71F5k`fvcy8B z*h(Gxu17LlH>DPL!{l3g2NB+kx~t6F#v7`Q0LAq8%+ki9#!l zXoSh=!lyJi%DoD!^@T={1Jq}xm!48IjA!Q{VW&v0k`R*G>$nQ;PxNi#Xx}Z=v~&0@ zR1{5JDOE}eaqkvb+nyp)6VKV?`hWmAWCk*GG=<~(H-*MXxz)}6=Tcq{4%l~w>TW&B z7~kIC5d`akV={TKccD0Z_IBT|+j0oS&msGdwi01tgAqTzQN^_-?9CXP<=}shS5LC1 zgPh*ei{Nqzw`Up}p%0P@P7`TGi|}xY69>ppag;XFoaYR&^2E^Wt|Ju2*wp=2{NXC^ zbEQl?TCrCqP|vICWy!ppDw%&ik=dBpcXcI6T(jzS{q;x%GYoyVEk30nwCQ;keK$r7 zbeMS1dZ<=tOjZTDZtAEjdV)ZYV-tAINd3y87WLP!JU_J0IL8;_%X815G#POOXrtUS zKX|8)m6~KX>%Jk?fuA%vXo&714Q_X;Uc;PdPtDhs#*FT{nD^_+#MJCL$JG zTPbymO@HOKeaXB(7{L|PRYMs?8{V4C2?1N=;2(!bD?c)RWd4o$QwMDq-f8Yg9^vT@ z?xwV^9I;_;1UZ#=uB-p`ko>CZ9vntL@0ys^rlh01F}iF85`m+R%9Es`SZ2N#U#XW+ zuJOdFZT?}?z0h{5xh6Yxy@}?`0|;no<6GsT!2=!`e~8WiNu(H-d+Z84`tH0tS-5@c zxMGr?TdE9fGM-yNDa)Iak>gF3LQ`x#)Do9rIcwzxj%CtbLR8Ig>AB!(BkOaM>W_Y} z2FRJ;lY+W)I2-m%9U5C;aGNzKrMW-cLo|+gP;4sJ^OA8Za@U(g@0u>KU`V`1YN zCb6PFFkpGK{*Iha#V+sfO?6V2fAt6RhlB}UrFC(2Dv-_`u*OkQleTrks(RRae>E+R zvcUne9d%d_jsc@X$CK0SLIco-E+onxw*AMD+ z^W5G=AF9(2RojP(exBQ8FX7UV)ng~BFTLIMYec|s1cS#V(fJiYqgwRGU$zBT{!0;% zZZUC~qdMFNamohu-7DLk)mw4uDEfE5_*b^9+Ga$MP3Q@BteJI44}J!;b+5s`-_~2Z z0n7|fN+^DuHQWn4be;SRAW*054`^eb2|04rOjI4y;cl9Xf&^U2TY&+X6b#06+$Tw? zKlOYJOr!d+crz#y_J=qAS8=$8vPvaRFy{n!eoLf=KSd@g?791@v)cMvfj z)7tfN)0v$B&jUvi;NKR}2RT9TNYhV6fK6NT;SeU8`{D$_5G^w9$3iyW-JcF15T}Ev z6HS*aUmC$!JH)`e6>|<-ya!8&T?|3Ji>137_&es1B})Ss-%JPz-mwOVjqz~5 zU+%H#Uas%g4H2uyWv*&ATwTC>wj@!2enk6kL@##>CZfAF*~gf{=lGa*{@K;C_jC{o z?0v5UKq%`GC^P5$yN2LqIDuHQKLWD-%E))|Pw`${A9sI>T#i4+{DJy#!haR~oPYZD zUKk(l{a4`}`R>o|5K!IU3%$$#<@vvicGUd+^MBb!68^{m?st^`tJvbt&*yPKojvId zm_13L5d0J4>-U=v1vBqopnoXdqv^&pD&_k3tNP0;2iO0M#GnOt%rpFl3o0_M-G5nf z{-5;`IkfTAcaJ80FpgN_)CW2Bk>2=Wb1i&?L@3(*uSaH&|M20Da&Y^zD%_alg8vZ% zBEgSxl>M_R|3+B${%e^3iuS*Y>XCmogE;@cnF)am8+_;!erJ;R%%-4|_!I5_13xo6 z|Nm9|u~H%7f1EM+5%eE3Qom^IKfO|KJc@$_{wN0=Qu;sGN;a6o{68%j$&7|%huwJ0 zy@yQ5*i)~6;imMx4r*ZA{z|7>qYw6yg$VsuKZ7r?|7))OtCB41AETy+`p>C0|GyLv zP#q%TFZicEqvAi|CjTqB-I)GNZdA-anI)%*@-HSq#{N$L-s#}qYn<5m@W15Xzh|V- zPKqGRn#keTDd|l9&hnpGs=0M+7jh>?yx590mKXPqiRB}&%oa` zB%fwQ{x1al4*yfJ|Ap9oUl1i1$NV3{cqL+eSFrwm z_=`zU6Y~C`@tjn+zrbG4{l^SIV!!;?Rs2^9BZ>S^i2k2VK#*qrKhyvJ68c{yp8DhO zXmWnpeMjIgGG*xeZw&MSHp{c_BR5U?|lt_ z)13U(xDYFyy+iRgJXE;k>^(kvka=c*av*=O`$y^iegF3L1e$3M4}f>@u{(aWCm%#Eium3V zzF#pPZOXs4Dh-q^!~d9h)kl9)=$|C~U)ciUZQvixgrfa3TQai#FDdm`^Yir2R53d9 z&r>m{|L;4+Pg~jLzVQdI__6%6upxwU5TLwFqxsOdV3zm z2`6mcZA->TrGqsR>be`vkTtzLOp_Ln_ngKlCLG<(q!75VLZ8(fj)Z-u$j;Vs&x0e} zg;sp?8xI>V*=jpVId+rx6xsrRq?Fvr2srIABVWh&AB}EL4sdX-(2(UPmQOI zH;)UM>VEMkbWrLX&97()g6dF@b~&+@CqMo~fGuvULdeAm z2rR)b1Es`b0CpNV0)lZ%@a1gc^!4XJmHVv%DJRwpHmmtADf7W*0cD`YTE-*89u;Vp z?MxA-oTj%v`PZ@Im|U<~VZ&NHE20J8vrFPtRg*Fkqc}I*HbT+ScD;J@L`396WaE>! z6?;}RhxI*!L0R`-t z+m&|Mg|*c4{2N!6@MDcgl4-yEZirx#I2C^DRa@9)X2Lj@NI|%cRLS0|E+JvFqQjX) zWXx&_#{$N7g3>03X(8ia0^CG193E(d3G046BhMjBwu4oqpFVS{Lo)!PW6Z(^r)40- zP?z3I7Hyy>Mq2T{T@`~yXVq87#{Kj6N*Xz6Rq3~T!CpUMn#2jUih}&-BKEzzu7^PQ zg9+jDcX7vOGaSW#gRu+$?ysz@F9hycvHj2C1#_(Xqc^^NJyW0kGadvL(vym6j}Tcr zuQ~ew@A04|--0ZzT|0pMT!Ca(n2gws-c@+vJ$^bjk#Lrgy~jRxgrEA&5II=@FO8Ny zaGF;_Lm!IqFs1S3osQRxj1+whSpu~e-t75l4LBeb&Q|;?si!s#n+Jd7F*TmE=blAuY3muQ@4J;_Ybts!k}S}l^CY1TlJ zv7HbHhEt%5ML}Moq^cxPV-h)=!E_xRV2{y3a%NYrUWUmcR(l@9HQCSN z%b^*hI$j-w-RLscUAIlur(SWX4U#}fvQbHh7Y(EEAz;(=e|F9NL_mb_)9W$UN#9PT z1d(@t1Xr9y{W{q6#FOs-lag`|NS~h`ITug_q4^u+Uw0 z+vMeK3!Gh^vrZ+a*+M6mr_N$Y^*>;{E*Rlr-92H_Qll3vE^?hR^7z zhxAt6%qwncdjp4bcg;HN^^5!B=(o<`2!rK5N(*ce91RdLcUlbncBlCPv0O;^W#Up( z4c+c++_yriZcIj`?kw zO`j(ZaWH$BZ=&IomAoqZA*O9PCjnBOMLSY#jkefYWnIM+La6+L z5VvCsWnam9@P{VI#vG;B$)>mgNBUxJ91mO$>?pi*e7oBT<&MU(=$nTf%ts z(VJQq!49~H%W=lb4sqG`GN>z~9-_exej1l@vOXf%O&38ZH`z~nmCBubF*+*lrX{rO zV%Ww4_DY&y6zo%9%Dbs?kGa+mCG5!5C+zlXBa?wv;OER|Jpo&8PT53` zhbVEBAp{8+VD&bQG2S7^*~n8ONb3A7qYmDW?d%%S-Btp<;s95H>gEF zH1If=bS@TY5M`Y6RGPZRj-%;j@A0`m6mzv%bD;OWOmW;7+LlS(%41Ml-RNMSgF%H; zrFKE6Osb}-&aM=)=OwnBCz|E~{e4-=B?^9*-xf1-l>SpOqjsw;8&`xL4l}R4#khBl zYR>)Z)$K$m3e=_`kF-SBo0go??ZE-r2KJx48ZsDz5$A<;+h4*(6mpf5_E<*1CjfyM+6p{90 z<6v7y$n4QE+b~)(Ge<^eV9$#{J6oOIpzXqfi`RpFIq$J-bG2@A&5F+ZX1uSg!>$sw zZmIK0^fEnI_L_fcX!LSsUaL&>{ljmG{9yWFh-TIz;oPk=^SG+>{F6qL#4$HZjY3() zkuksLi}1k~e1(w^lPJe3nQ3j~IvfT*LzQiC%f#i$6)9iTPF!0u`u&|bY9rihG%qs3 zd5&?;_k&3d?_0@E^DfcxE;=Su+$g8# zQ!!Is)9v;Lc1h=nIUW)XUb`StBaswa;i296k=|Oqr%V@(V3>OHoqGYWUF?@)5rZh! zJJzjzWHE@dR;3@MJYUj!s|JLt5~@_60bw^?8fes7siA`re0>j z?X=m$8J2xtYX{{vzI5%NEYb~Esb)AU_1+hUnuGaI^bHXmvp`?$F;p{}+Zc3Zq23zx zaw@-4I7%w`RWYnIsj_%i*-#Dpe9spGr(A%?JoHLHg*FzNy@$4hi|c(jtPvT^*6p~P zGnaMTMIv#+wPC2AJ%#Ww#0>KKT);5iy1IFs6E=JwNr0f(UD4L5DYV}HN!h`m65H47 z^`T9b8oGiB5q;UpwYamMq~?c+YGFyi&^kFf?stmE4sOLnZ8zI)qS-|hl)af#?))i- zb_%a&p9-^+oX+v>xzC3Amse@I8XA>43bfkZS*xK9PB+qi5)9wiv;qynuUwede!8Wg zih{N%k`45lIHnDmeoFf3R#jfRRDDT>n|r1Vbr2ivFh#U$j7A1pEd^-`GT@7;(5Io! zq?CxKzGB$1^_PszJbjS~;;2e^r9DXB!TBbZ;XW9fRqvU{T+SN+p3RF@k86ON2 zw~Ph4DfV6g+E*fv(MZZDn+DrrpVR9@j9MV#&Lw>L{bGV_Ak+7ImH|kbvU%SI9>}%3 zGn@>LO}y1WKaSjf0e#m8mB5T{V8FJdPm6tPll95Z79q@w51nA9fW^1UTODDHBJx(*H$3F2?^_dmCu zwX@2SFb^#yP69I~J^5IaThmj2xg@+=L{{5T-&-rBiTosJg zY^vq-iz!GdMs1V)a@Bhrv>jT$^3BCQ2?iD7;7Ybj?o?yB{vy_{V}jN1qA-gRY>5u$ z=h=bB4SSO}vj+-;^>x3t9n_hu?tRG{f3Z;KjcvUHee!MX3e*P@U>kzY!RE7_lAIVr~QNj4k}#(7OfyQaO4-6FgnVZ5RqR?$ajv1OjHmj8kpAf zu;6&DjiW?*geS%@BPr$6LHH#^6wI`W5~|L3^((4h2&l0>P^y=mT46S0vyfAC3jGZz zSQ6_n-x{u&iNF>6Cok+;n~%8KlX85O?%b0jD$q+C&o{Y!3amt6&+v3!l|Blh9ASBM*|t%zbx|)8Z%g_T ztvlr=Ele$3zV2Tul~AQEnoy)m?noE^vxTJ9O5(^$z!bF>vB71X=0%!(iKcP&n`SWK zL9YQ<+xJ0FHJ{ML0RF4Eb?haW4z^E@lDUn@iE{EF9ry*DdGYx>gX19n>ONIXte%Iz zwRvwcblVQKbzd7Etf}MYB_>X;Q zY;n|nNn{k3$N7HS)+Q{At9sMMT`?lk4WPH3GcJZ_<;u0Z36P!tfonlzx^uKfZLXAP zix?m*=)6wTOdSa_fZ=_XlvFJmQz$9yCfk%|h}Q?27idVsfhF;!KfX6FkQBc~#@DLP z>L4&ls;<^aDzCv1M$X-G?PrM6ELZxP$GY_{M=o^v8Qdi5QP|>%GjOjGeHm~n#5(#F zkGhmS%V_1&I$VQm7_@%!PAvC03A!d1LxsYX$i8*u;q8WI;y|RapcR>ZD}@_xyN79blEP#gfzj zR_~QU-bhxlaFZxPYH~R}8o^ptZz+yC`=}tv@=L?GF&i(aFr?$!AL#5(HQ-Bn6uacP z&FLA1Q=y)o(zjAC>;gl)4dyg63C(r9j zE0oF>xdsg4DDKGF+@n2OwjMl+GP&=yS%!0?codZEnv8Y22=_fdr>#9(P%J95{YF?~ zH`(YUF)h8vf@cC=IAH!fzI*4&&`JCVG*zt*FxdzZMggEW;3~)n#ak=SI9I)XPo_x% zcUTMWTjy6NbBo@`NdxE((j1NJB81;=3&v_GmCGKkTg>#U(76T(tgvt(n<*$!mZ3k< z4Cjp8aP4cGgCX~ev@_QEK80Tqmh>dTj-ze%r^3D#df#9awpY{@xsF+1?g~kukl#mW ze$_~&;3l=ry-Hs6_fyhlv(P&h^&~@aI*q)@w%+VoM>k5TJN{|6)@vy~L^hCP@S|na ziD^hNq-u!EGuJj`MZ1ocCMPzbR@ra!f!b+1wyjPy;7!R1NG{a6I(MCISr0P|)>}ekA~H4=63VmsL_z$Fq|zrT3dnxb&sNZyw1{@mHTN5V0cYJ^uL|7ph-u0I(NeRhSJ>nqP!BRaw^`!B(&kj<_ zUkqn-mYy8vVwzf3QHawqlQRWw$vbT0jqNx>j>%Lwstv+ zK^ai)V8o5aJTF#|USi_Hf{2bW7%~T=_zfO*`0VN0w%Cp&qP1Y@Xo>7F@Q+%AKw*MH z+pibs+-_vlvujar4S2I{Wq>r3*+gB8oAp3<4fkeN)y zt_CjnflOnuL7wTAIC6pw@eXdK)f)9k&J`BjR9Y!B$kjL>$C!}aJ$g37VNNzOwA{`f zom?s~E{e;FQ7Mq=N-c<73T+;0NrD)ob;?O7+#GivwPpjHMZYY3u{uhQ-b0&DeN_3Z ze3GmJ2@J`s$G~LSjvprF{61n1Ga%7KRx%-&W0=QHSiXN)W&{vg{R2rjWzoUfG!BPzn!)8-BziHiP2JGKzdFSwes!A$IDx=>T+3HScf-ofX zss{lmMKKJ_GpS~bFR3J{+Y=^mGNZUQTws8rZ~X*fj!C*_u-wyVKg*@8nwi>M znNl{UZ*}UEC;%f)i2DBkoIqp0+;5oWTs@x^@t#5T$^g}?YN&`nVl3KfV7kLv;GSKQ zu6Vp}c(S)SHco}MS5S>^Cbl7n?ruNl_kfVd04F>|)9$S3?n=G6f#p444g}k_#~#r5 z_4aBmz2}v2fDHsn*xuH*y4@M%l-W>tF4$E_D>kXbnB1vfHqVQ9f<;A%u>qc9B)GBg zRb*8tmG)aY2UL!7T_zqPcm<=m)L1@-bCF9V#FSe z6VXF3ZuIitcgy47F9soG%QSV8xf$=b1>fcx0$v|8FSo|B-I)TXT4a&I)dQY(WpAk} zV+eTgkd86g8JnpY>o^;(4+Z7a2o-n1DH1;Yyq8%yYFD?kI;gQ6kF?7BT*)aM-L0ZJ zf{#;@RqT$8^g%jV2ou)@ql2_Aj1A}#X@w($XvZK8y9KMpmsMmJ7q^&k7x=y%z(fge zxJdkJ!$pFbdiruC>2yaXY^6_(oSu}DuTVoYfNi;PeJz5Er)OJ8M25!U4vN^#v&MUyor7H;=_M>)$w6(n`R{u4I7yKJunsd zbwSsz?5@7O?TgEinAsmY75TEg2>~poARtI=3}!}%*lg1`TyOjHV7TFvb05Aq?g7CG zw%!lPm>J=krb3WJr@sf`w%i517(`^BreHSc*T(A2XUBqRJ#VIUbI%`G8R)$61hgN^>ELS}$no9&NpRrP_w4`#o)+ zQ1!j#`S^GziMd?n$6%}lX)!j^``s$4!P92@8?0A?4Qz(Pw1|1!Sf&zMz!PqgqCPj+IkTHE$!-vTL$s+XQ!GAULRW!$pYCL~dl3 zhM!?{Il06m9W7enO_E6%vl=7{<9`sqMJZIlzP*I;M%~;Z^Z#QNlDW5ZeLhWoMUwel zje1f3LKyoxUJ};I#%;TPQP<3+hh~cMms-DnIsEQHt4uyyB^xe6K_WpBT5Oo}u0T!I zux{3UJiqY*jjp>!hsVXqMft~Sttd~cAki{QMvRuleDBnc-h?n}kSCuNigQAh3?dYb z)In{sa)miVj4e}_$8~1vlDrNJRWnCuyPl&knTEzF>%(tvP#;?Sb|pRYerm-J&C$}o zvT?*ns(%q^uFWG*T{n+Vn)8VL?#m;3bxqA9jCxUSP-C%S&WrK|ItFJ_*L8_UHeR6K zuphyp&O2ny9F~R3rhQ)yPrQG9x0$7_A=)%``zn;-O;aUzIG~Bu`qrCdsNjUO6i%$9_hTGD8H-6 z=)XBs#|?pUogwwthKx{u!0K-?5%#uV^ z(U>ub(tt;b^7}RZ@%T^=TG$dQ*5PWRg*aVKF4omS3$xv{aLt#Sv~bNeUyig8PW#fr zbQmmi0~x-e{N{`nI4{cAHl+cN#J{3^OmtwbA^RDe3KT+y_~cTz2Kn^273Gh};O=#e zhW<`)OfGfP+WS;rGSbN$hz{rO0N`5;z+MjLR^8k(fKRPL@iZO-c(qQWN6~Vun{bth z%y>4bm;7W(BMGP9ed(C_=qS?|^P=1?qKFN1-t8hq@imEqW&<)*YHXAq?x&KLE96Nq2uupgS_l0tzFtFMKp-Fzx z$Pd=n`1PQ!wF5rebzh4U9)8|(LLRh6sL#vXTOBUoM|<)BA?|J-pfu+J``woZ$aRW5 zz-SlccVs-kc{dMO!@5~K!1GnKUDTwog2Lqi+Nbo>_Tx%Y_{HI7#dCD!gQ4mtbnFv` z*r}UawD*athW5rcsnUPSEz;OCHsxWd9gUN!0tD!^s&u72(9u2C9*eF zM=W{2l}s*yN}JFt%73Ck){jC*DYAbVhWvK|#r+gl^!MqPotu*1}jZ zUX`L&xsAE&)=+8Zj$18)F}bA1Ixna^+Ngp*6QrCS@opxUmbY{vn!F`zNAQne>E`WX z>6$STt&U5#J&N)J>TWVMu+D82XHn*+M(*8>W@?z~h}xp9Gs5qE1*Dcwy`ZZW%O|5} zhyKa8CX1Tvo+h`wPQ8YA;NdCF*pYGDCzETH+J7R4Uq zijZ?e$~UXGVFO$KB=t18gnSsJLjFEiArnV_a{Zq1sSq_?{pBj8^R*TfjVk0jCDn@3 zj>D=DBw-H~^5mUTR>KBM@^(j6A@5K-%ek*@6*7Krb+8IaRF}928m1t6kbfrTiKNu3NKX3K}uy-3|(+xr1WA`#LCZ ztt;7Z5xUUMq#~TyFz4M4iZ!g8bsx`fygCyoj0|8k0)yvwD{mD&+F9 zvX`_5*4kTI14&ajBjkQtRyeEhZN&?#S$NqVC6%G{wd$Sf#a+NqVpUAOCT!5k&0oHP zQHGT*o$5Vc?Ti!DG4Jj>6_$^%KGxQtG*xsy1)heFx>#z)GT@V{XLBH(h{e?siRj`n znWRv`kB&&{mk)Cl>0{LF(!ZrovkZcTTfC?glV^)a7vqQhMab^K2Z5?CLA!Y-myObZ=C2^1(Q-#!R9qAL}6su&4h=KOZ&00 zDNCrD4_8=??LVxCcv&ZFzxIYU4L#(_?p51xRx7K0ebt3HFl>X$E?*>upAImP7T$9w zmG!`^fnh=b(9g6$oy|zY-c-_BfE(M6eLt&>SsxSV=SFEgK5Y5>d7FdCvFdgIhnv*X z#Cr8G0YCa}E%$OZ?h`T@j{~}gz1Q26-GYL}MdvhZ4-5aiQDphCpIk!e4)Q&Y1$!ih zuXMBSrrDS;JC5+x?pt%;qaD85FYBNv?Ks%?;69tE#k}a@dkE~|eUH4|QGE~E@%24u zVY!*I!M;cGD6SP=qDQeOjQ6aLeLM=pXv?Gcg)3-`M9yj_^p9dSUOrX2T8xlr*?u&P zb~|qqdg%5xD9ybM``y>u__n%|4Huyqy~06_#fCZWvV_)I!@61b@%+XMl!Tc30m-#< zdgPPg!8Zo}Mtgnz*B9lzO7*m$_NTwRuER&KAW#`+m9$dHmheoFUHY}TuHkFGrYN`7 z*YLjY48M@=_IJ~*_WjrYXHm}7cOn75wRPufvOD*tJJsI70ApwT_*fPuRScAgyI#!k1et+1LM}w_N&N$KMu*prmx+$*GpO?|V1UMGP zNm-99t3{p8h+1-2DoP162pL%c7ptm9UmU-_B8`GdQU z-Fj>M&Xp$wL^fj#++8bTQc`R<4y!yNx=U4_H-r}L_vr9Z{eGvU~Xe>|z8%g@-h9Px;f=2?~)S^Cq7rHO#B$qsHEQ$M(UQ~KEC zERG`UW{Hurl#JzPTf#ZhORS`2vZ>m)%1nvLB|1NdmHx_-a+Yd*!-dfOamYQ4M0;?`z7qlKR6ef*q&s$bM;k&cPVp#WNdnINLGKvb;A-{j2 z-d}|`_Vn4bD>%rLOJH#jZ(VHg)_7AC)O7VXh_`-G!>x4ha7?^~Sme_?85er##apAK zDCF&q%3H&vN4DpeNUN}noMwtbo+|Nup64RdqWaav<3KV!@wC9B`U}i5!*SSbjV3y z8y6Pt6L=?dAL5TSXMv9tf?1xEz*2us>VKtLHvd{Z&a(MAMbi!{@l76JZ1kT0#|<%1?Q_mxG^1%eiAzE-h$j3Jrc*2-t!isP$<0H4)DL zv22FKT^JA>F76G-h9P-n=9c3;QRJ`ViN9=5BBrO9X2W2FlbCcv!C@2<9+dFdwV3nTI2onmPv3NOL-8pUz|mIok9akH^na2jk|By1YwD_NNqe74Q#gDe$Bs25#> zt-|sF9C0QjY;vFdlKIsPGn=gf?gokAZwd4hW5g>I!6aSXBSCVv+=w}WxKHlI-p;pc z0!0%IoprBnT_(VHpFZY?h$~ytde^ObApA9KoK{k(Q7B2&xwHmZ{PpHUsB1jZ`qOfL zi}LbuBHm8ZXR!X82XiSBxtkNA9PGly;NVXLZ2ow_Tw6X1nT6eJt4;nxpr$CF7k}^f z9OUy~YYhndW!P$y-tze;T2vtzJFg1;=p~=6Z{v{9*6!t_3bTK^^|Y-y881~#1pNJU zpaWm2Qk4HX(9ypM$4ik8Kii@M{S1G-rGxct9CTpqUOqawveKbadhEG{;v+t03XT{w zu`iS!6E@7b;y|z?FAh4(5o|M5d;bAj7Vj2gUmfJ7Zr>nkN;A_()Un}p(U(NsDkT3(m zN=%rWa(t`L3p#Yxy-WwP6__v~dy&_upUbRUfZcHM zws5rb>vb-&`Z0ZtGRd^E3?f>H7VT|vpfSiE2&U!nkh_x2TV-G^oE zg1t7N%!pO5#0zh>PdFaW$?t@c|B#hj2qk}%m3$_Y{6SXo=}_|jW+lH8N`5&jSqdee%S!${l>C>hMGV>O*H$Ik#{DJ{ zNYjGKiSaY4B=S?nTgEUgcnbpYex!bLs1Us=owO3bwyfbh1=r<~q;0BYHt$UAJ4s}` zTgH|ru!I>p+@d3Wr)OpwArHX}eW$NTPh7orMpO& z>)GVw5`7|iu<-(QuS1^A2^YMcfprQ1!kcfog};X4#R8a|@N5MHlr z-IQ=s?KXP_*Qg!v$smD1UMXxB!FOAU-l?(;7a^Dp7r~q!zMKrjd*b@x>>~+hhz!^S zEWs?`jFeE$?CrvaGZX*pEx?_uPl3VML4fdCco|(x2czRds0A>?C#i+!f@u6oEgFk* zy=)Ne-K}F(6fX!R*JLFx2_-MmvA1wDZqVh(4Oo^l!|?+12loYg?s(Vu-lc11ac#I* znVy$(eBW0C*3ApuIMRx+}&R89`CBX01Eo|F@ z*w`!ZXG3-N=47galgyu(RpWbA1!}@P3651~negUTUdT128|luL&^e&9^`5c;RU8 z)kPBxbNaPUWmHGSRI*Ez0V~~xv}RRGg+L5N&UJbcHul(k(m=ezWukMF61B-Idjpuk zzL?VTXOOl-H&sPjR0IWXOGWpI7+riqpDrH zbwV=d4ozZD=yOW3i6`uKQ+ZUqK(+Q0;dw6ow1yj2BMx5L>eKA^wncQ0?rCYvCslNL z?8i26-OA#3lCnTok{o5)(2}G`gNI*mIS9L;ELa~6Ba*&B*ao_QGDw!Z34; z0g2_<^a5Dfd)BN-9l7s-?(dC~W~6G5+CQtOZK?cyI%67>IK+EI$PenjcP&vpZPs{g z6-5)ZpbpkQKceF){W51^`NjOS&_=AmVXs8LS=2SJd#>~rfF#?5(}IJ zh7}=*xJLTIek_AWoI?mW3=AkfHwoU=%S6meRP7(VVsxCNJL@LYi0mdrNJr3=)=lzm z(M4=ygp9-HLk05sL-r3tD{)o@d0<-8(QS6#uPaT*`P1T{=s0t(bm^e#8`vt!KMa@t zC|s%pLVTfEWgoqyFArQ!>Wy~Tm8RfeD}n7BKO3>zKp_GK|AvoVOZUgQjNKSsNhiYi z0bRWE0-^P$8FZ_?%$&tVX405)fgzEknCB){IfF`JVS6tP+2L4HAuY96Xy<_X+@w(O z))R-eW>$DY0VAf_9)g`I!i%bk@_&63fdHa4m9NL_756=^`&e~Y-8s2Lqk7x76z9eZ z+#7;L`+{J`wK73_ItW@~G3PXSfN@)tFV!RQ@mK3yj<1$EYV-3@4qO^cDkMU4AovPx zmi%^I$wy!P`H+e(*SNp00;^lMzF0k}q!ZS#EjS|#j~*p#hnQu|@kbHDB=cA*GE$7R z9<%uP#!RnLk(K92y?gX!Z#H8!4QM`~r`h`A9u3VSs*OIvdUv(H zP3GplmdP3(pwhN2)}#mMn%H=68n`0}l-2&$2q>UQyH^L?7L~yom=7|=>~DAt>-&uO z-njH_vYs}F51t&dYbwNZ*r0|jVII@Hi=DS=tQK`n+y@mxJ!}?pOy}_Yox1vj60;xG zPCzIM?&tZFiVm$NNQx498BAgfkn5kRjp99$&TA5nvFhiG4U%|koQ^O0Ht`RkkNAO0 zE|rg%>)9!uV{I={7GiMt>qNweFkLPD^{>~hgumA4>Ppg;(r5vrV5lOx-H(+IQRZE1UM4enhrcXTG zmh^{J+LZLSWRjlqu|gCRg3biECSU(Ky$dCrRuSui8^&*ot%>qQ`Pt3fh&53@AEJ!J z2#$rAK|A(vCH$qeh|cpVqLa^iUD-%inE67|+bR{=*my&~vm%pvu*tM0Yu9A$)e(hW zleNz$S=)*S#pwCU%x1*{J7IcS-;+yii}_xa<`%Og>4gM+GHxkR(tVPBf04bc4o6dg>7JZasGSayn@9~|ox@>hHGd`(EN zMU9!@!1Fr_ zFu3n)HriKhtYw9+=2@TBm5bR(QAyFHK8l@ykyaOkPj|qG ztd>^5Xy1xhb1h($7V51j;WZl^8$3GBzZFd5KH-TzY#UAE{t<{i54L^!cLol(AOqZ9 zl)su;?VR@wGW2O7#u2EwD33|FwA&;>t|e8@OAd{mC_b80Su<~a&D%)NU+AW1l3bf4 zyJ5q{qO_oyPTLgnu8b<77A=9xsB#&?<6#II)i$|gWcb}Gm|TiixEil~QTi-h(U1Dd zT_W9dMe`)}70lCgMR`B(UTBI8#!zVaa?H<_nzet zNB0c{5rkA7RZ(Rchg&YSI^a&#z2#D?Yj*ZS%T>o1*f_+_rZHj^dXEuTdXEwJ^A~GN zW5oSAEhMBOg?TPv;rMc3>w4cYqPiv)c3etzR7G>_+Su5Ch1ZkarnP~ODl3rHgol(L z_>k65agL#s?_b6sZ@BpGaHO(&|1#{!-gelyw_O+vYWMP!k8Ta?U~U(H>|epAjw~aY z($V&OVhM^ZKe2bov6(KrUu;s(r}fR|UCgAi1cmZy`3c=u9>gp3#ftBTw1)GHt{o4{ zELh2%@8Ze^p}o;FXdU11JF|>h%hkE?V|B$#dTdZ4dU&ocBYd@tQETfKB1s60-aEm3 zhpyx>+Q`o8r=-7qZHlAZ!&%FUo_T%FjHlw3Iwn1xE9UB9( z4L1*56vV~Pu9b0!=XZNTw zy1!3VumvE zi^#fH+k}!;sciCRJ4!Ny_3nY&0!(b($TCG@WOs zL+-5!i!J~zc!TD^4%;5t&WmhuX`2xOF{q$YI&3(%p#wbwEykJ3T6|Pi&|R`4=er_z z?-7l+b{D!b7GpQ4-4^3-C(^UmF4_j@)3WVA5c@+L>9G_!Qoivjh+_w7mMpWs@loB& zUQ=PgGRCur274Qek*fzTV?eX0V>GsJb5N(>ay<0mH zcl|f%GP3pN>JxWRu4own#HOUv`Zl_Sd)SYbj?*bn09Tm=nN=x*V6VCev9gOMG^6U| ziHj21qvTN$5A9ZMK+f!-%F(0`G{=@*w87TFFx?)t#kNg|8eoD2p<7mtvzcoUbuSHV z+^ub}9?&hk!S=3ZTeQK7Zv+re!iW4@e|WDgEwCjh++ozuHV$wDxUdaQ!zP*CZ5?d3 zg$RLV**Mnv#crYSIQ7Pt!LwyH!jOKkAr%rB5ox=(fPQahH~8PlbSghCk#p zvJP=%A^`b8PiZG|G`M2h(M|d=F315k=0ix(vu*8mwzS(}k6UExw4Py?F~sDQo}^Br z1(Rl5R1Q8(<4`lOvGKFX!&ZN$+wDMS$R|@0NFyKym$YMVFM#I28)F3JplZ-(;0y7` z2)5eU+HS`%W8=e~x75xqu51uXO>A=t-GMi#Vyn&>8F&FoWeZpO)@o;4yB#QJi)v*% zMB6YI9%A=j+QEqgTa?feXK)Yn&K}YH!%=(M?QCzigMEZM#M^54%*d?QG!HoZfb{+d&@C6TVGHKnK)IJE$or0#2D0F_b` zb_;Ji+wFjFWCPR#pKl6B-sWg{L$=uXP$MnEy_i6#W_p6Xvpa9+U@!h*vuET7{ju$V z*(G_8{%Hp{-{=~HhZY$R@QTjljVAPJufDVX&`uBjG5&^6P2wO|z#Z+_KjXuQfj6iN z%7GVb3u?xxt3O@*!>EI=IX%UAfHx$MX>+z?xAB4Zt!)fOWHwTqeW|&lLD%%Bi+{M! zOcCyfYoJwHqCaf92VG;=m@I<+xEisBBu19B@YaKWpfmV~&Hkv9`uIgVY_vl!pgMS! ztKb=_4ILnv8MT;zJk1EuFEhE&Lj-#050VJ}foB*&xRy=t&7SVUTNnSB z{DlW;5xjv1!xq{ST|Ecr4062zX=n?dU zRbvKT+yobE2PwI)gufoo#h-G}uhn z>~OpZFxTiy7l$(b>~KR*%qN0!z&x!`H|^1i?Q#xwXbHTU3}ln?z&UALy7&`mhO~jt zr}Pcqz(Y_m`px8T_5pN*8w`YmgSuuh|#yQPkFq%dyZGWF0hS zl9PTziC~Z31uHSN(#4zHX?opiryewyjmuF%z?j=k^w1rn!2KNg*hca}SEp9GI1}jt zrtqxH2gEkuc^j7nwL>fDGRn+%1%5Ota*lr07Qs<<{V~OtPpZ-eUjh?t0Iyj%$SJc8 z;5pnC#>1>=+A)r|E&fe}dq;|G6+X2JKC;Ulso6*?Of`?n7W;l~xBHK)oBRk

BF$u^h^Bl4ayz#=FqdG%EN530tfNIcw;DC_|jye`j>d)3@J5U&$ zh6LexAVzc9_}Dw*Xop@R7oY+pEG<(4uYr$Nf6l0|F+Ru@bb!4y!E6}XG0s7Q(jxpq zKcGeABr?-%7UTuGv8$cd_`nP35+YC7l|XFz#5gpCYv_YnIM4vrE%3lMyuP8Uo!0nZ zt08T%Z{bu6mDtNPkOcN>2!ok1!+JoH(FZIyqv~2y$MHF%%MH9ir*JfsZJL@V%|=9W z@gR6JtA^e(`p}tK66jcHME!wFV>?}=!*h%dmLc%cE7O%GJxx!;r}%XAjwj#(+w~pZ z3DlOi(i$5qLDRsDEbyij^#5()_c9$I~AjSQ4x)+0TK2f+w6 z(F!}#(?2XG>_8*|-yESmED>6-^-aWBYh0jXtROT9xQ9QH?$9o+aE(@gnO>RYgkJy_ zply@x>I>dAFTpYPu-8vEXuw7st!q9X_(ywLkB$c@kf8=U{pAc?n>@$*geO{UZBZPC zS6w#R!cU?mM%H{9^G?xq$Q`_2(+Wl%@C-gbx*JOh?6J*wtF7r?Z7~K=7jl$m7;$29 z)~_9s*zhmVV-M0kvX+=%H+GRkb6gWaa^f^kO(+F=E z{oypmFB<*fG|JbG{%{)S8|>lNbd7UUW<;|1I=h`ncT-X{$s#fN%ZGa)4gV{Ldms(@ ztA~3a4f8h-_dpujiQyhd!#O$J18E3v9PWWMY;Uj!Hg}DKP3n*k)Gh9F7QK086{K-` z^U5kn<2Jpr3eq@kw+g0)!a;9AwQHqSBs_fUN~=hixMihPBwWl`6~$1vNZdI$%44a| zw~g{x>gU@>d2DO2gzyG8UeLi_h%%=%x7n^K%cHv7nzDRcMZL4Q@+7zT3dhYdi+MxV zIoU8VE}f_MuU7Fs2|wQ2@NmfQo0rYYJ2!c2dmC@_8Q!Wl{``Q7mM5Hqw`Qu?D1_4=%x+x% zPQoQl2(P;**a2yPL4qwu!x@1VhzVx}g2KhdeZ`66Ny>20rgL0^h90;Ct+=8GMEmLT_j6iubx16Au z`1+wXf){mfORoKM75S5A4%A+8A>6}Tk4)nA1HXG1zL16i0bnV)yp9-lw|EfJkYTX* z@v^_XMT_vQxu3KS$d)-CuGJ!-jjZDtvOt`M=_f}-)~GUYFq)`scvSsWLKTX_!q@OQ zG|A@rF_=nj3qe~#-t$5TZ`QK6or5mkP)P~_`Oke(^-zW?(6$OtMTp4q*vU-F5!+2w z|6f8CQEOtPbed=w@i9VR7N;fFjDS3j(Y^P)h>@6aWAK2ml+JWk+08Z?$_D005F(000UA002d7VPZ*cW^Y77?VJmUW_NkU z&n8)o(G51H@fLN=oUCbdcjrE{DT!uha#J^1cGe5(GWq86#U-;BXLn<^MTr;`OK(_O zT7-f{5kx^y3WY+8R45cg@rF605rv4-sze1zVD`4s1q?~m+ya>pT^n$FH;Gucvh zIy;s_9fSn(a8B z<;70%mWaFcV<{WShO^0RTo;dKi`gFiKBoVpIvUgYk!(Rn^ZGxgYx%UTpZi5KrSDVO zL^h=3rR;^jk^+~R?|^Wbe(6Xc_nF__(g$aemON(K`NJM%aw1 z2Sj^Xw3q;J5dPwTTK$=a*m&gj!)y6S=K4cUOsofhRu zomUAq#7hTE;ucCR!mzo43yHp}94R@W`*3bx~HaBk^5R~*vs^TBf=dy76j z7aBjPBRI~>nv+`RxMYvZZo{(QXjpY9YP@z=ZHSr416~0 zDfddkqBgDLAro5Ygcdd$il7phABp9UY4L5TgNKahYf48bFs!TR!vOpomx3dBh!C%T zz0NQ6*PK5ucIiy%s~qRW)%squ$0mz~nlj`O?1Zsn)A&5B$G zM{L!s_ce*%jP*9>e6N?YrIMa=#xjKP^Y;@*DrY37w}rlz@V6xKxErwt439oo^?gZa7xj}8xfa6+hX*@fgR8w^kvyJ;MFpq-xF`@E)(To$!F`I4%W{wB zK=o{cs?2RPTH02AQ1MShO*ksJxSFH~8;hJw1v#Rl{fbqTpnG%;b?2DAM)Vmy*r+}m zs{^b`l4ZhcchVh&vJ1f%OE#&HSV`}5Lj2>iUO3XSnx9L|ytqwgN=-Pj@f#N(^b1S+Ph&K%Scv&z=9YG&u6 zp`D9%_F*k6k%)=fGS9I1w8X>B>QES&a%WOJk=W7|Z5<73Wf*=)=Un=XO5OwtysRei zK1KX9l1vNTBeNxH7ygst!qzQ{KO5$FF(gg)#Kw6YQ(6Vrqc^hWC6As+GOguI$Z?rI zC!Lp7*w?hAofr*TEJaZ_E?%_iNL&&}j<70?<{tev9&19gNl_q?GEfq)3N4X1E!}ET zXzC|*?SlS~h#L*gu#P}S@T_puf&Wbpa9t7+ofe$-&3i>rjHZ?ZLM(~0 zcaPS<{Dl5=N>V1J{i41PW4Y^V_?VVfZY<5Rou3P>EtrGo^u_%sU4!NwJ zBWz6%%sE!bFb0b(hyqUt4Uv1F4n0$0rg27r{w^)=gyi?=3rDmAq3*@o;!TD^26$yR zSrKCic9Z=@I(`5~XdhbWYs;n{SVIfzY)cN-VL!mbg1)Rx*?buLNE+4EXwF`^Jt0m^ zA&EHE^>uq*O;$VB1sd6XbQzCTS{C*KB@C#rGFl-E9nqJd%kB>$>sra0&hC``=Co&b zX#Wn&x(DmK2lCKrRGfyyi;&Fz*x%q}dCWVAa*WErRXcP8*`PV(rT#Wsmx4!gl_M8% z3U6ht>=mAl6!wFd3N~2}_~a8;9(cO6VpL*(!;WvM%OQG<=nH!jiP(!N{bp_017i_P za)i%uy?qjIz)NDMy54qBRwFjo_6fg%FO=Brtb}MB$Mj(qlA|?_!KsQ(gF~kyfNwU}@T1?`7k3Tt2c(CK_MUKL2 z&L?5ka`nn{$)^r4o-~^PBL%<^%IwlhjIl|AeBu97!`%QVoo`L%P z$h%VV-YPGi5lKzPl&)rX*a`BJQ9UJ&MxsaD#0fBiPOQbYX7q=LP?1PR>08rcwjySg zoR3TRR>|3?mEZ~FKq`7H07A)MMjCqq#;Ik96|AtVu2?ylAIfUyXA}v%;#*Y1%qr5| zlg7ENT|KuCn9i^w#!cYX6&FVF53m5_) ziJ!-XEoT4A{oYEhb#+3lA<|Kqfn`=ftOeaJw6U-~#shhBGM+T9>$QqB(&01rb@!c; z9*xMduCBlrw^CfUPFTVM`nbVS3 zvtML>FbECVk{jF?v^SI6rw#oXI+6X#ec%jxgReUpJC~6?$YJz8zL88X)j`^;dt%zW z<`$IIDviayd02k((}=`pmO|@biFJ@lDtyX>#`N?ne(!^R&16qhvL@w$qqAHP!s+ZmbkH8|*W}wFd zm;s*DB@fCA9@6Fbbgl2$y2{X#JN$sE1mw6w_H2a;mm|6{Y>yw%PjQw?o(_e2>jEp1 z(e2WP-kB8<@twKZ2cji=!cA}I4loA7=o6@1*az|+S%aQVdqV%5`o?0^x!W3(d{dr^ zh$snq=rL?}5Jl&LXVZ1yGt5oM=}d>|yj;DuVw^6)C-vJ974hOaRgvSH5jgg`7&>V&{FcjvB`I zCO|kdX5-p-OV9<>yVs{?pze-Zy0_LjtvKKAuXUI)j7WR9LrG79ZMxG8S5IV1)`bn3 zAW#1|_XKcdS!7ElGU(qFlNU9+sJ#_^4VX#}QokzdcUi&SH9(QN& z*e+dMi{S(%;y|rE2@l{72)ckvM<^_hZ{cB}j|p?sJ!9+W(mZ48tFpIhmpqTBKKL&vW2qA1_9nE;;gr@+(+d4B@YDD~pnxE;cYZ~Uo ztcE)WpvU)qzzqJy3HnldkW;Y$=!~32HRpS&hnqB} z5+O_ADct3=51|nn6RpYCM0uhj`4jz+NTp5&Wwkxh8tqGG;`^y&J!Bx+Pqw&cbDifb zY|Xg>ZSi}s%pS+P3T<+B({Ra3ZHILrvg(%KB^aQ*Aep zPww;F7#}5`5gW)nSev}aN_@Z2pVEzxd6*}FOT0R|qWV)sjr&V)2$I+-`!6Lbx0@6r$~4PVnzRXFQyhlscG?QVh0EU!}zzSW6W&uBBHkA3An@p><`%X9j-ul zy&k($@teoD$r=>(JyOXQetrVXV`DV7UoB}p?78bbkVaHz5=Vc}TFDrlo{Y$Smn2d3 zE$BU@RBm6z>&}FGlYRn*$_2#WyJ-X?YB`3pzhn(!D7liAL@$W8Jf}={;)y%nNNU9# zvrj7cB2O{hVwaH$>Ns+r!Cw1bB6uU_;P0#xEMg6!nf!{ol}Ke1=q8fdf(T7DM(m(! zqgJM>#IhVYf}oY}H*PSkbQ%TQ-B=&%MijD}0g#7$RSwkt3=k)q4k?u;O{EHJ)E!8byYK9{eMdO2c-d zF^TTAV=}`+DM^5V$_B&Bvtx^5(qv4}73 zBEdiV#vL7Yhv>)Nfjxf1JAGe-+7HiX7djCreY&MbAMndg(9z&^@J2&>Io?2Ir6TZz z-?0dk5*f*zAUJS=l;hFY^`Q?7_{kNp?EHl$mWMr&2R40wo~Zzsqi?q6|Gf`xKTn_3u{|rSl-TCD ziEH_w_iM!=Fq;>HaoC03lUoYAOY1GcOBsee@8fk~m=`pq0hPg3aMzE<=j&`8jSC4H zIqrMeufyCgALPY^A$a3sc`+4-oj3RH|9}4G-lYq-|7Gv?0pZ%aec!&QAV`mOX}mLf z=_0T1m8MQC(uS)J@K^tvdspg{G{&hYed3}ZayUAOOYzOUOBXU_Vf9F)vNsC~$s2J! zsq9{T+9|B~vo2B3M?KeD!@VF7#Y@FIp8{QZ@qV!{MRDAORO4`P6%KiERNq}I3X|P2 z#3=rif{>8k* zzwD%_FTn4%^M^}E=Fm>+9bHEV0qI!Rv$tLfCPfv?@eIGNc;6C+Ihb7!ga$s-@E6TT zMvtAybGKD7uwO__dc648pg*R!^#N`(QAg$!rWZ%Hl*4%ZE#uL`Rb|7M zFKx3MIf)jKPMFSCe?P?${JeYoos*r7{8Ju@a62MxOp{*_rhW4U;=^&Cuh?h!BSNVd zB?tNseWX49ji#i>s5nZ;*u@AH>AiRV?#VGWpmhw+4+-v=!#E)Nk^ec(em*W}3KZV9 z7tuG`|SZZq{7C4ZDEA1jv1uX4?! z;Xfq9@9zIvDC6siAHl!2HW4N7MojLW3rDBYkQqxEoXuFki?JhSpMbD+wg6+bZk(RE?RWl61=muCn49|+vlRGoyt(?~ch73^+R z6hzM5z8hKwnTIh}VWo<#sr`!*4N5?6vgae^kN+5dUfk92zyGgL0N7V${>7gDx`eyl zznamaaGyWj{cHCc#Sw9`k zII6yd^iO-Vb{3q|QFogd_>+tdQWxC+>|ZaMs`{cb<5TQ!`^wL>uWF~p%B)Mj#Z?{w zgLp6WsiBx6sYP__=}O<~iPF>Oq`QFs2jjR>Cow^L|B7)Na-~zRX7aBbD$v<0T-g6M z{3!GmN6>!i^VK&Gw2}j8>!)@8)z4nxBujb+?fV%8j+^Iep#OEzXWi{TG=ID1v4DYq zFuqGgWd&(55mf_qA!R8cRVf8|11WiN1+_RP*dRr;(6|H@u#bR})DltJ`ePg%l#KOi zeIrZZ_NFINombR3GBRHXVe0Em7lc3CZ`;)yi`N?3&1ZZU1t@MxTpyiP%9o|C;*)?S zU2)7|?h`PciT4odz2*z^EaD`n_hCVLjuo zfP_-&rIi5drWGXi5>Ox@>2y)LK2KMCP5-J@; zTn{)s@Ru9EPyvK8!QC;%bm*p-yhIM5hiFw34)<~~kwXT9i($g4q}1VU72On_lF(~O z!4AJ6CA0K%79hjr9|cYR}M*<#9R54^T~Xv9*%V%xvt3 zeJ+DkS)Tl=$oJ{~5|`!+$iYc{e}_!S#w2y{1O9)#NuOaRQB}RIYYGkogiZ_ugz(*) zLb4JD$_i?#|LMxhv?+UBHMG&%e1>Q$I!z*UbhN{KA|;(qw+2h{3`KM`JCOzRV3Pfr zu>mKVjX86SE#K4%?JF7D6x1Fzb_tw4VIRLMWx8{gSnXR?)A&@p8m>m@$dBd z9rGDW>UVkHTOd)P`zo<>l5j%0WAMvBJV#iYL$A@OqQvgZe1?^}S$4oSgWd&5p*W@; zzE;E&f1Q5@_!8w9w?}Ltuf@W13+RaWLBwmxs@Z~I9UH-F%cun2Wn##S!r2y&V;o0w z9RJgr!U4udMK+z)3biz=sk!aoRA!bgyTUgRz-OVn@~0A3hJ0^BS^K}45%f189C`XyPeK#_nduQC0OT!L9?br70LneWyLXs zi+XjQ&g52|iLEl@8q>rv!)DFawL)@66C-gch@H!1wun8oX}S@CluODTg2}sqMh}Z> zF$}I;;~cLJeE}U;4jOB@az?AL6+Cj0C30!WUvMzR;LNa`FcqN`lDWdCswjqDQL(Ie zZmG~B*|^Ju6DVQpqqYfv(z)IEH5^+-g1>>~p&%)x&T*Asvk`su z@7t|G?XdY@p(*v>ZeYzp7#`GW=3ue26f>Cg#Fiy3`o`bVIJ`W(CO9mDc@;4NMK{?2;&}AiNW%$+_N4G z$uG7}J65sS|mz?^7-8q&-ju7eBfC#OMrfhnowQ7S}}e1T9jYl+cUaU3JP zCQub#_lTK3%9#d~TcuWc6d5}yr_p4gb$#hV~r=mZjsSu9BPX(S;Se}6?`ii}f9N=7MBv7T= zS3BD)v#(;-VTRlPs%vB%eOMe3M>T+7A~a(mTw-N4yK-`PB8zF2kUM#5pnqCrba0N^ zA*7vrP=?-yUXOF+E$x#GP9roPRETX2a}*LMtfXZWC4o^Yt3#j66~>Yt_vUubvI$|7 z&3u8}9Q{}{N6R?i#Q+O`_CkSXFr((SdHn)Wa(`bxrr~J1DhJnKb^QbEPT%=LEJC4- zR?1$gxAz$p6jQk(j??wTj&r5vgZRCjOQo%SjqrrzKp?j>3V%R*OVdMZ;|0RUBU@8} zyZcT!uJ*C8sSf<)j=>UGBkvg_?=D$%)=8jwa^@MKEBj!(*g9d`>3VG+X_;}RXeS!@ z+<}%UW2G|`sqFO?Ly>ya_mEblijoh1)M2Y0qWKAv>eG@YZm)F)PWDKs_Or)!QU1_9LHl(WzEPeX{{e z|MO5(*PlPhiH^A@7vS4AhDmpiEaus|qr$srbxE%>VaNS|RV|9&ryhe^LaIJI6bwAr+IwNw<{Bx)G|M9WbHy<}Y2(nIn zkF2MNKtRynd@N-TFmrZxbp%}g+OSp;LJQMa^r$J@-4iBT*W_((>XfP|z+6{HLmyT} zZaR@~P>JG85G8ike-`Brz~m2j!l}O|(ifxh`?|QelwVXv^a!9Z{X41sHJOqAG1E&i zgJ{=qXhDwN9NVSPy0rQrW!6FOUEs6)MEr;{)5c~u08+V8HMI)ToB?m7*W}p~tr>Qa z`0@;Df$6n8zMPl50=d&9alGc+BX;KM1MC}a$#ydxlu^Hs+OJ;vhopt8irk{}oP?%B zywi~D6@FCU5vP@M7dM%$4pR_(k*y(4FG8nD#(uIvZ*ZfDTHIS!jx^Oarq;pJ_)oPV zsoS!6Br4J$xJRpzlk68YFLpuCuZOPE>aXl#b-E4dduPvl4G-1ofkeJkUBkH^_=XeS zXa=PTqnSNI(={_&aBK8%LKE5w555b6oT$s^H0f53Hm8M4fVT;j5o4UE_X)ek#%2>a zJ9G2=%2VKTf`)0i5L&L>!|mVjd$8NrR^=-+G#PR2(%oEs?giw_yRH)&(R<q3nT z%@ZwdCXunxXKTv@<28=RJKwQw5l67{$z*YA1L4eQ(g!GZzm?=J`2VIxUY$K8SYUYL z&-C@-Ue0*N&c&TyR8I?@ufK9Q53q6xr4xe+WWFEo*`%OzGOzk5t562DPzH%?SP^2gpkxK^QaOh>SG69QeX?gin%Vl~+k)?E~T)Pv?bhllAeI8ZNI;P-!%pFmEvkn}qV3&5f zy8PO~yt;M~x&giPd77SMD#vE<^DsoR({nOftA%bD*)Y@^5Whw|bGHai3V! z{Bz-Xd6ISq4Fb>Oo5vGLo_m(|I^I)I9JiDY`1oI!PDr%z+w5bwaWZBDO@Ccq-uw`J zVV=nSuQ}L1nD=VL>7M(>JOd68(02hODkpTAre}ltjdq2m_6l_5hw*4hW8|jd5~+3l zhzHPH(0W!9Vd@SkcIAukCZ(}#%ugbJ;V*Hy`mcNs^!(?p#x*uAJDGxEn$C&o>6~;f zi$u>E@9D`=845A>sq_u_0*rF zTTS0t2*?iDEeI}qk}97n)T)Kb)#EI1S*nHBKMb?R6m5*hx7O zs)?&P*IX==mWwZI(6Km>`Eb}Au1|LIHVdxxyj~WI*F7rYrbLnyqGL|Au9- zs@q0wG?KJLt2gklnw`N=Mhv8hdnj)Q9P;T6-EeE`1E#PVjeU&Z}$!7*U zUe8TPL&3&{qJtiJmT2uVX;k)$1`VUx1&uf9d`elL(biNbzDnA3-3yWhiFH)tV*Co7 z=vuxkKHy_AT-au&aph!oo?W6L*a;fsTm4^i@jXg>r z479Pt?EKNjq$(7z2MMcn!`kB$S&7i}W2DUsplu|aL4*Fmmu_6+3NB?S|EMcdLFz&| zO%7*Vo(YF4Vb^A>;AxTF4*sGS@6vOm9##hg86akB>a6Rqfylz5ZzDMWtQT*$?S+n|Ib5xsvw?)@n42~TqU z3(cKIR}V>*c&*`-#?<4({sG>qF#AcQU>Alj>S(K2Y>==_a%Z*8zk}KZIW{%O+qwO_ z0e5b#pZvJAO3wn4yLYS41K=EQgI^CEsRG>uoB|e7z`T-C1os8H^j2IGkk;Tmzqxjm z%r!>8Awtn<%1DKI5jmypL$lw3Re1>qs9GkhBo}%H1Up6)M-9%?nH@s(OKAb|WY3l$ zES$R4JW^GSAQud7B2@{?1l-N`Ax9wImi2d-UO@r)&xI}A6hP6!y&+C`YvBUC^YH-c z5_$=L3A6o|>7L^MPJX)o?FHoLnDjSPXrdwC`m(r1 z{45q16Bd)M;&H!9XR(;}p8g%@pbuC273-2z+v=y~u|GO2fQOj_CBXLS_@Z>Bp}xNN zqbgKr6)|(qZPlm+vj%7je&gLOP#3y-*2ouW&)6YMpVJV~4EkyG%$__T=aTSAy44mJm|hl_~RXF25MrN^t#VJ&8}GJ>z$*mc#US=lRe;W1nMTg#9U9(F;n9ny*~E;i0ZR<6Y8D4^b=rh>XVYHLPCj!s zFuS|ThDxY&d@y9^QzoTbVdaU+u9iWLIhTk0K}Ss5<5)%mzoGIZHBuwQbN8Emgi0By zcEk4^p3GH?=+dW~h6T#((Up5#1C!Fl_OQ9(vowZiC6jrk4jN7!t`1|&6&7l2C(x|= zF?U2rdI>nxB>`g^fsPP|V+ls){d&BokyVY}@Mnw!{l5G2pHOiqC4ea;!qCpoFheHhR&)t0_fqm|# zqdd1iVb{<2BeTlStHUn`lIKADZbh>2Wlomr;Fn)1IYgmeBh!nHTst!cYMSX3P4(7} zTI|#(5RgIK`Q%DDCi6z*AMqX!d{pP9 zN57-wcyRO)Ak0$juMIf%bwIaeYzR6EvZxV)}yDcPF77|9fEM?PjGX3_EyqUm?-6!O2NyRl=9!!sCf0u1;#X8f2c$Q zACGWMcg__Y-!=<1?UsQimrw-^jc4kz&d~x?MTrITt-#Ujy9SR!7CMJtaBEHn9h8xvLr$`cPqy6b%W_1a3Nme4^fV50`gO8XS31W`>WUAo$ z$B>&wGT6&TU)m)^2VkX$Ywuimd{Dc^de;!$SJgN<{XdCI2pPk+2OD$vPgzUkjb-IF>tktS9LpKx&6wx#UFArn!zVmst}J1g$HRu?z@IJ- zk~?f5>_65xX<}#gQigA%W1eY7yP0n1uh;6N0Els3G}~hqxBa%$qa1OE@B7beL<`Bg zU7UW|x9O5Odxkj2q3%NL+AYQ{f48yvmBwdIr`rZQJ-tS$JpX*(VrtbengYMuS*6?N zs8HWS;f+VBg9o2Oiz;0DYg(!sU_T_orswaAR}wxfoB#ENF8NHi#Q}#wsN3eA*5vP3 zQ{;Q*K5{0-;6@SIj=NCDCMe%f9q*M5?kYeeT*Zh{N z7jo@B2qkTK1QT@|XT6pA<$+~kj`l`yr|cB&8;V|$ZTg>aZ8d&TamC6X zH~a*0_6OaA)=%iOU0ZTXf30-zlsPr^dvKvAxof}yBpD<7ZE&VEN%#A01DtW_eTMSV zj^2q3x*CN(Ke2q4m6ye(t{^JiD5W)HwXIM__G?9L*^(OBp+fL;sZ1z+@c0kgG1Lc3 zB@{ASK-BH8R0}D>l8JO5b$N(Tg}>dpeYWJh3;L3kXrn6@;HQJTP-O6H!TBgJ62W9b zlg!73q6OF*Z0^{Wgo%F(&8ymrHjL=2M5st1YZsD#t(sG&uIHwVX+eK;zj&o#HR(Ux zUpR%)iF@DHf^`{C2l37QHLqY9k?MSrm+td}DOSqRwguzpcoL|a_`m7eq1!TrNz!%KLAUO-CGAY|fQ&ov$PO=g7j zbXL>>e*VCUd0Y$izqsEVyDzi4_?!Ec@f>Epxqt9K+&`G{Gwc6yfAD{CKmYT89pd?C znZJi}CR7Rq1jH!(pJl$HmHlOwo{g>;)+lB3xz5k1h&&JEH4|i3*T(Di6FJ%fD(#90 zP0^X26vK>EGMIMMTU3ur$ea1N*$F=&#wyAT;bI~v;S;rx{Vxs|^BI{2orcW$YCf&M z7g?UuT=$bKGxxb%U+KS}7zsH}dwEOf2FvLXEEg;`ex27(U#b-yNcqRz0%(omuJAf`V>8cnfh*d zOIu_n)|^yCAHz51b-{0WYI#aH}Gx~dsi z<>1le(oUatndGjqT}ySC@h>m*C`VDc$;#x;vZ}fbvQ8yxv9qNtXmHsT3#_m(pt+^3 zBK$GkKyM!D=fA00H&ppsGu;Jg>ig6`i@T#2Z{Tq1EtPY%pWNl6yM(6V6ItD1oM(KU z#on@PYg;q!ZZ4H{*OK085>!SuhC10@m>YQdn^z53NJSiGsvs|qm<5nrLEFplQqY%I z*;S&<=j|&4#1!9D@m@j3=d%A-*XlaWw}w`1M&Mo&xG-tZ5}5F*_8_x3558a&O&xN0 zWyU3GNMldqX`$A56&^e*roG~|1w1dBeQ9A8cGXS_0dvAU}1LKbNf_c!nbrByi5s#nGAXA;x|wf)xcW$U}pp!w+8Gr%42uaG|ciR`V#lTN~ zFbgVB*RX$$=R;CJ59J*Nx>e;I*!aGD0eTyTjgG$D%up5^-1KIq=O(tV^^L zly%`Rd`|uukbyT{P4#pF_h(-^?1oBcbNcq zOzZcUC#_CTse{ekdo^TdK#^G18bu3&;h%!t4e}!@0cgR$roECA@NS^^xg*B;MJG0P z`~3FP!O2he9FcrW@!}AQ5OvB%XTq+Ulbw*7ZJCcFYURP;4@7pqrgUOzy_qU}G{sX` zMkGP-vBev-ME??F5@06i0WNAx2>bnio~-7#<;3*9QP)V2OFf<9#P#wiSz@4RG)Uw@ zEs>}~s|m1vN(o_z5}tSfAu^NLe#}0rY@zpZ`kN=+g7hT7%G_N)RM9@#g3l3%3Y?v& zk@6*0W0hyv&!c)Y+<>I|coL@Ev^l%3zY`b|u z&eiY@eo{^5{(84tXqifp)~1N~9G6Utf|#q0A+t&%dbObHFFHx8^w(q4Y@nmu@YQsL zw}U6YRDY{)1z%1MUAAu~d^3K1trMytg;jkB`FwsoX!c2Z{j#6&`B-S~N=PWO@nj*D zT4631SfRB4)q;w^k$NMmyppOJ~?_Jmhj%Rd$HZ zNM_mr;4M52q>L8wjsL9D_=$dN6ppLAJv9iBt)1yFykNs0d zq^9DAj#pJResV@?c((?J8uLf%35I7P9295|(gl4>^_A;8fEU;9R6Y-?GcUfuKqXF# ztwn856B&3*{iVB-1^j8q<&8)|N%{R1z$=ix-K}Wk`7UvM;C}69f4{HUM5ed^vs#Xp zQHz!vxBYSXfgdo`!-VkdBgtoIEq1yKo8IyW*kqO8o|K&DOwVI@Dso~zEpN*ah*O0= zBXOb{$+lV)6PA7TMgfR83x21sZ(?aXd!_XvZ-F(Edyhx6nQsq5b=#J?XXt)%_}nN(^{Ftj|wtp3bliLkRGTJ;1I}KdFV-VL|iA+ zIjYv(wl#-V`6%iAeGerKt%4a^(7DWxCxpM21k#Ja^um8_> z1(B?tMb|(36i7fokl%%(gEK%;LHY96jsmI>(rBr)99-T=nF7CRxnWTJeC|yjsBz2) z5tu(&7*cnOh6q*YthI8k$4wkq^c^GC04mMx{Z{9`5)+j;D$13!cPC3@W24JXdbYQJ z_e8;peidKGEp<<&&o@mkexcXE}ql?Ws7a*JGEmB{L6M71sj=_ zHna4Ub$?cy`E8<|JUuZtJnCG(-~>oL6qcB1d5hl!{dVgrP4x3gBL(Pfd=vF<(|7E~ zCYs5inGH&>_a|v@p_t~YGt6B^%VVp)RkpJNCz;fMTE{naw=Rl?=nEelF2c$Rb||xT zb2}J4GfP(sS7@Gz2U_{czjLS2aIX(zgjyH!?VkSUaT)g(DZVp0J0-(^>DHn^;dgHW zG{6g^eCj}I?%Ki~wPS~)9~x#GZ^9jQptW=K*M4IBWJ+@WnkC?g1+F{O@R=+_kz$$VkZ{eOvz*;=vO0?AroR=p*qtwx`ifbT}L|k>k zH4;;v$_D0+rqM(*{UE|n$D)0!r3te4HJlE0jOB!#J$HP4<7ldOABCw(SDZT)azjCE z;Bt?lj0mKdL8(VZqx<^OByW0UM<=EPE-vW2M}R;Jy4z$WaSMjfA!452rKtZ{)i z6~fnoNi0)Ip)+F%I%k+bXd)MP_c%&`fBr!zOamVmko$8{wo6VpY_duSA+eoCTeA&XldkP zCTi>X|68w!>{uKh8x)A?0_#89pTeRS-O!tQ8d}$1CG3*aW)R50pcV@lme$8YLcdjU zBs1g7&ES4#<`<`D6p1L-uLwBeD`KOCp968~3X0Z}lF$T!&;(PB*TtcAL+K)Q7wEG| zeth-JG_I`KTz3D(-p=BXYySPG%00Jn&fY?jMGO8j7>I`WLUP?uug4%glW1=EtW=u z)~EB=-AQ9LJ(foG9gLYO#f9$I9?c!Z=_=)^;nyBf|CRNf;)-Tx^T)}%^kNpwucQFr zACGT9A6(3h5`#PHz;(MdIdRpUJg)9uf;G8ZRZiv>_EEsv<)`!uUnvkH)+sVdq7R!j1500bctm}+;weyES zV781czpocqfuSp=&aA7)k3bCTm)0x*n~b7#=#Fo$7R?JM#@A0uub@8-f8koh&e)=! z<1OsqTf0l^DleRSEoBjO&pXjjgp{jWoi%1$@fR*K1GlsktW}&@=K^h2?f?@4s+LqW zprGH>5Em~X1s|VJAS?p_nuKJ#0oKEPcDXc;E7pDztm5FyD0(%^tWU6IYV5Ze)EW`s z=`D@>e!e<%@j&3GI<$3p$1tvKMIh%1=$epZDy5>0D#~a^eZZ1pYbwnJbgJC!1esQ; zD8$z9ST?drXekn`aR;x6uf|8LmNXGh#+^IRO4+Yy?RUVXlr9);Fo&kLaCGvJA!2*wHG!GI7zU`h-si zOoj$h6}H616-Q(zH&QOBZ9~-dGq5cl%kB@Ee&KHs=s%zVMj=6$r&fD zD3JqHjxs5S<#MTYfO#lhAD!bi2c#z9p`kRhc`j_2nq6XN8sebI~b_z+~U3#T# zB%5#MV)z<`^RW6Y_ah&WbqYnZ`hsrtsnO!E4UX0*4<<{Es-g{9I3U^HN$o!3G9Z=* z(3vBnGlEJF;ip)e3PnUnx@8v~3W)2bz)qz`zT%m`;ydV^V^>u_V$UR|gbUeThkT@qLWEWYAj%}xq%ClF(>EcfuBNTs! z$j<{xEb~4=R9cSc%g+Ammlg&*0qmnq*MOJdC#YiM?T`;z9 z#dPwTo~`D=K^c~K&Se1LxokI%bz46aM1a;_p@0eCC!IMq9qMI2zz@dm$Q4cRQX zCV;+FT3xR!Do$W|yur?lFHlV!+G86=kxA_-nEyg>h@2Kdl|A7#A)0q- zg?(xu`g=rRiYZyXJ5Zb4FyuRc)21@Cr2Qex+bnL4DS+K6$bf_{`!@~_Obafnbcms; z=>Wt@^ZDUb4*z|rBlB#R`xHi$|B@f2Sp@uQBUKfUVv_8g9apAje?O-|i?uE)#&(%=v)R4oc-1%s@D+->(3o@peCAKL@8;#}#Gw@H5W z)e3^9N)|)|guIuazc67sPEQNqmp}#)9?qJVBk8fKA9u<^uc8w$s1OPZ5} z4$)~+7~`^z3I#7|{fHm_HGP~($8!jQR}o+j%)Jd)325!Bc>Q@C^Mf7=rZi%v-p3^cu(iA=KV28pTt5r)lbcBnTF5$yFX(zrZh(KR582ZK zdcmNI#C+7q`+Pfme6YH4I|v3a7nw!2og`%9Ul@#tPSfKt_rkeZ`x@ekJ`W*HFcL$v zVTVj0N&sJhC?s_vYx|e{9MueF>}nlcws;wCD1YjzPkX?p!c2(dV@|rRRcYw{cfPdB zdwzPbHxHPs2c&h#%aB_~Y?q`!8rP(L8XA=F(>^j|EUh4H&f{M`r_i}b(aX!9b%&H= zOMS2!KTjv%4?>1Nz19R`^nOn{gRWpEN&Tun3FRJvA>janyIQ1UDc3e}4yGTP6Vf_B z31wRum3j6};Z>(PS3SpQMi3b_OroEjnBH`?u9(g!)Cg$&PG)3|>5~jv&WCuN*HD|) zh#tTOijldd7yvwMeYxQ8hYkLW4D9!|1>wQ%1a>#mXY3M#lL#=FatEHDu$d?LE zA20!d{K=rkeakSqJ1F2MHwkZ~HxPISM#RR)0ZHaYu9$iumFIX#kbc3D zC-4<12T?DOJ$!!Pyn>C6t;)sMi-XO^D?y+$i5PvP1dgB(c=U&!+MGiNz>t1QsdsRG ztgz4ToTl{#$+3FCauvX!(P4t^AVf5a)UiE+4uVcQb-fV|vA=q3j} z(_H18tJZ0r1yDbG40Mv!gvk5Q+~29R#_R(ctv`x(pWzLCUy{&uJcch(*luO%EeZ)l zM8or|8zXT=U+@-sPw;>Y;&_2p-JOid)+7$2VSR$PZh4g)6baTS`p93xrii-qiobsN zDFQUy6nl6`BH*d4UQRoXCYB7MUa!(sq58qy7s4Tk8beblPl1KoD5iiRpO@**4%(2j zj9^!@WHpZq9EYJoY~%l0bPC53+UIg}LrveESWcS+z>yJ#D54~Lo-H@d2r=%4?st>W znJz14tpX>KrVZv{cC-za9{xu7yO}jsVDh>-Cob_MOB0eupcELged5{B0(RaH>e>rL z@1$r9d>wyjWCON7>EZ(L=MrB#JH1 zc%RJZhn@!#0)ZZ|Rt_*U^qdS2*FMHUazK{{Uc2bocAwbYxvE| zuL;R2Ll|JRh49h<$h|&PR?k-guf`OVH*i)J2{-7>n@^t) z5C$Mi0~FG*KB=%hBPRgJz#ig+=SV+w&J3ol>ZHd{pMVdozCQv6v)_Q+UKv)>Rm>TJ zdc{k3-<4`6K6nnH;*AgGfgfyS1ga6d=DB%kj*(M#<*3k)J-+Wvox|$}$;v`h$1Mhj z^-do1LJK(fC_I%)u1h_F_OF4C6T(c41p)NkSM_LhPnq}U_^T_75-4UqBX|Lmz{%6? zu5Vm&EQ*hMC&uj5{qgWl6Y~#o9#*_>SX_;EJkR;IJ*v2wFh9h- zSQjunAmu!sW4M$EPf#_}l;>S4PjRcXlAX=Hi)sG`GJyPEzzTi{=qP#k3=G(UD8{qs7*9xP^d31( z&iFIt#E1C)GwxfvAo*bU&@v73Qy5mSBFdhP74DiX+k|)Hk^Sw0;`R*a%!Vei1Q3%4 zJOw^jc*uf(25}|%34k|D#!&WN<28wmMO`7>IcmXLB_R)8zusy40TxYG7=E^OXGJ#F6Y6c7fsn_2kR^l^#d zm7zBpo<5JQ0t(zn`2G!45UQ!b^`UOzr#byng305HyYu>iM&DbKPg_-$+`)R@gpvnB z7cS4N5D+X*9xGE%CI393E5Q%K-j zJ{JC)fXQB3ikF54{pD9ArT`egoZNcD6@1#7hSN322`@_XML_2*K*?TpSBiw zpDqgmAc#;S{7+8dNka1AI>m_F@_H~m{1&w3@Z-M+7zBDHlOMgfT!JJT!FRAQ2>~M< z!i5)#iF9eVupmM_e*)063+jfIb$XDNwgs_Cjpw0maDMiPxO6B-CchS?)zNnS1XH%v zyDy91&qvVb{yr82$wx!<;ChX;mlumGH3Mac)~*0SL`2@}0Q7-GN^XHwntMkO7W*R@ z80F?n%Jv2TqYo_Td2`OC?;g&_9H6s{yu%JGkk4XynU#PTAvnPP=&Ae_?lN5TYQ$V~ zk~0W|T?L+qcZ*LF!doWzgcke)#>Wd02N@U~<2)oF*ljFx5d!zPT21I7%9r~$$6vsy z6(3PK+!X!95;TT9Czom4D0vG7C{&({YlR z-tLj}gDRgICNF2Vi=bB+2%({K@B*%%=yl=Co~-_Y2uMZREdnW0EuaqQOvdmzIyS)J zLNx(zdf-6BHk*_MDHk+B;tm zi$E7fSu|~}zUi+$-2@f*Y9ZkWhJlvkQVLnv#J9E$BMk&pj3#2KDpVs2Hv0S@0PjE$ zzlKI(rwN(lkUAMgeRNMw7|sRt9Hd*BOHOc^vDvHXP*Gu ztl$JxYhe3s<+xKl9M_SSN3y`rzjADkUm*Zh=+Er?Q=OPTPk@5}GKF{v7)Hbb_D9YU z3mk63Zp0uc$Lr+5@2(LNjC@0V2Zm4yTL75IK5K>mPxwT-j93$+ zN(zmDdX&=JWhlzA{iJS@yT0Kex^W!lt2Opv%{-k$g#Z#{{`7%AczO+ zrSQE3ES4f7AyVj8eAkI@YR4oFm*!vbrDIoslPw@VBpUQ}0URFU0sv>@3yP1}FvN{G z&uD$NR-+ZL34!(V+ab1fsJr=JB#TF`0A~Le2~hlc*X3w+Pl^LDSz-W2n`7*tHwF_yF%1AY~9{=7m1|TLZga_WJ z7sc}CZHLgcPb$VwQqIZu^p#v2_}m;SB;cNc2Ba7V*Vp`^EJ*Nu;!xiIvxa~hM2eIp zGf=`O$avnw?NFX@Ya{-O=|BH0AJ1SweI(i+;qyIR#Cj+#04F4J?aosLpv8?F5|3s_ z&dC&oq*xcr9~b>|RG+?LYg0WQy8;?Z4r8OoVG2xIaBZMA4i793jbVN$jYS7k{5e{| z`orb?VpK*;U4O` zmZy#boeyFNXW3+Sizcu^NXyauB?^wG`=~^l=J70!X*O)bu4hvr1f_=?3&XoNPn_@1 zsG{@fe}T!3fCMm82hk#=HulHwr2 zx63#eAV7YA3=86*fJ`vxBg`FFEVjuCSz`ie!TGr&n*#GGoYrFbZ5o4vQgf)7bah~Kz>^n>+&n5|pyhcS zj%^S)J19#8!bQVVU~mPB6VV`V>q2b{N6e4_pKfo4A&;+`0ok3mL8o4IR1v}OI3HkjTi$mb)DM)_1 zwSyyGI`1}Pyg5}!LRYvdM00=|-wcI-zz4(;c#AB!qes|8O0lMamf5zj@%3?1h7s(YzHuajwk>sS_2*-=jRzARH&!Rxld%shv))*;o^}G ziFb-Wju@xpz@L6TpeZ2j@hjl55BLNmxc~)=fu`_S!5^1%Md{BBkqyL-cvywy!1oLm zBYz;sF7-zeG=xl>OjHP=@+x!s>jX`7j5DJOLBxmb9dUa?F04Ws^13Em~WQ`DH zfik?u#l{UF22FtwvGarsqKm^*DjhHiF;7>kqa$`1+@ZcEi9Z7h=7OHC`BX?^S(H2i z*$7fF&NJ>kz$dI9qAA?nLZjefgGM|GTyeb95R!)gERUp(GNya8!ZWGDoE#zP*cI@Y zPLeIS*Z!CdVnQed8Zdw85fW~`6^DH)zS{uqaqkq5!#QL)@K;Hk$VjvU@c9Qm9lHV^ zDndQqmx?1zFzz81NmsH>hzB_egopg1hu_ef4LH6{$AKTNH=ZsO@}3FC^0;qT78Uca zH-^omLJW`Gp#w;&at#MyQ9SSha2E+Y1&l29Ftj*izCw*U4XaJTwQh<8C_E@+>)2>j7dsX-C+F3l4waQTlUDXp0bi4%Z1g z??#=Fjy=!`FgigMNN}mgLGsX>7{h-9SZoDZDl%4>P(GyYunOZS#OqWyA6L%5LQKc5 zfM@F*E)ih(vJ3fzumFQ&jh7G-_u&~8)+yi>Hdx?M1mG7{{sLR9j_W*H6d>xacAU}^ zX)mACiL|?oQ+*@X3pM(5Uu0Cz_53+zKYAe$JDPCSe8CrI4qhd2Lsap05n4ii_R5ev zCiM5@60N9%cC(XTh5o@=)0gPFC?BBG8TpYFEstw3-j{Z*k|IackbIH76rtDW?CJVE zYB~$AjMJr`SA9oOeoEKjm}stx@~>2Ckik0`7?i{A80dt`)Af5F#HLrAhfI?C<>wcp z6y<~U`icg9g~#iK<-7x)CUQ55$h?bq_*;lm3{=_#eSqUcXy)sdXb0j=XHMU}!jmxW zPr#U{UAzL4c02??4#PPx>|7upbzCVJ9`5A*sMlFIeoFeq@(&mI%R0VW;Klif&&-K! z=JzFODbmg-wrQV<9dD6krswaO*d6crx?FrwlutBS(Ao68{{6)vO?8FVi{D71SL~`m zP*+@M#i=Nh-u?YG;gt*33&B1!kMb73hzh6r?tRmq#I@H4li^)d4fIG7wKndb_Dh7& z{wWE-Z6HAd&$`2V1|Fq9SPXV_d$5mLVhJ2~!`|3dlkPsW);y z8k+DRyU+K0(iqRrOUBd&8|q>aNVKs6M~)tg`e{M zd8qKgWUcTM^q}w&4L-g88V1ICgYiX(! zL_}`@;tTX;dhvt5X2~}q>{P^eT(habA-Tp>>(xu51>YOvD-~oV34=qf%Ga}qD|q+= z>JbQ6;50SBg9S&b0pQ)B;1fRf*DGmSAsC(&>Ti;&Kc)Y?FVbJJbK!+wR-H$vUwo4N z`aR{u1$SL`*~efPAPij{RJGeR+xOd!2zYc3;KwM5Y*HdP_{b%Qbq{(i(NivT_NciG1%&6aLf9sW7i7A(=_frb!l)|l&r9#-malXrPQ zUB^5nR4vfxm?DeOv4vTZvEDHAY>{t|e(s7lx!dc92^Zy` z3d{T}%0C0&J|vc&Oh!@uxqd9lzfd`5qvM%IUuXSiM?$^Ak-oiq?D2q?Sjd@qk5G5C z8h6p1Lnlf@oD{U? zgRav6^22mzg2vb)Q1S$G|B2cYoRXP@blJ2H@!Z%V9M|!&jtsVt=A8(h4mq9%zz0# zz5YlBWjxr^r=25tOO}`fARQA(xdvGtp#du+?FJQ7I{vQhVocCQZgqdqOQ2zs>7=Xm zcl%7rrx!Dx{tZ+OlSa3aV-K9MM@;T}{3=~XpX;Pd(Q;d*@y6(rNSQvH3{;d4(iGAT zvWQ_!0Nc}$IwhCYfxK%g?`!3SR^G?T`&s!=m9@}7`-Nv7YNZR1hh%TijUY5!7v)c@ zG@R1^ zh{!!Ec}NdFM5vPK1Nw3P&^pP_ei_?jg52CF6m8KUEq&xSm*#JhS7HI2MWCD1y>DR8 z0*N2ju9}%@-6xM{jM-;gHPa_RT-61k54d!EAevP(1J-@UGaG^!`i!e)`g9;VC;<<# zI50$h{dT6~&~}I%(6%iHV$QJWz}o}x8Q5RO?#~c{RVl*@;LwVfk172+f|u(mnd!RW zY^e%77Y@uHIeJ zO3{v+o$WfPh&=P;2sGiE`&%J9Ab+hKE#!(&L{W~9gekZNngsaZPqjHzG{7P z?7EsUFr64su4@Pk-N4M_2Sc`5A)ggeS9e`aRk3agj$qTk$_LZ|f!drKTkxVW{efr;YJ*#^6o3 zD4dg~T~D1|SNKwA-4$e<(yY9zvz(Pv$YmZ<6Jq2vTvqD6u}l5LjS(d*>K^l=CmOd_ z$`g+o;01YeZ0yoMabt&fPUAYfb81R#o$7#zCr%eMsp&VE8lG8`>cGUmEUFM2d#XomG8ImEmnSymA`J~YpwhpD}PdD zCXINRz~$5H7o|_(rB?mrR{BuhWjr-Ug9G}5R?~-alsM2oZoe~ZQNGSf2h>6TIs3%{ zau9v`4v-_;(fO-Z(*bhCJ8IuyzdLa6wCi_S=?H$0UBA!DKeE!1a+)Ew7E`5Kj$np1myO;b%pxlBLTVQ5j_ zkbGARgF6$_CdhkTxz}fW;vD`4UxhkD;y`A>C&Yoe)U2{H<&Kmmf#@|A#_0r*|4nmA z`>iMBSJm2*YQ@23QU1NP{GyeAXXO`EHYxOQb&^u(MD74UUF`PoeHmZtz zg=d??J7;RTG>NDkGn!d_zt?A0&e2mfN>#Y1* zD<7Sq)7J=!luq9h(TVG#{N6w(=kSqq!k|MZKYOR9QKd#(+rdKO5EQr5O`q2ECTE0AuXKpooBQQv!90W37zu^e4@(^46iY0VwK-r_Zy?SMY+|! zU8}N*hp$x^DIVUe$pr6)>!SR5m1BE1l>lKoYh>w1-@uS&`g?tb2WNy8C#zFyhTtl% zK-=6{O`;trOfH03J_MLFpolrXJ)frbJY@9PZ{h=f&l|~0S9wzRFeGWQ~=Pc z@fMXtIxzR)rZZN3yVqwH^h_<5f^VLL47AN@Z1u;K_A9N&UakYtcAyxoZ4y)s-RJYO zmV!Qx8)oSN1fgLnKt=fjMuk^d`I-zZV9Asg?~G`{by5CapoRYG>lrDG7?E_LpYNmI zGtM_{_yh*_%54OA2La}ye0^rJk5S`vvM*HEL9cRMlzUa0US*(OpC(Y*dDfp4JUxuk z)aPGQr<3DO$NtGkZ*X0dKNa)_0BcYbFuNgR!xdwWKB?C%({Y_~8Qt+o;ef@P za6C#w)~xYI`d06!MnP!nly43{p)dK(#@mz&V2S!lEsig@?XRbFjn8{T|A{rO)UJ>d zg5U=G{j}=cq?aBN0XsZTvR5BJ9mHSQU{9B@pSsC`i!?+`Dvi4TtiZiSV;|Rl_Wy>L^8}C`F~_BI zc~&Oy!$*br3RpjWmtFE4e88@FKXBC#RpXk+hyjk{b2tozb7y({hGV!`Uh}6t2aKhD zQDlzsfLY%X42hfAc${Ga-(}&MMJkK(t>UFHQLc;f7gbvSJnNzUQO)Z_$zZx_F0O83 z)Nj&;P)u}k6G;XY9Oaa4&D1xFP4LBb>8i0Q67{o5`?gsT+h)1eJ;FdTM6SxRv|0D6VM+V6LdVp0OCa$w)1;k9M1ZqfARTVp(!+te1BF52ehrUAbL>K=?tvrt1l=RX z7Q?j7QpFfN9u(*v6j5xKvkjTukhse++D{5mG_Ni9X;5 z*8_WXBTn*+(D!)k0i|g}(Og|+WiTuzg@$?gSNm)SMLGVGPQ`4H1)fT0*fqeQ(J|w< z(5MnJx2e!{h6v(>MVM?OO9`QGQN@tiKCHw8=(GdTo#%JEaE6?b^F(3YXdn5D5eN zuWnP6Ptniy_X>;QfXVuX7+=kUo5@n*5cdPdaO1bE#n&Tyah8|MIv^G;KQD`CMd>@YDoZX=wq@|2agTX~C>N3Fcg%EKy~Vp6D| zR7_4pK@8VLc`^hsPKK1>Gs#JCwL#b-_)as!MLDB6)Erxs7bM@cy+Li^U{X4S^7KN^ z1W$@biHC4Bv*R<0ql@xlL&rEoDauPa>bAM0C=Y7*l%po$KF4mGG16__75&llAwrJv zQi=S6*1d+&Nd^-*x zdwm9^lR8twIcq%nK7zOm&ZkY>|Iok0MF&Hqhr#)z2qdEPTNCr#8J)Ku#Gy*|^RYgmm3Q&1Y)~cQ64d*wXIRk!o-w_MWNkqg};-+AF1VFmMZeOiRK^z zhrcNQkImw5to)pnpH$w<9%nK}G%t-tXU7}@Pd;*JJr0YDdjsJj{#)e6JY@WxsU0sgj^EMiu znxrB2IgCidSpTPKpqy~9{ukz0F@Pv8JIaIPMfpH&S1HOzSot6;A7bUhR5tni$!eFf z#cLzB;JPS(IAx2^X!M$b!UBfZQ{hA>IB9X7yU902`LDSSu95VzHXPES^$5K_lY~cb zraa5Zfs=Fal;NE`zg%RRpiOr44QxJ;zXe74HACvEYF(E(#~HgCJ%M_$YHL%v;xG@# zPFUSw_*`y;_C!4_T-isb1b%Usg+k)`3)+Y>~e+rNZIA>5xa0L?sz~oFuT_cRbb^*P}?ml%C3!0?Yb4(F^Dyl#v4XW!DNk_7?Zg!+R{;!-y-6SS69Qq!LwB` z(3aYE_f->;$Mu0ll}dKED3G-%j~nM7w(=G$k6C$}l}D^RW#tK#P3gE&GfSo8$0Oy; zby5CAP|i@agZ=%bB->?*Z*H!fqYL8Oanhp#6lL5lU>4WEU1N=GHo<7V zNQ;++Pj+)BeAzMEjmI6i(`anec9r!))(;x{|Adu4W#tc9`NLMe-pU`f@_(poiU>y! zr6Tga$bfKNlz$iu2+@Vu5}seDIA?ujb7SXLxA6`)DpggpcGZR-*Qt%;FS$)r9&U$i zlkh`Q$vdu&tPKk^OlFhzwd~AKhTFVJdt>+Q9jb6u_w8Ns#d47L`rt0$gZ&~yr48Ki z3DQPe<)eYguEG;j6$1BLZl#?X7}DT~`fE4HvLjgG8QX&cu*ViUnj!+6FaU+Rw>h-n zqN(F)PEE-Z?;km;N5Ik9hKzc^%;>05Q9=XfG8|&!+i3&Sj*TW5Iy4RYV?kHwAc|6* z!E}b63F*77{E(F&w(|F^{D75zY~>$V`G+c-;=Dw|rsDkm$l`HblphEduR2!@u-A*0 zd&Lq9?&2i)qWm#MdCKHeg3IY&$ArQS^5NnoP~FD=-l(RtDmkn(5m->qO=93oTffbj zq_>JfJm-_NW?uYwwJIbx(EC@38N!jAy%Z9z zc#P-KILC;zrV?(&rnr*g-80pp(_wyy`|f5Z{$sZJ@^m<_xgz?p*N5Z~3a{_5Kw#^c z=g^1PeqiR4YNM=arW$z&r&4!bCfgX)9UPD z7%8%ik#IK>-t@#k|BYk;6zE|alWDW9ZNgn?$h;?tI7Eu2z_weNopjppto^htQhgik z)NxY+Qofe4CG*BJ{TE5i73KY`yx7Y7TX~6<53%w=R(`dWUuES3tXyd2qpf_Hm5;FU zkybv+%3=LCt;bU4mMjV%j;sgQTC)h&Lrx)Tha}oMW2!@@^8B} zkZPnRg2RuufXVR{CZ@G!4iVXTz`;BYsJrRH0c_y6{6=$Q!iWd%(5%j>PNUqEvKo$Y zn~f(l?681$!PK4m((#8(9*l;-eO5G`aQZNEyZM9w#B*qaP?Xo1!0)p1*;a0~^7&Ri z*UCLsZnJW`%BE^qu8F3q;l97^{3NCr~s`=Nqf<1k*=a6_aOIpNdxZNO}jmEZ@0ykXu= zs}cG-G}Uz$Ik2~8%T|zVQ9*V-hQLx*kcEe zl9B7?qh_HoYj7bSJP>BVCYgM+)b=aX6kbi6PCPmY3|)j16uK~=s_E2Wq0rbB;2v$J zApdf>lZ35K;u!?}JN67>;R{pk?zhdRDS@|1Q{-%|GZsng@`Ee_M%gR5n@}pM1 z#mcu@`2j0GY~>$Y`5`O+(8~9!Y`Ui_1x)J5{c7aMab1+Z792Ss7o({g8UKx16N%4L z(hXR0gKT1LE}N0RD$z+u2yaa)>n2hh-)y9V8KBh$PjH72&ReyPM`Noyw3t5)jXOFbO^?6PR)s` zUF<^hN=u?cV7bj&#VN{#O4}6W0xSQ+bk)UneTkJ{W#v~}`EV;AYUM>%KG@0!s%+}E zRT?AJZM@Acgx*~16c}>@L-MG$F>P{- zK+#12iUlCnlUP-nKcLte?W5?x^$bwo*aGT~$dq!e(-eX!m6U|phtQ@Y8o`8Y5?HJ> zvDx}6P2(0xal_=nwwvY95%R=_f?3su;^Xb8`?M;F1ZOGY*V|V)S7W5nQ3}(ZcVXUhYeQ<9;Z1>I5r*d zq$9#}TuN`^4gwaN4J%cLq1>i;r6_;JSnAiT{0%FA)5_mc+0^JyQLB{6J{c)Lu8Z={ z;mVILf>0lpb3_7veiWZa5xbSoD9S(10Q1xqFuxv24A({Z8$n_ORN&2>Sv}V4(;syp z-Kj_;OaZ=3uOu?bN;XsmqUKMWz;K76sTO6KLBcnyeoE2jL=@$^D4!cBDr5xGZ4uFs z4MLR0iX^#^?V8yknYDI>UY{Z92G7c$24SiFsGu2Y1R0!9D)U)~6Ura9=>_b>vYu_? zNrhTDRAK@w7|-KUX7f(Lw?YbER)5W@R@ z{RD|xf%f|JO3h34$1~w%g*g$T*=k~5%SJrRsNHvndX(5uin6I1o~AY_sv7WOav3x zIwNE_OmqQEESva~u%|`5+w|dR?kTWm6OJo0aBOJ7v0i8jwBcH5CzV5JLl?ktrT)Nt z&DU`AZUx^x%pY6|L?rMF@> zq>Evowl%?=KxLHL@m0b}(A4bs%nWRs)FQP_FOS%f>!SScfgKem0|egm29un|!Ur~C zv&8A<2=)rc+l^EAh@v%Gs;>OGt@~D+Bvhujfrir{teWd*SiHM)MmX8+rxq6VrO(Z_n zM)~Wl-A{P=?t*R7-_Ctgn{+D2&XiX*zp5oCL??`o?)FZvR;af{w|C4u+hE_K$DWg_ z?jJF|t5t1-D#sOy@)hEax4tvg_xW(SpM_+%XX#=|Eyezu8~I3BAWPOb4| zymcoCH41kL+QvE4@y*sD)b&k{w`w3C{Q3?rqTXauwDVi-ei7LvEh#F%{9}DvhvMVzR}8Gwesy&zRk*?v+{SW ze5=Z)4SKrfl-i(AMK*}*qWr61gY*|&1Rb6(*$>Zlv=kazHm=ZCYYaZTH`^A zqb8Lj_mnavK0&#W^7SPugf8J0*v)$mkG^;=ERdloR;>=mr8GN8C9`r4+z4U&_6QG~ z?0lv_F%tY~h6Gou+mrl{DVnFAJl&NBXOeow|+d5Ow zvKzkSq?1CkJgO zjzQX>s&6>A?z5RIEy^D^=>H)D{WH`uh5mlx#Xv=_^(d#x0TneG8L#W45>AbPoW6k^ z1`LqjX6>c}HT>%RH6f0?-RtC|>=Y0SE^cd)XR3n~_`i)v&vj9LF_0b+6)>nrJm|y~ z5@Pk!$;wAdZlOK7wM!)5pSV!HKI1nLgiXODbtaRU2cMuikciysw&se)qqpv*aaE`q zvksBy9RQ_qm!9#a(Fzdc@X>CmU=2+pa^QsTP@j(!Idtxum5)-{RPbBWSxTA<1$5v` zuC+Z$rST;UDR7yF}UEIF_O8-E`+Ad zP`5A#XPKSKKQ8aLwj?2SHCw>otW93V!n$WNCNjTCBi^hm^?{ie>C;-xg8p8m17h!k zb@t9aKJ*8g`gH>*9e-E-LnERuafJtLfPDi5vE( zgU~}=;ab~7lFR~b=)?+O`_1b_9XdZIIwkF0C$!HPtQc*laLUG_7U3C}n{9OW`bb4n zVVp9d8=^3f4nAWj42bRCH(Lzbuc;fNYvQJ?L(gUvM>fra`42mjPUtG|a!?QZpKY_~AY{d07I-7EAbL=uNY2(||BnnHgw<}Jcl zr`tS!;FvkFm7AwC<)j_`FU|th(ow8$}gz2ID};_y%$xf*4h))fn81i7SE8yI`(MB`_D4l z-l-bi!_`<5Ktt5G;`M~)F8E#_C_ov;>$ngz#tHkt!Y6o*x)f|}KW4sO+@je6e0U*P zcwb0*;COZm1c+hPaF1vUg2U?USUR^JZ^`%#@vaHJJS!U>vUJwXS%(}Je^Jnex>dZ4 z>#|i+P?R4O$LnS+9+>`v@#V*f3ls0+;~#mm*VJiyDG7Xo_BSIoi9mMw2=3_ zLlt(YdZRDMyTRPQqtd~Rs16w7o4ai;>^-OlX+AGgl;4m@tiYq2jcST-PLPIwnwbi| zNT`+@Nj>4`@~F+pDpwYtN-(%h03IGTiRamhjFI0RniH7@HrkRPXyrK=lB~f7^~$Si zV%1O$8Q*TG6=34_wd($m7yzoq2LM$)?9>hR#=#j4n4loHndpgDLZqqWn#xKqY)Yun z>%+t_CNo2MjeOV!>hU=@sw*eV?FU{o9m!g|uHXV+A$kq?PIcBL9gWkMP>s}Y-~{9a z?jdxX#D&#d5o9t^xL!oN9Qt{yzOhMof*1@IO}h!IZzK?i0J}60&2h#?UDSEaqI|lz zYwW?CG)QmAFi&s*0W(Dc2{e#|@Yv0Gqj$#(StepI0wOlb#Dbd}j1HvqBeaOJbTDgb z-vcAcu5B&Z8NgkkgT0C6=$eBX)N??>{csk{gvXXmLos$oi=w%(Oqw%LV|NC#LdRO& zjolP+shKroIwnRfGl01G7_QOBon2-6jE)|%+_Q8+@X2_K#wwZM}8XDdfYAeX(%N@f&{ONV61*>?EIltd>lm6 z`B5u!32a}+EYv8I{*jufzYi)p3nn+15g{503s%)<#ic_l&__}M+a7x8@T7pGu{jC9 zd#AeEB~tEFdmd6@{8smAMVcVYnY9`q@!mRS0kU?hZMvS&c3Pll%x_`Ch;QB)!f(ug z&nV}mA~!EE9_Jz4syZi2O;DXQdb@fyVFh_eAdgucg0tm`D8LOQ=XT_pw~bBr+u7Q6 zE4ID0B3U{>w=B1xLe_jWy)5YP1h61LV=HEF1gB20i3_ZS?LVX4E}wF=LW>xL=8TLG zOy-pcopC4FHV!Uk35*WMhTgq6*BGO`xGYh@1c@G0j*pMh8GHP8^LYA^_6}P|eN&}Q zqA(+Mz{*2b9=GylD{r#$q?O-p<#$;5tybP>yPC@$S z2qdocj++1yn-Vm4bt4OPL`>#UX<|A6tbY0GHO7maG?r9?-gPDR*k-GQd|F2@=;ctQ z-AAtvY|IxCsUuZ?@#+wK10|mn->`l9Tt!92_(iUy0sIs^^ltlYtl3WR)ZFhy*?8NVThOtHW$l$PqGadxWYOh&02OZ4Sxn=FRCZy0hilUL&J^ zy(aVXCTVZ7@_$F-i?98Y~?DgRiD9^MJc@{>8J_}4mK5b#wk7B9FTr(L$VBQ3W`pAh_ z);Kaze>dou-WUzo1CbjcD}g?>8c(@~*{MN0sLzY9C-7b4W_cerJ53Nx8pAndVpj(} zlP1g{Mt-?C3oZN>kB^?6$VW*>pQyPznEslDvB{(4n6dB;4OZy#l*Rczj_aQkwy_#5 z%Oa#r&F*|yuI>pjXgV1IreCsDf=m1fZa*n>4#$;;=14@^ys||gc7s$4Px1dBo7@+y zTp%QAf3aQvo0VU-@}I4|%gTST@+(&UZ!7=N%D=brudMtBE5B&vXRZ7jl}(#=on{f+ zG?DLwP3*Kq->h5_+LF@0Qpt)PQa&5(YPtM5b|GG=@7M>}>Zrcu7j8o~fr$Q~TCn)x zp~43J!t+hRj9w)0HHoV=iRHSrPYbpz!`z969q zgN4)*CV*@>p%G6#1&C1wmwvo@Ark&IljM_{f*d<<(uBsAVSq;E1^$ns88~pWNX^pz z8dZ3#ao=N9Hu3Omb&}%Y0!=lLjq9TPDwT$9)zvMUbm3w3xyD0Le!aogO2@@0SS=u~ zv}%hpD0q&*iG`&ow?!!6x+tF&P@uoSjxyvWd1)?9H}JW4i!o5>d*nI66t{{^V(+Kd zXGppU!5WX#)72E1sG3~pacpj4;#9a&2jC7HCGUOeTD#yAr9k6$dI5Jf=UMClgeY;WX#u=hoXGBt>h;> zD=n@)!W^EowLF0m0~@_R(H+0RkVdKX|n< z0>^rIlWzH>sLZLhj@?!<$m@lGtwO*vHPZtbfS<2{Qh*ml0CQcGj|%`-DFu`@;ej7m z5zcX9$$X!fy=E>UAz#(A#Eq(`_$}%cv$tN7j_;TBg)~ut{Suob+SpW|LQ;)>cFG9X zOHT1Xy*>~_AGTpYpW`+bFNR>J0`KG+!shvOLz_10p9MK|REi}YCviLl6EkwvwyPu0 zM&=(fLGUodgP4fNOX-MI9cTArB0G&+zn zbW$lsM%pHm%Y!d5D}TF5@XJ&#x^vN;I+-rR+AmPsz}mhrv@Bw6u8VScU~P~k6rnM; zYu88E|CDf6eUkPE8lG8 z8?F2~l}+z)j|ddlc9E`&@);4^a$S_q3~UQa7UlD_*|6r+%rYes7Xk~mQyh>vWE%+k zNaBW_wXnk=gwxq*6pu#F61sKV}O@N!&R2H0ue8GtZBK0%hW zfGtYo9GcScNbk4u<5qsq$~&zrt^7+XKWgQNto$=8KWycnt88ks z7Ycw>n{AA=8P`R*DQGhcSw?$dvhXfrKd$L_i^X9&7N1D_HeS;n-`bJt4!^}VwHx!T z{8pnCQ`+8|(g!4EiDII2()jG$Z9Mi$4?9H3hGL|J|9n$!I^kAkIy)?Fk_;a*`fPW_ z?QCGBc{}b@2UO&MA%))rrq_R=CXEtB^nw!QIqad%2*l1gea% zEb!B?#;RI34z4v!LAJZA;gIPDIP-|l(#jDO;LJD7j@X8Cg2s$m!Rb;n3Ydf*)%tMg~Qa`JRmYRTx(vz+>o!zm>US_ zZmVbNvnutF1dbRweg>)6XZWTf3@@+m^dy-EpP&fo!`J@d!$bgGVPX8c=>4=@6J+*K zqse&wYDHXOTE@d4Z}S&_YyXN7;ajX+mm$SIwMj|w|CH4VS#Yk4@?TV17Ti@$E8M_7 zY*&EbhbU|lpQkGlQf3&;>!enWSxPFQ6@>I#%}}M+XLeAE5s011{$M0Ksl*KVw5g;Y zYbxm~&dS9mC@YR+p@>W{Lu_Yb0gOjl$V#P)VR2Vktp&L3bLRQpiPAYxH#AHaX(QPt z`?5*UU2g<`ww2Gd^7$&8ayY9lQ{q2QQWuENbx}TFr4b)C7b(<@c6Zp|v?lw|#?Ccm-I)~V;A>!y+cm~~J2;YpmBn7Qqp)ms>0KXdrKRK%eF|>|v zrV`uh!x}+HB6;kc+9&J|-T6VMe1i0KX|D=AybRCfV&>SJ5uZ>nL1PTw*o~oGJTmK5 z`F)jbRWPC2Sfrtl@n&*4oU#nNrt?+<{n8$|61?Na%7m6Y7Ch&sevJzoPM6OvavezJm!S?`9*+AV|^J;z*s9^VdYO-`AREaW#wzE z{2?oU*vcQZ@_$(QdMkgz%Ad0GXRZ97Dw{TIzeZ1O)`KI5g=-zo9UKPTf5pdnq^~- zo}l0rb4hzxcefNaYCHFwN3RbaW7o?F4q7~-h$8NBkmWo5J6A+trqUZKIsF)>!N6=k!>}3a$jZFuW@lyJs;=nFdk&98ovu-OPN8X?NSdu?VI`V4 z7=jjGc|W#8D7^0uV1ce4Lmv+1n&t7aSlN4E>{<1dpXz&L>{;WLOu0+ONMROF_L_h8 zweO^BgyUF!+8p#))92ACy{&YSPtIDV>-o(QYrG=_MbI$o=SP}xJ4uSh8Vk4fdq~yo z^Txl#8nyjpbwl{I+4((!GM${GgjOLzGj}z}HON93k&;IHAKc8c(I3;OxSzf7`rS!v zS$IE0;~~x>iH?J0IeMWM;e10|Mw>pm~W1C*3ex_z|*{EGD0K7;kd} zJ%pQTt|CFnE+>W%i_n-qPdwc+E_7eTU@+6{hqSW8{wv++hvyGgy;>MLA2PzP;O!j` zb1?z2`(>HDTclHUniLVI?~p5GZtC|s)nv-iVt%+OZo3n8smEvE?46bgGny3p?tE__ zB7&XYb%p?+nIJg&GWhuuxP6&+WD`!82m}FJAO(#MO z%&xh@i!zVFpF_0Uj<|E?dAxKKz*t|T96~o51b^v$)Mpanh^oL~OM|I%^mFiKz%~dt zX#SCEQD*Y#GM@UtOJ+~iGZ|?e&Oc~a4k@%+E?Geq`Izwcz`E@QCqh3=7kXA&}$4(eX2(WU4){ zi7uw9o2~4-_2gM6@`rJ$t&4EaFZ~Z^7iU>LUEey>AMY2-0R$J}Wt)gIE(-H^|C47? z(QY4R?DOCsSp(rmAHdsZdOpW@eN{T=fry=WnIMlr+AkF1?8TRpddkUvs06ynw95op z14AY8sk@Ht^NcHBa4JVRnuJ*&WzGZ2PZw4W_7&`ok&ECirlE||2)GpxT+@-T?hYy3wGx?+|0hwO4Sk& zlE4{o_q<{0TeNhB{)LPRko-F`ck-0g=H=l<>Y*GoiYQD`J_V>yIW~$s|D=L7e*JLo z@_aU_ci)YyiVLfL#ja69%W}UpjTfDbQ?yS{hS7YTQ?`$WdU%(X!XL^e(6JDF7*S?= zsUi>9=V|qWq+I!oS4jM>8Ipqe{PUTleqs~R;aLR;>F&2S4y z$5bm4?D#J4K^a91YKapcX0@5niDN(14p|scxXL5#RLj1(-rB`oO8Li156MsuTk1+u zV>yJOKNpHMm_`1Cb@EW^&1Omo+bKxMeMlCNw=9*%DrF5Ga5kjlTNhPBI(w}Mfm*~x{4|wO1SA>-PWR_6`PTD zo$&0I%r0_=u{rufTvhOy#F2XBad^6Q^0qP z$q2=99mZ;=0oFNot#e6ZeMtSb0YW>ui z=Td#L$(?u1DkyPx2Rk@bAgM~KMS|c`SjB!}THA#et6NFWut7FU>{h4zRU2_saaOso znfT@v^<`f+jr_?C!+^`4)@#P4+8W8#onxxV1Xs(9023RAZ>io79(`@YCj1c^))J`B z&$g$Xa2?k1Us`>q$+>X3j_^Bdp%nC;S9&~q8Nh5p<^}ODi#0it^6OU47I@WD@q-br zibq`wqqbQ0yTWi&8a#Y}#KMS6&Ov*!Qp{;p{*>5G6v$nCLUeW-u`z5I3g$nOe^L5l zHAqP4ORpt=bTiK=#&{7|%QwlcmB6|Sw-Nh#9)@XFd0k@_6I(oZNHdz1nBb5;H>W|e z8m14r0((zMGe9oRFfT`+j5QNT=5ofR6z(z=8Koz*PonG7Wp4ih#D4fTlaoHw)An1uHC#ntd@1z~N(I~bQ9@;$+BMx<{4?O#I^tl+ zRbbKv{SC8uvdkff_@Y01Wv&0P`7?tTF6@iB9dtcJaSwV(2!28O09jX7C7tx6jpig$s+{tO*eP4V zwG4k7$D`Kpj>8VQcb}-GF4iBo1t8dod{wV9h)Pkne>rtZ3RU z8zp;9z!NAYvXntJA}CwK%|JzB|8;(4XWy=jufu2*oq;QuNKcEgT#1~XqhQ;CG_9hkV zB>FFj5OPMl2iSBbB$nZPrAOvZADoaTSC(s5Xa>X>i zYFCoM)H`!f_@*mmnx6VWqB@v@AcFe^1i;`I{=oU&{&DJahnPlhhwH)TqqBSw2SHz} zLe1x&WqE^1lq;vPop}ebwY~;5X?s|s=CfIZ<+#q{XEQYK{?)s{alUKXG6}Bh+hg3m zG;GIz25FazdDpznXALygcKK_@1|aGi$E{!9b=}G{3yO}25YF>1Oqdm;S#dpZk|h$r zAV+2Crb;ox-6jgY3fv3fK3@gg1TPIGgPz5qSNK$LicLkQy;jV7Pxr5PYFm5VZR;Xj zG}vZv%NY}s&p&h61VqsX;zC~#rVWME*ephCcSbpfmMg#ygP!nBK+1Bi7iqD!GBLTS z5@n%vl=M?&?(bQgC|V|ZiCc!Kw%hp_$xIYGkh(tY%2@PVFPd;UaE-5-@9x=}7FvaX z{ksr~IEhT49yf47-Rd&bD^tl=0o@`~vx%}~&v=ZjnZC=BTPAyYJ1`#ygp$@Sg8klP zUZ!sN;2%KV`Gl`>0G4VySbxWF%!}NK*NDtLKOlgTI`0P{BIcDIvJQ(fxQ@zh=+%<8vk4Sn5 z2GNaw0>r+hxuv!@4^;TPq&JcTjRQwNfY3B~0gZJz4!;a#!z|@+2|SpAKrWF8JIWl+ z%rXGC$>f$DhR6U3n;J_Os(-(Lz#R5E-R@W0lGj1x&y<@L>YU4~F7xd3>qnpG3HhY( z@M|@p@J0yl``Mx%B74z{6;dk50g8xdf91Q6p|wE~ZH7D3u7$`ZtK?s%yZsZ1e>g`C+IB#eBypY-bA#P-XSx-jgKoBThCj=j1)63?=ey`ioTBb}l#m$M z9jy@M>MWjz_hrq1u0^+XACKn$_+pUT28>96Z2}%Ei=&E=k@ahbAH+S1Z-6+@R<6bs zm|X%>Ht)kr+6p%B?GS-+PhF4CbaS;5;6}uOv340Nbc4$~ZK1TYGyc!6_f3%EfdG3( z0d)pZ=a-f!+yxIhXl1Ai-^{pux|_opLrSlDC!FtWf96mlfn62N*z!qU|DTpMLCkW? z;}}w>MiQf&PI>${YfR$9LDp9OJ{T-h*h)9>O*EBh@~K}_s$SrW+A7vhE9d=vEE?_N zEyCSF!;ErhX~(xO&d$D^nL>>f2>4 zI?iK|a%V{j<%oS_2_|6%cP;NH#{|D0&icC&Sd*fp%a+sP-=K5Up`%AZzM&R@p^qB` zFx0RvuU$S5K!$=|QTJ8fE_7;mtSkHy_swLQP7>`9lUZVsd(~frqb?o?1dfs1XYgl6 zj0Pi?wksWrPKOj*0UJSNNQaM`YHvIwefK*5#RN0` zqZa;pHS+6cd!UvgJhfo842lPD?AMwfbWSn?1l5ij>fv|2Ge>|#8igxX=meK3yh~O) z^DvXeB>!G2?r$?`9aBOYY<+9{vWs}qL4WDN?A9VV4M_*9?~6-fa9;~mE<=PovPOe4#KuViWk1c|R$K-;DQiJ5GQ)Gyo|^7r#p(Ay8 z6p*=a(bS2`4|L~!+yq`Gpr$Fb8x@t464vv)qY7zYbW z%|5#XYg&HMa0Qs7yNW)NRc9O>4QmrkrqfP2sTHv;CWZYDx`6NyXMYJU3|LcKe z^S$5Ht2s@l!gH>SzzT zC}^oktyEdpUFYDBX&8e`q&nlmSyE-!&7$FE=te&#?0$nDbDJv1*dTO=dOQI7jniKE zvs_rFK`2z>G{^#7Sq{=943@?#I(Zzh>_rT>gDVrAIoY*>`m*s{?>dzkh~+u;hpAQR z04S=~Ph&_i_6@ea9~o^w8~G7^sU0xIStEeIf7uxvxsu;cn8tZ{E+OKOdb) zeXZ7nxLXTQ8qVjRlfns4nNuI;@4r@Yj>t}VS+ZG)5;*7LItVb~9SK-+@VF98an{4Q9Ao}I zl!b%!)w!M{+$#DMt}LRb(Zd~`GD7(fxCU^k*c|qOu50CvJtRuZIpzRB@RJsuXyq#= za0*_CplG{+8F7QQdkh}w$!z}dD^MKVZP!b7U^4`ymvy7`s9FiW?{a!iuGkN?l_MrQ zl<=#X0%*2_aL!QgI%G&dOwdadX-Q7;kh=!9HLn7Ym!>4 zIMT{e>M=Q2TT|hKPLX)&T(_bkOx>VU1XUgQ)8&~7<}rknm-i9ygdhZ3vbSc;>#5Dl z^3#e=l}0I}|2aq>GSp3l^E?dQSU0@9g4nD}`M4jdnB^5+05?3KxGj{(!)0vx4=|L; z-^lrKR{=ST?|U)gA#RVWELfuAMz3sk!g1w;)-#sKE5&4526<$LAWy{q+YPhZo)VRdRjMMVtU@!6AyNx#MS!t^W98WUeJBUr>%(lT`Ueauu991An>n z7Au{GQmw%}!dPB|)Jo?Q8s z2v|oa2a+Y*O^|`@Sm}o;3{TV(x&b3DC*@t;M=fN8+8ni3@a8uXO_l*0kWhkZTJcuJ7v>cKKN~WIcX#biG4ArNj5o_lUh7+V!Fa z0<&%3c~w%9%)js$Iu~Ppd^bM}EIx@WI(^mNl#oK8Msif>!-tvHEYLW+w*T}Kn-S%o zFWA6#1t8)suEGv2&c>HTKdD^6RO2q4dzfyaKDJP?XeqLACn!c6bncGX($#a(bk^Sg!uKYuU|wt79vwkH*e~VnrZm( zMW9Lod#GElQ1R^6WM2qZg>BBu)~SJF>eGIRw}j$PlD^-={pXdcq$hQi}(Gx0-EwCSY^3ZJo>t0TwYQM7uf zCcmz9q=UXX^mibF+$Hh1ym;Dd)JedR%p{dtOXfz~P(pJUz-T`B{k0r%sm(UyI zeFA-Rc%ZJ?av~Gz-a~z`Ub8tLSo7S>*Dn+_2s9jep)Q7Bau9Z6&*%CXw(y=MXrH{jn!Lmdv)<2dk) zh#l%Zbfp?#Xu$W{W~zx*6C`~k9sX9XJ>Lw-rcCZpmUs4jEmh=7Ij_dQh4^#}OcqV! zI33I0KKc<4FfuO2;sD*|H8vRpBYc-@q4T7S^S)U+otiWQ_Tup z3t@e$#0r@y8vPKjb2{O?R|6zQ3C3P#5pN3gILg%9wTahfl#t1Lk4o**Rj_aK{SW)S zm+pxw%CwiAQ5VZ?rzN`-tECOopMzuy<=1D*mjMr<7q8t^f*ScCs$M|&9Rg8x%6XNy zAi2i1rhbQZHojn+pZBHCUpB5Kdn7Si8R?v@MA>YZApwY(qD@67hQbd?xh{n;D1=iV zmlbGnOlMFbo$cVzPFgKQDZI380>1kz3cmUhxXFA#?ylZ)Y5#o{5LY*witE6t-*(na za0oZR?W{s2&Mah33#TFTD%I~pFkU-%E>=9$HKLLr@#K>F%Tj53c&sa4m%{Ij5nPzI`@A_>fU}uKbq$9l zZl`=V^pUMB!TcP94bI;O8zJOParY2CQPn1GoFSu3`nxR`XW%#GGVaAE(&w8#*DjrW zZC<@a{i=8mNe}zYVDoy^wxxn#}^ zvn(3iTtoI~r=n8H?%$(<9J^V-PVhH_tJW)b6fUNXE2Zvc2sI(NQPZ@~JCk{_VhiUV*MZ|9e_<7>F z>?724|%)EZiR9k;|%4--CgJP$OfyMwNm6K zgzLcu1s{M^rsEid-vOxmp9tHt3kG*+o;s+jpE@ey&ouv3mC#ri7+kM-Xz$4IU>$i- zOe3ByAs#tkhrc4xZ*336t2LjLZSj8ug_a1`e&DAI13ObTbMY`?albZd?cOF8f-IRp-`*g^{qEs#coblb0(j!T)#G{ZxAe!O-}uZ2 zdIz*w&Tz+kbOf_&oGixxKEk1&PUpNgTl%Xrl6SLNuWsB@c{L7v##{P_Dcj(=rk!69 zVwgVaf;U`vu?n^>wh_qUqj2dBDnX8m2d6BeYLcF=D4WQP|_ z%n^ReXz5`4J1HtU-wpH6NBVF_KjR_pOGZG4pd31g0*~{M-XVKRI7ylF_iBMXtKIY6 zte^v~EwrwQQUZ1!j3dv0tneF8a&@}r|ApODXWg-F|(5Glqy8SP3uKHcC}ZL3EE zf)NARI#}yzHr<)C)^L%l4-S>j1oxac5pcFdb8be7wTHu1yk)~Ja@P=)G>=>4z4rve zJ~#yZs7(5*!#~r6q7N;!!#egl{@8C75u0t~aSbOP@f}P@iXPnVNPmQ<(h~_u);;Zj3#=8kp@f*)f95Xd{88WNeSmh@gEa)#kX1Fqw`})qE z4v^N0n6K1MQ#D?mBiveN=8oNktcCr-4;Q~3FssG|?=QN&vB^p_9&w>gK6ZM-F$>E-N+@#!nHY;6xnS)6~+_1Nt!l; zPn;!4{b?Kchw$MneUlVZ8@zWJg*+BKjJ)o9D30!S1e=Lo3_k6w&?lVt9qXrZk~4R^ zL0pIlf%EG)2T}Jd2QkT9Y?R@i?&! zm@Ke_I}WsrjytY!ay2)#KPc`pHg+uJQVte>{$@nKujv+-9-5of@Y(Na({^CjIQ=S| z1sSeAd;tF%{5dc;atG;ldg!t9WbE|w(R=8zP#pZ0QV8f4)`DN$v4}6sJmvqm?}xCq zHqPGavBBrQksq!fGs}CE%7=*V?D;XC&4Og3ut8Jd*$d6vts6A!Q8#zWl>(xv$9y~? z;}{f~x+^ZLhZqX8=e@7+b)buX^7wBHO<<2xm7F2}ovn1MicdK=x|O@*=EY*NYg<$m z9#}$bahUJ0;7LEM!hhi8Lu3&IJv{x4720g8&d&9!@tG%zc?7XDRwf{_5`!}a$vtVv z^5!xia649KZ}MS0PE@jZxb+%WYSC@av-E7O>7*c7XnzkE1+V2Q(%?$r| z?EeRUVb{V9wi0p2El$gB^Wj$oY}1fMiv?`@Y&~>Sm7AOKG`E{o(QS6M;Z~=Yz0J&} z248|XHeNoo@OC!*1-FPkj*mo{DVXG?l5T`lwBuo`ePVuCvxj@5R%;~}4Rs_i=`SO} z2qBPdyt*`{S+FKpZ|PICPdKGl$Wfu+;lO1sDdmTLXc36w*dH2I8!vAV)mdpt&eE0h z&q;O2HcqN~4!7hMurFuO!6zEK)}2eaV}JmY{u)x|D{YQ?sG9n}OS!~n*tLX!jui{! z5u`Bgo;XRR9VcYYFq9xOqoM_wVxJYmR5WAEmpiPp_UrJvX0qSxDq14tGU*<4oK17$br6@0va zcV$iW-`31tQArcSLApPdy6Ff+*beb{-aWeyb6)vAHdoVz^xNIl$HIDq_Q4^TzXxewiym?Xx$pk zPyL^QSlwRIn4f8Y4v3G`tr8E&RO1f44p{1V43iOJiZl_}2j*!5aVI<>5dn~+vBg_U ztkr}0jyNA?^o85KxVmdQ=dPC$7h3LlMlYhPS=BVK75te*Dd9(hg#g|*I27`oZ4 zwG8dN2qFx|BibA0U&S`(c`xoBYLwsgjddT4*w(?H4>2Jh-hvE%LqcC)*9+6DdGLo{vw+K4SRC05b%_MD(g4d zrkkTGdOJd9#2s0HHL|X%MK5E3K8fIIi9i2W!-90{KzcO(D zs6NHtmYtS6Wj$zmls$L{AWJX_?1;Ztdrv#vy$(DTSUrocJv)pIy{%Y@8F7jQ74MKo zi9hd_I43oR&WVl(Y~{}+h~po+ILVNzV>7|Esjam;dJd!ra91Pg8X1weT zG06-dyt5}Fb`ANSN9FV~B5_{4?xHcJ!5y=4@rFiU{I`GqA_TIb(0RDEnI_N|H`|Pq&7@4H8C6EPApUgD|mR3v|khX02&_gr45fDj=p?Tk{VzXMVN)R_c zukhQS88maJmO1Ck+OZmR#h&?OCQ2%H`fXEV!;l5;$U7PNpBvGIN@Ow6rVPZ;T?709 z+7sRXItkEsQ-`>NwfVo=DyfWX1pJW<(@n>oV~>-5p`$W621py-l%oTK&*n*lQLZNJ zNf-OXfdIFKRC|gXAjcV0+pJc4Whj>+1NMZ6V_+hP^oYg`^m1n(a3Q`IWN zrrDRAquR}9ZXE05q%NK@5!pXd9&8q;9hoY}mmA2g9%RwF7Mw8Ww!4=(Ym5)e*d0x; z3u}HY>cJLIo4^mtJSWp6?06%G( znZ-J7RB=Ms#%~n1*5z?S&a?*NZEyEI6aV@>Ls=>ri3YxfAOrF;R6ZKkk(!c2Ts0Sy zlzvtax|%~7WEUq?93PqSfZnW6>hJD-dUCVgz;J^BqZT44;O_wQjF8Z-;RHLRWR4;o z&7)}2TVc(jKV8|HM=RRNqsbj`uo5&oj4ma0L~kQTXU*(&L}RszkcC4rw_};aaMheGzW~LFENr^>%}~gl1oFc$&yDQ{shh~)85l4ISUcAN?IX#-4(3>%N;>TVPV*%?!OHhMbn4T?J=U=ejYI1R}bT9 zjWHK!Nzfn~T`3!hKKvVB!0WBG&~+5@BX?M)1mwkN8g~(mK|PO0G4fiDwS$m`RY}B~6{S^h8Q{ERe6IN4X&nLdf45CcN@2zHdF}x=};`w{1o}iwrP9(t| zfgNhz=?HRku22jt!G?=4yx&hTU|LWiiQ4>!H?yD_$oUSex=`pJ&9-=E!IPPY>`;4$ zz|_X;>Z7&PoPj$=zU}zy)MT8y*lXX4w)mWjzvMV_VLr~qu3mg#Iqktk@ZqC%Nq17x zH5UK~K>n~UQ)JNjC9AsFu|{neb+l}(@8!ZE4Wb$Cw4?OW4!a!%)4=pZ?~`G{v2LkT zKD!m~_%7X-y-yIa0fyvjLU!2`}m^SSH1ROG_2f4`pP}4u+d|FTQsZ zY0sXaN*Yq%yX#(P=jzUZo76H+EEWS6f;&1kJJ)LL+xuL0$SuXQutWRGazj&84HcE) zr|F&1b!2`qrm}B`)nzQL#uW@+$j`;&$HruLLS0ONKov0z#AS@DjvfQzy1-sQjSq3t zVrQ$y1;6vp!1=$TcHgb#q^L72e|P52AcQAI9}k!1Yk|iF#I?h<%IgaJ=I`zBQ!7r7 z!2rS9vGuPQ_->o*b|5a=kN+7%Z;a#HJKaSCu~Inb$yTCT`&`~I0h@DzxS?3(eJHG6=!Tp-nR&A=9!x`|DrA5-j&=XA= zo{qJf61TDeB+2t;V}V9NM@_Vfx`fA?OhRd}@>o0#%IF%^iqF6LZ;SRyesVd5cv6vy z=+#RznD(0YUMU*m-M=--Faf13v@%at9iz$H(keR~gd|@xqIAqG@Ka~6lTvaiuj3VP zOVV>*S{K&7e?C;3^1(ZkI7?;{|I(K??8xYeaNe#O68i`Y`A;XZXK#>KxBiy2LbW$8 z9&Rk=CNU0IH=j<~dibZ{v7&RP_Pd{<=~nxlbg%|6R3yO9jTTtS)pwba5zf%WJxFfX zwjj?i^mMe55x#3nwQO5CV{*(N8AEKIXd-akvcG^IDS5Xa_8nstvx$69MYEc@(jKV+-mW0& zTr412m1@l9ENe86^r*|trb5jxt&6rp0TAR;1mcu(7(C{4-XWH9YIn;jOoX5J!DwA@N@BZ>4q#y1;) z@@kh7W_o;fPQZI(FyJNmdX3<%DJMkrx~?BO7Hs~;1;2R6Z5i7< z3Vtaq|DG^ky6ll|{|INDswtCL?>iA%VQ-ei=Fg5Rj-x(#ZGAKB*Mz9o#~sex`gr>fGf==1+?1FDZiHeyKi} zWTlJ%@|!``UD7c>?$-)W1!rbIT#+RLHGfk^V z_ayG=*f_T&6VyH20A-#I&Fu$v#pa!E7qUXBn7f15=DVJX?y5a(`kLHyS@9DOZuMlQG)wU6 z{um=<+=dRMhPZd1F4@i4roa-6@ty%s12)C9w*GA5PWEHSbke%>=wF%9&aGI}?@c_c zIBHIEA6J)dzC7V%r=N`wR0kK)pW~;|GkUZ+fCT#Z2Z%?*nSd&3JJVyo6Xl{! zY!p*zJ6zdlb^(+~w>=m`ue&DgsR>>g*?91)?2{15yS9sjBVpq#Z;Xdws79h&&_(7B zRAV0e>U2LApU1r}6#8pCQ#y(~KHDb6IG%7Tlx4ZCAM7DFp#MVu zxY6RL%(W**5^m^eUO-JhtH@|`QM73M}991whWyxOai2$ z)z7aJ)WOcnc+2kZ`;lK>!$)JV7M+O7G(u-1#~n;|ZNjFsOOHwEoNPA-EJHfu3V12} zX6Z2W8V15jfUN(^V5;gbn^#VN9L)}VI`NjZq+|ODITriMFvIlI^I5Bly*2mdDcO~X6R!JfF z*6*_TD(L@2mGbTDxgJV3LhfE9k=ztnT-nA25w^U@V*ueJ`DF}UYWVJv*Me@IZJ}Ic z&|qnYxq?8&To3dYsj^$zfwN@-e#RnBH?P<67XI7mf5=yX$gs7WhPWJxKC%jK44Y6$yFQt_rJ2CqH5Lp( zrzo}&MQW$8ONWipA#Pu9;c#;nmD<>U>p>h{%LRP!ScqHACT6ub`eS)dX^}xCsiNb2 z1&?QU+9@!@zqjK&-B^=5*-KUZ3&$3h^!nM;d%X1Y7xtfiJ28H=Q%%t!YonDbpK96$8w?a zWjA`0gm$C%#ewr^`BFBGX>y18=bG4MoJyq+pjPXy?9qX&;Ro<__3|I!8jgiX%c)J2 zs=FC<`Xnd61^{}2vKvMzDwJH;=-7R+BoO}2WlQzeh`6;N52-@ zXEE&bETuk&?3irFE$nW~c$dB{z1@-bU|P{aos-IzZ;_&iObIJlS;Qo~t?k7QM*%?- z-*5iz5U$!p8Hu<}*_+a{9x6M1_dI1B5HgVq!w=u$OPgVR=5MiLPI7hjpm+ZCNa(oO z47%N8Lj5L0aA9Ee-nHBC^q9MbHK%-eE_hPrlKz(SDd={r&+!qk`LMnmqVV%JFD{Vj zon$Mtap|u_LDafWh&+0@gdSMs%1@>{9TSB;tc0OqB`K=AbM{vjcTNpy%#7Lip!`tI z*3h;Xv&0CQP!rZ2+toizd)z~5WT5HK(@pLQ^3o4 zp*I<#_}PPfh$8_#=WZ{HGABEDK+_<^GSK!@sB!78$y zuH(5TDG=$mALN>Rv+4eWTUkM;T=BPuhC{Tzp?lnSz;>2bX%21y*O{fsxAYriF{te+ zzZ2uN)3o99ioMCw(nh)Qta{J2F_~_5K(6QVm+yt(a!4z;V$)USYCln|r=#fsm=j?2 z-=Q+*dl0K-R<{~{U_jc4>+6=)+q82b;$31$O@jSZznesTttwz2t!!*G121QE$wMzG zbTxzty;jz7{_8^sO5cNZMY)!rpsrKz#{(qfcT)`5x~BHILNe=!p$D<4X(IH(_^_Af zOI=oLlEckjv~DcH{_kYs#*kl9qm?-*Y1`xrd)$0deIJ;lz#n$_16+tfSOt_esL)KM zcL#>NT&q|Ebi%(^nO)@Q_G_wnnBRNOmh1rGfa4hsaCLG68&S6(s-t7v1F(zF?E}Xx za#Z6aUO$JTVD`*=K2+n_vJN@&O9LcSRdh}H4Gxv|QvcvtX7fOisod09)=VZg1^Vq3 zvMG)cn3ebLQI2jo@(JpYt1<=M=>2hsJo*5|!zckWf`}gPxlShgE844!^3B}`PX8p* z;|u6hw=~aMGUzqOSxtv|fXkShj%ij7>2O*lHrqA5%v^nQUJo`Pz2v6fN}DS^l~;=K z@C=zCl1Dl#=s4gArU28=0;85TL1)$U*m7x7l6#;IlC?cMSjxhaD=>uEd7Q{W(`k;E z7Wv+uy5=;~fR5Lz4)6DRT;lag(H5QVR{B7wQ)E(E{qZ^~)n(yEEI4(OyRQi^Y)wJ) zZCK<_f`?qB>T81ETdAtXYYH#LWH`ybXYmi2t4DsdkjQ~3ltVJNb?h9}g0 zS-ww2a*r;>zr6SgQ;5G*wD*fkE&}$VEn`$1Ay}N8VtM{=A|GxidZ)Au_~h9{&|+bu zZu32Jv_BP1#~*TWAIH<}n$JZq8FXU7IE{Re49%dxorW?(Py$8NJ2(CHmTD&tFW%N6 zg8Qs6+}?Y@oM0pD-vcrvy+MKaP~!Xft(f9rZiEhN*^$gSqhUCaMYm4~lJia(rHi(8 z$lS!D4^n8Pp4bRDQoRxWTIj1={ZWVsF3FmN@HpfK7ifH7%|r+tvq%$oWX+6$7_)fO zB9uDbRB@B)hT644S@uL6;ljU?mAoEm4-}8IJz733 zA^^&@Y#SCf?ReM5d5nN^OeXbBn8|#uIuBHNANW*X{7((Gs8|IFl4=yds5?POmqj}H zwKxv4ptCfaG3ua_PYv0Rnf6hE)KBs-Noa>yil9#U5YB)t6*TUsgCGM~$K*a>@vR$Y zovZ@8+ePp_P-*|V$|k$U{A0uE5=A-6=iT+cwq>3r9=*kvdW-*Xyv|bZfl}bmj|xQr zo!I2*BEG5+0O5J1vg7U947u5{gku{oOGll_>MhGLEA?M)YAsbo-(DycJ~PD5*}wzJ zfIJ>OWDb__5f1i)h^>p_kM!{qmg1{xdrrUs zW|8Jl9nIXp<+vf#|fLT)U^b&5UHj8>tyzphgx2_9B+XVck~AaM??e)jXd7f zcw$dV?w8*2e?=I(>@?M!wu#r9m;eBYts|ZH8I|5a!lH?~1FNi@u6r-hG-9Jsjrf8t zd(!*xSf$Q)*W^+;TC>N*{uk+thPN@{4U@P;qaCTuGo0cZ@A#4}jsj*se9q zgE;5WGSc&huK$W-N4s`IrFc7coBs-%AKK@L&AbqEaOO$MZ5M~C>|{Yrma}hFjsYbD zP+qE#7IVqnS^LoPq2JE*VdKX+rihc}HD0Mpy$leOt?l{;N(QLwiNe|}#1ebb` zyWQr94sltEbng1)d17t)HgsLYT1s>e;6)9E8{4rc54Uh$>LC?_ucqryH=f9Nuuktkuhhh6hpN2$Yt7p1oUBs zBjv&9y#KBa2c5&-gTyqOH2Q@#Uj$^^iLY$pQZ9`h{$VEPnI}(7rx+Szjr=IdU7iR^ z-PMhZi)6Wr&vcJgX|--DMACmBwK+op#)v1ZniJgUym#T+;J{bnJ6I z^nV)Lg^4lRn2NOazWRx2(}Nr8=4w6}W(yvtlpa*ubH%WYksDzSB1_O(y;vv|ib3tLZ!^XukP^c28)3Rr+lL&I6u%en<3 z2uY@9&<+f=W?GvCv`ge-XmM{Ojg9EeC4F3fzSuOAvAL%bf}mu5SUxb;r{Kw-kyAVp zQUpn!7`2RGT%L)|W%I?yKQ!C?`S^ciy>(a|P4FO`V8JZ}cXzko5@dk{hhU4lyF+k- zySuyVqQTwW3Bhe~hg;s?ci(f*-9OXSHC5HsRn^lnJw3rCFI}e-kb|*-0ht;)f}KUV z0+$OUoG8~s1=SLxAftsk3r92M(O2$ij7xti^V#_V=A|{Gfub(9?@bnCRGK<<&Xxd8 zkrEdVw1wxy)tE}1#0;Hg=sTK&g1B@HctmXC zpgEj{BKdF~J)v2b_vrw3F{f~J#WR->QABJTNc-EL+bS0sF(<@YK_OT;hwZkSX$to# z9coJ9=V#eNSb8yiM49Qnrgn#~SPl8VsT8NCt2;zw4|Km55Z_jFg^3@jTf)G;oS=P! zd#U*Sa*DQuWNl`E@G5ehp zV)Cfwn1iu>Wy`(AJmT!jtD1u)a_5g(iQykU&SZu%)oj|rI4Lhi;b@P^C@h3| zUN7tpjoQSGK7Q|MU(opVdN$pdy6r-j!JOQ9*(z4q{gL0P+e+f1r^&z#LH)pma_{!| zrC(OAD~D(5R9oNv>j#}M?>BXg{SGW=ZH7LOB<}`_{o}8$5RP_crkO%%mO)!#pb$YH z8qPSs_+ ztv}Rri|G=`${0&}hT{huA)MCe*19)oKpY({DRk6)rEyITnvnuKEUZ!Yue?TN)B|X| z1Ub=lfG$PX6~TA1kJS&B3!YdRFHDp&hbj3Lv@mLmtV| zgBOGHp%k)1i2&(wbMl11zAA|9DEtYfz?_C1wHU*@ZLp9#705=Pi-oKgk`7Wy=u8Zx z==$)bC8}9e*(oMBH0B4i)Oa-yP+If3&r<1F2UCH#sOL@Eyat+o)6VB+r19ZoBgeeF zw0=a6Cb=A5A{35taW>a&^T_7cQHYzt+tJ9((FZgu6c_hWdFp`95A11dp8SZ51+Tvf zW(}(fPjPhr+i5!POh&8l(OdQeyoLbsD0iJm0bki!e=&uPoXsHrEl#2G^5&$EV>o${O7e4`y%GiR&hY;!n zPM^eYXno2*p0)7>q2+{(BXy03i}SNjWo@TsE`lj=XC+?ZGi$~s6%OcyLFUDKM?LzH zgX0gJHs3>)jh(lJNc$?wy#b-hfO5)j#~Ej7N^=~HU$p?U`hZZGG*qp$smxMXV4UKB zd|(;^-7xJcbpd0(Rd!5V1bbGD%p8Z+O-)=;ugP8_CsC8jh85DpSAXUck{rnPM*QYm zRH{HfCfC6|YDkg)yLNe8Eahj5@6m}_Xr+%~hDwu-pAQB0SprcG3W zw2}sxsi6}1ZlRde15->V!N67g&*@J@;mMznDe=;<`h><^bpdNZBLrd8@mVU4tgthV zIf9oZjVL(h+5xy1#zT+kgI2>jvFq$gY;RRhfjc}r@-LMaUcX3}IA6(W&;{whZ5YKE zyZDY$a3E6E5;H^1^Dm~%LGx7g3u$-Jl!pjygMfIo!YHWhkl%`eAUPzB>yQ_jH-ZWV+Z8(EGyFFRsb$c z6Io3duc$HOauA`!jXOerbrk$ic%)Am(X7EBoJK{_PdLgDoSEG)`yw6Rxf)Yb#*xsT z7qL#ZU7WwWIQZ57Q-tmi&u2qfQ2De*>_}G7lTVUEB@DkxzalaV?6bcGgM#!nQ5$HRb(8Y%cWTV0%n9q+3e5 zv{p6!Kg&!bzY>~FT9B-QmMB0t{Vqudgcy@Rxe04P)W;h?uLE%fC9r}vxaJpCSXJ{%(2n(WqOWYEs3mbmr$VgTrfyOK5WZz+(tM`M z;Jr>91}f^No_u*6G0M&~RQ~-RR6V6m?HSa`zPuSGs+qZ@_sJGWyScnsnqkEmN!?$FCof!6G)}n$vdolJF^K*n#MuF7~UqY%v zh+BMveT- z7-X$9ZxDM*+E0MA+OMu;sK1&aW5H;3{tXZr#KfFD_jBn;>m8I&nEPqHzQqH z@pTNvq?LZ3T0@7?5l{bs%bsnuHQ|W@@?tca)7h$@j1JP|^6KK3zo0edP{Ds!)pnozQl)gma7tsbH{4HtpQ#Bjs%JLnT(JTBTX zmJZwFn7y~ ze2jNu&FPxFp2p~~2Fc0c+;HJrd`$c8u=MghhRlpu#vnQJ7Oidb6wPJX?5*bM@KrB4 z65DXmSW@#;$K?7I>V!{9zw)kAQha&+Lx7O5fzDT~6e;(&kex5)Y51=5GW30kwwycy!aTYNVCl@H?72v}->j9-G#G zMr^!aE*sWyJsF&=h>)3fr5?v(@gZH;P5u$`M@I(0NcXVt>Rd01TP#U(<#8RJu#F=> zM<|rwUJO(St8;C|1uOLIP0KkcqM+{Q^W^L^!#vmR#a;GGL!fweDUYVTb1bu&r6fw7 zPf~*{PEv;0ER|L>;&^H zto3*w&7%N-&DBu+^A;;60n)e+i9`tj-XsJNm5^uTRhHLj)|mOIMT zjA^2w33rlJLQ5y7qG|biV>pO;X)H(vO=a!Ws?Sz1Na71Niy4Bn$k`E+PE6j20pQfd zKw|gg%ecdWN{agI4X+~N9DP3^rG)+Z&uu2c$mTTPAnC2n)jy!qw%oS{4zC_{$ zS&cKSgM^P-(Fmg1yHH=OQU23gUON^l``nb@pOp*~EI{&O9RGh#jVKMwbJ(o3(V>%osSM zj7HnVPaByRWzN~?Za zg{87&6)_~jv=FX_zbf`G%cfWk=el0xgc}P4K49svXh!!GNoY1859s_mcA(Vl_wKJ) zUk=@Ctc}Gr4E;tz^e==y22(M`$Kvhtak*H}`G{Adg}@l(^^BxkoC+;T)7>xPERvx~ zwzvbOxyl1`JG17&n9SX95zlc65Hny#M?8L0;W~*i)uXMM?I5oc@)H&0BEQ!n4k|m4 zhYq7<6Z;pnya?58y`!&F)aJ%>kp4%pI{0FwB>FdIgUq*xUxcj;2_0f244%c2mei$p z$jD;KP#|ES9oFMg6-p5ha%zPHUy$_mDqQZOkg%5#ysY}69=uy-Dx6MKX4-EmKyL|& zQu+LSteW;t0P~QETas?v#A=pZS@-lWpSueC8n*ogB^;~bS}2+Hi!YF)-F-*4BzAsn zt;OT&)ab%Use+NJ?79LEQ@B4(vq_Z{jT*<{Q>V4tH_FvubVYF5pV+GZEE&CF`}}3} zATcLo1@U-#c0SLS6utws`Kyk7dIR&YkdHYUXf4x)T3dcAyx0Au*;=eY#xT!(YfzsM zWb|Z>eNY$y=*q>Cls{Ar&05C{+L$Lu8?NAS8Qh*$JkX(N`z<7wS@P{!B#5Z2KsNS% zHK)_3uJN)z70#aK@JozmTo#R8Y_~5GI|>u@ga*;rfk9d-rH*h3O#`}~JL(B2VSt!| ze!*F>DyYmpnXC*Ihoh)uiN`0&Nf6Z=RpZbAPx#~-aauW@{u@b=RNArGcA$ozS{(0a zn>OP)>Ch*0d_R7~cDA9*I&MRimJV*DP&UgNOe%!~x(>wYdMyao>L#1t8JPb z=@W75kff=?xt~FCxvqOkPHYz5$R5^AiYAF%F$HYXan$TR6?HLVEM;!6VW=8nUuc6* zpp<@Nc(kkJQB*3F{wHktdbyeb?@_NlOjLKMq7E*uVe4AhsbRzc*+;RTLE+knTgA^{ zXu^Zn4H@#u2845eD_7|^S=%oaAE6A6BwMIQXDV?LD>b$Qq*T=fkvJbUw+D%-c7`3Q zpMJEI^P##b7lq@0R}4L#W)g0uYJskAVc;KS@aG3%;4>h`Mmc@Y({>mbsD!cMsvdZk z5eBX*fj=+(vaRzIV*^@JZD(;G_S8OZL(kJ>W!ZE{nG15@smt%hkeW=*3%6eOCdlPB%;cdK--nO@%1N}U$A;y6XjyCP zBUCiZHBC+3T3|h$PQ>>MK;AP22Y}CBcH=8SP5Hifj(amrn+KduFjAQGo70VUplsdM zGxkw?U{%)Lb`(}83=U(4srdn~!Mf(wdc6A!lOI~X2L<~=9qBC5w>(`>OGhf3qAL{- zcQ41no0G)r0f8o`L)y5zkPOzq&Vn ziwU7Em4s=nD54Hn`cxXf)24X7O}Ir^ zUhuDzQi#Ige1gxQ2a7;MW|br(L@>KM{+9}(jxF7WFj+=9eb;><4lOzD>IyDMX|u3Z zSZ+~%4xYjz>oB`I{+Bxbdj@{Vi0wThtpOyvK>tf5|4V#P$AE4v*bV)hwdrVwikx;a z1s~C=H7YRB?~Z`hwJv`wTht{X=Q$w9OLS`#Y7sGmBFQPM8)Ea%HHylcC$>geoDN+% z&sp6eFhb;ZNu)q3u#8_bUL^7rk3$WoLu5|7=vF1vIXdrmbe7LaUiI>2`+uxkio-`Pp$V;Jmru_cxS1t1BatTAGY29C;qCdAF*I-Z;g$YS! zL5&Q^=)B5ovVKdtXNcq;5~m)J1PT?osesJHF_!zGSh!%C(}_?Zzpb>PEET(o3$-oC zImEt?>6U^KVy=1vZm*07Zqg~7?m~+@LZk#r&C-v zA`bfp0M!hWM!BxO#povmFQBod)Geurp}7QN6`lrtCl8LsQze7eotG*+%9K>S2k6d= zV$ORHmmsPim4mueUV;_B^xc;+Czqk&s@kur-(Z(MQsmPIf76{0DLl%TRHX$~9~~>* zAmr0ukE(HDJ4s5HQuOo;p)XZ^Ve6~RBrenVl{&kYM@ZKUNkkIr9RyB0ufF!5p22vP zF_D#eWazMJa7uWWGW{xJ8JQGy0U_aA&@BzVD4fnX!(W8 zFA$0!SL*oI4NjonQD|nozr)dMt04}O3E(2>*qbDd-y}#gXBNGCv*=n^9zsP3$p>MuPmf& ztpDfv_^ui0{KEAA@E7Wjabz9x@9tPXs&-19o}u{n^19G!oy6{t&%A-%L3>pLzK%Phpp$UP= zDv>TEd1B}=YuOEfRIOrF{yC9(v(Ej48^ov?b*~N5g;Efq8nH6b5OY z#4K8+!(m85uSCbBR0=6d7b4gxR;CLgco8C4JyvECtMob@Lc*kU*bKo`Nf&NHctarS z{4AJpgkVag3-=+?9b;u!Af!0HRE}OAwbF%e%u0ua5Gu`rnNbK;rF5Y>ME7j+L?A@c zxdeSB#7I(iZ;(tQgi5hs<`_a%FHI$cZSD?_=DO<#IGg4!T!h@nAy0(EDdjAXE}S4w zTwqW#e<4o{#x89Tjg=vQB#Mv(r?deOE0ey$AUeETY)|CM;i&yje%i~BvDvc31NiSbGA1jP%jA&JYzdNlj3 z{=VSzf0@}R2k!{KXFEd1Tc-*4XSU9q<$P1#$v1uurs)GGwTA(doke)9C6 z#*O&XP^RslYpzB-lDxt?O55i{gk?LUi8O#=WRr1ShC;2$A3nCc?;V?c9_k@6$vTt5er3Hv z7On$_q?$g)1__UOZQ< zz`Tzae6olH&lb4uv<4&_U6&YkU&f;YGo@gK$iU`)lOMU2>{>{c+XC-bVg6)7by0!| z;OZWX$@zOAw$DrkvOpn7|r-Ecj+o zkkO+P;k@%Fzh2lg*rlO4HXlxof}=lB`v?MmL>wzf#_>x%{JO(ofHpZ_C!+UUN*}-a z>0-EL`|Y_2rwtYyDMR@(f!(3U5nMO}^pu~cvY7_WVhSuH*tYxrs>)xgcZg_q44FBL zUX;;1mHR+4Yi7>+7iHnks?~97)f}2S+U*w2T}MnaN2ikIvSI2vN7avC&2Jba%Zst9 zJ<7U{1ZR%CA(=1L9-yux*Mj*^k!q8)KlVluwhvUKV$ z`>U$HTE5piw-^*eX1HWYfVif;)db~=*#a5h{)+Sl6=`0ZK21ksjtn5JNo}e4eL@sa z+1iGR{%BS3nqe`WeJtf0r?9{TcJgC@&7uJ7K(S!E(dkj#?5l>qdhtJcwO3pMp5zPm z#?alOMY3`irH^4PlSH|K)a@Fr=u&2`0y-!din}3f&U)f!T~}%0|Dp z4Db&>fxq-_PIh|w>6eZ~#E<9Hz8Gb~0F(U8+U+Gm>!!G62^E4kQVENS!>h4{0EL4f zpt|MYX9*)j3wDKa#c(J>6wuz1@!S8vjd*0h@8=FVY`R=2Q+)XdX5?;pddJ3l=J6Ms zc}E|Ru3D&^T3KrHvm1gQh4Ohb#gOa9{Cg_8tca0+%q&+g~SHu&#nuMd#p|n=g&BxDP=FD_r8Hd z>zDkaFRx{H((~uIs=|LE5v8JIqta35HXr?1E<{@?WYyr2*mb0=bmJ;HU;d(+om^Ip zuUdVfo=K*P#$5)~YCjr$R@F^b3&EYV@>$b$c2wy}y^x$gepYpjEz6H6TYM_Fp@%`^ z>2nglDC2o7kHYc4V}tJ;*1Zua^=MJNG4Y)@EU;)+yutRJ|4ccyxj0nmo#!^M^`a_| z_ZZc8 zzEa|ahT!N8&vX7+zDQwrfZOvIV<-VYO&^~Abh?+5?La{{Tr@rwpOd!YE`?9%1F z0iy2)kXP8KDb3vXk8YzX^L{wQc-w)t2@i2ZsXDy6QFPCVDLuN8bYALm+tvM8o%}`M zMMK2R&&v0@kc2?_e)A;gN;%qv9d@%Ozu9uOYY?lGr3mcXht(-u1m-yny1KNa8NFfR z_h@_WBvQWTzwL3SA!-XbZF5O*KVPKZeiQUm<4rST*#gd2rcVP`d9ZQAlGJ=JKvK0 zN#f;cBj4jIhUdJO=N`aK7}8@$r+Ll+>Ew;d5soKMRIk3Jg&YW)R2!Y|We;NctO0qm zEA50su8|<~uyk<$VbCv=`vo=Bw~cAWuQ<7A#Es;8&VGw;e} zq=bwMT308~RL#pARzu`=e5qr;v*b?`fUQ(}eH#VNGiRAXEOgqS>HHSiWl>&uWqPV= z-@HM$H`?rTTy1m)|CHGgr-R!SP4^s<(&O8JGr|ASb>#A^FjS+_v_=26ybH`jl+6t= zbN@d+t-aPXU&!D%ddTaK?yx}h1Ak(Q?oiQkh{OFUfOLee!v=%e70- zy9;?dw*|IOt#ZBkqc(orzx84Ha20_!A->UWs>gAtBnhrS%+ox3 zy9h^tHWd9@Mq3tFRk9Y?3%yA63bnpWVOayu+K!^MfG*N390{&Wt-f!e_Ha8EpRs9GonTu%A5IstT)x8p zm+7|W!9}yf#jyqAy}Q6Oh{`nfIG)>H%^`%GQ^rz;wj8xM1M42H%^tCbRgl)>AU=V= zJRUXh;|$(&yFIMK>I8|%yxPcC|1PD+vkz;7qX-PV?P<|EPgZ+!yKPb*A06_dyQYOG zZ(6oo@l-fv+n8S)?$H2ohQbvQt>FnI)*~8mCaMYgn9ri>^BbClg^Iv15Dg@IUg)oW zL*hdS**(H7j_7-*))cQPBIU>D52-gm zoQ$ILvXk2uH$WtT@YbaCI6`odbOMkT&kw;7E4%r~ZBsxXJmve6lpd);EFtP5a4lO< zbU2-tm)!Pe1H^1x<@!M%k>vQD11>AYu3`yGGxAJTLh69aKY6O zGVnkH=t3>AJ?a8H7LPxyyWlQ@JT`)6?oEXdQA?ejZrVS;A;z}LlV9a;E-KWPn|?X7 zpiJJe3_{ecF^j8uqsI2&se+vhY9Y;fuIge^qy*fdw{&&)!ZO329O(~N5yPD!LiY+A zObP1;kj2(gWgjecR%ego|No zKxUo{9HE81s zHZhydv6iUD&YAu$DgIk1%U)i5cDix8DY^Q$B&FG5^F_@D`sAM&+8K|9xDfl?6GZ zr?$iG0QXnQVK5E%SL#2kCN1j6jL1AQmpW_B8S|a7^j0S=)~2=(h^Qs>n@I;OdWwI< z7B|@W2K-ElFk(lBF4wc(p%_(Ghc!|UvneO(Sg~IDPXbnYrW~Wysa?pR?=umhbKLb~ z(VMW&TQ-muAWZRQ+b}(_byL4HiBLd}v%g2wkoTg{`SE zZ@jXg5M4aGw-tJxCrqemF>(1I?DhA~pJ=&{3d&V<@lnTaA$DZ18m@@C|9qg*;fwCF z7sIY@sx$SeHzufrATvK9yG(%iP5EJYm=oHi0dyp(Ef@}GX=wom<@Dy zMw_EQ%~XT(51%$SN4B2Y!H?K@UT6&L_b$J>n?7y!62NUXOAAXP|4CvN#_lj>a|e-q z`LM$Yd$`pL)5%QqZ0z?*9M|W{HcXTcE}1lV(BY$<-W0!C43z~DGV_Bz?PShZK=qec ze8)-A0EGc~kE{7aV;z=xCWB7v0@X2s97Uf4jjm8~fJe#C1>fH_UDU1{%!@2xL&9`m zO$3R8Bn%k3)$x~h7p1{{ zLU(jHYtk1?NwC!xc{A(l)Ukt5K`1bZ);DQlpFmGL~Naqs_k-5x#BG0Q~DwPuK~vI zFa;M_wHhqwnBFZI23B%~!v-?C$A|`4{ZUK$B&xu2R0#)H<`Jg}7mRX3wx|amM1qQ} zC3{ed;09$3{xJPRSfIhfMcCX3Df33b(Y>KuteoE=_sfhtSl-Xk7ilNiIrcNfY3$^T zPB^)q?$&4<_>s-;4*nTeubuW+!6~g(vNjeruFo8t*544(13jNQ@Xo{GzZrPp9K@_6 znNeWE5w`v&G@fRlAaAkprerLopz_4kQ#+RWg|1#+`U*bv3gt_Ir-1vXgcy%Rv@Mj0 zxa;qBgxFc!Q1Z`H4j=_eK*)*Ay?AS<%$9o4;welg{m6A-HvuHQlZS~=VHwIf`_`cU z@699b1pu0MQhJOm4f9Rhc$rB0qjpPEYBNooUW~7O?nzWza)f>AF8xhEiFN`|teT!;`;tR%4S$u)r!q=YVX4dm;WYSsiz+_ho$S9{3qX1) z^}vfabhqE#%Db=eL457R8vjtF3wPq@ETt`4R=76g(QZ>m@SWV_I*s9S_00pI7bQUj zAc>{@m3+VFm7#u26e~){0JNbSEh9B>=-8(X20PPk=~L)KKXUmQ_sRzO-`>R;k>(oG&p70HUn{|n46PhGRl3+w!c1U~R1}?HH}0$_J+vz!p1Vn` zOcay=r32%DcAs4nakbMir9F>VR=`~Ok`*oeo{!p$J`4OBv(R4f#9<5>;~p_#%2okw z<@D_|ZW`kXH%!_9TBCJr1W*gni=8Y>>tp^69p~P}8i6`q`rlKm)vqDQUt?te?u-f5JH8QWU?gDi}@10@G{mjt}pE;^!zH*u_o~0hIP-en@44j}``z@ETgQDH`tW;U=Qnik&Bm5VE+08)zufemj zS7v{w9yc@+r6pK{&Q~7Rr_rv7Mom|~mv2@;?qE{#cP}_OoUt4*#E9OLm^}Sc`ea~J z;(nJN2?vX2Xk-)@YjEYiJ}$IPF=q6n{9+z6h652Pe1G)jqVcn(=X~(ah6U>%i&2q(< zKFcb&2v4I~EWCF)r1O( z);MLL3b*pnZ~YWnh0vOew;i-uMlLMtJ^r`o8E7!;3L3j5Nj+OX{1!Ckw$%DYB4xJT zY>^9QKv-S%-qq(^Z`K3fPC#<6{ND0!!RT>?A(oZKbHE zKn3NX!(QjF(W_0>*IIm>l7x^1}<*m{wdp+@%gF2<_H}>{j z6S3f$9kLH_^=lHPdCx)U9z3t6C8Lpc;fe;BuP)&xY|n`wa2RvchcY%8g3KAnYs}$y{~iioDQWa;kf+POSl{%T#pk!kgKdlRQ-09{kB$k`*(_L zz-2M|qxk+x@K=QR$^NzxAu7YriI!OE4P2h1;k2yGh;hDXeYWN%`{2AilC@c0yv%`# z^k2GXP`i>E9!`y4Z7|YO1K+T7*USjvpwwG&-RQgO#cdblkZ0t1YO}yu*Nyz=OJ&6b7ue1*c6W1nLxRkCu;LEJowWf zhh0Xm$RSs<0#C_$m2?_X$PlqSORgPtv`(H{GB?4J-JX{_S?TlF(x3jJV|IF?SLIafVaVgU9&fr()?Q0JyFl4Hp6UC+kp~v zBW$%8VnfAOJkVI?uZ;8isPSP$pg;yhNcm;*Hk=A ze1X$-QLb2SO_VXf~)!+yG;*H(NX6QBYUK-psQs!nx}e#9FL`1J`jm(=grEsfvO z$45@w!y^NJ53{?Q(wPRaQ+Zal$NWg#LwMOG+0sO5EBMA=U*6fcV-esSe%~dyM*sSU z^cP9kn-s18;I@$u7=@0Q$^;vAzB3!tcwYWQ_kGmX)qHrFB~Yao^(sS~wMNGiz>dn1>joUV>i6lmoi)=7F%wUT={ z<;O@7}P>qUJ(iLv(w}8l(MO8B~pNGq5wnveLr1y_Ky$xVMk6sL1;}gUZo>aOyiuUXSL}G&5rzqS8(cZI;vGAyL&qEPaanjdg>m`l(kgGRz7Ai$D7RG*|i69pbsY3_b(eQ z9N3#oe=>(l>d_bJ(`oilu}PKLlPCYh#_h=gX*|Rwu5TW47a*JT3q*HmLLKDb{u*$l zb3)$7OE&8v$a$|qtLlx^j`Kx6KHG)$;9g#BuL?reJUmBY-sEC)1y@xPCGNv0UbC$; zFe}es+s`5?rl%*uF3}EkWQ#;FC=z#0mu+tFN`L;LSDf>lLr zeEDFtD`G$JYq20{ma`@i6m=ie9NkS)zSJw|>BQd?cwwA=35joHc9KyluiR(2M#WNc z7-OY6EHyZ74ksEzzhB3&Iz9eXX>EXxzcbjRhJpD)eJJw{&ctpt=AK09F4f*oXH?oM z)S1RD3+K!4>6VJvC7hSnYv2V#`fuS5`Kq>WJ<-2uyt}$z!tQ6mSg(pUz99FBZ0bYa z?Zyt;J?5_27c_g&UATw~7T2mWy#%b?K^J?*85_zE9={JzhIo^Y=sh}r3dz&TC29t& zKBV5}aNZ0Sf~fLZp^pCH%~s}sJyEWDqjXu_Rzg~UkF+Cq2_jU4Gh^t@rnTSH4 z00q{Y2l0vzhA_E#4~{SM8}hbwPcA& zZr!Sl$i%3{uy=uw3(w=`6Il{D#z;2Dja3dWHO zspLjpS57NN{XK~SDCDTm3mX9@O?yD z-)gKv5|Z-OVF1oUm&YpB<_5Yv&SAt4MTxdy(G2a`!QM?Aa4Osh77!a0}fpX>8)Mm#m%Im32HsK$#p$q!@ zWD`{%n#%*wl^i@u+-i^dx7MlJTZg*9@F$(H&&T3ri^J=?O(s(prhw31Np7+c$~~7~ z{*JnT?sv`9YjC_40_v`DGaS&wFqVUVnjI9*ykmoD1>zl8m8*11Er&j<;yrRuOjGB?^`zifYRtLY0) z%oL`wQ673458KqxUVKAHe{i$2++0u`x-v<^Uzwu$C}VogvIfr zCQ(j|kRnuaVU%5GTwMU?i|WCo9yDJ}KjAq3#+FswHQ_;8q3z`mODwqsr3Ts(^qy@F z?^GZAC4LdJMb^mOV$BCMS)_yuy;Tjb)S|=uUo4HX9yK?NonFqnjV#GW*s8*l=Z%{* zz$f0?3$)=I?A~SLH#em1b6B8ScR6gfd~!jT%j)a0GDme~ho)@QCqK7vsNI(v>YmN? zpq)2Z-^y{&!qY4-@kjL%^(P)4({M-N_~`PLSeYZ`BgtVUQBeIR_Fu-SFWWIccub4? z?QUDL>)$XZAn&J`nF=ERu=OpjWmFE?V{>78qf3?*XSsV$$_rX=kY{JMAR?v~=wdk9 z3f`=t6xK0iMb0+XvVG1FWp7;mxrGW#%^PqWoEP%Tiu4y_PYVFQWmvx8fz=s2N;wfv zcl`tt#n>aO<5$sMGMsj_xDd~UJcAO?)tUQG0nA#>UGDPX(aOYA%kF$B^~gS;n*j#M z2qyH44mhTKDWUZ5I+VM2uv3LTd5czV1Vt0%D03YTd%CmazZlbDq(E_JQ{Atyim(H?Jh?DM^`@_XvsnJ+>dlFpITrqdA!DR zIJE>W_NMr)zg~~+VLS~hG{UXX93GP!7-CMDf2Tzj`{I)ls;O{)lo(EgEx(g#cWEEOeVWZuP1lE?0AXD$p}5I?1V#|1RwuYkn5z$hg+=P z-Ltclr`x@=?%uUZBRjA(yTydLv!aWdZ9NO+2y)z@XO7lrdf4V8!tK@L=j1f|nJJdYp=pDYyO@=yp(mPbXl00L4&tyQ zr$x|BZxQX_tMcH!vEY46^QUynBcX`uQct$dqO-yKG!V^>^cq*&AO^H0 z?LSf-I&+lZm;nD50Es|$zn^y7l)(!wd54sAJ;IfwUkE63S3sG21IpYVQ0999Wge0; znXKy0>sDB0;~^i}e~rg;|;-^xtL-`hKgw&E;+X zvn|%QedoJpo5elaszZ4;RU<;~i!yEEi6#WC9<#)A%mMoKY(Sak0?ND?Q0A3@GOq=c z88nmr!)@z9r^4~IP&N^78qmPj0cCbD%3O<12JIv9MJH0@yW_9_yt1LS2T|*y+SIA6 zJrv_{<9TJwJMnneIT%CL*=RF*PtKi-|BuMsRiU-@G&A79^Ap)aDjf7X*WM_Q0apDP z^;7Xrl@FImKS9v@g zA6VgOXFODzCo%IO*4)cH@g8XOLenv1T|MMn&%*BQf;6cJf60PC}>iyfrEV&ljhv z@VRE56wT+FmqHDAk54c0L_(fVI2w1yq3&3GCr>hg8Hku{YF&7sG+mhM*M$z58Eq!; z%>wrnI7Q$=0*@597%)T^lJs$i%Gv#v2Rl1G`}dOcwS(Bc$~`cRVa+`}ID&<9dA ztuojEoxl&3@#83hQB!2_E8+WQxwD^KzaZRyLg3Y+q@%Hr<@ZeuxiN4bY^fKxxqmsQ zhEWTL7_33|m0&ta^BR|1IJD|(J{hZ)+O`KK*Phj3XrpoAa3qGJh()$-WXH@~1a1kf z43YNLXcScO+#*O@+q`v=EsP6>p^aQ*yH%sOc|dID5rNwl;ijHcws2$h8@7!#`EFF> z8WqCoz^|1}N`?bp9|GZrHgl`&X4*t-=61Q>S*+zzv6J@+d@Eo`&%FmYLC9CJEoMkW z27SKUPPWZ?3GB6*#U|Pt*Z$itme)iS+lW|d;w*L-u{@H=#B=D_r{|>pnS>P5ZuLdITXgJ8WEnzVF zo}cWQiopq9Q-@nTBCx%lT9~OAFzz4AO zAF0(KJ=pvI&fR@iPtD>qJ^r`kxElmpIL<_ld71FEt8o0iLY&o|lP?1OPd8_u*e|b` z5tcebG*FFedELp4YgWx;Mt~n`o*EHO6xC_HAtpiTY(&U!A&J@!a}VU4q~8*_SNwPY zZE}ommhoVabtgck1zL|NNb7j8q1|$ZLLB^XJlIC8tHAE4mRyko^+wKP1JYVq@?ZY(+n8#h-dE z@am#gWO111jI=VoK`jfN--{x65JwpQrF8WN<<8sW`g!T_n+1MUM#C-RLuUvlc>adMp*#LxXB%)4hAfF`tAg(R8*kQHByem?%*@vZiu2Yh9 zFx-#Dn=_fo53O)B6u~}$T?v06*H;Ssau@GxEAW+Fc>TD*dj(!6@D=&?Sis294J-j+ z$P_ypAsTi?E6qJ*s50}^x_atFKVBChg7$8&~HVKMhE5huDysNIJ!eR=x5R? zV+204JMUjD@Pp#CUXhZQ!gb*gK!IJDnMPU(SPJ&>r@Fgo@2SdC)U)3an*2$KtE=Y* z^Z=HdM0BkWpPGnL`4e6bteu7@0{OB;p5w~5@bOiDtLuNA=euqIWc;w>FT~&ao|hyY z5XVq;N~Yl_(*IuFGSoE1paP!-v7A34~qegmXeQ20_7!vo5#ly zLn4C6watKA`Dw_Gg0bKs z?2E0sM#cBzrmC*Vwy`E@OL?sJ%i}`f`)rZNhQi-Z<<4~icM^HLCfxT3yiedQ0^cs> z7Zj35@L2IVw>Jj~ER3EluYQ^Y+>J}3 zuRyEO>?|}p0n44E<$y-MwyGP8o=dUF$+r}9Npv*sO~Tb8EP^h?@+V(frC!<0108uL z{34VdgS)aMN~VB!82<2hb{>7LM!Ds<=9PX6v>ejj)-jMz%`_I~(K0v6u;rC9w(`}& z-ObDQ%_m5r7D7s?#Wx)DExmkC8DAl+kCL~2zf3UW4Q5?E?9e1tj%G|Jujy`JxC#!z zH5wUO+!$y20cX*_`)s*2i6S%dB499#?%xBs9j)5IvJFxGbtwtP2BB@=L^J| zCU^0A4}lvBd`|8^B=8FYFBfYxA` zF^7HgA%FXMQuU^`tT&Zcfc$>KdeKc$RBfr!JHSi|>V-2FnpH%zppswBEO-!5hcp5u zgWT~2nHxtL^^BH+?h~!_1`U$J501sc4=xmvj*iS7@owkZTLd<2<5`JYWxJ#1mlC#e zP5T{OcTIFU{N;-zCc+uu)Y*`ySjP?(dN5)C_8QW2e-8R$tV?T z`Fw`%2)q3c0y=&e>6|I%c_IGGO#`-q2$ki}sat+)8%ACEVM4*X|AXvq1oXCSjCF-Q z9}E9NVyX6G;X(dbcoT_mr%EjR^F2uGIDyaZ&g*Lhen?{Bt)%2AnT8%J@bvgtxFcdQ z{*3)e0?n9N8A@D?8ISJb7FLR;X0dRReon;o&YAq?zvTLl0(&Iaw%!ch-&EkA590O9 z(+S@v<)4)@*U9}`1>Py}N`ar3Z$BmQ1i5pgT%RNOzb4m5%JpFaZx@_53EWA(y<4t3 zrS3oEPPbeiA#k2hm4cqYh~BC?v{-(!49CfK&4V#G4?oZuj&7-*i{&w6f*x3+`1}w% zM^xn*NjuHknIb-2;N`MBxm@5pft$&6suRb!CFx(}k+fd``Yo>^eg`vw@w+nv_~qX8 zQ&a|U0R*IT8cNo!a~jZOaccUlHS^#yS4jxNk$xtwIaIEy=QMPVBQUp-q?2I4O`#1= zUV_E0kCv-BL)&O>|3pnHoy}!0B+X9aHZWx`By|+ZUPzi1O43f}QX)qJe#%Uod}tQ& zeH2(B=y$-3vppb|#0gzIe;T6{c`=K=WlX;Z)qIJQlc0;isp|%t-obCvyNOLdEjE3q zSo2bWmx>*qF7Qx+8;MPKh)w@dZ2G4~Y&vvJ%4yS|&DnIIW3}dfO~-np-LaxzkYoLX z=~$2Qcfum+glDA_4j1t+6L^IPex<;}1#Tgou)cJ{e@iDk8Q%$DCNL4;?1Z~=oe->_ zH;7}sPK-p!y7hSk`=C@lS*%|NrsjuC61VX}u%ktW2ML@ca94p_3H*o1_O}9m?38dP zh~&rab=LKRJByN}rIuf=ZXh{c{N@G`O0Wdi32+(hhlu;|vGMXP@9)GEz+LqG&>>-~{grV z@Tc5K_&DI>+;%Zu0za*O)tnprErf#R5dvTW%@@5a#Uy$xro(*s8=tUIFMk`4iTGrE z%ZDVG31sGQ0{-$T8`k2BVK{Xki*NI}!JU9t+kkRMKpz?V9+Of=oD_w!_M&aq3aW$^Wtq~k~+_pF8 zjKje&HtJ^p!#UUqx*WW(kjJbRgPv~Cwp4KMRU0nUt->AVCYRUtL%XBoGa7#>)6r6I zI+B3Qot_OImY~#fKxQUaiOh&+9{y+g;xzDj2);cZ>4FvLSSB`Dp7od2PQ))>nTxRx zd7#dggF|%9F+MTW^(ud7T+(#hqd^YL3NLhX)*W1e9dC0 z6V;4@B8D+kE?}hTP^}h$@kS*&FOp5F!US~242Y85bP9xdH2zYp50`t)(5?a{{9dVC zW>>oNrwJXstdiu>U~w|YorG(?K#5PAsrRTd#YI*LC(0FHxyYx|7Na!nkJdM~&g!sU zR=b5b>MZRjBcYM~i38S#GK$wNyE!#dp&EUa-Ji6QMXv&>MHaeXzFm{nuozl88Xwxq zVq7u*IT>m)5oMH{roGTgs74EWOEuaGHzBNOaJc!MT(E&aWo;T zG<3ZlwN$Kz=~?9#$ht}pPXN1PQIfW^w8<@;CS!%9ZhOa;{$lm8j8!tJo-JfwlGO?5 zL%t?i^{QHMVZ|}!1-F`i4elLURHHx`%IMPb`q{1U{7B%(tN*Vd` ztoKh}&x2YYs@0m$mh!Lw+M|BnbSZjUtz}RX$RO7;CWCEd!Rpym3S>0C8HfALYR$23 zQA02H5378#e41u;3Yg+Z$ET{hais=vzB`<~%06eT$evSbJsRa0`H;=AxSotF4)DrZ zVIm;|`?)BsPEhA7zd7RNd-wzWqTI(I<~jgHs7hp{-^M07V^S$7>iz+%CWxdQ(Zl`f@@BC?!zUYieS}rok zf__WR8|l^>KU`K?B9puK2s}pMuVgvpd4Ycyc(vTWRp2Ut>j|6z*p&WM%b+;84s@!8 zshobI+2c>0|mRJv**q{1sp1XY# z1v}TOX&9{s;$DP5#b*rWTelCy++rH^gi|kSv5Nim^l<+}>Ju)8gz8<|d@7wIIYUS4 z1odYEbcwE!5x`RD2EXO#zEsSN+c2naWAL9$xX2Qi;X=2HjF(}0FdN@?yx!Tl7T<8S zJp1MdOd+^x-tqc~5l>(vEPIi%(J$?$Au2|iU)#+>Nt#MOsk}+EjBi7U&b2Hj@FG0X zfLW#%0I#i+lqCIc`RXM=VTtn>ESLkTGa10dYxt*lU)iIvkXg*}m|1Zi*55Nc$fc`? z5@D(WVu5@bghr7K>HT!|Ffqd4U4E%1gU|zfH{uCagfK6{KgESvY{qmn^!Xg<9jDBz zppPJ97RVSUD$c1GGYZOWE<`bPrCupZQ=n1sEDt(M#(oT?I9&214~|5T&!B(QY8k_{ zhdRUaS~A=iwa)-XHPxGs7P9}ckY+wKVTBk_F9Rfnp~@r>p`ts!50~MJvSoh9VNiv; zY6M^~#OPqM)MV;ptY#oHDcW`C+D{1t=rt*{+81NLiV8Ef^?X%ehCn=^gMB&$AG$fJg;+k*M!kaF7r76$6@$lg@Twa=094{O%OV@^CX8-5@q{^eR4gP{b&Hd%>}d+Fs_=e2s7l#6b<= zWQIYL(i-l7q1tms$K)GzOc?>ShB*p`%Q_^U2DbKg{_QZ14)ojYM&dR(y#L6MSb#!i)QN zm=s%%J4-|{XoUH$y%P0ey0+Qy+sgv2fMSv#E{3Z;X=^af_I5U3s?FhEc`FX+OnWhJ z%FgDjmR(FM;^59JP6pWZ-S~ej{^vYM@~gmzwd!60=_D^Rp;@j`6Ok9r_b@#4mvCmH z)6NFPnl92x;S|Z)7O}@xu53?{i0R^HK+g|v%HIxz2!J`>UWMWyNH@w+hLPdk_+R-F z<#JUdNd;1KQ05Pm!ZEGSk%)sSy+?1dc!Z7&ZjZF0{_2okL2&-b*(K+ZDviQ53JQjT zU|xX<6=gr1h;h=T>M+FCDK!bCfpK`RWzoITEiqit;j-4=yj9&2DXcPG4uddFW4A%2 zwy|C@G05T^f_W#k!;-AirKr(nid=C{LH*EaYYyzpM^LjkKatJfz_?dVOw63Cq4_}h zdlpQcOB0J>;5Lz6)2||9Mqlj()wDGUiap;AVbMRUA((@57R)K}a3H2gIV^LC=FqIt zHcN508v;|K<}Ox29SQL;o3Ba8YgGRZV&{XZY;bK74TCnC(;^5)Y0hG>O6HXgaq*C0 z9v>CpaK=m+mo=z$G)Tt04Az@Pa~g76Oyw@dcGe<&RH2tYL+|*2CV_>Pftw}tS zU_4y);jc>F^FMA(rg#_)b7W@(pbn%PgDYwczoC&aG zlKhVD?s{+3MUteKNbLH46}u+scd+HQ1kJsDh z939TY3)Aq_`9-j@(PDpGqh~6G*2xJAS1RbFmg2v?aeos2QxkShN*GA-PBua0tc?m+ zid|__)d)+lDxD!+4Sv)tP31s&A3{NfS$r;cn5;skLA}#Jxqj_H^dxq*I0?=jm};G2 za}>=cIlPXZ{zX-7Io_ETt!I671l)nW2J4HZLjqSS8OGppNf#V0w{+ z>yN8o9xB)qyKhd(a*o`&maaghXOr}Qa;qG?PSRKNOBo<|I7-t$DsxdAVOoZDO)*SY z4_nMK+neFP5i()Np|CRW|5XkAOn_&^!VW;-rv(D^=8siwPp5Gk@_e0Zxf;~#DE4j0 zwT5N-NEoWmz@NtI(;?L5NYF0910$UJFtfL+=0}RhZuK%ymczXY=qUDH;BAX`5p!XF!}wGgqso0US3~f{!bBR8;1F85?uNWwMX+ zC8{n))vg})-H0dtAA4T{XGc}#-JPV9fGh!#U1;bc%}zG5fIyZGB#@Ahjos`a!E7c0 zK}M%BEQ+F{ekh}(EN+M*q5~=}Ac*3MI!ds5#Bm>I#!<%^ot_!y`~T0WTj$oTs(#h4 zyF-V(b+zU=1PL33>Ljqlhy#uHWRJ}A1p z7s?PVsbJ27EOSR89W_vE+=~CpvGC!RA=K!$E;iJR!lUqaAuyU6v}QXh>2wqyhK3N3 zthnV1q4pg#1k0K6#ZYBCfC>xHGHG|dywAY%d<>5Np5A}!v=Ea&f_Q&?=)t)!Ag_0_ zhe+U?GwHRj(sTp1lBNS)bU8o@Ah+ItRO%mFATHMd3yKua2`EWNQT;JTUmZpDoGc9& zWS2BEbKik`3%UzMD@5}9pa>RUk(q5~W z9U|OE1>wjOTe^vS%9hH2|s^(IX}M_ znFA{L!XTuAfo9MzCZoQ?s0E-qTqcWWN-o$wBX%gw;I0Rx>6(SH_>Ni-euad=q(fBx zSXxO-H!&!RZvl$AhWU;QTLtOTm1xp1G9w?$x9?!1&BO4x8iXFjtI!%KkcOF+pjtg} zuvDz(wi{(=4m(VO<|uRt0>wDe+Xb#~2zSQ%gnN;}kzY|L)7y;OBy_n_VN7>)6~^3* zSL+yp99}bJR03Gw>L#)e_4`Y4u z(QqmGd_1kBrJGR4^#ULk*D&9s_;x%+wL&_QSfqUi>lGzyVbjIXl@>w8nTx#Jm2&86 zrIuaYYoeG?=d6%^KY^S9s?8dNTtBP(P<3|uExU&1b4wnD_V~{%_P|NOA&$J3;>`U5 zGSwakJ~bUXoXMDxo%X;Y;Xkl48m5RQw%4{442rvMug)z3K8d^mqI3*;M41k(r`2fJ zK$5%iNCHnwSvxxk-Q4`VLlSu{MUn>uT#6(F9X_G!F&4gK{hMVhEYh@Z30m;B9WJm# z{_!bkhb09$Iw>FrJzA*gRShw_25NjZj~aXbF^d{d&KzpUYbk0xD8N$GAo$cI?D$8< zy6pG|ivY5;XHS=}z%7N2H3tssxY<}G&PXKjL?hSrA&z_+X#wjP{5ayaAnD(-Yv9P! zc^nz`-&q_PHtfG0j>u~%j(kQyrZ__I;l6l}eegYs@6WOi76IkUFTs`UCbKSLn`z)7 zB4xK*$u|&5i`5LwI6cuPQVDP1qMD8`lR)oh3r84_;c%lx z9bwe%bu!}AC5C)}ejYq*Pk+U6!YL+U@cZiE4?v^3-%6)o?zqxky$VzJAX3mOGt5OP zU0>0t?4;iQS_7#&tiee^kKJ+nw!O-4k@H*f)U&z8xeX1{yeFKI4jNmbwQ?JEY13;& z=UR(5J(lkJnb-r_NAe}NRk}2(Zz%?0?(CsBei$VJ{;~E&%Rl^G7K-tenA;cDGs6+^ zkwBrmRe^gldCtLDpL1xqlyiJGt)!)!(2nBYd7OjqdCs8?BQvm(<&*89A$T2w>|+(- z_d?LeEg&Fbd;~rbK}Sq#0ypGUJk4qaA$EQa<$dhLIX&!nx=G`E$w{wf8P*Uy#aP0A zxh=lYqn{-L&6gmWd*|^QU{7;y^d#9!(^lcZr+9x35?pnv7~wI*YGV z%A)p5UwfK|xz9@qV4Ah0lb`1y_%e^8uTcmvxXiIQ=Ne~CFs^8J=Jt&LBCMWGjnrel16>11o@FX zeO46zN*cDb1E!);{5t@n{Eej9xNzC7%*<~k-qX&q(|46*8i{9Fqk9HvexrLKEm5cT zL_etVafLJo$GqM8gmbJqP!x}ns{B4uvx(xDO1zuUmt{f+r6J}#L_(ApDkK!mqIewQ z6`r^=9EueDW%uXvW6+tDLfg7(A?Ni{haunsZ8E785Bc zanG&**G-&f+E*ZI>%flr! zAkmb>q0a!xPDg1j8belz28Lt$XJds(sn-{dnaAN*3ddX}w^BTYcX#Z*^*PgP$Z%S^ zzaUSpFrClf2v0@hdlZj!N^zp1agj+air6p)LUpE?r1bn!aPnaYSs8}v{Qk#Q%ifx_ zz$0^&>URY;L77_#+~?hMl>BsOa{JHeQ4I9wZy@-4qq}8wd2a33e>EGY>xDdbs@Gl3 zE0`&_!hPXy3=Diepq>+pc811XK0D~ZEZ`-zK^}_YDWI|7k96gwdl|`}erx(hX-j!a zKTF;u$ip1GGle(s=y^tH*==1UKVQENh01rp^b!X}u6^jBcpiT9;3I!N!cDD9?;J8c zG3P*at*vtF9>05*Y1yQDPtNS?YuT6vWn5GaqxczN%UNB9J?lZ2q16Mwd?xybr~8r)x-(j zhxa1+buror6=~NBOH+}K;=S<^Qs2s_Z(xsmB6P&W{EQw|4fNKMO=dH$lQYZ;@oIZ;{k+sYUWjX(cV) z#EU3?5{kn?nnqPCZm1|!GxN9omd_3_l`0C4c&IUur@v_| z;1y81gwq2aG!amZ;X;jSCTWM##Yowb>AHkGUvHKw-#l;t&6zd zlTOnL%>@_i0o@nl-;AF)vkX6v&|eD7XaZf_M1wlmlj4wLj`rB2cxD)2rG&k{-hC^9!BePRk2%uLS-+^GW5P|_3Tc}dS$ zU(##1RMJ0|R?^b_s1!XAjk$*To|p96Fft<_%eU`fOd{!NUg75jo4GToK3&reeZHx79ZJWnb1a85H}!fdlACcHvsl1!NYY~!XsnJ~vywu+2m-6_zg zF<}m$zoO-%?mG093H$91JQGeNGS8lMK;F&-ve7~9B)m?8$kL-%&wc~EXr zF^ylL;I(^tu`KNqjAaZQ6){CF=G7Y0*2RwWL?5>fAbm`pipKFTyO%jUP*N0v`ZW5` zl4bNk?=!Uc+7d|Egs9)>hMXRroHeB*KJQAF3YNq^zf7K zQQYeAliVG+MM0oANV#zhsN2~J)H#7xl_>r{l(KMJ7hCEH0Y8}{plZo(XT3id^sK39 z9RFrb^%-;)0TaHWH>;cH+QZT zry-U*EaZ~hJ>g!~=*WXzBi{Jbk`?j7K+okK)k*-Aq6k(hXG{J(|ul)^owj)w_BcQnFWI z=_RI0MfamLaCBT@C3O2`w`*6?*2S*(M2I_5LPSRSm&{wAmaG4B?;pNDcg57H?ypaX zLx%?TcB-@PuK!lh!nnk46~#Xd$T8_5X8RvgPXf-pM~*W=PEr^Xg`NbiOH4DL7J$wlmH!2UDE_sKs<$-C z1_pM8%oh4eqxaiX~EDn}kjhvYQ+8eRpc3{E4L$scmOHiLX(E1f0-FN1t-YbB# z`cWhO%nd!ocu_1(!@TB%H~jE20(x}NYs|iDr%OEwY06VNRBV83me^q6LcEoRH|@=oHg$S<;m=I8tzSMb zY~KNfE@4!>c>~sF=>siGh4ojAb4AE zT6cxn)@l^AHhV(H1aRerv)EJE?a2wqwB;iE>=H~S;lXcWZoqVgm>u+jSGjEi*w$jA z`1#S=uy5<4(CtY}9X*fAiL>V+Gjg-p{#Y(LdqvjQHMd+GiPoG*j^dN0L$*+4U-+*F z&6M7%(?u)g87*p|@8J z^|x>|T)Ktx^|X?fZsJT74?$zDVZP^dt7dH&nURm>+jlTV%(nBvDYv1>B`63fM5}=< zl#13c)H&R>*|bFK%@b{16rwMEDXO5`vsJ-M2R1_!pftP^%~K6|`oEjyhs;!fEVHlA z@KzjOqhTS>q%tv6kV=ATPfqVx3eK;Z2em6-CH5-Gj@H*Zs6sf+Ll1-cx`&Q-=uiyc zxGEqd|_2BvV}tQ@~|S?vMa3nmAvOnwGr-rIDMoPA3|NE7#}uZmZEqL zBz(bV>GwqR0M$)@P>FE{hj zW6_3-V{DTtH6o7A};n(ePD3`m0ITv4qs(ckCJ5X*9r33w|ojA}r=-IVETMCO{ zu)GnUKGFIGW^N(tH*oYjC-j*nEP48igX7I7+*~1qdBL=P#GU6n6Yb8B_fUVZ8wX&p zS>&A$R9=t{E~QN#8{&n;JW)gI9W8eHJsZN3#%g!ih?Y7ctF~! zAKuPH+WCMZnNkjpJU~Q9kOGOIV`O-GYL7ylgXj6tiI@=5E>9VdMtOo@9EQr3j(o2s zi?rN*X?N5)@Jwh4{0#W*8D&J)+I8runb>Cb+cd5hgf5M^dLE0&%}-$SBAS+L#g?%klj|xo z&BV_gKgjlCgx2UcO(O|q9jz?6rjJd=#9HYoMfH=a=r*yf=(oS9q|!9yTt`}yGWvxl zWx3PPgge;f=XD+N^JaTYiGj)8KdE#RnzA0dCdp|DCvB|9$s}aMtOWxMCYhoi99F?cPD8RM58;pc0o7Q_6z~$%xK}zbQb%@B$Cuh~vX&8k!j{CR-CrWT z)5DeZd@M6tcd>r&>kNZuOK3mrfTnj~s}#TtU)Cqos6GA!EqIWSA7rN5r@s8W#hxn| zq6GyBk%5qXxzOfBm6-;f zPfN*G?3!?sfjriIg2?R@<@1u*$b;P%867*!cEJ=S?E>{SbiwfuvgJ))vqa?VXXG^p z8(Xu>p!q^@O{&A}^X`b|{`eC#r&dILh^w8+cv4B^haQO1%KgX@naW#M7{>)VwPfC3 z!$lpJ=d&$SJYgLB0~)aAmCT$yL9 zdgue^mlB!#uH5bBRUO1dzfbTA>mXoCfz+B*qNDg9t~~Vq!_^oNu1&-B^14&hLltiPt_pW;VRrn{{2s?o{g;y%R#J?v zHK&v6EUP1ZmsN3EwH}dZxcaAWI?0(clDw{(6PEtzIQtL29d^**PL$uV_xnR#=0_bDpS9 zmh<-i!@nL#o*H9u1zC1iqnfZEd4SibfHnp3GSA)s-rPssJ`A~Vw zZ9!eCQoi}%O*!eA=sLCRG2q<%J2v^t8~Yg^pZuMW{3Um1{KVuh?};zf%*Zb3RzTTb zM9*n2m~nRSzlVCMqUaLa?YndN;$%0qyB-R+riDpRHbwMBaO?T}RO#I`Jyc6M?w0-8 zY6(Jr>F@f90zLJU9AB67e$R-V)XgeZLf+vjp{>n*n#La>?q$26$DR;6R*CYmYy~wm zRKEhZq{&uLL;7Va&`WW%71ZEXeg&J7MOC(f8a?nQk^rT7gmDeDnh*^O>Or#}!qF8(LQe%LF`T1^+A%hv zvQcp$fU)TG7HDq zd0_c`m{~5y&L#J!B;kDQ4DoBSv+#ET5-1s(iN#cV7om)E2Z-X6=Ch$sW4)=*_8ns5 zU>gfi2hB_8Lpx@X4*Z>&WNT_}-@zRl`9@&5GM%X!XR5&}k|+wrqJOqc$$qqSe?y*l z=z6#_ihqGUL$`m(_bC1)p0e#n+==1?VTDOPXv@;D4pDWTfhpoa$WvkM;$iSdbobzb6pJyhA6HWBy&-Z?MIl}F3Cw>b8^=};2-W@mr zV4!BrMf6-S){Ms!uOX!40QcT$mr|E%x=YiJMIQ>j@h?A}!wqU$v1sR71NDdcHVv-@ z&IVNb(jmTaz=wDaFpC&+HPC-HuuT_&)5Soj)-E@6&(Yi@b4Vuu^%$^%?t3Tk^?vw#jBHI?e8+Pg{ z!~=cwg5kk(LYd}Q`mU)54IxR`(w&4}7DROVxA8zAA$-=h%x%c9Ua~Erg2@NI!-8-4ndTDoFp=;+#uWhLEbRngPbSkZ#pEX zA<3a?;h^b_$jeRX6-AdIqA0x-2~XW`pi>{I&|MtMDbc*-;G80|u-u?&DNZ3f@D!?p z?s2FS0Uz~W;1J1-NP|kNL|f9LiXA$7kvCK5aCkg^OF>1ir~(hvWBj3BvlHM;HF;KI z!y;2sg(qc2^bh7?NqUv?;~8W{gs^g54obN$2S-HKtAFWu%bj_a<6+GLuSG7YcPdOd z(1k!`8pr6Mp_?EyjU^J5;)KYljDYODpiwV5{g7mdJL<3CVdzg$6?arp^#8+OFdQxN=R~esxlC| zWIgH}L^ebZak|%!O?S# zr*%ll)Qve%iXNWcD1at>U~GUJcM>$0K!U1UP%=E~KvdtT%cvs2PAjY^F*zUVu%}D& z)qpOMa}}NxAsieR<~jJC;ty|UsPd{76l%2;6NDm{RgU^78yyVksKr4NVU^!9=W+~@ z6EPmK^rw-VRAa+HD_YjC zp%^c7`DmHce4))oeaUD#!Vp|_SI8ccvwKWWl@-lQBaD}MEmSvUDNCdm+-@M`r0ppF z@l2ZV)Mt_=Rd!=tfq6merk%s9+s}AD>Ll^;=f=gZxC*x+CBJ;vYFo8hHw_fs?)C)r zuV{XLt43a5%{9#?8zH zK+04{&#L^=Qmf-S7n$9#b!yy_OtWxRh%62;n#FanClF?HmJY?*_SZ<-HkWCc?)j?= z&B6r^vbYJ^44QHN!Kw#1%yRAMW@>hf^bwBYKY$}>rGzmmb=0$26vC@}fL|1U3RJI! zxeh^Lhj^+>&OpD|H+S<4p~^L2^Yg=p{}*zPLm^_7?w%6!SHU)4rg~=)lPdym3bqQu z_=>Fdi~2OOxp{EeR2|eT)JSt#W~0+rfwO8B>T<~9&_QdNiwwNDgr~T;T~4}nEF#<9 zyi8@(mQb1FB3jw}1cb9O1=pn2H~?!NUkT8DB|O_vr)BfEjU6sHF08ps;A&Nr`smeO z_(PC5R}W33%*=FIZK|c{%^|WD-E`ZE#Rb3r)qzPOV(>}=T~(1sFqAOaJ?1-fA`-TB zkx6j(t7#Z9waE9E`HFpikAD!2H=^i3aE_^VdYw@T4?T!4o7+U$~gK^S# zat{7-1?E_(6Z>ymAWhTGud5y^hEznjx}n0sWgb;XYFk{{>IMhZ51zlHazfQ{9RAa& z#w9;=EFBnznt{q~&oO20`772TRooNzY}s?B_*dTvSja=alKykdu&?_GXzdVg&}|D2@V8 zC!!hhw2MQB8cyX+_&h;79VDe+6G?dooP~mWd>1i{ji7mA6 zpjIT@W)|vS+H?ti9e6tjzeQlTbC89MO*+EuT%IpxwESz|L0v}DI*_&)_0B=NDAUUL zk$C79Fyfl-oQimA43eK7mUHo+o7DJ(3uv1=*pv`yQ~csQT`^INLOG3hU1;ACF`BJ( zpik^BvPu<1?)ZlW2J1*=JD13=w^X#Q*8aV(yh$!Om}$s^SeVizJ0Y&zu&kVNOzIWjetjZ#6TatWq(2I?X1pg38)i1)cth`z79`Fu92 zS%6$)@H-XR$n0e-yk&SHCf%EH4`5`yGIw*Jj4i_dIpCn*LS+3Mdu(lveSV%}NAX{S zLi4=(r^2hJSK`$Py+!f+Q59JSPc zQM`}h4{7>`CGsO0_^1T#mFLGa>OKj498XT;C-B!H8Ls)3v`@IYGg_Ap^L2hpjuL3Dq05M3l7y1RZ5;a2k; zqdRps2hqgZAbPSoh^`h8eZGDW4JiQ8#N8Z3lWK$LC)GjpE&jx3OI*_BHNxL|R zqFA*>NNFmM{1+~xzl%8k^3f=M9t#3z5a4@>H|r2A+M;+Up6QAenl5S^P;XZMT>GH% zX5~DZH*02cxYTz4nz3R6j_M+6hOB@WCT^~@kyEiRKg~`XiCMJf!Gy-sCS1=Ko@b|R zgg;udwqxOkFNI*47sWTq`>}Yh?<9K^A0n{lkbT1#>VeXRAh~@4>qkkVSMxaebEjZjBJQd4%-lj!Q z-J&jz4H_;x)fmN-ayg3+mPGMclC!5%%uzfuS6kWCoR`a4d>$o=FUaLA>*0kJdU$z- zJS!{YSyv&?rV4qks*vY8$zvED#ji(95!|=ua^6&u^Ub-OW%GZV& z+vnzTmbE^=a?T}^Q%Q=-QxVQw=H)4a@`_xGWpG&=MK!0u#;M5mwz=54@U6rkiu|>F&MhSa49mRFevWcAw`P}cZL*q z*YfoH`MsV$n&jRlH}9N#PLh+{ob&Mnw`y(mbCM~a;OpWq3tJ`*5UK~j9Ad4m5UhvI zcK7!yxuu~^jlBtZ0Nb4nnFIf1NpAcUkfo7pPmE6b3V*TX8|bDOa7VO?FUha3A9)PI zT;<-2{Nkt_y~a-Z&$7KLN;?-*C?;P1sg=7iU;8(6@)eE}cGXsZ8aa zrN7(iT$b&da~PLGa-goEv12v zmW?apvEZ5-@wKAE(fEERq~Qf+aBz9LdBlicnR`Ova|lO=;r?xL6zEayR9bJjkN%xv z8gH?GOKk(LiVT%8K(KeTZ>wA+??Kx1(}YiHlVW^CV6a9yyYIH&AhKy$^&O-3h5QuR z7y!E`Ocij2(5?ma_i7)s{d^Bw(4L$b`!_9*vhtzcW!`;(+K>@|C=Rd=%3CC+dD(x z1rRfNDs|;4$56)q0>l4q-l%=8$@>3+;NV=4D8}Ia9|-u##&zxUojthyCBOA_kiZ@K z6NCzV;y7A+Pk?~J|sTm|y4YT3ZR@BVBADX=~RoUTcyAU^B zr;u3a##b6Tx;}YcY}GM2hw^&zF#{RQF1avqx{#*(RKKUujsJDXX>df_C!GAt8Rb_ouARc!V%(#Ee?FV%sZY!pd!}&cLt|Q~D`*DmTfUTh4LVG;6r09v;bJRM zIFa}Z_99N-|Md(XYwP~Z6YobCD~70-io57JN-%F>?CuPDvjuQ2rsnUuq?*1dE?s7X z_wn%+O-HokMg}Yo6{|`JPd*58{=7QnTnFT}Fv96nzSR3jpjPrz-RWgw8kyUfWJ%@x z`EO@FmEL1~mW1BxYHiKv=x_0tm}h@#1p1ecto#rM{yuYW0biaoOx-j_as7m3pk3Kd;}Q%X(jhfV>(jRFb{rEm8?wPR3P*#ra9V24kxx%k1Tbxmue(9 z|30%tT)t)p0y`L>o-o3w>n!4G!fSwur{T<>^Id!9sxN#{T8U6DLr2pka89}>yucx_6OiqE~ z@{=)GzB*9wlJ-jYIzdJLS?XTvo~K6lJKKGogoLnW$jqahTtMBHPR0Gu8}+_NFE~rzh<#l`0-*HFg)4_+rM*(nwxNaaFob@%IX0UzRvgg8@ z{I?i(sZkttucs1}BWueWVT{LlTG}(pNW=HRIwUQ0`HfTnX*-!#bFpbeurv#Ts3cZB zMKqh=4bZx|`*nXMx*Y9T~2lH~in7?-CFJ56N z4``Y(a=@TO$+;H{9B~^HvTaZBOHxh)ubwIm+?X=5bVFA(XG~=j$F+~}vVXhR2Vg=r zW-Ex3UzcLpJ}#TnY;Uu)JHN^!b8Kl9RlHqGnI{NVt<<3ysc+X(2(3*Y{M9%|VSqLi zh4yhX?^S2eT9J13?C7EXd-m>^%6Frmf8|3;a_kc-^c_hGe7!H@wuF>O%C|u9q}QJ#wJ)qtv_M+UK zuOUi8rQD-u`dCXQB9_bVWNv40L&<#K)7AjCH@`E;5&RFG{=!*5p-DeryyNLA@Pq#Y zaK&38%xkov_2|9rY;=R9cNEMq^5L4bS%aj~rhOr5R6)0HncnvWyTy0%?Uaj=l1G%0 z6Qk$bA$qSV-5biLNQ^i^ZnHy5Sf|@_6M>&xvnMX4M1?cc#5rTqoy6|hxjYesJYVVl z{@a#2-C}t8*Lf|nGmE}*)PkAz*qpH=a&lB0J%~D@oS&<`x;h&0TwisvZ)2Jl!wYAcH|4N*KX}gb zse&jU)_=RXefvK7uzAhJtxVlp|F>!hVM;Aj7Af{dPwvFwLKYh`5&tdWOH=n&nO&=B z)U9>#CpCh4pWF1&CAPX3lwmW>h#|qqHU|DI`NlkDSd!RK$FlF-daLg8r<5zauePwG zb4{%s2J-|E`(iEh>=kW}5;Aqs*SP}JS+t-un+lE+Yj1PMU-mWg!p{Lfl&>x*Y786Y zMK^snQ?^WE`3nYJ5h+V4WG)C1zWnI56beB3jBfu#Qxw~gtKrI~;oMHmm$S0_F33HR zp<(vL!Os-*XwJ-y9?kzRaLO_%_a_0lRLZ;IZg`V;gq$3xrMRIw_SI5W7b;rCbmg5; z%j9+6K4g`QMz#^~K?1ZF&;F_Fkj&rSsq19>FZ*N$;8FZLC01$wrC>OPp~^32Zg9wd zxFE=;C)4X6_|Rvdt(_#(IB15z*Zg+HhHnN?cY#FpDp`8 zoBdwBH?t?XSlbt8Gm#8y6)NNt0gF?hG_w_((ed`?utX@kDb^S03E-c%3&p>N+a~<$ zub)x1a%V7=<LxYb)7lpqmJ>HUM3?QnA%k(E@)$Iq!WZGIW~I2LTUO{9b!7S5y~sIFG4<6_3K9tKK1O5Ia(i=37FJx=(+x% z7Bey&qMITe6Y_+_xQB-J@~+LTAP;ec!1X4y?O3mQUxqllPMdejp4V5(hPAgyz!WEM zjCb|7wDo(;pl9sXn?BlJW~;j{c>tJ$_C!ljdDTZMj*E`Q5wHAyMrP%Qy^VI=WreGP zMs@NP9rsy)YdL?b0<A4U%IWc-1mJ8`H)&LzD*j zM}UpA*K-sd9TzJ=Yi%%f8Z9K~%2s^uY=#rcTe*{lMBMEq{}+(-yZGd1`^atQy_4)b zRR7&dw(n0+8WkH+{I}rkwZ$so1u> zo4|Yh)PJQ%L$Z)kd-EJ2z9T=w<8RB4itLn+1fQySg=Nf13)E&`11pe8Hzo zAtf^uhdGPG%-Zh3i;;-!-JgCMOdg+)nAa)&--SqFlfeVJT$N4#d4I~}6#sB2yNN*O zFk%v_xcccPoW#HqzqWF;DXi@tgD#x7`|~FI-R9yg^ZGzW?$PPbouLkQ*UIl3%Kk)) z7Lq*}w_eX|<6>@(7nyL1HLbqP^BnOX^wA?dfuF3_Q{KVZt4>9K3yRe<05uj(HYtU~ zKsT)JF8rT9A`GhEm%ry(Nqr4UWq-I{RW``(?bfTO)q{#$XU9ywdJuIDj849Nr_37mRS9zk!8NWC7J`{hwcT&;D=-ap<;0kI}T%*jWwB|un*xb(dDSI>v7aX6#uf63nje3(tr~!Zdn?O=Ko85%p z$%tT9-Bp5abfSuB{%bm|@`F@<|0o#*C3_nDUWV&}hOQ&n5|SBVE?)x?z4x)WzDK zx6TUTZ&W)(kf6D|i({GBuT=40XopO`GdXDKYp+o#RNlvS@x1qe1a$ZMDI6uUKa32n?)RO(H zh6`~+D`9pz{QFD(&F~gp+oKj?Lu>9VB+qVx_RpB5s>2FqRZ?FxuJw% z_z=mKYG1&X^edI0-)mkr>n~Fc-6=d3Q0{iig2#?UO3vwxqJVbVYbb&K+%XzEgf1> z75Gm3sj2B?E%^LA5IOUb)>)x>!>n);c2~mIDmR^HjBHlRsWchsf-kEKzgdL+5;LMI z*AZnGGoCRiS>$*gugsky`H?QDmHcyO&xJqao5$;kHwi8`p?5!Rjo_Ae7nTvoh`met z@@M^9(<8lHmPDut*v?BkOEyz)L}KRg(koq*43FcZg0P0eL_vvzqn70o^v8yt(=FqL zZA$0(W_R6toVgz&rp7b!ZA0(F)qEX#iH9(6+@_9cX-@5tH@beo<~gGSXXYC>=BF?D z=mRtMhhM%Ptv&_F=F}pa4RVH%&F^z6L(vCphgGgkB3s|&`#z6LmOn8cI^#WUlg(jF z-NJh7MhZ7i-V)om`2h%F9+l}o^YiO?y49S2ZQ&<>>YM~+iT^42nW!bJ1ow{uZ;Ub0 zFCV}@R4bcfKVY|>Y>V(HwfK8Yk@Y6K4f1aE+rR>DQXeCGWsqoW&T{9L{Z}K+`yK*m z;-st5p*ws(hSvi8Y4_%dS4WEX?$LgpY$^wlzBAXMaF7RRgpuyCMdz&A!|#hqfl*lp)_hUR=Cb0e42(co^liNZ9t3; zMBm6PTj2>1a5*q70_?sc=mGwDRO|N<>~L;sa}YvR_KwFm%)B~+zVoF^NUL{tXu&U8 z_*v89Ace}+_}TCbVt^$f^gKJ`>*IQr`})X>Bk@)CDBl3QtKaDjTFbu1;xaltwd~O9 zob_N*AF!Uqii6-_oRj}RZf3;2tM-JZ%wJ35yCY`7>tf`x%lAMmDDixP6*L5e#_N87yG%UszRZ~Vox$C{_o0)5=crAFY2I-G4ah#+!t zTuKL5?hb)tU^o2)lTPza3y}8OPQ+*>;7BGPiIw;gi~;fb%AULMBzAMl*r=u8`uO1w zAjj2p<*#a>!`@nt8|?bwo$ya)@LxLNanMj0i7hVlq9`2lE z26w0LNCfn`!(M^Ll*IcsbeEN{GY$UczB2aSVKsnbYP|fn8{zWZ52A@T@!a6)&EJ;K z%WT2#SUrwS@D!bs#8;v;xh2}B$3n$Uxi9yyb3Wo8c8wEzV8)#G2F<^TB0BFk`A%&l zsSYPWB;ALg=nMIZ{s()ZOcx^ z2h2Y7LRGTT95Ob6k62-qf?coOUz@~Lm;ZT2@!SdXd;ts4f>m2kP~LpIt;l6~&6ytu zC9Ge-rPfri>y!Izo%`((tN zEEYkn6t0!Yx>NjKH#)P_tzZSfei0vOq2@JmZTT*cNp5NARg9O*VDeCt~1h_>p8DW;~WA;KKM%V9hKZMQxq?&v)TT zJjrzDZC^TkM!NH~77L*Mndc%t>2z#ct5UG6>5UAvcX;TA*xPa=QNH@Y?3pei=bmSR zY-+js2#GX9;X79gUhe)8igF_!v}feG$w$$=7id2^BNXiwP1`TXk@0phSeCzH)*3wx z^4#iMuShEc9`##63WWh%qjjPkPeJt-s-oDdTqxXa7nQ4=Q&ne^s*uZ8(4I3jc5#88 z$bq7qi8+UY%o@>HLxRxKlLJ*l2bJ(&r{k|Q?{_T=vdD{55g#_#8|v!?y=PhEC)7Km-W zdBqM?fAeaGG%@D$DY)Ui`e4876C*SwP}tH+NaMFEw)9KU!RgqW^yiP6h%+GOny;@c zeXcK%jM(Of9Fb9$pFx-#pno-kxZs*-c4(4v@tbD7ms``vk$OnKAHdy2FaI)Uv*b^0 zCLfPk+mDaf_av6)4_c_8cHtN*+l_yPU)SZ&-&HOzG6nsGime!!{hM+K9d-y!Y-ubq zY%DY^xMoqj(3rHN8GjFy3Mw7425+sOTm6`voZ4%uwrEfI(NO2$#- zv0`-F3pF`7OWOo*LQ>}ggNxK-P}sW8SMU(EoN>YkfGyx%9UI!NRU8UrQY&4y6*aLk_0F&D727`KR%jpgCk zolCi1-^YW3K}<4o6h1YIkCTbpeM5hfK5ct%8x$rvH;MO@GaN>^sU+{d_MkWj;WYAF zkb2nxTk3|o@o~%_;_8j59VS$nLT$(22uVGk!#fw*x3Q_x& zdu%lvC~-RpZr_*^&X`9fciPoDuL1Pit-v#-)UEoNR!Sj z^u-A_@-9QgU{vS@j~V9?S@N$F%OTEtv>)O*z4D^gBoh<@S(zkXEZql!Q*pxXpW{=;MwSI2JiokhF)ejc#ieBD zo&bfopiCF)2m*JX9%J$uNc;?-3r<^m{7|^_!46BA|07^YNc2O-+D;asqA?yBdYyB! z3@g`Wk+dX?58yd0j&*-*b1Zjd9Y^ysYEN@Qm!pwZe0$p?WwPz%2||Ezl|pN4u;}ck zKQDQs+LvuZUXd1$<#VBb2bCKz|1GkcqvWC?%|1xVCIqWIiw7D8wN=+!&lWK3Eb(YI z^zUV5nEA^ma|Gd{z3`pvVpJG8Vg@Cc1ksCo;kEFa#yx&vcxturmn}wS^+i#6>}*=! z0HdU{s+)UGl?q&`BTcu0gBEU{$TlB$<3=vSRau}QqY~YY6Fwafo<(e9jBCS0D*97B z8cmNS$On^hrZ%UOg(n;Oy)|b_Pn30ysYseH#A$7!TmHZ*HgQmH@%(%5GbnIcMJMiz zm?yAi!8wY-K+`;eIILjYk2h&8FKjW2yv45{0sVOwOrbdI^SXr)?WXD2F9Ag_qO-o- zl_(0oEtWqS-Jrlv`)tE(=7ndb+338(7T3AII-uFnEv!FIUK18tjnmY`(6hxoTA!X| zK^eEUe&XpTKWvX!_H9X@;jsOvd`p*y}ZHqFxc`kOYCA{FD z5@woxYmcYDz#cLjW0a-|5W*@j<1e6Z+T-tw--+}K>pA}TE!Gd*bL@$Za(j*ozr{A| zTlqS6!UN5$7%r`(dD$s^i_kfBtb9ArH;t`)r#s>I23Ed@=*Y;*7pDt;uVm%R&;=JZ z?{YhF4v(Evu<}*!g1=-F00^yG&9g>qv6~=lqQ$3TX?VA|d|SGZ87u;1ZRrIYlh~tX zO#o}wh!u#JK;&QsK@Q@JK%WYMj2hC4s4F5nXW@$gkhELqCEq4_^r{~bl}Ag@v2$ds zIJ$2i4?BjFW~B8jgV|l zuO+raxVERij<-Y%NtWfrve>0kd06%URNB+cEm;Zge)7QTM<2bPS@#Br^pSdmQJslI z`}L_h?M)c%I>+98?fGbi0JCn2@^6Ze3eChCatZ|a0i}4Qbg)7%j{#p?owxh|_b+H> z9(^H3EStcZnMw#>qF+ZJ_Y|{$Q+s+j>DiH4NJo@J^~L(s{jdjhcak{KH|xSldNU~n zMFs{EcfV;omojv7O+xi1g*xFcU%iHOhsMs~ddXM&lWlW!ut2uo{5v&y!tt?Mg7|Yz zBSy!F?R)EG*7B9j`r!DU#T|H{ven_0RR@oN`LR_8ufWQ&l@am_8L?C|swdGtGHq)* zWq*{{w*~OX;unlW-qiNo55zhoXvwx|<^G)e=fI&qT4}ovpg~$4CTTHVD4U*XHK1sT zDb2y1)C}wH5Hl`_ydNZ(M_|-fKtTyXVuoOV8jOAcm`X(|Av(7xxI2WGLXo*SJuV2u}*tOHk(smxxBzK3;OuHW&o9nluDbw-l#>cpT#heh8=Ql zk}>U(b({)r^ACPajgm1#FcRBHJ#MW!ruE#X=$|E#p1BcAT&W=F0Q>ExR&2i49-y8& z9|L0kg!Bxv5`9I4o0%~TkowTP5_?n8leIQ=CLVoLmA1tYePhhOsTVyrx;N^?Vi!SG zYhpEWeCv_ZvoADsd(VhlB_rk!F>?o4i~0+gzl{^m4gtme$-VHSU-pkVKLMm*|^!C6cIB1kOn1$jGsW-GZ#-k>qk5Ak1p`m ziXuYV43XhGBb!`tF`T$%F7-o#p?up-C2h-c;H;QN6k5w_*E+K`6mM5z?)yM9KbU^y zEAqIP@S}Mm)=%C_dKYfOJ?7U+BWKi zvX{Pf?i=Ank06Hj=m!uYR#EbQj=+1>0V%+z8^Eev#*ra?hn)o}1PZ|Hhpj5bomtV- z=FuH)&8SNEBqOMRBqTHi^OOH0HS~(us z3e$Klcm-O8@ksk(M)b`^{WI6$?I*6-SFUf)ON}25Bh-trm3>7z!7!z@D@?AfjWUs|u4ZmqtNRxE{LvK`V{T&s0I4p9MFW~iKksa=VK~z3 zQ^9NXka1aM+Ru;rGBEgRh|J0j8yOqfnyu=EV{|*NXOHKfGyKfp=!i>Z)c!>~L6*3j zcaDWrD?0brQ3zR%kv($s-}{|=fp5A{7s`+Dy)%lW`+oQ$;XJ}IMZ<;a}vpR%~;UR8xD6I|Wp)aSlSkCdY zDR2F%e~z}eP3c+O6{qqvA8^&aO`rVt9{O`)3Q#Q(Sj;C?s>tkWu{21vD6U6ko`Efo zzY}WYe;|>?Y~Vk@np{6sS9WjoL-+Y79bZ8L`-Lj2LCzW%@p@Cz=N6=Jv8|*+?b+#M zR#Mmfxof|W3Z*%afI-C7MqFAAJ9 z1HcGL!Jotfi+KQ5fu;bXp;kVxcs{_lIw7KUo776q+Mr*2)$_j~REOKtY9utd2dRgt zhu%Kco&H}otd`%txhBNIJaA32G+%G$X>&*uecS#g!mSQ=^1-g%KlR2}6rA?C?2iX_ zncF=ki7VH?G(E3!Z{JsXqG7*8SvFz7k||yiURjrq6%Bkw%)^38Dz++D9;ZFB^t2KM z*MMhl*tf13o^^UUwR9!OGfeU1tmdT3*e;CM#|w)B=x_?`Wj8-t$4F-sfO@*_|Muoe zl&QL%y(bpCVR#8t<@PC-8H^KkDSIvetvc=Ofavf|*0LBLSj<`YK;7hfsDdeQU6!Wh z!be`Z-NV0@kP`x4njD(ah_E2FlRJ;pf^Q1q9;3)thF{?KNdfuULUW;m37s$Og&pGX9c}dXdukO zpgU!Bi9_MT6Bc#iS%*b3bcyAOrb;$9|75N89CRJeih2nh^qO`oL=%**Bg23oz=Ak1rQ%gSXxab;8N7$28Ypy^B^#y@=7>w((Az2jtKFPg$Yk4F=y;d)1h3az0Ri)4+& znWkPY5^2C8(*U#ga_7B;?mPdFyE=YX-BEUr=R3SHX+rOOKg~- zMjoV(xV~dYDd_0@bXalMDXE*;C^uHJYt15X?3T@T%~Le)d`%+pw#a}E4dH2QH|T>A zV`YH%^&tAVAb$hxtxBPt6?guX3%rP(&QHTWL0T(B;v5CQrlydprlP$h(R1H2ZfH>O^w3pA zVTYviOLVQRJQX{HNq^; z4Anj4BdcW|3$jj*4IBN8e@2&hOEl2qkPB{aqDzwAJF!1F1L5&pP*=oiyIjJiVVYuW zK{S{JdENDNN!}M#HQdkxX;)h?gW4c!dDAsH_Rc<5R+{&Y-)zxZX%74!A~0{oPIck_ zu-T%1VofctOLFWU8hs_pdJ}-GI4ENWE|r7GWr&zQkoqiO=^$ED?;Bu4tGM$=NFU}pl+3|<$7s?>LWD+I~^~-k5Jv3SqRMZ z!279LiXxwKrBArh%)cp~36Zgw?kjapVh%B|n3)wlG;eiTpQLh~LagY`b!UU>K$AtM zhzeYBr5xD(t3LBX1MBtCZQT~Bh_P$GynAR#opPQrR=L0 zbe_w<&QOIOjat_=9B0i-i}S)q$v3%!DGhVw%kvOf#y{qG@zk$Aojy0=*eQQ|ZB|8W z(n=$eSm#ykk6OT52(z4k$p=oSf1ry7=St73wCuU3a?}#&c*!hMyj+LAwLRR839eFB zCj@4&zCGcGJ$+Oo;tts%dAc&hcA zAO~edX)o16APYW1ZP*6nyS^|Agw{o(0VVtqsifVJW?44DWY`_5bt=7xH-BrAukBr` zJ~s`~YAYW~UwT35);|IRngUH(rd4D&J*w(&wL#P~ZUFA0dPRU3S0rQeU6 zrxtx8Ivq_sZZ9ysiDd~?pzU4EM~Oi!zf!C^k*Kqiv`&dAjJlj|wSe?>tcU;H{&Xmk z>zY1jXH}hTx9Hw4vrg{;b99Jg2hsQDx+Ou0!o)n*Ufl_|Q`QwJ26M}pc8wNYd>?Bw z7@sMgup65|e_<-mIdvukFO4J_F}B|HkqphwDh z{IauEwgy1wJY)6{L+5Hc1)O0H?WKk=m&1P98xZ{CRj+5Je7|r9KVj06{E0TF z=T+iyxdZhTG6~&o@{hB-PAOGWR91V2i7ppclnbR6`YOQvwKq2&vyislC`Wzj&B^(0 z7XH;y!lXeBa`Pxj=48d>nR|e{rLH&612S=CoWh8pxNgxfkB*r%U(eGTAy8omLCSpl zSZ^HTS*hL%!92Ehc)QQ$%epHmSf4Al77TUJ@CmpBZ?Yh}2?@&u0J!;X)o3&9wz&hy9tVUvkn zJL6(YIof5}ablJ3!JC!Y%~S5@LP$Mv7$kDycO5F1QS=(6}%RpPvN zm__)K&*3}1R7%&!$+uK0O`O?Bv8?wt63Z&zPSYIU@`hxDuVB963`|v;-SlV!wS3!X)+ObUv{T=#q^S3s;X!wU zXO&!+)_HN9-H=SjNi%Q7AX%-rlI4E%z~a29IWSq+XPM}-SYnV#bAC?V>b!ZEL`=M5 zcPV!V(L~XD2Gd8ojc`R1FnFg$XOK>cH>pZ#!He_|e4eFg>`%&j?O{`4 z1GLbBOpdk5wdgJxK+sq73WMO2()SH4lHenpId^GiWIJ6V5KSW1#?8@$^2D7!rtoBA z?u<+UZO920=asg@{fLg3evPn0v5mvHMF_L8kV_ZKlQ)JzTtI$R2rHNT?YhIm3*%>W zKE3%*Eo+VPt)H!=ZAQ|DR=M3-IXn#N%zqy@g47NxaAa$~xPWm_>BT@UN*rzt23+Ug zbAb9(T848gq!fzAe>d6$Wlq{VK{O;_AI8;Ma!58RS=+no_Xs%u#}26QabrlmQn7|a zF4`NB+A5XuUUMSK)uBcCXte0sh^L*9(Xl%8%R%eMc_D|Oyl_GpC#56XOqqitd_3bv zedXC>S5SB#xj5+PXP+}YIX zi1kSN8LPn$;@sU-%XRW!LbTfx=;9*Ww$ z)r4DTV8#5`gK@&Ta~b3LM@uLe=E1TURQ8;kuBv{=SxM}^q=-H%x2rbO+v3c)j1Z7C zU-SX^%itF5?NxHVvYnSIY|ei}xDs1RU73C9B#O?kJ~PGfxV`xOVJiw;c>1Cx(sC$=xfY4vt)&V(PHDm4b#r{pjy-uc878b9jL8U>KCJjgl( zwRkt6N5*7BxDW;ig{t0g?L5Glz55I)FN76ZGQf@$0Me%?Kk^2)PW3OYMNZ%W4Pml#-YfCJ@h`Dw+ z#&m!!#g^ep_q~QX8ONF%@!zHc(kx-DTFbK!`Yg1C`t6z?Ug_Y~&A_=z;b4%G**#vB2;w9x-AETQR+KM259BjF)lCv5hKz48 z?Zjui(cu(yAyWoBj`!TsamnQgi>uE+8qVjU4P}mhOkq1y)?yuKYE>qa#MDyB%;Gy( z$!Mr#!(Q*z4)fH_Jd|GU6w=AmnQs$Ip{#}6KYQkvLdgXzd*lKVFghxM{j_pdRXBns zSuD(ql|-PL^`Kz<7s)tTpR>pN{|T{8(FXe?x(< zaPo_kRIXv-PYcjbXg$#5BAfXFEUe>zGFB~UP+0t7Md!+~G@9z!J*qK3ns^SKIMGc) zh2%D@81KlK&kJp}TLJ%M$x%6>$X6U4aN+ZR?6t4M=igPI!&rySc^-zJyJVk_QYy)f zhXeq37;9*3xg+dk3u)*gxOS%1mfpP)q~p6eU@5I(*518LK0i$=xl?XI6J_`(LbXH( zveIACY4=u!P;`Cv^!Xq3CSX;@#JlNxaiIKMjFO}+_hw+RGo(dm&8$mQ)#&iGr7<{S zUWxZWwjNY`tN<~s_O^ToGr**spTGT#2lmtkc3oOlb9@Z0C69Ev(`H$k?yav2b4y&A zp3iIe6-(gssUG|6RtwnKrlX#A+g0CTxG8(^Pj$fc3_V>GeJtf&Osx2>EBR(ew~R2o zwqzc&?6JNuq`FRwzv=rpEUZ(Fq9d=){MAkx22bX)@lGY3L<2EHB2utq<=E*vdb+^P z>U>_M+!bM-=UpW~4wRNXcn8GRl*j=kuC!go)J4)cIuSD)5~nx zzn~HPrC(87mAjumCH$SQkKh__f_^S|R6h9Y5&9?BiL&ym?aii5`Ct8QJ^Sx2ZuDK| z4aN=P1zB^V=Kc%3rX%2~NxM(jyMPRw+M3-{Pfy1R4GhNzO$z<<*+^0 zY(4X^>Gk@&dYPD$ts=Q{0J7KmgJ=wp8vO;w{+K~q<%XDYFo~*@{db2Y;_gj;$#Ofu zghO3Y{cP=@IwOB*IIq-(DzoNfSI4pycrd|9YrpJ!P-$F7i^J^iS(s+82idOBeqg?+ zH)+7N$3zpIx;FYr`IP;+U^Z5(Ff&)G0RH!;sAh++gqD)x6I`qA`vldRR4PRm>Pe?| zMpJOmg+7%~1N9VqXpx*w)_EEp>_D1(}Oa- zj?xMIEX3UJZ(6QL_U(t|-oa1*0F{0x*I0qPk>!Z=KUEjr2JP)4c2KA47oEHNz$gm> zW7o);1>?1nk@A=tf@RE3qN9+fPC$As*ZK&&m@fpaO6l_wWoSG$M&-mcw&ni=v8e|g zMjYrYm%&0t0n8@4D^GVXDp%WeyEb{R4QRcS#)a#fy@hm?uRWaG)k>>%y=M1$6J2o2 zx?IqXyhs9Kle;R1|XAb0^b;{9zCF!``2<@00a~;z? zVr%Hc~kxviTxmH(&fFfH-y%1yb8k) zro#(ZoYkXZ^k(W>ZlYP14!fn~yR0Y9z43CBBH~l3K##t7bb;#0Xh*^N-lEc`bLEPA z+G3b=mAlgs#4T=a2ASqdIL;{bl*=+08LRWPfKrzzYJ$c2Sbu3LFxMPy$)>WF#d&=O z^z=3N)bIgnUWFBwFoh%)71Ui?ii_e&^K5;8jE@J-YJT69Dr18shMq9@fzjm&=X#7; zmj2P#fEra=I*+nR8&LP4aG>-uyJgtc9jo)h%~!P6CW)@{OIkRvLx#h$yO>yM_TM83 z9^Jh(mt{euk@Jx@T44*(=#u9rMyq*e;&=-;jJqc=ZCw5aKgvjgsS1AT2fK}A7}`B ziB%^vud3hFH5eFx9IrjBFe+(84S3j`VV>z5jg}3HvsvJUlNi22W@P6E+rNtzE$COx1lEZ}3JyT7Fkdn49uBTdHjJi>~s?)VS90dA30Oy-u;@R=mU8TfZK#DK0IH%#6 z@~+-QzO4x0Ok}83V=w1T`6AU5KrZR%mGX{gffoNDWi(P1*4JwqhVP%s6(3FvO8^5 zWp>*f9=946LmzfjkhpSf%@NV@Y9~U5E0rMEDH_5KY0HLhL(^|7ChhOp$c;yp{a1R% zs^+ae(`T-ZM(5c%Oh=Sh^&kGB<=PP)=JG}W<=}DE0u+)FI9%QY1n6HGm-ml-oqg)e z(piBTeo9FkJqpRq_TNwnj~3nPv;EMx^53&)n`~CSyTp!7($Z{MLS1_~lI&^S zN~JQpn97$@)l9$R2UNAL3M9Z^QO)$ryK-+=42e`7cy>=4cO zGm*jsb-KWgMyow*t>t5Oa%;vk&+g(+Pj8%}n{ioT<*CxVmIW{kM2UeAiae%Tp|I@) ztWg%EISw)}Nf!zX(ita|VMwZ4?bc^;zQ1t8qm#KWMa$lY>Pr!{Di)~RB@bKqS#<)_ za1JsbFMpKzS+%+n&*FTJe(P!oDfU$as8!q8F}6B|qc@k#+7}yK55#u*6f8;O0q8#vS*pdGLyX&g{HDI!)PNr z*~j{w2mQbA`~7M%X6AYB``qVT=Q`Ip;rt?mfIm%~z zNA+J}-t|IRkCIlev(%m$9cg@1VEw5|)!;El4;XRfbi*g|{^{K_R<>7#I}7|j^8O;R z{I~@0=Jgu%ozwHqeyUe0!V3-Rh*o|vAuQx;pLz^pDwZT9bYI<=a)_TM&g5Bt{YJ3< zT%~33$zp{z8e$M4{yYVibq4B9b95>;UR`C~y`g8nPJsq>c_Zv0f#sAK4Vbkf-< zEia)&jyGNnAPdSXRIOoMr{WhFUUuWjjlkRg>|_=g)qelJ`&$-*5fO7EV6PTHJPVNo%T9v(JcQhCNja(iOQ zc-<>KOW~?=#7yVji8I$wSg(Djxrj?w_c`}NZ>6Zu>V-39;u(D3rKTwx3%~P8#P3=A zja6Bo&X!F?EKap&-dHN%*|3K=XK8fG?vWu8J9U+aosrR|l+cfXH&5`bm2O^63Io%o`G$)`mffy5B(f+#) z?!g&9Myj94)2YYqHHX!@=UwCAd%lT~3l6anr}IxbFBYrR4Q{(t4HAh9d^pGYL&LI) z8tG5f>&3KuF1+F)UX&vD?xh^-x0L1QnOrN1C#*&b!x-`0 zhYo})vR(-Yh9A7>JhS>7Kv99$>fK??j7mg=dPPf{4J0K2y!6s~Tqb&v3Q1vA`|6ql zG@c~Vp&RAW>JZ3?_spc!++%&} zKAIY~jan&d)`~sfG*6Jn%rFqIOmlIPG`hbQB!qKOQUvHMgcvQZ9zi@x$^eD6JzPBe z3ev;(vy5o}j&5SOY7a5;)<XrL_NdfT@ZCuqU-qY3t%*ya+5}WSF!K~E{94JAeN$y5 zXS%!|Z772sZ#YgH%3{Z_y=ce%)JI`wIg5vB#RK<){|MnxK7PurES9xAR``A~_3p*4 zct99F+fg0$itlb82Wv%#LsNCsx)*z7;DAlS$>{Y!fq`&tK`QAF`>R!%cDvDqm0EB8_Nz-!`wiPnN>#XOeNec} zL8j9TkFm+3b0)?;HLnt{`qo~VrVbQC0uYs}R<84;k7C$}ybH~+#n)za41ui|4|1W6 z`K)G~hXFgf=D@$Hd}bQLN7j0U>4ZRBOwq%KSB0yzsQ+>fjSv#XsVi0JX}qy-LwKy4m(sStyljblD^v^JGVn?RO6&G z>BNMHRpkZM`uCGre}uzoX0sFBO40k9~GiS zH4wL&xfPblg%_}3InpCQm65^=42-k43qtw?J)dh*2q>xn5$CMaQxm=}4ASl5Wi}#H zf@oY(pKGr5o0qt%YpvsPjmpCRjGYT?KG`?uRUQ(~Y;>i&v?~@&ss3KswBJ;XL^nQV zB`3aenvtr6X$=c3Ez_?H)uHko4LdYC84kPXJNbRYdXT*l_tU#1ge4F%x7%*0A5gV8 zu<{>ZV2ks68M&%EPQ@{E(@xECti?UZJ$T$pJwV@PA_{V;JR3?U52);vL48t-k-s&0 z==%Y^+P6maNq?esG%CQu+*s8r%vm|Ys=ugL{K@~K_B!r})LIu>d=X*}{Ubu{?7>GW zsg@lp*W1(s%ijNz;j3-=NdiG()|?S*BT6YkSF2uO=2FG9NX0NW`EU}st z8D=+p`5NT<$%+S<)I+r@ohiOa!j=b;)AJ0z!~bJ~u1{ZW0`_ZeO# zU>1?8U~j4o8!F(>YdHUlul{6aa>>scKK9dS;tFK0|JWAgvN-1tg1);4CM6(HY*-~Q zErA}HN%af0=8tp#c}g*|sO3iFk&0KuBPTd!;tyS_TF}ZW3_ErSeMqvCY-(+n>E0%a z(iOL9dv5)1f~+rYA*#PFt=l^% zle!XWed?g(Wc&(>MhgqSs`GI6)Bwd%4S#cL4tCHzK z#kf$`*rF_M_RPZ`Hdn@7st$Hc{jNy6ID-4iwewu%k@``U%Bh=-=%oid=J(?Ij*;$X zQpo|(jcN5D*be(bkuFBZ666DFx7}k2F`guAMvT_V%CSzBKtpMtt*%Bxd*>p+=dra? zS87e_P=UCpqPgGWkR1Qx%;UG7=|M~7-9bfY6T(qD1;SyJcN-BFz=-tuxy3y$GF` zlO!~xm`Nv~+kE>8SMtgw4bJeiUXWsc*%1y5G5T@dnw~3~WY<2y?toX6LHm7JZNBOi zgAv4{Z$Hk&zu`U{;tM~$Jgcf_3VVc7k3-S?R3h?2;>>g{wAP-;wo>AGN-ii z(Iw-5D^PTODgO}p*Lq8bOS|RGZL&-&uWRHqwtm<(4E1K8f?0PPUncv1>vEHDOqBD zywT}~m8oEvRzYd>l1A|CzbBpF`6Pz0gtIcYvhHa;G#-u?NJ1O8^u92Fzm_e%t%t}W z<}JMql9wqY>7w-NtkmO~`Q#>Nl^a(o{>)4AIS=31ISjq_UK^i%DPrH*H6MLm58^2} z(bC#6Xq!V8jbHJsn22Q~&Sohs74!IeKYeLyXPaL9Rr``)kI9mwAZ}PaH11__Yb%a% zy6Z&qQ|3vgrDZ`zD#GI5sdhYbUQ3B_5B9p!0KZmd#OmYwR9r?0AhC~7mK z83&dT&vrO+cy`p}tU0bL`r@{G85yKJniOk19J+6J@IL0Dct1gtZw^)(zH8l?kL1Wv0|~orcOv?KIOe#}(JN=QNVT3|UUE_5VJ9 zBl*U7^1|3m%DrlsiD|}RCKsOlKL5q1f9_@3yu~PU#XtXvj43a_bT!rL&b*gwuXt5E zf0UuMPP>#_IHzNP(ZT8zMJKM!(f>|q(E%7}DV#K5<+QCp;~{gE1e;RjaT-o#g=sA#@OAL}KOhQZ>bb7pqH;=CSxU3%5^ z?G2^bZX6?dr6_uA?18i7e2jyr=b%&Gf&HfaT;Xalky<$0HoV`bb7xMB`etNlG`-PQ zI(OpYsPBw%L&48xj5NRagW}<2X9jWZ62tgr$4}`6&P`3(&I;KC!T62@6_cKrSgjtv zPjWIRm^fpWzO|vU39yRsvLQ0%op$2unrDWO-XpdWi}5oh3z<|WWE@NBL%9(PmJzT8(oRHn;bM- zlrdNwx z96j~iPKMz!3Ijh2VWwx2yx-*O^Gu5-{*EzK%1YRZ`9LYQq$?SDZ1dHyAD521L-DsR zu*%{04}`l=QMvvF&kRdg@xrP86Hj0#FM94PMUtO?1WU;ES&HWO+%GN`6v7wN$7Tmy zO~~3{6)+9?Mq#0ftx~Ywqzks~W5aGjzh{!lP`I-XFP`?R?Ne71Mw)!p5QV|$qf$d_ zxFW|7D37MlwKxYO+RbY%we#sgW#r$FQe)a2Yk05$ile%)73BqzqpA z-s?&szO~Vn#Ztc&l|Ca9X1Fc1shv#g&*AHMEs|E@-NbJZf=e~L8hZGX7_@;CN^<9y z9E;ajWp*h6hmg$2HS-T7z*#@-CQODaB&cxoATDwJRFD6O;u@JH;$Cow(&hNJ5u4Y@ zDMo;wdnF<^H9wxV+V>$qOSv@X*PqCjNq z8dZb0G$7jX%#nbbuZ2&H%H#%g-=V$HrkPo2FZaH3S5h+VemHNk-&FAmXVVfZmhPdc z&~DBVITxH+=$#`|6fI+P+1?)~b#bp^ki~(>x#||2{#P#Wnb9VPIn+)h0KW+3_?z-Z zUq3}T9Mk4v{}sL^6Wd1g$T=L>MwIR$50v@@AHXwv?x}kOpAlI`He+e3oiA2+3PRdf zLw5X`3O{UBOf08E$D@b{CJ}-+KH}JT-fo{qE;nTDevwMJ1=0q-X5tpDovm`Z1B#vz$Af;ngHMj{>}2=M8&_?1pq`uT zzihvHS6^f2<1*(?3lv_;Qhm|5yx`>}0dVBMb3ujyr=(>3bS(G&z(7DN+3H{V3rp zb*>}6{q6?NpkF$r#L@G~w`Z2$@(BHdYtP_7q}R@8r|fG=SLhE4QlF3P+IZzRn<;}< zzj)pAx7xg8hr!dR_SN?!iUpA%8x^;F@(~rR%z=HY&mU;nW7On8qE-ha|0}n1*om+7 zs9UMed!AqUs?mI<%s~MkB9-nWJ67g~#Fh1(i3@r|0mHH?+plW2>~7q^QGFD*61Q-? zf!7uc()wyFMDy{!0EvK$b=pd6U9KkKx|`8|FWI(sKLT<;+1^SNdImnl{2-ku4QLv= zm_qxQEmJ(qGWLs1YQ~hYtD5*%??+I;GJn~nyueQ9@AYT_g=U6M-dkwWwN%56Tu&au zE)!}B{vQY!FPOo1(I=xnB?~U7RTHw9;c8;;qi<-btO@|MQ^9*V`auD=vi~g(3QmPww72b#2yQ*!WIA-R?eiOw9OY=fV8d&j`C8#hWzEvxbRwioOyc5g2 zD5hc0;k4R*-U(kg}`(wLm)<5F7{1cC3uIKPP0o(JS zCObD*JK347Z0nEHb}BsthHFO+jQX!_S$=VkAm7&Qv87&h)XfPjvs#*pW!AkIj>wvJks} zS_gSoUJjH}e0Z=mb46$f!__YnV2F8&&-F2kCRnYl zw9sGCU1CP`4m=5Q2Ff!x8BCU-it||5JYA39-bf~ES7ogJ@0kSsgG58KLx0!nPVi#6 z{b_S@cV5mM$#fgzm$3X4N#+?4vSm6^9Po5@<_|L)n6M6#M{{#E;GCV`MzE*5QYt?U zpYH?<(FRH@Y_4O0hWM-7%UYI(zgdQ~yrX@M<-k>xlNg>cVRCZJNHky0p=ku&vXS~s zhofNS@Bgm+g{%N#C@KC|;}n#2971N=RG6z*}ed|~GYu9DPFOKFsez^!J1nWm4U2VTfKb|Nb8V!gV z59;VM3jY&n@RtV^LOKLEP>1MA zyX5`gV3#=jbp*gtL|I9oYZ*Hb?*&u=p4YR#CtJpuD|{-GtUBe!TUsMynwcq+lnTTH z>=yU?G6a$P+sl-dppjvO29A5Sz=5cM@AVbU@D^*~1WI1rn%pBNP2Y*U+*hdwSOKhH z+Lu}ed*E6<$PHM6O92O~ZmAcoZqZ@XMbJbnvzg zKM!+R0=s;oEU;@F&>JzfD1=YK*?zF_bz=?#liQ8K+JCFU`)|-lEce6&ar%*^U~}&M||3hdpgA0Tk(EL zKK|teakX$sr5GUe-YZ0?79Pa3{9wdP*GDUg=B(a-wd8tsto>J@p0@9eU@*ffH=t-^ znf}M;LtIQ82YVjNPpQqbfENow)F4GdP4r@etI7CDJwux#NOtRY@N+|W=bY~cV)A=Z z%-7<1Y~p+lkPQ}IhMk^{(^N>_*$$S`n?-{B*hybiWg>@fle2U05g=zcp|rBjV^eqU zkW`ThAvm(EtM@aqxoMV5!=%1+RgWy)M6o-+F(w4io1r=Uw{ZJo>$Zw|f zL3BVM+(Sh1@6({b14v3=HBA@MSG;<$nX=w&yqb5&Q~e=pT$_S5lL_7T5+rU`AYc;( z9rB$PLfVh3of{M^SC?;Y*k-8tgJ5(ZeNpuU1Ui_069(woKUAAB9vJ0~Y-rLA`+sR> zNO~V5pC!|{k!R3@5fH5dasP|fKv-t7%3tPD%qBid3mb?8Ta?TPDEQeS1wr?Kw$~AD&JsSiOkk{K6w{h}BC+c*i*u_zj zxm(M%4O9UnnSfixSqeX($9=m2`Dq`OxT1hYO!2&H+Yza5!7Hg^aPTC&u~tT3_YE7` zVK~3gRxzlwJ)+9UM%=YW)8-Cb!`lKoZ7>BG(<%)gD87#_@czHsZAMT8K=vfI#`SC^ zaQ|YT1$c$Im`HE>h9oM(wZWJ+BFj(Tm^PHr#PyssyDxyA)W#h6E}<$MN-T2K4BRyC zH82mnhH;U8G+8VM%hiC?U4%m-a8LNJad3;$?+H)ED8f(}q9e{$Av-!>43A;wv*A%b zjZ^4#%|P+J&I{$vk%41YnIUoU5D52vHu~tXRH&#lT}BpA)c&wzD#SQ+AT|_8H5vqldBSS zwU3>7v;znp;6XOIVu?>8Zc&z zZ5vW{9%~5O#VT_CD!=7@Dx?Bmw+LygnybQp6a7o*FLuAw6Wu^(Cb`B5L(ye&=oUI4 zG7Jy}y{0plrL=VUYoqZ8fU~@$I|*$TEVaa9)$%d)hf(aQP0q6OkKhKy3w|NJ4JpQT zL_jAQ{j5>oD{;UCc(vzwh^BCryWdqGK7`ui>!z+mqVKLbgV3*blqcnw=?o7H#9G+Y z+gMPghyw5da+5{0>*zXIQ2So}rakI;$mhfpja%7Xvzs&>?d%5Xa881C^NV4*Do zrTwL(>$hImB1{y+R~oz8gBS79YT|DW+>Z4Q>HKn#`4`*>JQ!#BLOqpu#~kkc6-V+Ib+2By%`M=JYX<>T<}9 zvkgZ}=;{k8ndJDZg%5xp3|YqlZwB_|93bv%pxn8TjaBgVgqqO36IGjDdk!E}jUE0n$xBu2iB~)2Gt^;G+e7XFa6Mby?3eGZZS>I!Pm!{;O~@ zcZzW??ndykm=z7mMgE(Zzyr}_{MBy3yZzT7_2J5#stJI0GQf0VzV7a0(fhgg;(>pI zV=OIdvdrd_XQE_c`mSH|=5NXto@%5jLRlCjfx$=~KtzO_HPvQ@OrN*zcsR446QLOX z0`)bX$FFq@KERdC)bW}1eRQu*e9=5ae!ZrpFD1EahuU!UXXN^ zGC$edq~A%d^48g?etnxA3UOv<-fEXY!wrjU8|!o~5EM2^wb9LFN(;Y%LxaOyW;I9+lU-+gq ze*Uui7bt$L-%}8UVSYE1O;Cjt(~sAkf_g^vLeILr*+Ynmn)_3^8ywlB{q>Lg$&^7F zB79-xuYy<)%X*_-yZ{8tDQK%?>8#HIIX!%n!XpKvBv8w7i?3JqgEmqEOnN+tq_tV$ z^`rbnPe27=x%au|T7Lh!v9|BkpXZpMMpOhE$Rjh2Yu|45XLP$ z_G|p`2`Hm-`+phCx2~}L3oN}jnN^-UF(~{S`4}tQN(gR+t#QyUAwuZhw&UJ6B>#oy zt#+{`xX=@ZEfL~a1Td(IA2}O*8o8=%d4cD7f#m}kP5R1k9LFNH_M5aH&q{gN_PY}) z29E<`2xc5(1xrEwfSW!RxeJUnb=ic#j+DqV$D#{WZC80lT9YN{Sa5&X@!W6I5-#3?NTZ0cOaE?>2a zDE9!~#;|yDc@@f{Wl$WdqoHU)52d;Gpa=OT|HnF^4lAbEr)_QHruKA45VeJvDlFwNnxc~H3N?c);FFOt;x?TWoxeau*lUg>Q zoV5Q^gltB$mkHa_d?pQ7&%=SuBsJ8Z0q7D#z$qzv({T_-sD1o#iPrly0aS7d8L6qU zL7OFab)!sUfPrXbec6Sd6WU+Af)o12S1ZgsgR7-#jo0)tEE^%b1wYn8uZ90eurOXJ z4z?LR)&jc@`dbX1T*j6Qqw1%5nzuB_mxJug^|RWR@*0UN`534R0(P~~&@vAWG*-Io z^YxT@V0Q|a4H$@fQe1o6)f_pV>dKY}!j2JosrD zZyl_+8tD~9yL@<1(C^9Bpr^`?SAQ}oRH>psO?Ke_S z#Ws(`L3SM`z|Bd&O2D)5Dm_A6!HMv^5?cwyx8NlXk@&Bbe&x2>j0BbwsIV8~L}z>s zh)$Z$G}DjJ0@Fv;mK{MPCS@E&W%18OZ0D$)Q;Oc~fUP@14ei_3n;Mk7L|$<<9oKn9 zq_4FH!QM`eD~ewMngnRQki!l##QMXnm|knQ*r*TZQ|F@0F39W8tt zJ*r0za%DU;$wHcEkv{d<5rivpYLw14pgBHv`P|hhz7Ol+eOSEIsd8HZuB-G(CTTC| zl`3poksO}=t{HabKK)}|f z7u4`2AZ%(9m%J|$OJoV|CI)&~BZ?r=;(uYKbUxS2a9Ka5;}`;4?29LTUCRq5QvU{j zV+tF5^cY5J@hU&JUnht>#J06Vn9_Z!8`$xS%rQ`$WG=X@uEA&_hy>G2&%!4J(8vD4 zE~9TC5`$ylBbq%5k?OT-);+X0IMBSj%thEwzVTmaN?-jM=%A~h8la;P5=dX`qQfTo z(+gw*2fC){zE+|SJ}zOqdi#TtgI=RHtpl52&_x9&OycA-U=v1L)?6To0#qoy4=|vi ziuCt&ZA9TZ0y7(Hs4c4jU8{EPR5;qTr;kVbBb5aa?8&P_kkt`=$-ZPk4f_rnA*J!k z_RFPOIKD&)8pa(;U)Co51qKy}7qnPzXh~;D&DBiw+H+_(BVX zQ%H5*d<>B!5gAwZSLlNRSgNUDbfKU;DI{(+yL@4nT>Tm}RJl)f#3@A)D8CO}ngGfO z;x<3$fqwymwFXM5C_5cUc=r%5Gj+6nYAboAI8lwR*RF8_q?=cbc&{IHT7NSF))bM9 zEhagcT@CJCiP<_3uQm%4(fs{eoj&^RwGj|H6m>hfeohC(PU=*{Hgh!H))_rV{wWkm z8@F6mUhW6t%70p56*J8G3vk(;Fvbb zz*1d?)bL_gY6TH6 zw%Hwk7SI$ODQ>_7b*-Ro9Xi>GVr@lQnNLegzxy(dL9ULfCWjWTSB@R z6rg#LTRF*Kz=*e)teN>qFkJ1J=d_&-kS30fI0H;jIn<=~@ z85EjQtj1GGxL)V>8z5jE9=M*PhPw~)Q;PV3>5h`*W{{O$d4l+MkW3joe6SMkq)`6M zkV&xsTQen@^NdK4I}g(y8hr5lngj{TvZa6kS*+Wie>_L_GQoA(cd>&C)weQfpq-Bo zM41H4)V_ds4F>eX+A7CZ;RbADcaX1#g5m8Ga;(rquPl|zgg4dPa)4Ey2{4u=OfdBv zn_jMa2d=r`U(|NhO&MPC?*nZD+eP{S!q{Xp^eV?*pS@W>)bBPr5`tQ-5a{ zJ(#-StBwSi13p1wNnE+|S3S$WD zyCz*qV;H)}=N2eN+!W*XPbYwMb?05gQz00Mxd;`5{smZX#Pd@yaujkjU`O?t6OSkv znNG&pk5#VvL`OW2hs+q$vRfTzEBT-fj*0D$B4xPJtr#kSS(AlYbUKLj$O z6{i-ugn^l=3L@Ex^woP|GrAYsc%1M$!G+N5xf<{_fx#+=QX`6Vh)RTD+z4s$DlF41 zM3;@T8%YS@eI~X@so}t7YUGhiw;hFYaii(NStYa~Vx&4}?tm2L3z(KEGxstQk@@Be zVbBXb-X$SG&v~qXh`~ku=Gtf}o7LLRp;D4LP!#BpwFkMoj1}fY# zWX0_PWs!H_Rj41K_cx#fJq%>uwGwn|B)81ej5qzXM8Ht}>i=lXzFJU}gjky#+d>g4 zW|`;=Hj3S~1>Qo@jWB4CxY_Jw_uMm`z0va0CI73K22e z5F5;U@HOZ_w2A(A973CKhZCq-R!w(zj+!{P63cy5WC??gov74&FQBHG>J&e{WPL>W zCX3`88`sK%X`*&ph;8ZIC{*e-5Sc}X<}SjFLYetB?R>T9QhKKM1&Gef+gD_@5~CCG~WCP^ycvLPaw#-8ghh%j=VjKe%40C{BqtVSMOQ+%&nHl!QZhE)3m&L}A$%v7s zBhFLTiyuT7BT=SLhXa~kR3d2_Sk%mfpEE*U36(FQPNDt%esyEz%tMf1@qBS6bO;L! z#Q`v_Zw?tMo4Bn67W6`+kr}4!6!wqHcG2RJvzh6Go`F&>PGm@FVxZJ*;|u5}%u(l=FRoGN+)k9PU3K@F?D9&y ziKyO}_Jg!yg8DY`cShvw*>o^u z@>b@&Sp>@8E9PAvRBd&AW7@^W+eHnj70Zl(QI>g?fHP1EGg~VhEr4b%t<+;d67omI zOgZedp*8a}&l~N&xhlkRi?hh1C!`{@S6=7Nmz*#*F>w~gCg6_^t6Y76X?X#yd<$$= zl36kaigg^#>NaZYI>Eu}G|^j89H#L^ku7vK)AwqU=S=%BjnCP|e2JuU#!iy3S9h zxlgAaLO4066~{@!qCS5=LqF1tXj{=bwlTyv-QV6LdjhDW*kZco8ufT(Tw6-VYp&pA z2?r8PMoJYC>8SaMh`Yo;@L2!);fDau39;Bl3D+LL4hUM>NhMvP^RR3Nk zKWn2<*8QkGPE?yY0w%@@yMT~FE?^P6XVC?3qK>k6!96F@=C4m z-)!(b$t(2tw=5tH=}{MApIHI~PFcb{A*!elFpcZAm@qshsJ>)0vzEd?PE0FIx3T+G zn=Ot^x_)aoWuxi$BJcFZI0Qe>m^-7msi^N&o9`N1l6JC%sBaE|eGuXc!lX{Sm>Sni z>wdbya$#!i#zsYNCqo=?8yj647C|P*#(|7B4c(vED(lxS-L6E+g4xUZF^#p~7S#}# zTje>tl_l5TzBK0rLDr{B0W;0IHg2e$Z|TQ_U3fYpn@W;ECCc0EKma;UmbM#S!o`I7ssP*-!F-Z~}LEGBTDYnuZ&C~oz` z8-unuIsbqV3HlBB1pqp1&i;fgg)ZVN$T4_Yf(`L#+Ww?c`tIsLM3CV6nr+O|NL|^=%c=ZE;DN~S z5qOsHn0tp0z&EQkRqD1Gq>1!w*}uh^)MBZtiB|AdDC9IA0F$t6nIx582Y?FOg|bZM zMA**Ep^d#lL9KvBJo@opkM^?LxVRrAJ}gYUcp}qKWYx{aPH@Z@qu~?Bw8b*XsXEcXl9=_@W*jm=Zitp}o6X-H(-@fG zF$#xCo~z8MKeRcd^RJwi4S3y4r;q>h!OMt1e8XNadYyTE9(>~gxqobvbgh$9jv?-3 zx|XfErtlmpgSq5^Omg58yLhOiGmWH@%s?tYCOD!M1rgx^ey4om3UvokJdmzGMXj?x z?gJV0DXn#P)CPD0`6537p{3O*SD%0V^qefXFMfG^)Og0jaccq^HxIRHq2evgt?s7n z0;0^cukFQ5hcAPC$gQkp^S_hC!+WLv4#OwmVUoh(@2Ha0Yo3jH!DM2<+G7d&7yP)v zMW;Q)Go2`3(bK>fXXToK)Wp2;jfWmLHhQ84c79iSxOl$U#24v<=+OW|qeZs>N6lNU zynr9G1vt_3N`PCXTM?l%vI{tHYj#1+zxNOv(;2xBDzDyO5x-q24z$A7&8N-*x;O1N z>XMtq`dpVO{HyO=_V#kqSM{P5w%lYT{o4a@uBDicmCJz2WU)O@fB_yGk;m>NHd9Yl|Hvbis%z5mH)6gT&dZ4Rpq7(4(&kjZ;;5xj{r!lDE2)1y$6=_z zwWlR?D>hF0dcwf03^5i76=#LzWUkF#mlHnpGjw?|2fQv$vo53&Mz!KiG{Va!E~g40r*;&2Qb9iIStAR zDIf>L!K#{yKXJ+kbXA2WGu$U2Z5Orbn8b9PXqM(0Ig1MU^n;EXetmJ62xZbvj6KEkv_J^#9DH436~__q!+gi zjQiQVC|qfCKB&iUiUKpJ!H2iJ?Ctm+=tjG2!OE^$%tl(1GzjK)n0dR=cE84Au}STF z{BEKl4?qo|a`!;91J#F~ z3qDYNME}FAqul88{xV53G54Ee$0H4gY4qznA2mU(H7!?zxmLZTm0%77 z4-I&p%xy4N49{)G4Cbcc-%Fwf9$xVMtd9nB55GH{IX>0Z-R6%m=+jq^4$KvIlyv9T z$4>A6FECKhQASE+IiV&f(VS#K&E>rp>|S%kG1y&DtLC+dK?dA=E|nYv|758fU=7rx zYnMy&vY#;bxoYkXIji%)?&JOJfspJu48L*-V`w%8>!GGiv5RKbYM$-rIO%}bl9CcR zB~@*eP&&ttM)@_D5b5#j*E-1W+Rnt$sV&YH!dZ>|eAj2JVX+nl_`|Trg%nEF+4C%y zp5X+jRmO0~FHM8>dP#mp$5<>9p7=OzZ+n&a&!skrh*=_AE9!u?y(#K0?a5_|T@c*$ zuG%F21XkWk!GxkrR~^h7(TAnyoVevCSw^W@^|THx+ooR8VLi4N=eKA5Sqhn_*C`d( zv}-kQA)Gm*L0X)CVUj+444ul+S7DPXEmIwUf3iArJb?Pd-+t1&J)9)jPOY&K`!f06 z?og#5#}iT>ifZW6Dq;}rBB+V>$jdtORjV(yMeE*Om(vCA`c{cO->LFmZKiHi$NTf0 z%A;wSou&GZEY_M&quPul38gff^mzdf&ddL@dGkv8gzb&CmGiB1O*yGE-1B(wM+(_q zbQHdDup6!O8ks70E-Q7+(>2CQbLJCNrrgls4UYM`CRo16)MoY5wUJ+%xV#PT;@vem zZdVOVdnVg;i+QzmYA`&}B%jR0FG#7JC=;y|jtW)#(5a{Qsw~FcS)_XZeREfvh|~_1 z_%Xik1sBT1FQm0E@2$E~Hq>A)&p~AOIhT5a4b`XDKcjklPWs9DCCwv!%2AQ2F4q5K zku$ILXHqNjeqYHP`|*!i>YU0hR>7NGS;yI5_dIfuK6h4dWhkJY)|RSDN<8WHB$SP_TG3Ra#Uo!tX^lg~Qe;Z%9RSr8#_5B$0 zqa8zh0Q|=y83OuQ@-VC>xp6C`EG$Fvd~~$ zHrHUBodGIHg@M7`&s}5Ainsk`_KlsrA;RjeZQ6wWDX37@2UGw>Qd zw<~0GZj@+Xhk8Eo{b>`aPH~YZ-OoYO7u?e47%Vu`I0ZaN^9iZF)=ncI%7*M_P6^Gv z+u@GxCpU@426mYn5QMc(vj`NZ%uo-O-g;**(l*551YT4T!6aEVz zs;p(HbQIjdzhF?8U*fzWdp)=CE6W@UfA|u6e%4Tl>5`8MKcgv0M$g!ZS(8nfE6YO5 zUyY4Re%+!!&s6$#q^?}dIRfY1@-FAuL5p8P}M}-6E+QB7;6|sU|LxOBL7Bs1-5k=siq*ddB#F1^T{@4mT`2l8)sw zoMJKkH;Y!WG_sxelGX!1akO&Qhv{_R`5Tkxy&{f1vz=n+GYBrOsKFd|QYrDSE*KK8 zs6bmEz9z+CUR{>XVg6*)m^4uJ);_qfiw}&wT}0irSsQy!PkJa@l)5^E>N9jH5gSIm z)j5H6XcHmux}A(thB4E^hq{O7NtKR4k1Z?%Q{jh%Htj%n@h(pBc8Rn!h~@ysQjuSoL_QHu(E zci7bJy50r$EDP*v0T)`o|LK>`_769c2p_iTUfSN*6d1h|_lo1}KPa~jM|(A*KyABt z(%tefN?Ln0Ug2=WdD{p{Qh-F9GlRhwNtyXGRj&W>Jc_xL+pHd{)X}9R{$T1!hxNWP zo;NW@WoP%Mo4%K zicFgf3`e!(6%1fwFnxBh58{%v|P5qfG-F=Qs4Mh)T z{q8XhYj z>9A+XWbR}ufx@L@x3hOj)sQc%KdC|_jZ58>_|mjl$GS{3+E}f*(@?Js%O{T}CBFa2 zUq(B{Kss)#J3`125i|6CXB?)GpIa%!-{<7}rNoqb!rV%7c-DvCWQ|3zqu_!xp75^o zDw|YvSPPCnRz-zBHY(IbdY7NpK1ly*_>5{H_ln#zXBe|oNxG?39t_(5++@n4om<)| zQSs3J(R|9=uA3hX0HGD$)eVhgNIVuzk8NhIGIn^v{Ipc&a(I@RwTu67ZlhhskQ1(3 zfORhA25;5#c=SzZ+FSMEuOcQ?$U`$(F)9;%c+zKR;cD-@^E?GivPAE>$iX z;-S=wCWI(yUxkdm-YggGQ=GC1ww!`T%;)@6jClWzX7-aO*&TX)S;oD7s4~qYro}*- z!`z@m_71%ybKVO7?~&*oKJuhIoxR#@*C0cfj<~w(Pkw!lPg3YMg;O5vU%>wAn0OZ; z5m`JrY#yP{K&-+`oELGbeXhiWE^SkHnA^L(6SaOIpidl zJd0J?tMr!w%-pIUSf4vs95N_d7nqk(XWm;`dYV@i)aTTf)Hl`_`BkSG8={!2m{PsxNYtr@ zOe{&DujfhJTpx_(Q`#{V*kNq6vpiz`W$KJKwV%wU_KZq1bsqQg zXN?@`ogZ9k{3bJ$-c&9#GFeh4ChYW$whD$URMN!K7xu($?QPu}scZ9~tw2|GxCT9V z4*rx$dQl`cF3_ZiJZ^(2Lwf`2hPq!|7pj(Lx3BJhFfPhxlNs8#-kzqfFn-<7D=)mX zGU28D9lW;2f=#!MW-~80_Jrp!Pr!eQZhJ@@zjgFosM(~6di|IBcYbZni$FD>fQtzp z9>sZS<1(nZrLlu7GVGUk`N+MEMDHt`|MGrJ-%xF#`}KP{pCxU9d7Pat&97s?;jt1g zt3UlNW!s8X(@ML_)uF3RD^Xo*-m+7(l5G}ZX6gYSjgzusob62daAhI`FXI;UKCReq z*P8`;x_TBEHyPQwA6!+(D-YuZ;}zzV9CnP(0xTnGKL`dk&)3aQ*%g{YdR{JX(n6{HLYHsW z4s9hcr{r0>qhKL-WSDw>Td}V`c7P?VUo+<4dy`UuVq_JE6Et&u)N?1f;Lg)FXJauU zMV|WbNv?B;R#mYe3ptC!yI+x5*r&}D*J$~{^6TxZqy69zwAVf@hAR>})_Wr)|o?A zyK2?a`YC_L#_dmiKBTuv)4AuC5p24Lt@RO%%5Ke{pC>YsAl>RShpyX9U8CE50aZSF<3! z{YFB?K2zn%pjkegoxhBamEnUvR1FtL`8~*#KN7Nac`UT(OaBd$VENg{@A#j`R>%GE zFIuLmeIkWRIei5dxh2Z~+i*o}E9~Tpw$HutY{x_cb94s@|K#6!7KwJ_-(x?0hk+=)^EL~=YZ5*_fIhz7 z$pn2eS)ub?Ou2Vnd1im}j+b)UM_;I7E9G}0RA~D9^w<_$QBGvw>{BA%?!!K)QrMH@ z&w6p|^M%`+{ibHk4WF5h9t8e~Ih7RgFa4e;3yV6vTHL$G+C&aZ%9E^Vq1@0d{=$8r zSKB!>d^utxmzkXTl4DF>B)h7=Pt-n9>A!_sLh^blY}UV@Hr{QqJIm2!3iY_SQW5bq z@MHWpj;*MD%Dcax-g=_X$Eokyc9L-W;*J`#Km^F6L5-qYUf7op-j-I!nHW#;#_rP$ zC{}k4H$jB?>cuv)I;V#)as8%$(nYU%%ie7Jru!#df-stm1S5sNBO3}8m)Ha!lJ|;P zogKN8RhIbiecT}epnfRuU9u-=0(yG?ocXEl@n|pLG<TIzy>|OrfroEy!jt2cj4l?t4%R}rhvAUwdO~N?+=u46Z%?Atj3=Tt$8#+DO zM>n}KH|)rFNQ$;!lTP@ei=hFkEmISes)DRTyWTtOB1*0EGu^g&Px2`EYZa8|KI%&e zRvuXJ->`MLB)R4F=vZn3ju3OPj950~@AQh@BSl|^BVO;FJmcT!yus$uc1}dYI#3?M zK%zFwbSq2h_FGJULqCH%Z--CY_qJFZ{ZmN?;iGzy%mt+#mgjzsw* zDb}cLN^9J*K95f8rj5VF^NmPyPWKdl;VCSF&MNd%8j!^7EfVwZK?EqgM#+YhbG$a# zGv%zft0Y7(8|fC`s|e9kTX9jQit&nVm||df=t2KOTJOLighq!UuyU{280+h$T(}(h zdr_M8xH_a$7n$c!79mp{DU;2ZVax5@K3XvFy>lp8>UQ?fU8yW5pYz8+C%8@fX!0IW zY&JK&1}g(eNgGu}Md?Z9s%QYmP_KL2%G<vSzl*!e~F5Dpz$Yi zJiK(VZ9hp5j$&s>`DE$C`ihLhcZy2GosGeuoS! ziL=yqilKb!LGlQ>8L*T;9BPamSF%`Sgm2QBWRgCm0uHmv*DFJhr&&uFh*a^wZH(pM zMGvYMeJgnkn3KX2d=eNfXyJ=(3@>f|APjIfW!3D>9eyx8CAfBQI`R@c|0u(8-6qiD zX*#KX^84#krT)rn&}_OdW3F-_IoZ@IZy30zeZE?B%g=|pbGf+pyXXw1U)L+W#brDG z-`cEd#ka1yhV3?Z#Li4Hc+Piq>lQ&9f4aV7Y@-!PvEsJ81JJ-Rj8oLD0L*wk(5xvB z>2v|#hT8hZy!#!K>?@)Sz$V^G?n(H*zpV874dbCS-%|Ot)pjrVMlPR;!}W&cI#}E~xT#htla*k=@ie6@PPCj^UYUAG$+T6vk?gpB$M+r2 zmRBaD1s%NXskhBi?eFd=y>Y__VM{FV#rZt{uz}wJtV<(1bIV;`%E)CYr`6~D8v;^U z;(M$HOlmG^uSsXu4Ien@?6QA6ij;5l*(|h?qbI|R#QKhJGE`*ktLj zLrFhgT8Ha_-3|cAld*OdwWieRElR^9Z;R5sWF4wsRKCVQ#5yF(Wca{-Ir6%(^Qtoc z;=dCAJd`rAur%b4r)u=A8CPZg-PfeALOCy7X6L%K^#*TCNmNkW zXd@G8EuV_`5tsuaXnjW7fPVEQzy8Yy2}Vw<7W{j@;f$3SO@5}A4`y=4gYrkFBc{+G z`STRg41Th2S4!A5&r-tefpYOh+vb)@j4>2GG+A%2Cy&eDW`UQvWqzL0qaPCC|2AaD zZ}ZVZZm?gMBV|62wKy#D_OCsoE%XM`+JHzBSS97Ed};9bH7Bvujx}lQ@@Yl!m#?nM zUbl25Up|;~k?W&m|K(c1SUG9Hk1o1Z@j)C}R8%QCEPwmg!8XEP!X%orl6IGUDEaq| zN*;LB)#gx^a+2#)v78-rl~M-lfHe|126rx++pW5z&YAU|@h|+T6g>fSnvDN!uL%4; ztgK)Su@&p<471hWI}9KHMP}(mo(N7ch^wN8)bc!Hzuhrl{MZSyr))z}Dhp#X=An!% z*_!rYFMc=Pfwp0u+`AsQV#dD=j{Z$Yv);49+u6CVelP@5mKs}=Ci&%mo+~zjf)HXU z`r@Q-@PJC8=kXRY((2t^xUAs=o+kYS(s0@ioaU>1&K<+Sz z$j?)n1up|ssT@+;i&*}?vp?mftg!$k6;Yw?FZ

Df&;9gnT<|Y!0|_ z`j>>AsiE_XtpT@!PoWTW7i4W7Vl~|U%```>66^`oDInWGvlU|2!5xz;IU ztXVL1*ynjTj%mBq;Yf@yCPB;@#mG;xz1R}}r8Y%2=J2yUQY1~pkGy`Pev3hnXWymf z>UHK=4$3A!zQg3q!F4e2Y)^tXBI;cg>|o!nHkUDvadH_tO2$2fppBT~E0YD>v!hE2 z6@-F)Z6W9b$l5q$&6B9t5v=yW4yG7;$W!i8LU(}XEUk@-!KW`F?lsZn zaFP>~hvAtek*k=KA(OH5=OjVZ7|%lm!Fu?VVz9Jm@T(oOvoVMF(IpexArLD^h`TGq zJwN&>YJ}N3ugA!v36n@JJm4mxUg$gK`4#AHvTAlFVzQitjF})69-xAn(6`QBYi$$` zu9FV-1!fLI))F9Vt3?Awg&n^^ypxvgeAK5XnnjJ42ET9{oN?LOhyy7F>orJDlqfZ6ijcC)Gf+ zpb4Pk`8>oU4T}YyJFS7Nsr~gj%vyUE&{@6}_oH6K~{^w!Z>dyT-&h=Fw5D077&V_@Gld-!Xe$;nDFjV>b&a-q704m2P!74t81=BSv@%supa<1QmG?d5+P5 ze7ldUwc$H#7pyOVMMZxMlF3#}r2TiIPRM=86J#9N0tXw1c=)l|`-exDAWfNDjo4a^ zmd&08CQmF*)NlCpl~6&QE<>F>L~wSP04C$oDJo(F{yHIg38DK3vc^r+t3iTD1mQCj zaQb9JzjniK+nEMxvT63bQ)ao)*nLw(#9u)?n?@Yz`R6fS^YH;JT4^ zu3{@TLOd6ElM2I@%yN?ZH<12sAL3V^Y#h^s2jL+e@>gK;*Up>?J|-dRB_~19kqUFr zLKXg)Jwu9HU&PXeU&w-x@9Z>+IYe%>aDW}m#{*}9t9QYwC9^oaiikOoxdj<+B4(P_ zhs!45JQ@cGE|;AL?vKf!fGV)G1}FrdK7^p#A!|K?xu(}7Xv61MvXCPFgYA!6;1_SB zOU#=AuJQ(-IziA+An0F^wFMFa{A7>`D^fv&{wD>{NXVKbQExU8wZiTDHafPCA!6de z%rwwy3TQR>mkMe{COktfTtSRT122-la7&DsBI?~C>WwEsNE7w46ZNJO_39EM${|)J z5Ha@FUOMP?GT~@qL?AKZD^c$fQSV2hUJ)WqaS5MuW(sI7neYfPVpCAH!dYhd#n`xD z{niCTg%(7NGk8icIElH{Vb?6qw6Cy{&B$X6mmMKnj|hrsAViQiOR)CcqAmyEkJM$e zD>@^1d60UuI<6qFbV(4dOe3Z6t4f(n^&17)PN^Nn)_astjF$-R{N;95;SPs=bz6I6 zIb6X7BGUw)C8rBl7*&}#qN9SsFGJzfP&g$NP76&S749+Cd}oDr!bmY0d*W|k^FWxz zbT)uk--4`#LDq60Yan+%LDudwW%GeLwS7ng_ES5&$z!nv93@1ul^jkUs7lUk^&e2>KKv)F++?{bQO80M)0pQfYDwS5`-kFurn=m z{z~h4e)PwP%^3E1g==TUgwy%B??^~nwcwhD4Z+vm=NB0upU8y?HPAz(AW$Z|bQf5a z7+q2ivk1_EpywfLfuJss3h#Q0+@b6nKes1%a${+s{)R}dSe7D)(-=ZVTxkusAAA}C zSz8w5IUP$4-SHZFaUA=!wvzzkIRrqz8(cTh{-~lRss>L~|y<$#YB2jr$4KVR*XA=N@@GMLtI`|>Nxt-x>G6zp? zjy-6|<0N=ATfALm0>=UJ%uVE(mi3`u3gNh`f%-`R2khJ%Q#XODWNUATj1S2YaGjTF zB-Yg$#D72>p*)z6S`*?Dq+%*$Enm>8t?fzjE2%XA(JbD7vBUExh=_G^VJaidVY{>P zzme}b+oLjpto4Re=s+q;qnSlSKIL+NlH=D$``3s=&nRF7`;AuCo2{&CW~yf=ekV^h zIE_5!VmV0=*(3;aquxgxiU~B~CJNX50EGKD4Y$V}=A-Ts>V{yjv_kMJw$@bo*3=E~ zW*?t8u)^ni^k%0Ip_-OZK>II4`>CP*w9tMq_7vd^{NtA%U81&h4p{@-rZ}2;Q@6sJ z`ro_>SLH@LW}^wq5(7z65WhxHrXPSqh5fGxuuD8;mu}zho3<{cff;aL6`#M6<3&y>XQJMF5e>%Anc%v%>O~yo` z@+o(V1yH>st-s_A1&-0z9^$SBL03c8P(;15M!k_QZhlSLhe<%vOghg4CP1+e^#cU9%ihHP|=^*$j6=i1dcw zSAk#gE5OGUbb<3AURvl|1BTikiKh+43ekjLBm!39*dllBtPo&Rtw?Z^hX|)?*l=nf z2LO&SP~Ubh2`|t<*(sqx^sVQ7!Kc6*psF$v^{zqIh9Fj3jXz{*0pb^vAef1Idm&a4 z5UX{F)da)}@UyP}gf3C+{U$r;f;t1wv{Sa+_Qq7m)=*$%Pxpgd50({Vxfl zNf7Nsy>XB=8&F$bz?mtVK!kNEWeRx=9aXpV0)eQl%)tpz_~C$>W5~d&YDwDgpk_d9 zT3Xu=M_RgoL!Bw0Cd{pk{XnZJlv3sb2-={=Il@(tiOdUEThCuW&_j^59YXuFroc2J zM0pHo=CzA10uW{qATIhZ8=N~!H1V2Y$5JG5O-yWy9Y$fa%#~FfRGib za0LlMo){rdg76hol`r19DBpzxfU#;O?9RD-8@OziH~7^y;3)2GQVx8FAC)p=Z&Eh> zQ~@=n!{%%B=K4%IAxftI7TO^YWqG8+>tw=AmxMvbm7hnmQ));qEK4ffaEY+j90`J# zT-Y5n8RKlAKLh#j?Dg6X>TkUyuLt#VyN;X=6n?t@6#gZpOgxnDkO8y+ex5FD@_&)x6aj>nA6~x^M;%)*#A41m7A-M+Y?+3_5UdQ|K&6UR+YX*(8bUloV0oB4N7^?-WF&83e25aKHx9UP^13Wn|~OUtwtNJV8?eTLQr0aB^cDo zL$|Rf8|L6Z_fH^eZGTw>VC;W}9PlH5b(JFkC1^vQ54ZzeoiM2!tw!|!4RO_yeXCVa zeI9AKivSa4uKArk*>H?_H~?~Tp&AsgfEiF)m@^2pU z6hI8Lf=3+z)Is4W%GRd|R{+4m91B!B%#gTt7M3}f2awiL#}hF51}nb9BtlQ12XU_k z%%s!Z2tZ{BWDS7AXbOq@Hv(IE3fMkw9Kh#h%<_+FrOX=8GvH9hn$H|fKB7P>#}JaU zme7p(;kcNL_2Oj&5yv7 z{!0pJp%_n*JM4Yc^DqcFGZDB3*_U|AA#z~Bq>A@ygEvP+Eh1-nyFw%4AqQ~ZSw!89 z%{;L)SQwDOzDeMo+1YO&mV#Cf_kyUmoT%58s28v+zzqR0JcL+jLEKd#?zz#M6K}17 zP=CI|Izn)W@DG5qvHMHeN@$=36Ew{oHvlERM4-d~E9;{-nevN`JmjCvToRrk6BZ*z zun{9fm^kT1n8mDjARcoQz5v#CqA~~3And?Ri)ld*k$iZctIrUDAuU%QKiLQ*^rH$; zNWDqCB_SDS39SQ{9(A}0K>D*zGGQHXKwGubz+pOS)hc*Cm6boiZd=xz^4dFsGSI z6Au1D=ZDN3oB`d76W<|5DHC{W-j?rB5R8B*=~{w45f694@wr}>$1Kj0t8zTJ(8e-e za0IUKrs0<+HaIa0eOKf4O+!SAZ~9jLIc-~U2<<a{Y1dh=1OQ(XjnJVa=!P+w0{udqO)09nve*i&FVGgUQqf!P=v zdx6=t^S8j;sZ`CUx8$Iu1{3v}UQEp3eY~2MoQuX^kn3TeTYH!^b+b3jcb{T*sr`3k zDg;GJaDDajgNZYRf`ypk4)EELm`ywX?Z^HeO6%nnvaH`|CSwa|t0(^dJ|7b5+ayoh zW^3iV;bQVU&1PW!Wty#n{v`7a$>$N%Ek|Sj*jiuPgGA&Apgx%h2 zF0^PS>kF3MVa~yI{c~&;R-s`8SK3A|*-VingINrD-}DbkiBo4KL2)rR!M~BV{zml< zQqkd6gI9A6ap%5PU){k{N2FzTZ(g+M>-SnD$inDCUB`mjVYl{Zzid$rogLW0=Nz;^yw3|4KbioG9a-?T38T6`?qGA>(a;FyN@ zOR0Cs{IR*SFK6R7;WyX#%^3MlXLWBQe)2YY{==#Q;T(#Y_$FPjHWmKb^TQxtx!Z{9RNv;b8wTN;GxCEAo9r;Z7z0c1Zs4`(1 zYaGX_@cR1{M`(V(Bbu`7GM}8hX+U@XYJ92iNyvMnvI=q;n4xxgOJygL$y=ybaL1*& zz1^7dr-qEqjt#$W+8FEnxeL+Ws-c27dZ{&QL0nbhe5(h~79I4flhmI5C3XAlH5tR@ z*6z{Wx2wnQn}_?~WhybQ724s+4z3i&(=GN)hd1=ASsf*uMW*FG(6~t9)5dt_9FGk* z0>9XNJH-#g_3T>RI+-hz6G{EF&G@x_t#iWVK&nCHSELx`7C?wUi2KdzvEo9lWr?p^ zZu*&fiHD&(#-7~dUl{f+s8*Ic18GOq(v8fNmCpd$mjTk?i29NAM>6WQ=biELH=@8UMuk>5MLdroqF z@hQ$Ltbr^4`u8>|CLN>{*v;R-O}C!D|A?}0thM>~#vywGuOQ2b*C;PpSggMFx|W=7E3)6;n_ZdTUMUwUSz*>mfr7 z^VN8)Vf!;Jm-)#b;9Wz=?wmA%K}l|os?M8ABb|=DEJ3%J@86eqRSc==`GdihH*0zA zHOwAW*L$X==5tHvjc?h?%A7sw)|7R$`t2;s{L}G;1yzOrziVGV{fZu0_*t6O8MAGH zPctjLI&rLbPq5tE67Kxa3-0__sI^g6m&(=&VK z?E@0`Z!TEMEjPqi2P8ffI(M9U;zL%7&e+HO$PT*thk1cRZW$YD9RS;l*`*uZudyuN z2ZMB?Z2V1dY3u)9O5ex5A-kw9VgZ96V$F&^WCjW>=|?~g(fl#_z{y2010*O>N1B$<0^Q-7T4xaX&ORHk^0AIRx4Tj0j+UhrGv-ami*4^Hq0R(c*vSZbo(K zOv=uuOpw@gp1*T7bCdMvGNxN>Eboy-FP)lFHTrL&G2Kf-vJq2Ng{C1F7eN;L&+S*O zJr~jreddKc=Gj7ZsI54jDGNH*#fq85j*B^r&wP3<<-ENt{A&_<)?~hFhT7W?wHTl{V$0x=7ov;AZ3cr6`qKGTmEt7$)VuKt17L8fwypW?UrIVC+W zGF~fzVyTpd_MVwk5IGfOLA)W${9r=lIgJPA0D#CKIQ4UkPVT z&E^91c4dD>%hn$2j4uY$zl<;hpVgc3U1{sDK+9|$dtSh*`qU+c2U_vu&Jp;5%BL{1 z1DJO|Q(xQZP8vRTL$0~mJ;-1k&mxO&DF{8cT%W4(V9%F{ZOlgFCDJ+-=X}q9_v4wo z*kP~M_jiFfXYeqAEMBoaPa?A^pkY4-dUX=r$0 z+rHh5b6wW4bYAo}XDri9&PNl^@}@2Pc?yvX2TEmj@5j8h@b+x;QK)6WNZX2b;_W3b zG=9K(>0Afij>meXH|^U>;g^^9@Vfd-cqN&2cl|#Bf$JaN7rI{HJ=jlU z`ztup)Ywst81l4E(|O;O$$ot4hTH|F|FnwjGgBIzr|RB!eBKPcsBZP@TFJbrn0T(! z$D3+5B)*dM^YX-2;$@v}h=cna2gqTv1M(; zTh|PmYr7HUL7^58iRBfpP^CCJnxgi6TD1MNc$w=>k6#=AB9p?lZJzLOJrk?ToiaZ{ zW@j(tuKYZ0%Na-<$L(yaOvf-Q+EYKAxNgk#&-yb}xsUEG9HwChwGCwtpLuuaHLj0H zJnD&P;eED$jFk0tN%6@O585>Z4_|XU`}4y5o?(z!+c$Y*4l?ioZlS(%sol;L!Bz#c z^RzoPVzbltoMW!WS4b^;_m>(|smdudM=2frzQAPRwijNm+eZlImz4YN8B~;1d%uKF z)g_#iin07wK2H$4nncqubvlJSJYg9aI%V`Kk2k?uHKeMq*I3^;?=|#0w_o2n=MX#B zfKM#fSgo6Wr^-5<4)^-_x!*Kt3}u-#&Ym=$iVlbA<@&2!Z>&k>?)}*GueN5h;4;kX z?JGR>QYrFup6Xoix=+BECfc+B6M{ZGNJ?sJ_}-~6XN(B#A3Wt1V=_srllxeAoNZ-VY4w1$k0Ugu%n&nrkv`aa0Sk z4y6Xtc5TTe9rK(j_(P^r63cRf}k=*RRjup~M5vWPi19y=H48Gq3w?7Vaak z`SpDIDF5fxc;xuzdFH&Yz`GulpLJam_Drf_&B!5x*#6Q@CFQH%d%tu}wJ?sEOu1vH zdzc*6g&UOi@FK@Ni#2R&fs3CzC4N4Dzb`LmoVkpBobX=Q4`0KdfG%oJXjC7!ig8Fk zx-L+jo_;tV&s2Ux^YR;n0F;=+oaf(3GC8Y9P~x}3^sGPOugS~==@EOT(G|*o(0jbDsTm0&RH7@K-yRt?MTuU<=H44bHvm zlyx3oyl4K1%Y5ShuIT);wM^@B&)C3W!gNIp|4bq>H_iI#P??(OKEL+3+@F*=`T zH(ZQc&)yB^vK#10lXNd2ilWAtknX-|Vg z{|wDSYJ>F&^E!nb>rOy9>0+ASUGIr&vTNwCITF@16nlj-f{BF-e$#^&zjAQa>e#GB z*@o3Ke|7AbIu?_ITgwT&Ypa-0*>c+!rZs#q-aMzlThi)s`>AjeGG2+wW9ri*$pGE! zIMyZBJNI5sY})J*CEZ+M!>qCi8tb;sFmTXLhj`O=M8L*88=WfAJZ|dWTa}o9zz-QL zFKslk{eZ9eKsP7IQGu`a(qhRt@(-G`y{1!LZ#`Xn(O;={z-Rq1??BW4N_s+JizcRI zoreX(f(|38nx}{@87v#7oSYa7? z)KOM3TRzXW@Bz>4<+1$d<6=bYTYQtm?=_>7-E?}6?ME$7c4GE8Q*L}u_~bqQNz0gF zoAr187CmiPiENxV8?g*kqJD0J>2P3mw*mDO{H-vzxpSjG)2pL*qVs{BYR1Ac+QvnH ze2#Gn!e-)~`5e9ZrWOAjyCYHS312Lp%*}*~HOp_D4DHcV|_4+i5DBEfe;c5Lar&1dHtuGB10THWP-3ly7CfZyt_rYJtqb5kY2+rMZZvR+o z#-t=6iZ{q8LjZ#g_s{ruFQGN{NnK&Q;%jz~2JuGBaX0$G{UB+!3+a#N3FRg{3^j0` zE3Rsex68eo-iUvkT+sd#{JE{{<Vr+VVCJ7p0si6oAO9KDaOV>KL zD`#%`%H2|xt8Q_F2|el$4F!2A9P6H6`Q;Y%0Y*PU^vkWCIlAX_{J-;ei#52Pbz}-8 z0@I9uX>QW$Z96FvB?OTc>Y)hQn+eE8gR}P4ZuO0xuUGCY|CJYC6(7c9|M^mhsxxhp z9S@fgNux|E_n*6qW2YP+UpC9+e3txlwdZia){Gf8aJ8o)jz2%~$lEQm@w?&&TFd0X zh5^yr@P-aoYS?_+n*~YNLl0MmG-BlN0DT-29Ji%U#=rgvx~v zl?$nryBgDU+$bUKY4Gh<3*ePlsraE1_Kz)0$`*Ft7OygVvErhC^~j^nPkY#e2Q{!Y z6aGSq9?sf!+P3yEGy3;C%S5MgRh}z70{M}Uhh7XR3ERWc-K$%>7~+>D^I!|GQT$)A zh)R8RtWG?JH}I_NGK|+2raj!OVA}#-+_o9&(}c}4Dq&o<;Q5VhtM!F{-e$&S-cVyK9{Gd3lpY@6 zu#k~ZDWo->kyKf#ISmGqJSa&n)QCE^SRLCFk7 z9u%*l{o?-joTm87QjO^vZj|}ow-m-%gfoOir;wxIS4)%v8!>-PE4^AjAZ zPDWw;QNQ0an0I7?aG-ZkY5HroA2t!j!?G>YXr*Sq4qwSKCdsz^ z*+8b+gZ857CH*R9aJKcKcTsIgIx46VKI^48T_={vVHv#1qg`s+us{tZQ|u9idj?h))GzTm=hOf`qXa|H2P1{kJud-oDEwYDyXu8AryIY`wda< zRl6-Uw!b1qv;yC>4mo6nN@1UQUe)h@TPT^#fr9i4GWW&q+(b}4w!W|USCM14{|eF7 zNTd{P1)_Y7E zV|S7*>Z61%|HqWd_=F!5)IlDK6S3nTXLi(NmsosQfHD7D$Y!oKO(oV5^VRMXjlT1J z#d`a=O}SsB<(g^N1TPB{OuKo_XD^$)_P<^Q&7@-)IoXZASeaJppid;KXvT)idAfC@ zJghFY8e@Dcg5VO+gCGeCEZNL?lHVH2A^-a*+jFHFJM{9g^IdU*Pye?X1Y05+p1=RT z6f$(aQKAm6Hju4_3&h1#%t_Sc;%WO0!%BRAC*_Wx zFKO!9&pYSld%G5k53DWLqt$09H&p}U9QV93Mx~?s?#a06?x~CGdXMBh@H|d=z11%; zs#QF-<`8f1w}og#tBa>f9?XeWt;JjYF+i(}X*EUL7oT#GPo(Kg>wTBpigyUD!RBZ? z)4(%FhLZDT_j44wL_F8yw{mxQrayOy%cCG#_YR$sA2%D08=}?OQlsj|1BNwqcmEjJ zD|Gz;E2|lO-k)*JETR&e1fTf|Pp0lu-%m6r&N{&XqLtZ%d6IcN6ku zY57&=3Hr!LU9~?IECC}{BX0#;!}8H@I^SvLcjD_AJ&N@DMw4~iH0Mrp>hr~CQXSp2 zblBp($6x&dKZPcs>%I2jEGMaUCwD6RuVXSs)qg@~2o@!X|Bpp6WV31T@&BicK}Fvb z&o0kntsJbQStjtw_xWU<f>Zv&|{q|-*%c)Zm;aFUYPjixT=i95s1L8vM8}FjW_k7!Bf6YXV zIS2i)b@U2$-c(qc#C_d6Sa`&iF<$2$<2U^}-tRm*s{UZEGO{T<9VUhv--F9T8tyh; zd2)KP!sE5t**pz&zDV zY9qUxs7P15!(gL{2@C8dTY)J~V<$KD&epGKof}tdtZwm}j(mP0sBgM=mKnI?9)ZEe z)dU4~^!?gZOg_K(s*b1QX@T((nDM%I+=60qWsCSGI%?%OI$gdO+oYbM5x0=>xe|{l zR>FJFN>mEzoHYtBS?U~MW4UpqT0t!mr{9nIKq*Q&Y!N%fshNoM`h$!3&xeYi&o7)y zInG)*PjScb7pCdw7pY+v6TB_3dz<+Am17Q_4VdWx)|49ulRCwLM<4Uz7KF6B-C%c4 zaikRKbi6GsW%!a^x1*Sq7pENRXXhDRlZskFFq2`tbKC+<{0F9MfO!S;CkHo#4VL%AVr#u%*F5`VsL9rs;<*BBwZ8ii-*E7Q9VXq2g$wu#mp<<1;zH6+}1D zHV4>59+au(S%BvO7SuR8-oR%5QyffZ8h>XKeHGZ1Scx|rUdL+(;c+=lCyfs-@ZzVq z7G9J_oPv(e&LBQt9Xp&e;2yVNil{7obhfP%1ba2yEIKrx(_)T5imPXU>dnLzsLj)& z7*~1B6WGjmisO&RENGlH@}A;m;xUDB3cDIX_@Nx!SMa!$+bU1KG z+v?N1_4XU+1dEJ%iA{I+;fwA|zmhDr)6_Hk&%9>S5({;^oP1`6UU#%$*D?bOII4`A zIBiXF9hn0a^Y<%TexTZ(?Z%@zPCPhSW@&I^O&~?*_tK~`l{>X#Y*nIp$+(r zW?Z^TB*Y)iSOX8VKx00{cq}Z=QuFUMT-P%?*pJ?eA`g&fU-0crocx^6KrvVDqx3~k zL4ZSTIs4~H%(rB&CLejf3XOL=@FU46k$`JU|Ng6Pbs&AW#gvs=@U-|r;gpQ z^k^kdhLXAixHQU>#;N6$P^iz#;qVf0BVLq}Iu@lCNR)54)~ za<;;idOGRWdRo6uhsy5Sh4KG5{nfP#VxmQH6RoR`ZQ(&VKiYuVg`o4JoEmT0;8C)&+zC4;kA@z=Cp{3*JKPN`O_l+e%PZGfWC7!?wy#p+7T;Gx+7pjKW2g4<$ zn6MXQsW{O==4L(lkTT-MBe@a9JO!x>lf9Oc$BZ)H`tc=YwzKpS@0}L9M4hE78#QG1 z_iBEwJn)E?e9NpY2oKML>>BdU&FQ&l;YHX2I;?mPd#q|`<|!7g)YWsaDnQ1CRD=v< zGfCt#gIr$TA)&(lQa9{ubvqi7f4jN`lb_GG&=FN;$wcol?&{xTr*7D&Yu|6jEuHMY z*~9l2OIidBEhpa+4`^S0d2%GMgzwZkOWwopyh^3P!AtOg1Ac8R6aUKI$k{Ao9*_d9 zIdk5x>+;JUQg9Eg8X?`!hCgctbi$tOq|>`Ru$*Tg*8ZmU{PT(9sd*C*=I#@vwOTHB zP+Bw4M#MVxq*S+=(~>q6d#T zX+!cz{OIM`6;jbpY#7wjDKhG%Z$A1dvHOwFSvXq`JPu6bz(KRKl{%zKG?_1CQ(vjCyr5Tn`)`1vji3rW%ZLg6b$WNUDK6%CbtWk#?`uj#4hda+t z4wge-yQ^OCd7Ttae7~t9QEHk8?$tH^$t=TDU6Evdv~jv6kKDUyTF~}t|Bm|E*jUcd z^IF-E^Un%QyXJW}IAf_Et`jE+_`WO&Qqp#_7gw|nrSPDN@=>JoO)OMQcdIulOf2j) z=79_Q%rc6%s80NO-Og1n;YB;VIW3m+#m+-O`^9k8`fqhRJx=ZK#uFHA>9oXuqOhCCh<5r^63A~cjbhp73C_INj;T885} z)hFd~5+U#UDjA;N`}Y2enU3QnMx-6*w@Cw*A3qNKv`ZN~g;T!v{`l(C%2^%dAqPbUl@u^Rg8>ucU}DsM)=%`0 z05a;1pRSJPP==q`JNB`wx`+Ach%Ei1wrjWo-rS8TFSP{!U-(g`^y3S@Pm0c$>eD1= zuY6z0{KTqv5Y{uMqP~geR>!_l#~$P~@!EoW6V5v`@{;@P9+&m1f7}#lGpDa9ow9k=IiJ? z<+Fzgck$cxaFu=&jc?4F*F1RFE!M?v)yh?hAd7D_6RcZx)Z=RpVPmal(S8cVof&C7 z9-0F?rjN%x^8h#cvS46G<3eunjWM^QM;RRg`iWd9eGF=T-76yKt2M0|YWV%qVUw(o zQHc|3Ics%`_ow_bZ%m*y$Lo9g&YIKfaTumU6#GLa*3Y-vIxnlz;hVUwc^=0-8J*R5 z_|#d5LZz56fdLbf8n<68lx}!h)SZE-`;mkDn1c&jS!xCMFy@=*kOtoU&xWh6{?pp3 zv`|yp@^fM=gPrEZx7fFwUF80p8x->%3jgd~3E-QxXt(yIA6ZPw?r-)RR@2OJrg-VP zECH3Tqm6$aw?ABS9`@A2G;VwFdi$Vnv4}`3oWt03In!X@<^)E^&G@-ztY^jT)!vpx z!=th%!n2kM?2WO-h4*xhsj7_TMmbGNc66rm;xUQbsM4(&+-+|)KJHt2KSgGyZr#21 z=+DB-e=_gPZq8k|lOztS^y2GH`-u-vQ$^E1w>J&{ zAMXA#tg7vM1BOMAP#O_bQc^%bq#Hr$PH6;1KsqI*k#0~LK~e;1HX&Wo9h(M`*o1UG zb8kEzPyEjNzuphehv&N3%(>>8G1eS2?t6^6*LGl6IJXNs+S48E917c6*InBZxqsV0 zn>U4*#aZWLLyVJ9v&}9rOyd9mNWI?be?4b{6K?5QnGjHc(2J)SOni8OgT`!K>dra3 z+&JrD?3IkZK3YZw6}3!iz_>gS-$!Pv%zVwPjebQ{^zqofSsSLyxlL)g-p=AmONN{J zG%Utof*~0z=F}f71)>@viCn?UstDm(I532o9m>cE+}=(LN`rkc)GMf6@?+Lq;c75HT>Nlf@I#I-&P|EyZ+FraRT_ex zi%}MguVl|~_xR0F)F!1}6C;n_YH=8ll*HPWOnItX(7opqm$xA`4!8SpnE(Tv$I&8m zoJGAycAQW0_yZVatz}qpE>yy|qH2;wm3XvsL_UxdYJXtbHfxNWQ!p?tVmXmVnVuKj zV?jN0xgUzdqw$~#YfazjX2cB53yFjKwHeocNWkHk`6;_p`Tp1i@vJti3R9dzus1mct(bCuKF}?-8;H;T2SK2DTwTXNZ;#q z@9U(aAmX*|tt4=eXQU&<6CGrCJeNhc4xfP;r=K(2r2E~K`_DcaB6nGWAGL}vIk)dW z`;l>)61As=|4uKw@+GEU2n z9W0TzF5TrgbIS3Mc6x|Hbj+16KW@dW?exxo4@m`G;_~b|RN&p>3XdB^d@sG!e(s(I zRBN}}m#X;yf5gn$%jtgdQ>(2T<`XlgK_U}JuPn8CT=Yh(tICIF>5CW5?K^TTWOU4E z`;r?wy0x5|2-~*<7@0pk-ZKo#uT$hx{4|?-Lc$}m$7>zAWU_J@$&BuigMtrpIiut;l^aCe`-OUy&B_M;8anv~T|RZ}%J8%$ zP3+1j)yF0!9TykIB9(98ZHr7pH6EkjfBh#5aC44puPHXqa($xaT@#g~&bJ*%ISlhY z|I!i-(MEnD4W{}l?EV7Pex>&-Q=w*odG|w?RPipcX#YR3(YwF6uHMjw+?<4%_FHKCE7J-=B?y$U`7of zig6{Dz>b|#LM}5728##aJn}5JP|h+k+_d`LMWHl{G?jvApv@!&#nIa6}-0J7j>@Q<@UzwlGy%{UH~=Qfy5q z$Ftd0^t;k};u23zcpr9bTk_gP`?sjS6O(>$uR6}>^MWpZ$5R(c`pz|)G^Rq3Py!Ot zTYPegO{%Nioz@SZ$EGb6mCafqVKt^*0Ur<+9f8j__^g1>+{$LxI-8zjwGPQ*RZ%z9 zlnY+l_1!Q2AF^=-*&fwwM%-QT50g=pcQpz;nxj%4Oz47Ak0eA<_Bumkv#7}{U&Dw(*(lx$HjmP+dCyY#YgxSwa~OmG`aHf>s2jpROmNuI$pyC)KuUyh^nun4RZGiJvWG?U|k z5*USLASriCguazAHX?cl#d~tqM+9cyK(Wtj5bl!1+Eyb+yr4^msWfczb-xjp{3chc zl?whtMz`iFuMOD1wJoNsX?xBvL#}%~@R8L8*R zVBg2XH@$>8*i)`fOiXu9zUAyV?#e&XBQ z;y>Sm`YF@p6Mov9+kTnqZFCEFpZf%_T+!>j%%WssM$u%Tjrlr*iD`j7wNDfleN?n3 zWQ@M3ekqn69e-aMxRFEo{vh=hTOiB$V_HF$L4gh>l_qnt`(c5fGpEW}zBLYA+0$3x zWXJJ)YPDFOx)w-=*-T+J5os#FM1NBj>*k|;4x{VsgW-z7EK3gDalAJYIdlug1@7QJ zK;=*ZI+}Gkrts|qTGM!OoXQ|VFKB!$6X-uCDAB&YkQzlv|FE4mwDel$>IB-`;kzmA zC31%p1H6mgGON)zY#)^c+dx8Uu01a`L0sqXmZhl=bRNZJSl;+<_X}5azc>13FWc%j zN#gIUeY@egu17K)N|CHXV#SJy8{PrMF=-Wj#_c~WPrZ-OdHA6Cs zu4sp`pDh53$Q+yqSndhl5er400G~%5p^4b!74S*0e!XB!D-9czceZhpY;j75H&h;H51 z4HEZ??t@%@S|~r|kxwlY$7xA(vQc@F&WpvB!byz#L^h&k9)mQU+o|%X;Vf=(rFAjx z+5DYW$B~zjM+IU>=bBPNCmh+rtq*ZH-cgldhb%eLvU@!44|bhdThTE<|b;5*l!QP?i|Xjp>Qr#Pb6n^?OSb|gKaXMV+F0=7-25J zbaqv>GG)=OHt|-8?_{&`==zexI-fl5sj6__kZ`c(tyKdRVbAgnns`@@&hF?lH_OYN zLjx%sCud$6Zrmoo|2y4V&gWZOrq{YD7#rSUSA1eAc}x_wM^3jcFFEDvsM=pkYvMsq z|LB9J;s}@dy%$IggYzN-8U5NH?-^7=s^%79e1a>vn$bBMKNQvN#j|SZUIdG>EyKbw ztN5tBVc6}dkc-RUUdp%WalMP7zomgJ$Sm{ltahkS(#Uol-MWZn8*xW}qh1}U=aomF zjRsCWJo}ai2C?Kgk>1qlRAu{l)-+|~@P0>E9qROMbm@k(_~=tbJ_pND3$Fdn-o^gf zYh({ere1g(D^#IdRvty&<{Jmj@cngNw)c*+^`y?f zSuQW{vk!=DxzV)6Z~FOswZxH0MmLT(X1|p3?$UCVogFxJ0=ubM5K6O7S6hutI8{Dz zUT~?2&vq+tHZjk?Sfq0ZEpk`0W49{5_0WpqP4npOHVeV!2Jb7{K z@?ON+`fJqqr73j>`Gej1RGUXOlxqr2?~Fi zCnG|ECV4Tb*To(NEw1E|3lXo@5qX@BFMQgl%v-(bTcceA5em{MID3TOFGy)}?utKE zOF5bR_&$I>R0pvIZc?=N^;7mK0bL7X8WqQ07D?!xXm#kFE6HN*u}Ex>_sjh}W^Puo z+E*U6ck*4Tfl)w%xOg6(dK(=HQKr{WDmYVDe`OMsxyxxbdtBt>HU{D~h@9XDEf#;D;@GlNWvlRbs^EzBY9?BgXEy%v$=24hXDKOz{=D^eMGUyDpL_; zGx}DG{2z(JxDZCvMrO2ql9S8AK7-bany$>n#6DMtr&R*i64feA~xE$VgDiw7J44c&IfMK-gZmy4lUeG6r2=lDs z(>9ZH5@XJ4VTpn&1k0+^xVPXPc29~g%)_gsH$|R*p%H};UL@CKYbuoLmjLxQN{g9m z#wW25qHSk5JazlW1B)aN%H;#;)Y?7qs@j|HN`W8*G=ltinG?fM$=7(2op`td-%5!I2_)>g40mzX0GRvOLb9<#eA!Tinj?^2!6 zTEIW0#&@al{&m&PSY%l8gh`r0ko=V)Z}tY&Dtk18BNzjPpj)=h;i%Yv%9g7#4KZ>G z4xXg?){SK30;g=|x=EJFdqOfay3Kk{JF#uk0rE!jDmx)-Zvq7)1r%Y7jh*ui5Q?X- z3$@)6T*-Fctd_cP^BcTLSIy-u4*I>E`X{ETz5P9K!*(?XK zAu>2+6pR(Vu}}OKi6z2X5(8OVso_#wH0u`{8ceH;%WKd6nFg|K+T8qWK6o*Tn0}kx zd@Vll;W~UuUY4fqw?C?0u2(+|57WM{doVlXAYnN7U}-G;fgfcVRMDfn0U9)tPi{`` zHsA0AA9riZ5P7@&9qNz)TEIaqI+wG=ct|aK_6;|7@2g|TmABnY6z(0ph$39JhAcnU zyQLB&$Qy8Vry|4VJquRuBk~&6E6&;Thj zc@*9dz}ACaq~|^gqY~vh>QB|&@n2tNnHc4i9UUO{tWj27|6GJQdUtm_l{d#*m*yxE zw|JB(#Oi5gUW?7WqYh#NuWq4s_7i0^!2eP(7AdFQsn6bTR#u zNm<&~bt`3#`HdxtqlpA5OzKsfS03JECZ&A^X`cqehLQ${7lb_nyPOJJ+B)uX?$29z z8iA1OQXwv*sqg`3-7Te;#g z3`w$5)rzmnSk})HD+qjBA#OBQPy8TL_L(_3m z_vZ21z;rN8HI<^>L_Bkr0~z_2#O8+Qdyo5deG`taI&>`L4i|`7&|P=L?OXQ=i&tt_ zeXIC(jNt)86r&%u;d7Nc<#BoRE~~-S!37;{(hCe!F>IA{a!-n{A6KXqg_#jj8T4sr zC`9V?(V7M<-oUG^-N`M`epxv_U4D#tKRJCae}3&qI`dltS_(`r1uq$!MU}7o%t3z1 z*K!r-xI>gv{$3H(&hdTxRdVi1&gwfa;~%;vmsms+w@=jweoK5ANn9YuFNt}FE}7cz z5`jGa?i7D}=9P6X2Ejz~s8%|?jJ=lb1gQX)St*)e0pFun7}CvDc(EOi?=TSti)kl&s^d4^GutkDK_dL32MM9)8_Fb;P_VW9N;QeJ?&YY9d418|%TND=8SOm|Dw<(Kf<)L3YhbHs} z@81*@N-8;j)ql1HPD{H%jL}kf(8AHYV`k=!=o*vB$cqS`GB~c|-pmf{nTg2>+!%?0 zz&hjDJJenA$jt1{d0DHBPw_Ctp)L(P z*K}g-Y@0sflhQy*zH5%DW)TpQ(kvTErpG7BMOuVbNWXudkwC?ayiEUnsiKjqHR%e- z$KW%8x;;13;t9{RYcGF2v!ki8EUs5bj2f(Nfb|L)u&2kBHgjI-?7ahln! zX$e#yH_CSiJOEmeVA%#g!SqLNJHm9LL*Xvatz2!9YwXL91oaci@)1@29?)9VfqL~p zdxD7ezHmcHwg!d9aQcE=zi_)bW)K}-q5%O5HfUs)5a|4ops-{Zygp%``oIB4apwpe z;;aoIT?$5nJGfx&?~2e}K#sPvMU?$nVwx0D5Cks=f?UIg2mU1!kEd=wyuKckR@n=o z{`JWa{jd?rP#-&C1=?nAzsIKO)Y%3CG*XF)jMk z(n)ld$3Q3tktFXY9QhJTAsOl>qErg|Lm6@LaAm;d2>ut5cRKBHGvLpT&Cwb9DUVrT(F2tj+$^tb${;5XT zIJ}=F|LnY`U~VM8 zADK?*l~)CG!}4;Z(JHFsb`6F^n4Z*QRU(lj$6gtx(0fY~ z>UN+83t3ut_ktMlU!MJ9)GwF6qLBF6RsU1iodhe~3w{1MW99_IG+D0zRW&KzgD-y( z!3^|TUaCjVR2gclWea=(?90^Om(Xi6-Y4q6T87Uv5p#l{%_|@4LLlAFdbx?^Kp3OoIz8?h?Q(k!{ z4U^C#F{-%Q5?g#3<;*V9&ejL{1ANZ{1OG3r(1ufkB;vm|414{@`t);(!I!C-yMM`~ z#L*giNg8UeiJmK^>%o%^gYF(&J(j&DqFSSco|~ozBBdZ=03x3?iT2`e_~ho9Q%Z1F zH^7uEos9gh@k!U9TsxKmw`U3WqHp*ZHNM}V^NsAg5&PX4WP4cjqGmQ?i}32B)wm1= z+VO|sFP(hx`{4N)qy5V$sL`Z+`+INr*frDozhkI$_Pa)tb`PaJ?RgqW$rVbTL8fRg zkjej@FB{0TK>A7H^0=2mX|yrRji6(q)<&UyCNfPDtZ!?M{)6XV=D=?c4ME7SLRIy& zUMQ%&i?G~>age-G7FFtE7GXt`INrQy4vGpZyTY50JcW)yQvJPX;-Q@P z5N}?!!Td`V$9E-kkO?3O^)o&_l>4JPF^~_JQ$J2=$MEL4JjyA)_1c83ezwZc)5?7f zR#1@TH(7eX&2Gnp6UpUY&;)y~^9HK=m?LMtj~s1p6pBgf;P~3QQV}H*TV(E#($Ntu z&mY;|*in`3ouF6Q_!BK_DNV=BN3&a2H&PFz{v#x?GllL(Ne zR>n^%YM2yPcy=gJisGsG3=)!2MOYG{!d1x`nTt^#p< zYJQCzKiMOR9Kq0^C|Rb$Ay^Ah z^SJy2_&N?^M_~EuJ4n|c2iwmrz3ZzYk~oE6yH>EhxQtpr`FyeZbc+5~bgPQK8zK)0K%c>oc(AD$*Gqj2+;Lg`2Q#P zx_J12YbYzectA0DXgt4zFQglLR#yzAzoL#jhEtED1Xtnkzdk>F3wx^%@0njvM+fl` zf8c9)2LYH3pG2TnH^2R5Kl=w1p2Gwk&iX&l(jR(6Kw!T;Y?d$BtYIj;n{4%+SgxsW73+k0nJP3?9Q zUFau@@&Y9r>V>O(gfx?U50qosuK(DM@)I-7|K-^)5ZNgw&i|q!zhv=uC&2y(=$-tg zczCam1Z~v;M-!YO>Y4Xt>a(j~% z9MkWu+!PXm*;n8?pgv6fIYZ!#Q8jxi1tb}vUnpgih+1{k;=XuQIzT2?GJOBFY}E-~ z0?XDvK-sU!4wofOug3H5!0I2wZO6>)pK#eZNiz@y4wt(y;BeWRGb8n7JL&fzZ%p<2 zQ5&__UsB-a0IM(X2?#jg>)%`HL5YOZ!oMwlq^v*Z*3Tfx94L$*Zi#@Sv5VC+cWD$~ zKL;WQP*(dY3))Z(giOz%&V({M*YTPN$Br3@IDp8S8Ks2UY6IDTmx6sMKu3P+qdF^C zVPCBbjC11j4fIS9fGoM+3xKPg(+mZ+@dh>>9FUFv#z!uGw%UhjFHR$`cZg}BM`C?a zxHAsV=erFDRKht5x4>n==z@YWje3t&8g+>;1srvGU!X1}cqWBn1yqo!dY({9SPM5d z*5>=uq6!ad{uA4txwPiK@V#l$vq~lTeCZ@rq2re^+e4mZrnrl+6+vYf4CTFPtXvH} zQO&WO{*WgrR8^Eo*|D&SOF?}@!ER+4ra^1xSQrSyR_lYd=NhWw92Ao87>GNfIoLVs z3oWd=bK5yG5mfQTVn9{b-c7tN%d@#_uXfk0BdDS5GHwl|b5kK)O<4B>>)Dls5KjHU+{$doiEsu?pD@oTe^LaR_9bA0qzrT*Yr!(!?ptP&DiRI`M$$n; z$6}+Wh|dqUG@k*0Vxb~XLfpA_LL%b$GSP5m`PUs{Be_-lNV)0SqkT~DfLf2-LV{G) zF>mDX*|jWkD+sPc26tMgMh?=vecJZHJUXI^;pRQ;#&@~j!ZN#89xJcpTWo-*qmAXw z!!+F%4v92$2?o#hY~{HlUQS*x_;#U&{h?0u9xta}^g8b#r-P$J%i;$hwvo0jX=xqy zaTt_Te`ZMa{XonmsA@5zqa!_QHeA!BSJ%cP6ik*rz``MB;txqnq<^~J3k`#;^K;GW zL@N`5su-g~21z54>{Z#upDSn&C>aw<9wL`a(=)pHic*3{AMk{_sf=dbm+qNv7(sYv4ztCmej zU-|}60Iru*IaLt=`M+_xEm^8o8j_(&3+{bXH-|6D^7^wa>bIN|TgcpwO`ed< zGh(?Rz<*{M4p_xPKC$lrc>8`U#t-0i*@gotQ3MI7?eFymMwVN8Cd&vifXKEH3Tgt_ z(owwXYTB*AP1qg413-#I|7kd=;DRQA3J?e%UcZ|oJE+JzvUUodjTO!&5X~2K!Q1&Q zTT|B4wh14&5G`P_*5qPC)I0j&j}`$DxTqg*(Zr4>{X*U+{WMRUnpHX}-@tE{!5PhO z50xnVW*LzZX6+2DNs!ICoEx@G)y}ygV9&nxDaBM&lB>0WF?$?=m7z*u>m&dFpOk!G~PVU|YKoEpvo zh*V3{GRPON>#7a;MY?M$I2ouS=zx<62rp31y>$2D%xNAkia&PGT@{f5#~FeX|4i7ArdhP>C2e+ESU08wlPT7){;6Y`t^0`b$Z1Q7Qx zTOQcbNA|oa1TJj0f7v_XL8d zIQ%ET{~7!Z(*%rc)8CZutbs#N&O{*NywM*5DZ(wr^xw0tAmE?4IXqDLm{r>*uA1t+ z`a#d;HN~sq3)lk>?X6b?Xe1)Jv@W3MZ{cqclxFEaA*c=MKOpGbWf92~%{{9`jebd> zIqLUzfM!RK=^NnC6=VH>l?;r+t-Aj=GLU=u|79}Zq#2|sei=+2u{RK}&(9n)&@{lv zn1qi-=ORi4Ik>}PPEcMkE%tzj2pw+5YZAdmIHguY!ry)6yo1Fni5OxcZ+cLEGV9R~ z|AP-)jKzPL#%D$WgZoAE{zAY0^mr5lL%hh$!{4a;A3lvm6z2<*JORoekX`?R<^SYH ze|lgL;~wFsu!Zvv{O7+-`#*X2@8m2qr;aO)eEv1X?>qqEoWL;^QBqBF_P~x@_;+%4 zfBipa#?hsJ%#6Q!l>qs7-$nfgIRkRT$#jpQ(y9cUqdCoqiHzar_m99wSf0IeVPK@h zqsV)AuYUhYz+Y3RMf(5lrET$PyWGaCgcWOa*hFX4t)u5&rAifA2( zn1V=S?F}!ZQs%Y$_s~_* z4eY{U|Iw&{8l4&vk?T>9Vu<7m>h@zM7eK5B+^%@+wT-#R!rz!)}Afn;RFkI>?J`Dll zD!v797PIpG#re#ylpc=$6gX%r&qdO=yD8g7p-k|P#Nb-xmkNH73;@J*ha{lYGDQ%> zx7wk|F@e}uLJ?AUtHz~>NM4A8zis&6E=5CMdby?@bI;pz9!fVadu^aYuC zYV|1r;*%52o0qG#@^YB)x*0DuJ|wTARD;4nJt7X0KuDyT7TOHJ?x@b}^9)!x-yStM z3JMh=ty)e6vaT>s2Y4xuu%$u99#h$)<)s4l0VTcl>PssFc%ny+{+EGWS{1M)&7F`c z6DlKR?SFBdRkk9kZWCV&u)^3>d56fu8?l5VmR@-^H0)aF`Y?Z@J)et~u(XD~$GP zLwSQwVkC2l86uR7RmlJ+VK0dhgXT1PJ#~y}XS0uyt${F-e?cb1z84Hl(pSk@9o0F; zOP;hca9kIUs6vL{q`HG`3p9W0O;=y_m6=(5BI1$Zpl>qT`n@{##8us?kj8htfNU+p z6fVOVDM=18#B8-W>H+gw0TwDpyTwYb5&OAT@MWo_A;Nq1Y9qyiPnz>h?&PMD2HyVZ zW0)D~BD-{D1eDOBQc{nTFeU{2MFg&z1k7Y{8%2LTE*F;Q2tIz{H87g9`B2`#utlEU zwgU9{xv~GYy(2uUJ89+yv)MyHK>MJSj!xqKCPYa&7Or6YXRJ!B&K#k9t!Lo8>EB&Z z#;URpLD1&$DaB~%oMKZ+&@nPnNnLqwzG0B$5`rPhF%$^xdhThA2OblOgbG!?zcN~c z6(54V(c<7K0tS9-u2+>P3{V>!BW{w%fVaHAl~gNJFo?o}#u8s5M+)&1Z3crS`L;Di zf2r>yFk!38SgY$Mf#SMQr}$uSPORap=NQjf#TR`EN(v{cKZG4)RLvh)QMNu{#;yeJ zlFAvZW$ZX2NWvnRHa2~jx5Lu8GsqxdWS(4_GKTfWk3uPLDySC;@wlKq-S|JVqgDyF z4wn1aeBj5g-!xh1CTeKk=2l!UOHRzKJDg{CArfzRz#4h?P4@w(A-PD*BGnK+%Hx=I zK!(@{9xLspn^UVoOsI0k)ox4f9`G%eNJB8*Tdl)qo=jQ*;E$U`g2|Yq2Xo1bm82{<0YIMftnE92wnpq zd~k_>aw8xd+zAZ0k=huX8>yEexY0(eIf5GnIyt~S5OZJBtHTgw=pyJ&N1j5C@bo7c@z1*5D`#|hc|w&anb-0Zy);3%myoR$3< zn!`gyt=SL|UKr!c1CF|4-M^uG{edHLBlGpl{(-p>paWp=->^4VFWrAR-%FX{&UZ>_ zQzaar*(NQI?*I@5sITc75VELVt9dL zK^wc&$5H?q5NAQ)018fte-CUgT<>r%$!~VcMHI#hPl^7;afs#8(_g0odM-RDIBGx5 ziq)DSB)<;2An=~1{50tnKI^tKKp%g12q9WOearUSi4O$B`Q5M!Ad>$99zUU^v@s+ajxUSca1}N7UtTQ z!)FwDgo>ubOBa;#@6MbH`)+|Z#ZNd3jI`FW0xvHEa5{I{GZaY4-IfM7wx{b|dDaP& zP^Vm*1;+Mxtb!1@=@uZ@e^`A1T%^^o{2i!`JVtnYe&e4AHj1#wpYZ&Jf65ik0oDah z1;PD=HEqUT+}_{<@MgFz_~H@%F!TPYWZnrN#{%BJWs*ycL)7;`#9jKg<1&9ErwIG^ z5iA@3B@Zf~%rhr|KO;c-FSY=GfQ#{WVUgb@sX3eSanHT#wctYV>!c9eO_EdKSo7nj zk^bK;@@8!RX_4M)h#Lh>&z3P+SBn{e>qwLZ?r-; zEA|c&7}VCb58-qt5$i6!mJL{|C>m8+%MuAGHnXCDI!Ra&r1p{EUs`$KNew3U->E5@ z%wK03{L~h*{7=8gPfC=_Q--3kQZVpPL3R76Q^bCwpjCa;5*$@ofj(5gIt7tjc;r|U zJ#wh3u(gCjMaLX*5XB)5kemnFqfb_7G)C3IZLCj)StS(Ix5?au?nVcf70Qf=g7YeY zk5;k5f!=t=-cq`KYvx(Zk)%{5KNJ0^nxYg@7&@L}A*A0+~ zuh1}o{Te<_D8i`{Y^J)!8g~t|3LJ`gdlzjNxD(vy6u3G`XG^bPviFl%Qm{J!Hinj` zpwFS^+%c|GXK(GWbz!Ft*toE02Yox=n{S+EK0J7Izie{NhT6y5k=a5+yS&0d_AvLy z;0Cr2nMR^UB4(WsG5As+#o-5GQ|}qPXL8r-A9(J#QQlFN7-wx+q<9*Ql5oF;H7r08 z|78nth~Gt6!-w~tdNla{EmnBwW8LHRa)h`3d2m1GZC4ytDX%W#%$uBuqEZiDHqm2n z8b&`KMHHp)D!eG-Z~jM#1@z!Z{RfXt{6dInF6y}dFEtoUc9xkXA@X6_pgfi=y!oEJ z@$r9@q-j}Pd0_b{He}GL(%GQ@tXlrIJ9uxJ(B97Meim(A%GuiNzP#I3?^IOp35(>p zH+WeXi^+6+iQZ88vF&SNO6nOL-<{)S-p)Ffk`0U}?yU`P4WWal;qu@8NpQRix^XV= zJ@aUx{L;00vzw_vFHyG~_N3>PjrG!rr1$oq=xb-tzs*uhFSxUv?5r71Hb{}6Z#Ji0 z8a%>$r@NOVrUmP-tHqCf$MBzUY3%!2WKs!DeCl99j?VByqGuMm8ue-yJzqEV@H%&a zN>;^3O}B;X6$bIH_=)zpQJX%hiC%O})lR8j&}<{0aMxRZuDT;5XO){T*Pyj`hrIgk z^O&G2H+Phzt~$)Y<-}@PT$!6)T`&7Lq3|W6N@s&Kq%orcls6Oujy=i zyQ&id>=CbqQ0A+A3W=T-?y8Emg12H#l`1z-e~Du)&DWfLCO{+O^3i5|f;uaH^|`cC zLrG7di5|g+_=)H7cIy^exWx`Z&AojkOukjPRsPpIQ{S6BL9xfMV?Vad?Co2#RCwbQ z9)8y+bm=u#mnSN_scKc|QYuzgJ%~R07`haW)s+UK0@a~Qg;-s)sO%=P;8lfHxIK?Z zH4Ai8O27+p!|2x0BKN=-23}0V<{1V9_;Eu`dAIe#?Vl7dU)5o|;@)v6g5&RLJp4`a zPC9V?%oR9w&JEk>`|{#`k-Tfx$QkBtm8;5q@McW)nuz(444HVYLJGDBQ}^5;avmQ# zHC7u=eAZ1hvF76r(}$?hBdP@CJe;PKn*6aZz&}6m?`vizR?vakxE0Zu11HIbIh$EH zXFiTup#e+1EUB_0IUeuxw@oIE?vbbq{eIE|73QN-ZLgJ9654b!YVAdAvMf#a=yzqw zhf^(ey%M$+6s6sYW#$ICgd~w|ax61VCXb%e7zy9qmCbmlG+o7>J;23L8gcXi$E=qN zH1H}rJG1r)t@TPmN(NHpV0k~gg()DVrOY8Ds31{O>V|YCdSUvnFurAd(R#+!ItlXNuPsCBDLyYJVK(Ul-p{z5TBcJ4|`_q_r_a0UD8&{WDKS`mY~4V5?p}YkL)2@ z(+B|uO7I;?sm9*$hDNN3k+w=Wq=)J&O_FFAwe{FRw7k3h_Lo_R!}k?6q~8^t1N(h}K)!TMY2v?oevoywK=y>h0Qt2Vo~DU=1R%vy}D`MNc{+M8=w z=ZV+iG{r@qwe=}vo-EI;ABK!;VTsyatEMk5R$BccdxK#`+8R>t6Ev5UKDu>Q>(A9|CuR`1uty8iVKe&n^U0X(7m7 z{HTGDi(wwa^;@ymuee&tpYi73;_Rh=roaC!j$ig?y63O>n@Gc+y<+xcdMpzWJwo{z z)iR6kK$Lm3E-7rOG!fc!_T(>&uk6dTxUHH9O!zg&)gGMWoJISUq;g3MHS3Nmh!@8B z0muB>m%DrYYP?=G?7+%lxFK5<$JvLsmPxrgN(!+EtSNB|)n~!VX@!kiDz9aR*rK?Y zNC-Hz$|XEyF@H-n3cmVQ#J4wku+Td;lP&LqrU_1jCQ9p;^%EVqtz33|uT%bDHYBfA zv^6-#sm`90NDMBY_Vc`9hNhNj6CLV_U zXGSqEx2-|Bs_u|ym(#%m-hlMxF6M)l8Yh0v4KLBI;JE6}DXXdC%+A_% zjXktvHU@N6)X+^6qlLK3pQpMcWcgP!l?fj9Lf(8M+_6Y^ezHM3EWbgU!2+2zYNFt> zd(egj#k3LS@}BIKZM!#e-!+(K0DJ5iBcW@B(KSL>r#q`&3Khzti7a_i+4lHRCP_XN zrE*@cK1S*5tx~JVuTozJpFvpr+e8#&6SPD^j1U>V8EIj!_toArv>O!M?R>#DNSNtQ zS+Xd0Xx3AYMytJhqpBOTsqg#thRI$%u#)*OXyT7t?-|yCMEZD)AGe9U>fJJH2Q4o; zr!qkpsa)_6&y9^>wp@ujDMlWnZsoniLkzA@U#Vyu#13RL^%|(`&bB^o4#8Oqq>&FH zz7{%9F7jxV`ip5&)0|0C)5?n^lvPbS0>`IG>{1VZaPiH~3u!H0=QEE`UMqPdS2S>g zr&&&G;m?GqaOIVU`e&DWp8KCFQE~CTynox3UbT%T^HTi7lpokycY}{yWbT_z9K;V~ zlk|$nM3_#_QqcN{c@caZ$ZoNZe#}v@O1+RSOUFIH8)B->1qF#oJ}u4P6+XFIazAeJ zq}KgGkhE*F>q~joMzT*ZLWyxT86rmxUW*l%=QuTt1-{q6^-=VAHEK_2eZs9_??8CR zPB`_eFPF5Vc&eL6hOZO*oY8?HCo1Nwyu|m-=bPZ${C-h=^4fy>N84*%QJq7#kCpou zS7f%+VOe!f#Jf{ur#8DulE=r9d&g0GICSecJL|~=MyXlI5`%$MU9y#6;0mCBAowwF zK{75hM4(yV4&JP<)L*;wA?@4~{`!5r#1g$|@Gju-9_jHO@CKx~67Y^P@NU@=+I4l> z^*Fk9@SG#A>+hUrQXHy`&q^Q#A!RmP zo00E~zoL0m=#J}|LWpL&b%-a0&3z)t9W^W8;EU;jA8L3IWkuAO;uA%DHdqPXx5|S5 z<}@thv^&clU#p`-x(vURr=06EdjvaPeVT4*#>$ zZ{_~$D^3CaWLWhM<WV|j)U%$pjm>YA##JR@X0dslk$Z%a z$GPw!0)DsxymtC_~AL;4T znNo4WsSAF>E1O6=H)Bho&LJU}71{>(y@8q;wl~-&_UHcJlKr<|Xl%@{W^XzXyYZGx zt`cb`;FY5{I}CHmFwT6V0jLC z*T*KexB~fkm z<1+VMfl4y|m!v6Ctahp%p<~`yo5e_P)E92*yL?H>=Gny86U@N8dZZaHP zi}j_GVwP%MSY)<2OCR_vViT5)@+#}SS%xN~(MD_t+&nnAoUVU(hbT1{tI~yaVslYy zS$;U)?bZrSZslE^g2l%k!!2L2$WkvVxqbTm_5&`bjGyIpzFDhS7|AG4oqeX4Zed?c zD@|kGbWFXvm!LEAHp%H8i9AK)X+2W=ZBjOJCoK(9w59?%y_^TJBpd+lts2<=cv6nyvm+vC*#4-t`|{qy2}s zW&`ffkT0_SEcP;tJT4EyOz8iL+hMi4bq-=4h#Llt=^V7Vn&=ZE_ z-j$P?v0bO_Y!y>C9YxH9#)AWE+EJtlexk1`==&NIJOm^4>cswU@|G;waT3Mpp-6_b zHyAx0vZ|fUInHbNL!csw+B*W2TEfdJ?I{%t<=aGc%A9B(x%HYO@+$Nihe0U?@4SU} zVXYl0^q&gBT|#y=j}@Aj7$LyN zn8yq=ZIj8$b7VEj+^`|YmNux0rWW#6+h-F{2-G&GefySsM_BSU_Z6b0lfjM@iKc$T zm|0AbZGSzsKGz>@53|^sdn=DPL^ldyN=F(qvNckv-gYj@GE_CQ zH*};}5vrXn*ez`vLh4Hi zjqJv?HZ$5Mm2A@oPEw*vTC@tCmXp&vd7qhf@4g~@o!jBM_~mXzZ$BA4^Yu46clV-^ zK~v#%SNiGG`Bvv~A25%xk3Nk}&+@z5YB-5^~LDcvF^4H5#9lF~@SLzf^3igb5_pn`NNseqvWy3zO5 zcc1fpd++i8XOA=fF$7lK^Imh!YhH7%$&jbxv;p3(3wp6ouMhNs^gu5i>WzS&)U3ub zyJ3$HZbuy0Z14eO(XBA7*tG9L5}QxbLpFKJ&M-nD#XWKav4G-QkmBk^4nT2% zgF&2^q6bHUlE1b3KK{4jB>oixw?gj?0c{$NT`ZgSf>Ci&9aF{Gn@4}#vTVAfm3Yz@ zpy`xz0~uQP%c5z##*jT9STzxp;Hj~+O@$0~BUld-)ns;U*Q!N*sCadhM>A3@m;YhR zmx}m30I^ps&kagi%K(0dpq>rr(b==?Cy@(fU3p;9B%t(B7(%KbK-o~169r^8@{)dL zOBI2CW6*=(%6}R(`G>0|kma(pljrcmRC= zfb09z69o<#^!vcFVMi{-A%+ z!TQjEjx&oSaKs~tvMfcu$l5ssx&@%y&}u)9Ns}o+zSf|J_5$=`>#lhzMr8~K+~%35 zEO4TR%tZylIdX@4I8NH;!zo$&@@ z8_EKKgTyOqvorvxe^_=YG?jv9o+m)xFYi0w|5biT{NMD;$bBxWFaLYb{G1cZu*f&D zMqD_}eto-;IELdxrvGZ~p~!{eOQ$#guTuQ~1tA$5IGS5!{Sjl@w|rjG9k7Ec*{wy# zKA+1aFaUzW1xZD82nL$h-4QdIxF96Wg4AJY0I(2Uw#MUfoQxPn9pJhV3zI{ZzQ=-A z6=}IbyvDr`BB@q8;Ke&SU5(D?!5yY)<5 zk5_BNI$6VB%D0+~w<(SKS=}8phhDQGkq>${58aN8F;+wZNk~`fofQRK_XG4xEEWZ1 z2pnl#kW~h=fpi2fGO=0YNyD+`!ChAW)k}QF&8L@Y?Gn;7NO*n@tW}DNAMLMUs)4wE zNeee!QOxkNE$%pFHbj<%s6}-drlL$a%T;w561UNzOc9OmVeJD(gP^FI2FjpKV!L#V zLX)L3QR|}y;lFZ7J>R;`C8dijvcMM1sUAHjuqp;QWi;r0_cEZdw)L}JQnD!IAHp5H zMqZLy9K{=#JbPP6n9$l*<hyiahM8_A6hl{v}nW`E(K_^m+lLFFT987OJg zXRcf=)c!6_Uoanf=X(OBR`b=-(4iaYl9{$^8t6SkmiDBW;fX|gngJpo!!JelB)t1G zXEZGaaWxIf3ss@K5Dqd4CBShMB~wntA*JpdGCd$b^V^kw)W9(;f7p|bEB%0GN<{is zFq(V-5<&+ke^iljBLn&4|K9Mb%k|pdZEFmg8Q=#7tp4=lwfj2HwoXqpYYahe9_sCZ zo{JIaZ9%=Srzaq_sDHxuzI#)3;Zqsf7RVfPNxwh)WT9A!D*jhwnqB%MGSz3WcV_;E zJ1rpQfUOkz`>+K7|KwlH4f3Nay05z;@TLI%@wV19aLjiMBE+E{Gt{#JJtwFq4)yLS z3N^pzKY5qW0Kz=x8k8?h=Lq8dAIYPH%71225B%@{>CO-T6L;>fCnEd&&m`keGNani z{2%d`LxvWL#t9%Aqk@cB<~G#JhGO#z5PfG?{uzCtWU*VrD(;UwM6dtr@%Q0f z-k(9~$Nw)`t2njt`zMzCwfgiArwO20yuYh&^xWl{v(b6@_F26|zS}9`TW3<5<|O2z zw-vzE`w}U)SMe`t^lT3_z6j_EI6z0luIQf-20q`{F`hKg*0&$U;u{1Z|FUh9-_hlg zWHFsbY3(=BiojjtbmMQT0#}f~htG;Hm)KjU49hbMl-XP3;kJQ`>?a8~T>hcQ_p2Ug zXEmHsX8lQ44+;d4DXiP5W^R`bYx|}bSG07c_Q37aC)bKahbGu2K~Ej@#A3FVlm=Dp z)5EtXy7bQD>%ru}1ejEWCWE$?2IAuBA2{^L_qT7gJ$XK!!xlfL0~15X!$@h;xKKIs zw(}Te?wvP>>9V+l^rJ5P_6x$24_5LC3Lt8pb#hUctYKDj2mj4W zex$&?rl9H7e4|_7V}xA7y3*me&zQtrm-7Xr%~fEbgYBsdOoMdfE*b)M)I*d48%=fT zFN7PT$Jf7+f0Dm!^MXhBYuG=vOi{<>UCp0u@p`=2Cx1hUj#Pwk3KiTf^wI1L|I)BK zQUjFLQK}Nf@I4`wMS#k!g`npL^~BH5I`Q=+Eml;Ka{z(1tBLvB(%R0E*}77Ni=gC?C3-T zQuFHKZ#5q||4TK$|D`4!xwFQlk{S;r9}nn(IPVSaJW8tp`C0(m&ct|y6iF^` zr7|3FA(m5tnMTBp_Zi!d2v~s-``THfHw#@DvQ#Fp)WW!}KmFN@eI1HNfcK@;0Pmv% z-lqsTJ$b@Voqjha5#cwqa(zJH9Lss%fB$S zsj~XlUM|WK<3q09X9@&r)|x^+yh(G*;w%>d3}8d4Yd;;dH7hj`>xf}Kni$tQdD(w1-RfU zUX(yFC4bEHg^B)#uE@+UEo;mFZ_*O0=f9xkZ`1jhCp>1E8~W{r_i#s3;`>YwoK}0} zuhy>QuY7b%@8Y}HBY(Mw9@zc(xOVqFbS5&Ji0(+|6mF(T)FFmzIsl!Rt?S&+`N933 z60)kK3b2jDT8g@@!VYj&fBIbroZbMOL8_(a;k%GoFKq&|N|c|4(@uaMDb$lb($(8s zGf-(u2k!{}Syglb=(29(o4Nh0pS#FGV%X7n=&>ebJViCCKu~YQh5Qm5u48gxH$}r| z@!s##e{;+H`rm#TT2tRuU?=nkY(wXq$M$yxRT%a|(yu*&Ico@jv=?ih3i6YDBkuv` zP%;;dKUkHT@cxyx#o;*Mn)J(%My}Chh=PfVbof3K5(LhiLIB6(7qkDGQ{n$(zNk0x zfo(2no~iSeNkzr5d^hBiE-!?c_)br8V|ke-L-+RXe|!y0NE48}62{EEfLh_)Hq;f=G%pn`JmMR9mo%r(VL zW(uCYyP^TIc}ggoe+p&u2$1;MK-s)7l+CMyOqUDX0_}_R+Y#gypeRFE3QEgWwIPap zuC<@&TmgHfD&psxi|tN^Ui05QbshD*5ITs;ND4DaA#ZLc_AgUv}S}zZH@~@p^Mqu z{keu~NY$WBQy&t=xlI#*5PA;Dy3W=BryBk#s> zHz)t2h>GRb=N?7$XN9rhU)0_ZYh$kt z+|t=T9hlxi|6Nr)QT^9y+7Cj%0yv5K-pq12?vG;lYr}tYg^PPRF>^v0_Qw_O zBe*sG{#A!8i%Z|XGbE?ae=y`(;qU4NR2}}K==QbNs>u?m_DuH2Ou`8gTniMFA*|y4 zfmNF!9|)=4phj9GDULwDOCd;;Tmf0N75LxjuG@eCpNxl9(^EzKHmGiF2Fl&0W$%CA z;K<}Grk(zmJKXrRmj)n`rrA5~D-|E_uW`@j0TcnUe;uV3sy zzuzEne9^|xJLy;tgX(LuI{!n}@Sh%8{QRFD*$%dLhVj>LsUkI26Apfp?|1(%O;;A8 zb7y~`VrYqb6H0s?SSi|lAH$SPbCMd7^FX`dTksd`tLP%eG$q~H zDZx~*S?WX}Cv=mn(g*hJS*6>1zU%9f!_$Rhyrw5`{##}%aMVFvzve@1J<-HLWj%pJ z3G*aXg!RyVv7N(6Uom#t3-128FxFndj)U+!|*icZF2ZyN~E~8t+qqT*C{(2B;d)MT<~XE z)=y5=L{K}~w$(zsam=OX{%U{RC+x0$Y+V@CPHV4rVr4$Y-Xjg)6F7u0c7Z;HU!+c=f*jEzW7MV%$Y@x9316% zV@K%C`B0liN2rwye7ISze%VIqW(E)0+^kt<&nhhw3GNJ!KqyJ>X- z_g-bo>RUxEPw&f?r>JT44YyYfKnHs(C=`ZlB`BR)3GBuXwQmN(7*+)u4!tlT18>q7 zvDF`Xl>~xz1bq=>{o!*FNekP)~3vhDc(w&xc)cfl9Q z9(vY>)^67J)(lkU9*%od_5EMH+7^}Xb@mMId(|*!>&JW*OYIz6x+-v4!ZBGcb*-=S znw=ZwC60UsvgemOIA?G*>5YIV#c&&(GP=&@a<0!iZ0rM8s8bA1ATI0@iQ z9Qcw$w!#J>SrM>8z$S^EqNogN30Ay)_9@C-E~icQzHG>s1nHL0l_>1Yzy$C$!uHS@v1xm7zA>qlWhM!#IQ?8+ZLUu?`0akMrs0%Sz;G@KUkJR zj0EuEw44Q9gIFngu7K4rwKjZb0B`{Sgkt&G$9>s)eZaAmfn)QjK2syRsSfOJ1Wf(_bj zQ2P}+3=6bNpmr{L2?l7#^dl;ZlUa2hONb>aX02^FZ`|M9>f6Bbehr+$KUvXOGe$?n zcw}v5Y|ZP9!D-s*8|PE$OwNZQU*_p6Tp9xl9OC$Y=-sb=u6^Zi+&75)f&2CHp)R{& zwb8m127v7%>Y!u5Rt8(h0@slwFX+n%qAz1WUpTr=9o~!(x@F4%y2<*%5m-QzmS8dp z&|0PdL;w;H0gQFY`ZXKZ6*8jy;o}mWt+khhYavfY;KRxOy@x;euL2*C8eyp6L6pu& zf{{oQ&Yw*7j`}}ivI!}Cm%;zQ+Hton|2vAZIYNs5gUnuiq&y-$U;_&N*OXH4Bg~rwlWQksFOqVK-AX73Jkb<-_QdG;}zh#;zK1}5RjsDK|tb7n&%Xeg1Ai+ z;lq2GnV;7=Um0RsMwsBMfT!zE$yH~r>cFnrpXLL-o>pQ^t4H{Ac%T+G71iP*d zm|qDquA(ZCZZzQVr8ciW3goH4y)M3wy-D z`QpGY&KDaFqKG&YMT|$p0dMWFgg?64PeCS((h4~12oH|?i@zGyV46M>wGd}v0?ztY z251R0KrXcq*E50dtF~nViq>U7QkpC3Q}0w0)rk)^yb`=bVKLRK-yVR;b4_Z?Opm_2 zvJ({pGE0f!1i*I5fbE*lbYxRon1YvtAFGmcei&#hdPe0eTgdW5L4!Hsc9D)ouge(VeNLr5bXN*oVKTji z$&HT3SEE^12sQV2I|0K-gM@yZ>F7zV6wo*0W; q(=F-5M5B)ob6etl0AHa=%#U2 zMHVf_8g+To#-C>#xe*_NS=W9oPIa^Wkd&}4TnYjUDcXR&*zof5*!0AD*;WEwPPFtT zmyH8#RSsWeWmN%RiKTeOENeeNowkqqHpXvIhK7OuW2hejsFXanF)pWA6^7RPMcz<( zl?9#J(!LliKy_1NwHOt&Swgb)(ZAi|u*}qeVweIt3>nx?5Nh+FmmpDFDrHUBZx)Ca z!-LTOR?1xbp9=nU20-tz-u9FHy~RQ1NpdsvtZR?RkMsSWA0A7szJ`v+yFzEi>hKA- z@7NzEZR3AX1iT-Jq$#j=hBodx<<=Lg_QXdcOLTY_()=)CoaClx!}&0;7-Vm8U~gqL z;GFn0h zY%}RN6AqDGC<}mU=ms~V9bqUYNZ?wSj!eB7A*9M$8x~??)b=nP{nMaxKmIjxZDzE; ztkkgIXa)8R`;~a$9p?iB+CoM%LoW%g@?X+eWkG=~{Wx24L4CFO3S{Y^Y}kT&*P;CT z0*4Fi4t-W?++#LB1_Rs=;*+_K>u0y)c!p_BoGFMk1*#V{kRKM z$zdQ}mKH6(I&Q8s!-z`+ZdTwR+7FdffQh1pUa61~*aEg1Un9$em^BkHYZueYA6}~v zxKWGJo3b%L8pYn>hGM@7?mZQ-BOE8;%H(AE_RJI>8Pxn1n z@mKclP3;M99kWdBjh8PGP3@6ydFHvDQEnY8Y#pym?Uj}<-O}%Ntcjktr!sb=^6wCA zdMns8DcIC5*wiohJ=4vn((R0Q>)3DWSYpdF-c2~f2(z>yV`WaaTS%|_p>Fp#z3x;u zpT};(qk@?ZR5XrMS$0&z&!eG#FQb!nyGiuAll8lYUqoj)QZ>&A`XSuT^tX;}w~oPg z->JQ*slD#8&PfJY#z}@I^8rf+U&7FK`ivLjxw3BXEo)l2 zk9zO-VZsO6M#0u{eWknD{9Z)CmI*8UzQwm)O|HmMBpFZKSMyV^q&ty$gSt#|ME!k1@bpC{atQLspRU*Ys|!B$(yQDUk?@$h`sh@)>vcazK1;c zA89v&Lv5{xwG!UFJ?J`22(-rzA8$~QcEfu@<2 z)l*aekFO^}GC^hTH7AFY?{IfNf!%F?U}zmtViAMW&0oT=;92})k=+2hk<>394i^eM zm?hFpawm?XT#VXMhmZByDogoUmQD_I&ED)Gwd%i_r1r@AN>s|2&0Tqo4?Q+DSk)GV zH)qdsBW1ydx7F!wMeveWH`TW+9^Rvw(f#yhZ~y9?#z@J{Ss{x~5mpK9cQyE+fdw_L z_OXx*dL+BCQO)NQ#6&5>_EO%01_Crl@6TbY(N>UjP=0u(wZ#2WkB|Bx)Tr0v3)kJ& zs~;Tkba$w+6}~@RCoSpRUKg9Dz1*uZ9wEGOPd|=HOqq56dJ#BGY`*fI_*`(b&Tv5y z{pVLdiX6apmt`Yb;z_{yrQc!QU6#@hU?KrW>=moc^@4K3b68klw{gvR(5qa3<&d3s zy7wrIIf_z=u42bP_S09Mv{?(m4~XtX5)z?z2sf_!>Y`pfZJ3uNvo~I?yI9_6B`a8a;4Z?@T^YEH=0J>*cDp_n?|0Iu+Ywc8@3IX;vH1p z+QXCjH$L>gQ!H}NOA~PE6CN@U&t4XQovzmp<-DMGx$X=82%T8hm3YFh^m$)9@IHo+IZ`m?AUnA^~PtJ=9gsN21r0yo{f$Cf;%58r}m86lnvf zD_iu>br+A}EfX9TY3pL$d*#nCs3I7FRTDRft%TCWLTbTUXcGG-Jy3$*1rzn{AQ4v)Br1f?fs<-1U-ZJ%9tHxPo!TLp&lYG6|9^OHgHppTo3<#g# zLm}Xe6U=9ReFvFQV~ucqNAuaex^yI!XDP!aB}0#|QrJ)`nZ)P+Z2Yaf`g-J>eOeGf*BOEM^@0bFzymk%ym4H2IfRr3%t4&*@9D| zF_=SEkj7ALGX+^ufvcdGKqsYs z$+U!>yA7-wce_}{aPw9ysp(>Ls9x8lwp9kBMgA@&%S*-w_*Y$0!&#MpRGKtW(|uWa z(ArA7kk9+_;>qx5$OrB67+u)Ti$hZe)Uw~PCFv|{RlYjt4AmG<@ z_R8$LPidK8P!$Xof@grl4e-pNQ}@HNm--K>xhX!@NpRjyr}`)%VNIJUqAQf^T%y>$ zh97z7{qup=G%0acT26|WLmWj^t#X00afWI_xrxZN3=gZm;8EaXv-VLQ)=2U(Byoia zCZ*y?Nz?M6alcll`Y=xUt|%HKBS4+1XN`V1Fa;#(30MwM4rJ(sjQ3eOi@E8H2F5V8k27|`b43`J&@fbpoIN@($ z&%G`Gxw^3fnfHn^02EkF^ba9GGc(`8U#|uVAEOg4L9c>P`ukOo{(ot7$>f*pz)3#i zAhX{`0E~WdU@@L?;)EW959!Ot<>o(;_EW;2JRgu4>gGY4Or>bJG;kWnBtg5kEy4V8 zyS6g9hQ=$mRa%N_u@%Xi^-Ccb9cJO8#HT5-&CXDH!Xj3GHBSkip^zaaz;8tk{cQDPPc@Qz+ zv_p?SUR%?;o@`M~R$PO2|0>JGdMT}WYLK{Dsti|PAVmq8GifAdgr${vw1Q{RY7uf5 z4_d>=uE?nR&+*RYU9za%k#vedDbIDEhj5#pZ3gZv&89uw3>@QD3hu>6wKN5B;xEv|Z4RM{ zn%7F{f@ZoR6Sqs?5zyE}X;DD&Act3OX>{>zkixA@Lv+R> zuJ+m`83QD)QM@RpogR)dLvh=ilJwZ9Z&A`s#l#e_E>{EdV~~CjAijPxNkG>nOsO@f z?9ts_TGkAzeS%0E^dS83Y3Zkl=*Dfea>nn8hpCsngTY~JQeu=csM|n zu^K=Xfh<53381XBWq>Nz57BOt{XbD3suw>|6_WHfs`MdL>HkF4 z#V|Pji`4)=K*)s+^?#xY3}2uM8V0DkkPUz!)&CP!BRqehDkKS@3JAME)x|VG)!)K? zni{ORKo!^#gsOiC1E~7vY6w*?AXL5hg)05Og#1L+&sS4}H5aG?4_~0_&sBe^1yJ=< z_AgY~kO5TLr2a$|bUb@uBpB>23^I(xBRuYxbW=r&$lGgc@(f&eMrU%Jc1CTYJ>4(6 zPf#ahIPGkQI^NUB%fa|Kn@6YraeEt$@T3WT6pv?zR zY%d5|ScUe`xxlj}k!3qs5(H-7IfT7imY{QvM+4)EL3j-SVRX&_VLU5oQvhKKrYV^5 z4A@^HP4Q4CSGkC)mF~;uRr%Tg<0BhB<8m1!{B2yS~OFAjKn5lHm01bes zq*X46Dx~KEQUiDb00kf)qG$Rm9}1%cCmfazPWV;G#W0A17ps9ufRKxPD2(zV9|FS{ zk>+AJk>o-)1dh0$lq0o#fwb^vkICq}0c`1+E^>^E=`tK>M?Zz7|AZ}lw_Hj}5VWHv zC~!g>{YzMx(SKOY$=wPTibCJygCXh+`%4JWL)V!1*Q=p5Z5_wZzAs$i&sBe`b?KK{ zjV5k`)7hZo6A0e+6ceJ}i{oK1x-LCrc#x@7x-r^~-^qiPO4dh-BNJgsJV`m>>!5TE zJsppUJ5vPxM!JZCmGJBAFE#@*_3rKyD7Oi5hB5inZn|?P3CxJ+42+Hx>#ov%lT6JU zcw%WaJ>aK*?KpBk!kiwNf&4X5|3Ft{+S@3Cs4DDM^zK26llZz#IFe=c+3>1TlCh`u z1HOLF=h4P5?>=ann|rD~=;3KHKzz8iub|~hFr6ur@p_Izs9?Nz|NhnDr2hSB^`ym3 zhnfg;&C&`JNyG;>|0h~DG@8u)FGXM)t$hk8$aWMcb~j|cURxMo?^m3hBX^#1$31JE zQI=M<-Y&pbxZ3cVca^Tng!?>2LMi2~-jv?F-dnvY+&d1& zhT!j%(*fqM(}yCrlyYmvS*YYt7)S6c=nZ90ku8%(YkOgzmxsRiZ`+H2}>=0y*H$3!gQxo<@`BwtPJFE9un}e4#jxc zeB1ePr(w@o?VUAC25X1efRxbo?j^+RwxYDxI5!OW3f># z`R)Q$-qK5Gt@?8N&y#Eow0jFFs!TR{*NseC?D}dWY}tCck6g6O4a=&^Xo<`7$%&#J zu2v(zy?sn=#&q7v-4r3X9{V64gM%SFzyEX%QIeIGl}y;V^&s((-O{MEW|Jm44tqSY zyO>o@{Rt=2BSmII34cze*nYuoeEFKb#biPv_+pm=#*0rf2?xa)=7MXQ#cr<2Pl~n) zN-RY(QdQZq<^_U331@`~W!W0b>zo~I6;t9p(%LF&%)0XEeU03xF9(yCk?J;%@%S-1 z|HRqtzO)sc5oReS_Z&hkS2=v%4Ww7Sw-GmK>r2sRFwsdPsGM(kx25dBM;kiQ^`s~0 zJfs%8#gkeqQ4U2iEWGJ6Tx#2=GTk5TPGMFP+mKH?#grhee^E20ql(4z@$jVWSN(Cf z(51!q^fR$*^g>K}faZH@H^S2+N(DowIcBHW=;zPsv>Jx;Ut9`ZV#`u2)-ddmZ4^xZ zo_&Rrj-$L_b-Hiq@lg0gPO@f=)aIz%lv+&%Oo%ELEotzWd^sW-LraMkq*0>T@cz>#xK(7>O`L$hDjA;zTd`-0H2iiI4-DaX_&Xx!tOaQmG#Nz-WDx8c=KzKiHP(^L6^Pg z)RE5TcTCx|M%3^c)X19N8@&v5Ikq^t6>x{j_epdtXTNb}i%+PZ`3&sfyKpSYqiTb- z8}rJEKCB3ilR2+t$9w~nliNf4{mfd+?PfjuwiB)B8QwDw}K1 zD6V60Y}pa6SkOM^UsDJRdSv9(xw`PBp2)Ylak*|#LdY>}?z4^$tll^8^hhG{`%48e z>EiyA9g!iiXU;_F-P~k15@16?!Fql--@f24G?s2;_5X@eBX;QsV^C4428_@IilAJ|IQn8S}|{iIRv zJCR#5XUSnd?2RVEqv%jE;nmI$o67UbYy+8ZOSk)fL}}!Cq8{DU@U!8!U835Ep;nCu zxH;0kd4$_g4-X%6UCQz6n%QLPZ&vKMx_QkVt#97||L}@}q3reo_u`F&QWNCpnlnTK zOg8cc+)u7Z!7E8hQ~`h3xkvlt^*q*sKFOO$A=o3&R<_#dW9AlxbeH`RyZarUFQl6q zNX4>8#EQnmiVnZR&Z!U%uXomK=M?(1UQhs$^a?0Qkua>wQ|*j)6Vg}K%VJ7GROERL!Eq!cFcGiDPP=Q0=D=|>d4FS8J)^^ zt(y;P1I7Z2=Lqm#;TzOhTA-K`FJ+Zsxat$x$(wc((Gobn3k(;vw?vF}EK@mI@K>d>5}gZVCHq z7h#BIPtVf z%1x0YS0Frb(yGG9;O?8SR4PLP6}h)xi1u0#!L~`5ys5z&2sZZ(j7dd=Q|o;)-Pu+< zoo!l<-hkeXgi<3{1xfvzoxlHu$v0!K+D(JDS z(uqro?^rysxGcwgcf+#PjEa_1rK3^hPK>5HmpkP$_=OrZb&<1FdJO#vmzCYqld^wXnS0rEQ8h#Loxq z?p*<(eE&IIM z2SpSwniq`7Xp;}mLXSy_(Yb`BVm;z7eeOkS{Pw=RaK{j-(UExh{n9rd>`-(YA@Nv` z657w6Kopk6IbUF7m>x{{rNq9F)T6I3i8ne}`}778Prkx0f4Gl))Fc)A)iAK}Gybw~ z7VT#=WUoq+<#Utkjy9C~4C~#~vil*M?`1~Tu0}57OwNTb<3y2slLKStpS~XNKUBTW zx!HNM#-1>p<|EneE3af3Fy-rT-LdF{4%DQ|Tjf4Kd8hVf4(erSj;|St8c_C9lz7NK zuEYB<&fulaDO&J;eZB3D5%{lJZ*D&&IciJdI~t387tXiz{Fz5w;;sJX%GDj`#3p0J zt>Qz}L_b-?JbdFNd{%SgD*V(k(N7$4tMo7kc3!5?Tt0RmeoB?-CyjVnddLbpuTpT- z+z^7F8YMQVB4~6rNVHD56a6F*pS3rbw7f$V#B#-;v@IG}n<6Lh{V4|me`01ue@uu*`F#08dxS9>ru=!Nk8j7$pT_wU>==$V2&4TYN z1(dN&yIjdL#vhc9_mpk=^^v|QNTd?2Im$L7cxfJ`{xz1?O?N`U)bMDLXMWhj?@!L(awyHiz0L}scB6kz zlcsF9JMdh*u6>Q`S;1N^enWtG!aY~iyJ_5FBB>P&NmqE8!|Ei84y&CL13ViUTBi?! zQ{L-IYC0lTW$hjbeBONe4TWD~d=gz>ESK3)Urb|z zRN;M7P3iord)jf^QzD)B=It@=G+(9jK^H;)D7nrO*3yc3Ws0b3sw%7^$M?C_<3#ih z??7a zEG+y3N0RXeLd@7Px%HQdZ*8?ml!-{nMjXi)NX^h`ljBFDW>iI1aL{3lI_Fv-XYkT8 zbY9=^?z<|$a-&^?w7$riroaC^HR+Y2ZzEYZU=y1JV>W7R4A)(^Hn5AZki|RPP$s2m z`_*XuelSs{z>ziBcY~cPNibljxa($V9l0h+F`7IN5)``8uA6%p^NdB}@!omGah>Q9 zYH>84rsBq<3S&w87Woz;BT|n4`R5ggko=LsXP{fZHR}- zWCeRa-nGcVyJk#KeP)|x%6@~Pe_s2Knx{kthuv>m6?Ir{OArv5$+RErys#rEhFY&9GJ};x$FzF)d4w+H{TNlI8AU zmdr7&5qHR0!yAh>xht)`aM=r1K18sTyUsL$ee~VEn`|DwB4GOM~`! z#zRAUVkaQ(y5K=u5RDjhOl6OaM`(+9niY;s(DiRAcZ|X^g>-3j;*)P{Y#6Fm?^~S^ z+(=-BzcN7d!(YJ>BpRETTbWH&LpgV`#af204?ZYnD7cRy#KxQsicW%f~mNX(vO8x+Wc!$5tzknYrLoS zlp4q+PZG1O4;xh`f!V+KD0}vWNmG*Csqb^UmlSN1#v|E_#a6p^?*M-KPF(Tx%FDxX zjP+f1U!>yeo)I%NZm3k{4D+a89Ncz&Oy7QS62q?!^3CIF99>l0s4UH;y&||s=UoPYMt4ewbc;HS3>`OE z57&*nJ2Y5)BkQn@o$F8T zaXq4ltZV(i{Nf6KJN@la^g+q19Zo?3s1#P;g1Ww>%`LQGTsNZg_)3yr+eh5DZ>;4< z_>HS=Am6uF*HtVORww0AeHP>3{!TI8i~g;B-IGa2Oy38L_-4if`^`GGefy!X=5N6y zYVWyvxvbT43!bRm#$qnAPjo4slM0et#sz4!433Jx6MCUo-V%^_j7xBPHb$kLPN&9~w!O)fS-nes(|10^2EKd4Sm{2ec-3n-t??6E--ozHI@C|B zJ+HGsRB+7yp1J~wPyyD`1FMGGF|T@ zOU%j3{^U}EiyquA7@x`8g%Yqs{IL(Y(3~Ehq_7-Pe9Po24Vf`!W?A9g5hr^3S*kW! zgr|S&2=hc%#ZNU({PRGrp$bKjPF}xIj>e~z@Wj`~TNB?^);!)+_wIicJefB>dB2l$ z`9#6e%&8)&HV(k8i&6C=Sz^Oasr8b|5a%$b?by%Cjqc!x{X&;)4 z(ACw%)KyDj?I#j3vIjBV3Tydl+y~1?{!vLL5|l9cJqd|C;bnu_!wIQ%@L7efcM}~d z$K*;~nG!{15ke)dtcebVWA^Y@wF=!Ou8InVM_+V}-wZO)(R?7`JbzR2^$l9UdMUqf zyd_d9T)$!Co~`iI9Zoxw04x_S-M)@5DUCy@=$F#SYpAK&$#6GU9h{eOTweA_-87Hx<0|nRyvJn9N|&3`9JVxlbtknLD?_qIa^;?W#`Uqjd0#Fp zVWGUaD@(19or!YEpPRZ=x@+8iH!bNBQ<@mL=ku*Lurax$v9YPnC^lKlQNpKVb3lV= zEl|Wum&jNqq7!X}l^9l*SHtxqnrIs*|6N2ZnGq3i1a5sF&GVfGm~W;+R^=Eg{M0Vd zsCdj;ee+B2`MIc*dt2y>@KIOik1?`HJE*YD9`pBcrixEDz!HOtGtn}oel zKsdrTD45+WcP|;EW+|{KFa+H%^53)$I3@7J3D{7iy^j7QBCMpXMtC<}rDJlF2&v<( zskHdQCE3e&XczSsO3dnT_S`+uP3HL~=P)W5kIWTk?!rLvAvS7GEc*L;u;3lF@R!=r zPFOy^0;f#AN^De}-b|<#vdENnmM1g(@ zP2j0*)okol@)q${G9-$Y@_f)hWqXyG<1~4-^Kj&~-<%a(@@2Wm;vz;{c12xqy9BkT zQ`(%_Ej{+BybK9GW)p;H5pgFcHkobW-HvEd5D&t{% zcnQw~ITpecicmPMt6X>5hDi*4{gr9c$&FA4`aW`B>dUmA^{ePq_p=neWvjF0dob)T-Q4cC-%CMM`&_b$8iDy0V9Frb=hiPl;>2ROpR2cl=%& zi|b#`X>cR>D3==r6E4@f#%T;)#3QIA_0p!F5OquPG zXrCCGuws1M)B^5s?p%}9Jq*FNvu)!Fl3S*=!jMG@)S~k|mm?w>?yZ1@J6!Gf=svTR zj~3K_T4YOfS>fYd`y+{RsQ~HA->H`mJaDx{&csEej>2IcFV6};auigJNbHf?Am;}8 zhc%DC*L1`TqL+;gHrhm9+p9n`#5KIuto8NrdnLOLuJWMCQL4Q=c;7~=0RaZx>A`!3xf-6$YR zcXvoiNq2Wj_tG7Lbayuhl1qa~rywP@fOPk7{eIr}zrC{)cW3TBXP)Oga~791roPGD z*I0oBGS-!Q-vy%LaZpJ@BK$o8YX%9Qod3p zpVS3ZOOoHYhdtpKEzMe6bpGu#u;)t!aB6fR^ht?tuqgka6cOtZ6_J$c;n2k4;0*|{ zmIzHvmSe4g$W$8kiEPF{#DVh(b;u$sOXN5Eh|Z>r7#yTj8Qk| zg{z=>{ypdn3uqQY9v{2rQA4Qtit|c$u@SAwN$ZJLffYRe+QuhGGJzncc_vKMykhw3 zC={dewWaXVez|7}yBd<#5bg=_FUnWOydfqV(J3nR9raEQYS#R~t$*VT)r z)j)xqx@gV~&F{~^B7_Qed_j=NOjE1$ohZ2+i^{;VYNRQvQ3?f(Ruw=^?h6e#*anW4 zhSjSN`K*7Bc{r?6k}`_0nM9){MAe+V{ZMAqEiywd;eQkd+cWY9CR3keA$qSfj68UV zui5rIvd-_V<7mnG82fTZY0>O zoYok_{lQT6P)`~6*CFw1iIsvWIbN71?uF{&Cx_h!Q@YDV+W`e^t=cekX-N!PpS@lz$$dhv{&`aOS2fLckO z#(tTGPm{c;WW~x>!l|!c=1AIX#TW}Wy5_u18|y2a1E48Npeqpd@KC#AuF8VV{3eeAr_&kQq(TG2Ono+?i4T&6v%Z<6lh7g;_Sc0vhQ>!q?0a_46U*Ay49ZKYv zs?s6cOl6Iwd{v{?SwWHA%js8@Bghr$D3?T*>5a0pDxc_?C2RN&Q*rTYP8hVfla{XC z0Cu4DHJhNcBhHVGChC(~%{8W%F9&9#pZ1kC?j=*!s%G-aFGb{Gn67voe)cD$rtb#a zf$_ZItsR^sc}@*q^!Sf@7hJ9I20~oRC0(oz;)}TY6kIbfl*rzF=#t;m3`Yl?AtSUW z-9^ygCA^!X?)$#HxIKG)-6LS#;O=8veQ{jxcgvr%X>OBxR;zLHME#s=?_zF{H-4F- zkKWZBXO_ke{*22FkwSKlH4~Mb7y9tv;$!S~XyWCCiMrRn4&U@RJg4+KzO`ds++K@I zY9%+g(--~xm~51cgR`>qQd@WH$2qe`dzd^`$;^>@dy0Ik<~|R@YN}eRYr=)#jsB~& zO|4c-)pcz-;o9XADoLDs1JVa|98EAwmE^3kx!Ep?0clley4>K5IIetXT9zrhF00xh z^XL;xTzC48D4piA!TsKZ6K~?t;>6WDUr*rPW5Cqi6aC)Ji$KqdE)3TM{h}5v5`Au5 zY!n%`m661Wc5>&!7?rn28=X{$tTzN_gif9A6HT3HZ10r(h(e!B_44a`wToGf6REEp zj-C1N%98!yy0~ho%~&dB0oPEeBaw;R!(~Z$vY1D*gSmLA%>83bpF7$rcX60U6UxtX zN%fuy^<|CyFAT!kB@q>0k>D$zP*P?dUPEu+$r}hef2~8FczZvy4+`|k`@mLSU^VN2 zBNE?B7S5S#6RbfXY%ED+>`xZnx`60hSHO-F8#?c-m~O(2v?BJ^cU6(-v2jML$uE)R zHYC}o5*^~4%MuwsR#brA_()AhlO1UvMLKdWiFifHGQxOp4sDuep*rw-9p~8$ydtsw zi`6AlA~~r_r>wPa!yv3sVpV>rn37vG^PNFhujJyryWr(?K!lQ6%OrJ4wPy@|Z|?l- zXCb)RlLb8W*6_Vpf`BeIG-chBpSp^BE?D3AncV*lFLGM5!9_pOSXvQEcvP&xL$NQJ zk?@61w8<7przGIQ{Xr#|Vu;aDz-zj}9)>TZ9Xu{EiFLce$bOTp_ZA(yj=bKEp38z- zN*nrEf47zQ2}@r5y7g4r35HBjLd;RCxrG8|5q$dJg3{6iT@HI1utf!Zf6C&Mrc`J*7AW-K@Yx5H}cJ-Mw)2ZFEtt;-A|WfhL)GEdP#rs>S>2B}6=#&;<5hn*PI zm}7g4S6$$?Pe;^L?{(sFkrQrnB{CqgPeK?>kf5E&5x64vWbmC&Y+vYWAyhB?t%{)g zF?X-8>l+_kXv~LGJ|^uX=d7d4Vy`SFxYvqK-x>H<6Q5Ci7=LUSez1cpS16P(Et3UvXhV-6H!(@6VfBr=lYKnRS5FXoTcWmaKFW^2JZ;@N`@4m* zx2)AUAt}xBFss=Qhzxa4}I5*Dbsd5EB|rv z1|{pN`&t_J+$jOlCF`0n_W#~Oe)Y^PsSZY_mg!ok&r2%-<N)ORSdimV!Tv?%-3g7tu*Ds(Y!YP!!#E|;BhT@nlYR688Rn(Eq&SlU zm0IzOM#+oH{<_A!RZ2Vw{E&UY%*TVD>+1LPDIRloft6dLm6xmxPew3f$Ny_Fd90!i z(`kG;_m=$JsH&r~>fTik?)PHel3Z*VHZ?%$D^&j+EeBB01YMj;;1reb1=KsnRK@HI z2@xqin?`GWT)%EXxeG!$9VPv%MyCU`T@^D^eul43`AnnH| zFB%ratY4qF*6HpKxId>3mqts>iguLS)ShywTW=ePIT*h4B$Ih}l8oq`$1vjCO?`qG z+^O6OW18K#Z^^4>Y#4GXXSUSurBX!XN|aUh%~L30N43;_3gr`2_wnTo;`rtlBNZy( zG3s!ye35=gqq^xc5u5I`2^f#R)h^bsoONh8A^yZM^~DG7qbQq_olGc6@5V6vRw8mg z31wjXcocE<}{TY1;6sSw-L$GEBdUQ z0ZEy0P)v`Kcz5H-L9LgnjrU0D3Sie& zUuxnR7yKtwlJ`CzD`6>BrOxKwwLGv8;8{rL)0I9je;EoF%F_NG?*nJLZX9d!9+9kM zq2hGLRI3 zYdt&wZ}+`Mp@<@7!Rtdlcl?#W#rS7$NY2LlY&utP6VM z^D2m-ofrS)Q>ZFxNhE>6&n(4a8X+A#L*S>3*`U?;6*956y40LqCS8r>tn?GC94Inj zhped1PpCzMS2GME5k4Tesw(|Ji&6KV3?|y3x&i~Xj^@Z)bSxSsrMiuc*ad~ih(6;` z-hw|aq{OLgj2iv!iKw;8oca+(+qy?6BJ!db{p^e-dDhqebPVZL`Gf_lp0uJI9WVD@TkJSDmf`@|EB&zo3DSjfD?qF64 zw^_D~#jE@B&w2V%r_Zu5^EaNLHjlalWXZ}zaYhncM17I@f~6~i@jWr#_`0`YnDfPw zA&BMkIQ^(=>$;KAH?&-SGjc7@`a$)rc3(rvldF%7avci>Kl zdFUm?dd?|#>LP+o`?MPQYw%qyEn=@1zNzjPd^9tU0IJU?YZ|#Mz;f`l3^6Th0Tw>-^ z%6`&*thi98`FiyIr?ROyGyiage7hZl0dJTI!`n7^H_{=S=ov+Q(g=&Dh-kka=}=3& zF%@5%5AL+HJD&-){WZkp>udATP>XT_{oE0M+drK8WGA?Dl5pFy0k4>bQ22t~3qpH)b;ioT9g-MK~h!M_;~+WAKB) zSIlqPR*B0_o!z>qFhR*EQj4=xUaHyOB2N`*LPLya-|C}FNz%-p{Nl!Mb;9by zpz@_Ha`R5sz;uCg&SDGsN9b;8&nuWL;-)IN z^LqBK=@CCEhbgaQCRtrTuY|tJ?QKb|gupb>F}~i$+i81<{=plcZ$*^>6STy0m(C+> zl0iCjZ5D$};f4<2@_veb@(7QadXXSzLKU5Ks?dTsUh0f4j^)=?M9!kr_!vO8Bn%l- z_3lf+vfNA{b(aO5d>*7_GPfO~<)!7;knM3a7YBY)xYk#UwL zF&S_0N`~6Fm1&sYnK3bO!Zsgb`iLf9%#DjK`X8)t`V!I5xVKUNy@FEQo6BN&6PH=e zSYNmv+wjrIyQ=NQq|&#jN~zhAdKtuIdki^xwL_6Yv8#)w*a=Otxc?&l)t^uO@UqF) z@#+uLi-=8UqXTptG;!javo7iu@UnFG^l7iP1G)RYk~7-X^ZezFod2m)*H&y1KH$z&$qTUv668j z_N160PJ;b*vUhTSq+4IF(9ZQpTn(8jNcy|@YSf8Rk^ktB{Ajpn^}FIND|?Rh`#l_w z+EInHAD!DVLx#*meI0rqyI7EXMZ6MGTRe*mo5L0s{g+Wry1Bg#Fdk6Pk6A|^V9FiX z>nE;h=yO&zvjx+g2&((U$=7M_XQ|(RNa>dTCt%6axYtbS7B7J*Tr?Q`JLK0(W)>?h zTNwO>OOC;!V^qw9I1v$4%$CXbM$)!V*Sr`BW~UIc>H}^gFVqfp6HC7BV<<)?p@TRdwU*kE?FYyy=8U zp`h4=nCgRljyI$+W5hIP_!tk*Wm*Sg=S_?~6~PYW!H7A@qc}Rc@1fmD2o8wd6mo%n zJ8?Ok#(WF4z2~~DsM&p<^`u7f*54W2zEhus!C1N!H(Wud9)9Dj!?ZspS$opra1y+_ z1m9JyI}>9i?GUeqh6-@PF)Iz%_?jnR7OC}poO6e~Xx)t9f4D&PpK!5?;VD?Yr*MY# z)4{=)WIy4gp@RY2OT}S_TOLq;YIs#7eK9m2*PdjalRBNG_cI@pA_B893&N(l4*_;N zBKi(rNFsOj>QyTvZiEV}Wl%J4M2^b-msT~b4!iZ^$7hKhUX8GfND{6i6J-xobL!RJ z+TFtx(`JW(yC;gho15(Q8})!$hy7Mvtp1CB6SFkl!|N%vd=7iKKNb>RUN+-NC=A1k zixiH)b;A3s1qz+#GG7%_D~1T=)S`#)cY!85FEPoYw9h>pcTc>D`yF3*XN(ZMUzkQ7 zWKtGSf?s!HOPz7XKYL_d-oPr;~5XcEVN|t~rq2n-Mk} zT~1m~%GWNyLYROwJ!v3Co)sXK6|fl=V3yCJaXB&KTYlZ>G~;hS?^@{oe$1khe(a=1 z{pc{ePHiQ%b>duH0IH`HkfBt#SfKI4)7M(TnMY_|PeU?Y2<0z%)Jaq|L#l|;RUv35 zT}dLag0N%{S|O6pEAO{BE%%z@+LiI;a$U4j;3Obq$LPL6`)9*&euT2<@x!~H{UVwr z1}T;d{@L<3pZ3KWRuxJfsxHqMRwYZ2%P+-Jj*Dix-n(<}+8ddbx|}o*VN7mQiHqF} z;3WrWV+`nb1+XxCMANv*PafDFMUm+9d8E(JPag>&5evRxVk@d z*bqfX5NY;D;(I2rsT`>td?Z_~P_NbSkDr05u)tcOaw~GM%fsmb7J{(_m3s{xc`g*Y zWtgc~ck9`<&`9sAPQXynltUTG2!5+ z(0r_-yTj>#rys1^vets3s1Oec{6;LC^lExXH^og2F8!3Zay>*}S>;6}Yvwn)P*N1r zxmY>JOB`SQRAZ8mvF)W*`Z8Dtivl-oy=pRai9O@7#ECvJUf=Js{JY?!=rPQ^sO0?u zesuekR5U}?KCxZ5jP!cHOFZ%B10!o!aWKN$v9v0;40)}}O9^=cZ;|n|JubV1L0v_S z)Wuh!-Au~Vzd~!`)3&Hp;GDRoPh5uIg)R9gGKuX$qvWa;4qEfX;u~DZ?-(DHep`hH z@%?78KaM|$(Pzazy?4u7yg=DFo$a>5qhT$etDc|uI)0(xXtu1`gNc+qSd7u9Q*T)J z9g|)$q7F*`GoKE}65+YOsgH}jx%<3Gc3BoOQKs^&_TK!vV9A4vl@Q<8`}~~sRo1|! zh@4@P`y`;TgR^e3f;-pax35}AucTwim-fQiTHI+(BYy^dz|pMd3y*E# zQe7yo4-m+C`q3RWXB9othGXZ4!NpcTT7n7^H++zT(|Hy+fKf>>{h>xloz_0gym!dg zss1zmNGXOT{~{P4zqTJdV;CWpWU%KdQw$ln_^^VYjK22CVZvw;2+BRP3Cm$`=I=T4!+dzw{Zqyo43ip7OFS=~RFpuY%gG*)g$ zK0RKpBX1_7{O2~gxxnWN0gLs7QcAPDE?j-h@JlCTV+i#3Q}SZTQ3K3$VI;(CW1ek- zW!F@?kkQU9VS$a)kq|_0>=qdQwyNm2x?}!_8I08S9T=VpdG(C8J-&DVNP-H zpM=w?WNzNb^@Bp-z7|L$Zrl zi3&z$tN7IBY*;EKiHXXYb4IwSG{WZVH+^wY+``|3_wf`ept)vvj_v2Pec3Tq8a=BbJ=JQvm- zUROM|K^H`OJV&HLmn_&a#nZR!Usy2nq5XorpZy{}S*wG%^=;+`21L2UoFk4E8x+Q5MRl{BL^6wnnSOr^o`f^Z%fK~kg`s%T3qJMix=r%Rhc%xv2px-3nd6@$05b{dWn!-$%_rm@@mt~TE-0ZX{PDk zS+`&n=eov0tNPYV9?SRXeo{CbTkPr$+r52z&CRK7sN81o!^nsu_I42zbUB<;$*}fp zh_^!QA>v1pF9`G__2nD+kiCk?r-EZuCz^fzLt5NtKgD)72F^hsuzA@Hslh*Pwlpo( zA)2Qzl)lfRK_omU)$2!2E<>165KZSt#bgkYsI1R#o$PpA4~(I#HA6zo`U*XgdJJ~Tk9sp2R&q@Qk9SrnYcyg%d%8KOa(1XnH&=LUL=GOG>yuybCa$uw*YiFH z1PnQ zakmL3o+!vs59sk_6=!?GYVuj43tJUMsh{N>9EA77H7yGvz8sszIR@{go9q(5R!14^ z=bt}`WGyF3mhe(DxvIc4^ep~u;rW*+OPcH{$4cCIV8Eu@c4aaVGG(8QA)P4ORR7fSX$@^~ntks#AY(6@137_Q7sr z9TP1HeYTXxZc~0aeDf82aHvA-(b@_pMec{)b-W=VAQqD|{Sv&#C*y>8NA~$(i|$gt z<cO(xc|Xqzy!aeG@-o@yeT(;ewu&+-4f7^KUzx4Bcri=yk8>BA zsN55+-jW>2V6pbD(%5s?%vo=Uf3cyUGMVq`8Ej3i*_y5P^{X*K`Ce)#xHGiO*&D8syEk+u4wLwnCk_lR#r;6;b= z<)-E#*DmKp`Oxc&#XfUTL{+&$~s&D`r9zGd9TIzteEWq^OFu+XqMzjsiP`J&pCn(uN&_ktjP* zzsqF}8^N2^2~$e1yb#V7k)Mso2-U(LX5K5_lC(FCC>Tg?PXQ8qRJ;oqiKlYLhJCYh zE>`zp$oC-mCHYtydv3}CJ&5GsX9m+Llg2ma*a@{znd)HeHy}w;O4}C2Rds9~)_m7h zN@&;uLPXQ!&es{a8Wycilg>1nMk^8!`^niQ@am8Tws794> zZ|JMa82#V~vhT&yh>W3C=4rv%o#2Vh6M{=3s*iLBC)dw$YSO>ap@4Pn(n~O3HoV=? zr_deo(`Z1_iZV6Dy;>6 zw@L3m)b$mzIILzSlVND*Q~OXyS9WwU1@3g`2h_;73c?NZQpCHFr39R_ksB4yC|nP? zgw{6xaKvs-`c0Vkhq8Y5%EOh}tgg_PkShJv>KY@|s&%iInZxE+<*175ehO_8BFD00 zSrtMI7)>-L;0{A*srUs{$&@FHDG-0c+?2_qp1AGNQbjDUd3M29>-+ z?gqnupTT|q<5x8@qB}CAi(BkRRpD(7H?W=E`(C2HC|ATVw{p?TTzq#tl{>u@ z)r$cB9A*)glrRsHPwyx@gAF*T^Xmclbv2WW&vw?;%s-^3{By3`pge72^99rX@PbD7 zd8BR|7O5w43t}!rbuMgk8+wI@3Z*r}Ou?-h8h`wSrBgnj&JqZasK+wvN1vX6`bGc1 z^>!TK8&BtvPV9qrluB+d!GBz^e$Myl)<^I~gkzn7Gs$m$p0mG*RaV7{tvPy{2Z;p) zMdPm?PrH4)9RTA2fwY(4MU-tK=3pAA2M_dJVT5xocN6TERdITQF*RuLKoB zY1*)O+J-(=;p+>2?d1-swc&mKVGR3IM5u`TUxq1)aY@RN;m=ZM+zP;NsnvrCoOezp9Pq25S(rj z#K(+^A}qxw_LG}hsafo&0$lI_*gO^VM<4zV0{XzGByfHWp%4xcG0w0ab#5*KLN);* zeJTl#a-&$aEF7Gq5c&WE{2wyHNN!x5Z*>;od{1v6k%bc2y=it%(6d-}maZ9~XAJI{ zfzr7~h>~)HiVf1^2cZ_RL^GFdSAG{(xJ#Qp`lPHV5r;9?&Mj`+Oi03Q%R_1#pu}Z)@G%1Naf;_d(r5q->vZqSeb|W(iEOsLr3zHRJn*T_(gl(dk2b^g8g_J z4sqgy1eSx1umfveND%MD$9aZhyx~dV{M>1s!hJEgZz{=zJwN2(-_Npevmeq~BS?iF zc!p=@7Va*2_=e2$Y?v1RdQ`x*9jfGaRrD|@wOvV?=8@D!B25#9!Q7Ua4IkE&_kUAk z_U+Fa=wx_|3in{gX&Vex0M~DHqod~<^hCT78y>#Icpbug={^f&hKB6rMDQKCzXuN_ z#Rpbw@Ti2IAFXl(K44MnXmPH7kj5W#}&Gx@Nthl z$u^&z6j;`>q}nPZYy-lbjhw`OTL;}5zrxY2CZQHF74w^dJ8Ph&zML8aT$(^GA&7rw z<-`(?H@JsukOr;@BUh5aQw9;eQGytehfFv|a&QtiSV)l_7?If8)ZG~fp$lLPoOMp> zHK(99dQ_B}WMb-LZt69wpj(qyIK*GHeg0S>4Fp!a3C#Eycu(bv;j#PX>Qv(}qafsu z9+uJew2l6^Fk=j*8V!4Hs_8mxHT9s}*t^b3L(e|Z>0f_1alDS2;qLLe2{tk}5Z8WG zpQ*Lhr&4l%%vSIS!~NX4Qbm2Ejwqk}U@f5MXFDQIh$huw>>Hyb%{=X1l7k86eD7qk z_jj2R+=T&dVg??Xk&Of4H?|1D!!p3gPmTJ#`A^t9)Q)P>&u$#i2g-2>Tn82638S*y z#~C{w^zo6$4M^uY#i(eb-lm~W-=azSP^S?%-@+2_xwXCvzD`H&yQCkQdhJ|bO1L<| z-4;)q0}~7JRbWRy4>*$;(5R297c9_!TjOT<)q`&Xoku#?ttwb_e2dxDo8OU5tQ)y$ zf#EiwxoY1+icdq-y#QAIy^Yo$ML6P#h1J+Lv+;Yl@2(JKLRF2Em6v)#i`ItZ_67x| zWAarK1o5nyFJ+boPqL_UG{0lWbUMo$>L&TyJ}k^TtQ z<~4#(WUXS9$Jr{bGRWIvSjH06W?yhCys~?}lEcmb$`41=We~nvtSzsQGd#kfD52PP zGwg)vOuQ?4HkzNpYrso7;-eI$vwvDKgq!v68Zk&@5qOD2^rOUXI~I@&vJ*E00^30% zN;cILmiPwDj>+Fl7@gSK;?>)B+3a^-JF6Cb*)@r^WaVzNR)#0|9_Z#`voip>vJ5$N z4m-@B9xO>=hF^?BS~Njh9FrkROZ7#nUBRrCB&em4AtGAQZC}|CklOT_hF%ZX%zY$& zY+v6H&?d;>YHwQ#kc`N6ulX2`trzWyE(Gd$sbD8bGy{JLkCVPl4S=^H8RypPAf41@ zOnRY7YkVBBr42p4=5haWc4|D!6!^c|^2%;XxT%kuau+~XLGi3{fJDjvb?NiMz@+f6@0 zWGnQVC({tDO?+wiZZ}Tx8{H5`JM`5<*qx}w^Dz8Lc4vSypj;QrYp|Gx24(h^?W!p@ zBnr$#hZP9d@(SH$4JKoM_L>P6TD6}t(XF)(Rlb-~MQuc|r4K1EKVx2rx@=*w!j3G> zX;%BjDm^zUjKnucNV36?&BrZeyU{L>bo#;#Is17XycM_uTKp^b!=;D)4f%SGN2Fbm z3qAU6F3btf5y!Q6&>~I{rr^T+$)MkwQ#v1!(fzw_!Z@X1+PLtBv>eN$kstlcL|DLP zgM6jUtXQ{C;Fkk3j+CPt=N}5bYQ=0{MWGO$-`ox^I+Nquk{KZi zZ+TNs(0N9?lo)71eNstn#Mz!`mrcd9JpqztU6K~>W*{+lllNmR1+9jZnR;-xiaPJ zTtBhioi2jy!z6J^C7wynwpv>KFnf_WSU<#)D~aQSp^{^}TLg=Q*=qUA_E)={nA`C9 z+X=LG)|C?{O7G1tL@~CX2)3u9@&I7i8{ zsjNKiL~PB(b&e|I?Q*v={Kh*9_&aJum^76dn?9?DtIg14bhqyRn%?|t$~V|zk@b?^ zJ->xMBEv9(9p~sN|2ivyJ262eu~mL(XVcuZv-gTvfrozP*B`v(k7l$vdPJV_5!IV| z%kHJwPb2ihd$)^pjp@%lrt0RO9V>AsF=?fAx>sT)nAp+chK|RXL>0$#*;1RPKA@!> z3Cp1H592hd67Unvc05f&aZJPXZR(Z+ni&%WW>5xPk=*St-R;U{P5cf1TV}%PgBG4Y z73FjSWtaBw#@sv1fKVE;Se8OR$SVp|{{!xngAx!f<0l6%5(7kffZ;HFJplm;&Vv~L z9Q`ta6DPn@7%PpZ-w$8UO+Z2jLB;@c))f5pp#-y|0)D@NbTiN`EjIYru74EbfCM2S z1W#T7#n5mgUO=f8@C!kvmgfD537%yFL^nXP)0i*A0N*^^sRAV!fAv%xeghvJlII1e zx%CH<0yhcouOR90Dc34;p zK!6R1FY@0ALd(Ey7@{%Uzw%oI_>Dj&VEF9cZP1eeqOCx7AADjV*#s|e-2`O!!{=iT z=?-JL5D0uLWaEms)JoN6*Nqo!vno<}S?PUO#)(0t_Y zWV!9eM=R`$=AWMx?B66Vl8j6)6_Qu;u=&W5DUha-x$Wur-~PgID`hpbKHK;{XEC4r z^qNt<;7-cno`?iUW&&6@L1I@r-Gx{HPur&61QxDd%XL$i67$McnyKrWI+|yBdqZho zrrNmiZONOY8z3*FI!LXy( zt$q?pXwl;`ulc4MtXTQI_=J#2qdzKBjr-=6L3I5!{eYB%o^rkR1LwbOL98z`?&mO- zSvfnFeUVq@4w&?||KFL6JNiO=tF~Y&?A;<=umwIZEV#{NDgd-v<=iE<$8_!ZCJwE7 z_16`IcG!hYgJt0@y4tondULNRWaxhJ?zS?iKp3~8d-@0 zn4kl6p8Is9znG*YPmA1Y+DB%-4ix-BhP#%Dj2OuxJ>dL(v)7`y7v1$);sZ^yiG3{( zw6uco{%Ev9H?s26?k<=x+W81A#I9?wg^?GpwreimH~Y`BvL(hYX&pC}G{L6c=eSs( z?2&U}rYzkRy`i;Mc*Tb_G;v>r)>E=|v%5Il+MYO$!=PuB!m9E=q_DXtWM~@ce7K2u z@SkhX+Y1HL`Y8xRiSps@7dI$~6H1jo$hPJm6VKZQW~!=iSM#9#Xs490|3n*nsDQM{ z7iM>uQQ@q*cn066md<=bI+CWndJ*vtH6es8V)}*4liph)t(p%`<$OphXi$}ayK_Q1 zFCmnCX~=#f?nq*PNyBiO4?VP1QqZNQ(_H_~iPQqs>yc;>^`H@`Rhy7L!FaaN#M*X0qcrfUdq*8%bFQBZevcn%4^>?*HIJh`xQR~7+0e|nYyQvr;hV=85ocmQ zNNXSY{l~9LT7rN|V|`m}_w%;s7jdKLbVeuMTSKeO4xZpiZ^8zi=vgLLCBZ^ssupxw;wO2WA`M9UtQzrDq$~?eYbK& z2UMX`Y1Fa11Ec+=e#-w-@G)mm#IZ=oaSyYbXj1-zK7|0YE_a`M59rho9pXd>hzR3@ zdq@FZUEp~L9yo7&Of3NH{n-v6oWdg3prwEBY&yH?Q2i$G*Ae(_3|dM?7Qtf30iX$4jW;NBdB5?zv6sYn2fV)bM+3pFOuHAy!=n6s# z0W1;Kt&12Wh#)iIc?#G|b7SN9x!(u?>+Haq3Fwv*8?33=@3KLU1Zg1z=bZu8lU{s4 zARDk|4Dw1u1|BI+-}MK5JSBqPU?v2AJObU8ft*_qjeovIJiqOXb&<5A7%0rOW?hPQ zEO8j9Vggo9f&6ROIo!PhEQI+3*g9_RxBN5Onk;B*;DD|XB@X|bhaN3!I!2og8tmMMycjC?YuDd9m0UKdVr-uZmr z#xTcw%i!ZEq}A_)?OVKfZcP~Nh=lFQuuo+$XQ2(NJ3suIT7P9c=Mf%zKxh0*22sV9tf0|LntpC#J4MRZ(L3id?SW?}(vP7^3Q62*}r zN6~BphMQ$=!*#=ZFD-=bAmgu@G9LE0>!%u@4sg9I(p7zL^FEvMct8uzaYx5Zd;0qk zMGE1S*t+baO5iQoe00d<-M@*;lybyr367VF!q}8wS!=9RC6VwGOUK&koF~(~ljpw7 zF~WVz=oq&>9Uq7c-HEc|isoxx4%9ye(Nb(Rim^R>dbCoAfSozPEqo2mof zBYM9lhqV*IT-kU^3ysLO|)x}h)4S0VhNb(NkpTTq*iUe39eE?alv!n5>+;9HnYh55IAY2sl64kIcD5Dfi{@kH z;A^GM*6D`r>P1LBw$HoFXVRWALVm4Qbrjafzc}evzP`ituPE($jN+gDI?i&}UtPg= zR}zF3W}S8yq4=V!L_qX*SccdqACvVi8HqyLQT1fq=eqp*IrCezOHSKqWVgEHInBM= z$0FKATkg!acXS6xb1)iqgsCf<=PZGryruWR$nxqLmY(ttUeo;w^l63I?Ub?6?+66%#a2jqqMw zC!i*%vnyDL{+BkqbhOiV(v=?9a+=waOeAXaPNcR`l?!Q&?V={A@(ZUv{9pN&jj<9W z-Z-4finEUyq?AH4tngd1Uipi0 z+Iu3<67!s+m*1fYzx+oc`QSHVERiAK-fH@MUFWT&!8s^VPNlM+63z=Cf@19y%w}f^ z%zbNcK>|vJ&;XeWz?vfho^2F>Ea?L2een4fK74>O8<1-T;)_QXi`jGrl(>NFVnSv< zwq#^5HFlDUc`vvs)RTyAgbOc1dUhrRJh1+n$Qos$16hoZq7cOq`c z!$1x*Z}wZi3XpR%;>Hfl{T&4I0d*Wed?V1Ai7duiiix~&g#^iB2AsA*`L84s5S`e8 z+HXK-9IQ)9uQJf-TXaZ=H=qU$!X^f^bb#7DkZb|6h&j+X4R?wQ8_Q=S8Rqbr0A3gX znu2d72I^XY-#_3JC9wUb0k0gy4Xpnv*&#vfU|#MFu$PoZ@?!of>0ijR>4^beR2k}f z_e6;e`KE5J4G6;<8;vn^!=PG(8TO4-LLM>jphJGE;|l~>0G$JH&VjzbvoY}70pwLL z328To2A#l<1CP0)=vtU#TQdODUWZ9qrLtO^LkdS6ZvF>hQ*_ zRj8#G0FL=Vf4}hReiRbKh7dX42^+!$zd?=;89f5=tpe$Gp!8Wv@EsDwm5GF}40bS? z8*psla}MwY2uXmvMu5o%8xn>DiD3eWT9A!vK&KMukP;z)mRs^LXbc0)$P7$wgQnKb zoTH)Nksv)fK<*@be%IC}&^ZOP^}(H*QUXU5*vK0MNDxnEpzgl~8-oOr0P77vXDV#L za11Q~zZE!VZfr5M*M1$KQ)YBXixBWW^i&uL!pjVtY=LB7hw{QERU2^puQ~dr3?{e> zwq1iQ>+8@w4&b^G@C*Of)tV*n*9LSe^slSOMo@hO;)W7zB_(b#;f+1fA+27(rO>8x z<*g&UF)upg#{0iZ;FuF|Q;Bn2pgIGf-hG?E<8Od(;tzz?3n(9$^4Z`;f;13<*I^)a z3O51>KIMhqAV!CX!Z0y3h ze?zgE0-gPEY#INaZ@wX*Z2``i>0h~XFvvL$(HQB!IxB!z2ja%u%i>xKWJSgQ#WhMu z-VjwTP0%~6Z7urEd$j@y?*aF&^Y&cnB=rD>_cIFTc*6Lq(dy6=iQ?Ab--bOBU!Uo^ zXrC7Ek2!Dt`riEg#p#;Icg1hyx>&8V-m+-uJDDl$x_j$NO}`t&$U%Nvw=G5#h_yW+ zG{xK>be9A#{K!vzOlDa}y`QrQF-wA9ymJ-%&5N-q21nUTD>0@t?hHn5aUC|#kASb8 zyt;T(q;0JpP*>Y>3853^lAH7s#9rieS)n_IZ<#(pvsF<{tJODsd#({jPTmSWoIdStM!*|G)RSgstrSy{(Vpm5oh)W zQ9Ykh^-n!|!*OTR%K(^tHA&(3UH)bIFpwkrop_+&Ui=?Y`rFGB zADanD1q{{_e20=ctck^wcCntSniZDm%u>e=sV_+jeUR&nS1qMT21zjElxmZ+>#2SJbRoOl^+OGQO{O8W`8h>N+vhd-Hy7 zO{)+|pTCuk3n>S;vCG4>*=jv@%>j!Q5iJ)V{zuhUN450?3j@Kuc+mpE-5pBN;O_2L zoZ?=JTPSWtin}`j3Y6jw#ogWgOMmBk=biV*ZuaiZ&g|&kB=^oDyj1l%*2nT||EVS$ z(h-sIl%sD_PRCSyq^mXJ zyQ1jy?!Ft9as?V=t<)J-yVEd4I$o$e#%SHauY~)^pNe(kWbbD&JHMqTG0UBb(SfCg zUaW=c=v&`}r3q;6a`S%YZ@;=>>3&}h)S`@@((u`9LEio3&)#fBFH)-g$R}|C=u}J3 z#$2)LRNLsz)_5?e*7*KivaE$(;Er~cnr8HKbO!^T+%)X2-zz9yV$lkwKG&}~z7j)AkC%grl`hQ5~77Ho^>I6W|6ynYv!opyq& zY?*rf@YkV{q+%7N9CQ$Nnvb#QuGVI`Cc(#bfH3>;O+`!dyVe2*Upmxgh6rU0PN^Na~F zYma%!h<6W42f1Nhy^q{~nB04RMj!Dt$-I>x?w3K@$-57`-N&&(!Zx3Icl`#1$j<~g z#;->8U!B*aahQDt$rEOnSq1(mh@wWzQ_a*$@m#qQSJz(2U{49y7ZDZ|R9ZJ2pSZW7 z{gG$MPU7q6_&Y>leU5#F%4Q`aHfQFsQHT2yQft|a$oql2d9{}KCIrhkd(CKK_Pb^E zuqPW%iOk$yF(Dq(>v$a>!qp}VrMm+o~+T96xI4JhJ3jz4B2X_8gwqU~y zyQ8ApMI$fSmiih%FX1cnC*9c0x;`tX@qX(2ee`ZKVVaQgA15|?4(4_TFE&@RuJvJ> z!^9{kiMo2bF5e!v;^beMY#00Yi>Vq3f5erUFV`7gHJTif z($UKy6nJsKOFfw2$$wJOz?80~hnF&AkCKs4nL(Cz2}F)+>!Clz6i+0L*=)kbBMVhM zR}A|hQSHW#+GYOkd%iw9YGAX3S%DB`&rwxX#h#1Uyy_N6dbw{|wz>d|o$jQkZ+Y6b z?fP<{_2rv7Mfw|ri962KVKX}WY2Wm{@l}O6;4AlZKt}3UQb@Kb^g*?kX#YyL;XR4X zr@ztTlOE9}0_EAL5#t>#hpQ+3J2veuk*ft)In|TfI&f^cU4bL4P7_a+lRhsWSFbi7 zYi;TVRowHp@T~*Ww{`)xLPYK%ZzKF0gTwV$+jZ>}-8S&NdDDT6bJ#`GwUmnr`T=<@+*m7t<+D0=)QyzsC z!Pfxn9OIY;KKPu|W(rBB$9$wJ*e|1J0Yu*dh|Zue`4q3}*pK2~+B@MX5-$ z!@suJv~o0~WP?-2Qd+b(`uFw++EgmC?%Qo#;&!h%!!CP-p$DLiq7C4+e`TjDR4;J8 zX7Bwdlh$jWEZY>h}=ks^^=psTBgq7d5OFj3eLvg!K&tCOB!WW#I?{pINo1#sFy z!S$T@_77kmz(&;?DtZ3NW5V%2fcY9I0Mg|@4CDU+MEt`b-qp8DN>`<%WOH2Kw7D0= zocTnzkDwB1f=62Q5pRb2XQ=9ZJ9jdi6dryEv{g-`s^VOJ|8pIwsP{(d#sm+VzDSEb zirrsTj7Tc2%jP&WB$pX&o{%L}_3J@2TFAfpwuip=T8ge4?-|*srE8x!hDyQs8d>^X z5!)kt0rE6qX#m5$Zojj?i~m1Fi3I<~W3c{>(?0xM4;Spa>7V&W$js;8I0x51LTOu2 zLID`_gl_*4Lik6bU;V#Y4N54@xur|z-9JPXnEw#XArzd?6OKud85^stbPPfDy~9&A z)MC%b3iHxGERd1bLeY)Yx6S5&gWG&iY@)E7u|AGHT`83>xGzPsCK8J<=Dj|<7Md1^ zQ4xx3S{ONqkXJDB@)PG(v2Vz4XLrFFIrSxSdjc%wNFnduu{gTy;6 z4CQ+e{U;{jji)^1qpx&+ON6El3_;=k`fP$SJiMrVe(!g{Q2x4NT)o+&){Uj}!bL(< zHP9vr`<~SzyhWkH_>Tui^naWCMv^ce;Id`oHI5#d9{e! zlLk$utvWeh62q~P-pbRHcArcVFg{uP?xO-;SM$H%t8;IragA=eUi5BFiA|Ia-O+D% z@mH(T_6^6d=(^N)i4`rUIzOg#>iX;Trn5Vj+t+=%3MTT4{L53iz^w(B)J*9Orpb{l zzq5U-Zd(P-p6EaAwy$av2cPYTW0UlZ+~e#jEXC!GueHqCFnN47C3l_FT!ry;SBIj1 ztH$yStl2IK#EpYfR{Ge&(ny{az0w)}xx-)7(;KL@zxu3{#`4$Ri;e9bbw*?XT4c6M zXWG*)`GTU80M9)zR!&|p%9ob|0#xOEwP@du3A~hi7wImM=$cE7SOt(QJcfimzT))O zvSgWt@3$8VoQ>467x&K^1^mYS_B-Q4qm)C5i%-=Rm8*vNq&3x*?W8~)wR|%qMAdm7 z@#j4|)M)ID=tgExbM8d%pxm1$;z+f1E4at)dc9NkA)*bmrM*G7e0uAMAf44odNvdk z8jKu!6NOGI-yXpegL<2%UaSYopUqGs(l}NbxvaLnSedap2_s3Rb}n3m_sk0KY)@7H zl;Q;|uYcE(3RQ(4GqjUhgz}A;U+>(n?X`a=Xv7@H>xne3*H&N98k)6s5P7L~MeOxX z=#bWI*zw?Kd&|9zs7|r7glv}RCK&tb#=D39*&}Bw!$wNK8_(>4R)L8X1?n;QsZT$# zi!<3PTNt5%L(AYO^%L{^Z?;lvCV1ldJy*LQyYa+Rgt_QWYM|8Nb__VDTOe6qQVAmq zMz%7$FU%I_VcKDY;Qgp!@s%t#x|V52NPi)9?vu`roN$d#C>eOUZcOh9v*E}Ha79{% zqf!pl<0n~WXpyr!^ahF8E!k$ZX{`E-mBt(Dc#czvV^`_mhrnf9(u=wWrXl^ zfjp*nt=iVov0oG#@;>nn?-FJpeC{9bp}+rZE6xxAjTHBq4l|d1P{N&jvAaIYgg<+; z9Gx4^Tby0Fy`LX^33YY@sx~Z_RXt+#dAm!PZ0Ke%qQl1yy~1?%(f2pAgGCU`w-uvUxaFKXxIZOoa*9{3ITxX@MkHiW1tK!xrLO0{f; zP~Q+@)Baoo0a^#*3a>wTO384)guXF9kI7^W9+kura}RvBP1M)G00cPLO9}k z<8}nBvV&KGI)egp1}>=~IQZV}+@@-ec!H}O<2!lL50Y9vtiz(+%x&;q3^iyqLN$Cf zV$R6UKxfi(+Vx7v8_XH(DwZGoz43Yyga$$w#0Hp$TSIh&cSHz4YzSV1xr4a_1R}gj zrM0$pC~Qs{c=rky7|exwMfN$X6n>fuy}tt(VuI&wmBNy?BFF2B;ZotWnaG`;NMc^l z%$0i}sOy63KK(>%dM+HaY}*>4wcJE$@L7N?Gz;*EDa(eVTGy?txEv;891MY`nbwqp z6%}SSTo$4*h{FxMIO0J1$nGp!Q(?AiQJ=2K?~ZZKVj|VAz)+u#<-A`E$%d3grK^uv zec76z2u2D@F)M0V9C=6T(_5OALKEbH$7MNU#kfAf2swlxMy1!CT5W3xla=bgM{48f zAo7#(C$YORrnvDj7=xK1d8r9}?s8gwjAxD?UqvP|&P&N_-qj$S({W#YIL9`%8dvh* zW9GY!;yhNzc`nD|iVbE7CZwljN>eQAvG|SYWQV3U# zRK!5bl%ZG^G(^iTKyF0~TMSpsM9Y+}SQR$pX6i~iG6Fk>z^bC4#hdwzL%RgoR%frr zYk_OgX0FU- zFb4YriZk2!hjY0E?y!yFU_dY*BQWw5=!$Y7oNSOj7{GHEx~*$Vaqp9-msv?va_aao zM>ybHFb{hT>^;M|{W@&#h$u~K=64cT9otSz(S6pPAN3dWB-sWMN3 zfOZBeySB`YC@Bq=23mA3=$VV}7Kr(Y_~G~o_}}p(@W-;deY9^?AEL?q;RE=}Ig1-r z`}cHUd)MuUZ6$0PTrRoR$P7p3114fr&qs`P^!|~>Q>&H?Y9h`ZG}r**(ps%q2~BX5b28cM(jED`+yPc z=O4Koe2V;L8gpU6yAbPOCs;95L26)~M4d5zyC4p{VyB)SLkVv{8fmKKX7-hSn=K%2fyMH2E`+up&h z^dgUTf(-7|f^C=R_{ z_V-MPTsuMYeG0_R9cC3j7Z+e+W}%i4oC|oP91$iM;~|kB%<~P(URT#P!RoKST88im z>IvKGE;Tz^z|F^1>qPOpOXuspCW^nu;d2oEu$M=JF@hTN1B7iax0pS}wpkyrguSu| z2wN?q6$z$SwivKRK{_Q003$dBcu|TQpU6n_aOkFpC-QpUyXvKr1;3_k;tM=CTTh2v z;E6a+bK)_vGJnrH>UN%|AN4s{LY`*>)F$B!fg*^uN-7{UDEAY|5M(tw*2*>#kx0%p2Me-QWyN^}3RQGb1h{C`(MrQ_R(R0yHaks{_ zMFXeWWSrBE_o5_zd@#PSZ&;U%4WkO-iVEh-OF-*6%KO(36>IRvXnt6i+ClO`@vvtI zuS&nkpY1Q#9X+wO%jc{id{|yg&L1fC*)$@nH$|$9tTQUR5u)RvTLzL;B_W4ycmK*` zq}u2N3IQJ_t$omN5L!@uFrnl_RQi2U`_+(ke>CKd-Wk;y#u+Wm2&oIk0NxL#1y#`3 zHnTu)YI~5(O01}F(_oziqOopuUI`GzGC=Ud!>^O|p2a7IAT;mL( zT;a7-nF{E?o{^BFptDb1#P`h3*d#Re%-gXj^{Y+m zR)-w?iJ6VykK#?+^=EuOC*5FL9jTkt;#Z9K-R`I!c__{;WmYPG?Juf%rEg-3(-RxC zc==R+Q(NPOSux&!i=cWmM0-S-Rv91EuUGSm+@vpA$;YAVWZ5K2M1X7hV(P#bqvzyU zw>sq@f(i=5akNExrw>{YyMC|dhhCB~IGgJ^-6IyYUF}ld zN@Ot6NE=T~=bh-F1s`i23Z;?jsYJ^Wv5+R0dQ0Hv^HG9;kIKS@so%#qMVSSH+1Bs1 zF#O+&HLT~CXr5<+yj&r5Ya(GcYp_*FT^N37_q692>qn4=sR}V1=uS?`kIs+hCE|=+ z!^0{v{!u#%RrtLQ{5=-pi4)`nf(DtwSs>D(&_UB7(?QUI^+MG_gqauT#9j&wIuqw4 zS_+lFkb)(Y1|0~GNHHrHV#Lv`5#u+@Bu(k2d>9eWdxOdXehJdk(!>e znbYDvvg0OU%!qF?U(>j?in5ZA9lgqHO~@%@c8$o`u{)va&!}QaWy}!7YN!8KJ)PGy zV`aaJx_`T$cz+;aorRU1`Gb0I$^zVSv->$}mpgWMC8~(^wf@J!${E%}(`_1-eYBsx z??Cge>skhrzt??+lfBd9oZoo!E`gLfAC+iu(SCd2fmn0a;)cW?6NO;A-~RKu#2z7q zVBkj?@^|5~n{#b}_@kc;mwA+d0wO9w53$NEj&n-i;zsoK%qu%A6#4YEZu64%Gi$%) zmuC7NF=n*Y=2qAoa#ptHm)0--ZMG7UQYSo#izgJn(P6_7p5If2zCij zo~O1H;(9N#o(Y)`VhSD(9)}@>b?Q^)WnPXu5eixp=fqgT9cs=he}naNr!fe@b#B>FPFT!yM5Pt$@bH1D(fEN zHz!8?4DZGZ7r?ka$xqq$=N*6{^HWA!~^bG9?q2r{(!mP?&Wlc#JZK` zE91h0M#+VlzV-FeMZ*mRp0Nsif3~4e9fXdt3WFtDAZqSb#_Gh#8=-lgx&!{@dbvlj z8KEncvyO1a0~QU?p2Q{lIo-Z+$Vn?Cm(p8fAMc*gHitIqf)SKDKRBSL)***;1P8tP zCGL3|r453%3@M?Xm(UcLqps*0pyn@bok{f7G%pc8dz3Rm`YJS);>j~`;HO}*kJgw? z6fmdZ+u{!6tmm3j0OU|X%uUUIDEG$i^}rDlek=zIU0Vdk@@l!wQPni1)&paIxD*GS zleuf#?UR3<_2HfUYB=U8@iYQ-q52`T5T`{6mWZ($#KbzYJ(ne=+u9U2i-u2Amw>$XpK62Ce|i0CPF6m zCT=F2HLNv=&I}8=aI7$62xG8g@JdKZ2ug5qNONDYL^k@=*3}^hkXDEQBpq@9DTRO_ zku^2=bB?{Yz;z{A$AMeCORhb}!J7VjD^7@qw@)(;DzM~& zF^NXk8E>y=jkx|Q7cph4V%M2|F@v3wdV(s~8C`XzLE?e-CAvd!`;a@U>T)v{9^ zFZly2ULi&<5c^@Kc#8l4;3uqtTH%=An3>}7`?%S?YOz6g(SO*1)Uoto4vYczG zAt~a`LLCha>Q$pJucD`27#De5Q{iL$6oQ8&Et{HP{;g6bxwiMRhN@Gs5zb6z-|7bK3_xcs*1`(>Hv2%>wPtNCSAoJDU@{@I=Kq0wg&l0GH;jsebk9C zXL{H}3RDFSkm#%@)SMF408#vqYs15z__Qv*&)j>93t6M|R+B~bOLnL1{bA+VAvd+- z@Vpe3-^H)B)`MLQ-fpHDEHCs*F3cg@vsZ8>s)RtgQcYv2n+;e~$TIMK0!fEp7j3*jYdr=xF3Aqnzrr zF;@ol!bZmIqBgCc(;D+-?$n$2rM+|Z(qHw$`g1eKmGJ)yjFoaw=M(agIdHquzH_PU z-7e16%3nQ*^x2cI&=iShEaeAEox}TiI&{O2k}q^2wxELTYqP-xjcQ{2RdYOtNtCTOU{kpIBlr?lxIWxuFmgElifWzX7m_dualtmwWHsn2ZCCC zvU>(}cp7Sa|E%5)TC3Rqy!Y=so{{@!?$B=fBxv;#zZ~$$ka>60X0WHnG<0`^`D4|a z^k!_WrM(%paY`yd81WfF@T&I3c)dD-8FKqfS_yXDS~&z@BQ{>Dl>-e~x4$G8ZpCVY zHjl{yA3>w5QeIRe1?x&T>p4zlP zmr+yiW`tK2rMV)EFxu1LnIVRT15%uXzcS(T}DXKFe_ zNcpvj%&pveI|TWZ@V)mo++CxmD%ppc$S#gz3F@7SoB?-GId6KdN%Fii){d%X>Yq=R zgx@@plNoLUpAyq!I7O!(wQM9JS0ZND$3z#rYcMpIQS-@5Z0Nz##g7dDPnom1%l#Bd z@tw!EKtE42y=578rq^J65*L{!F=c|(UDJPx3 zf3T9$hzyFB^-t7%=CIEvD;tvqg%fYs72m{Fysllfv==pUl^5AC+{tWer<~wCsgKqM zraQ(F%q)ycZkD=?b}on|xYeFrGkb20&Il0kB-w^u{d#a-b-L=nTmKT>)V^cvxc365 z2n-p>SvuP7&bVuf7U4PD>*I^>aC%7Mxb53NqC?<0WBYw2ut%WiR9?$BCeUv3hwE$) zZ+(GpJa1u7el^f3?j;hzt1Gv1s5gXbFCt_gH7iwmVkD*XgJ3ZHb0S)PUHC&`Y{>6A zKBHqnmJ1<{eqRojC+`Y87Ni`Ntmsneexf&ca+p_S($KSU{6Y0KO%XKu0R46^^Y$gl z=PN1Q;V7ACzwf48Dng-OGyNurh6h@)XhPQ-FDL_YW= zs4_?~=qAYe-JvqRR9yW2Y5u7zgZ1{ZKfa4U!UCXm34Z})f!qtWhNgz&{ME~yr9If& zN++zP3Vu#z+YYuIP!7WcBM*>=k@r@*8#FqNLV3iwLuup2(0u)kdmVoX4?R$eXUN+J zNBz6y+MaIvFYcA1zmpcCSB+&Yc%DV|3edwmpQa|RYNLO2MIbn98A-M`M5ZNJcbXH@y%PO~IoP_TH z(dcV2vL&HfLwv!}V8!5@;N)QZ;Mw3v$W;(aut%^*5I*!5AI%`AAWNQe?{%|vxb;5B zQ_ytq8%!YJ6zLQ$0QMQZ1>qhuudAL(W&3_h)S03PzjuVNu8pS;p^GOsq{#1^3SG=k zQFpET3EdM3>ujv-8&P*&acd?s2HKjXgc`deQ7!I%;14@TZElZ?x2f>(wTFqtJ&8SQ z3c>ED34vpYVr(U})kRnN)(YJ=1KbBS(Te1|Jayekm+acpJjAxF7<;w2^Kk2mZg$mp z2=S_4n?}>|Qc!5HjASxwwo{PQhc~z-V_5l*m&8yOq@bKF{kUQ~r&uRnr-N>%yv|59 z>{bQv>#VX}2#yGjumOnAfP0d2?R7TD8r4e)3Zj5rL#%=q#qfjo;ycIu^dhP9^&3*)vV7v7?H>oFtT()yDvEqMHMF@E_Ea#3 zDy2*phltK~g%TJ)2qMPzw^rXfCOZnWa?Pc&ZSv*;5I41$d`7~gR4>|W2kugMxj@h@87 zKA*ri0G1;YT8$QK2#yo~QM()7&BCkQI5j|HX)kj;Jg<21O(9d9+AUc@%k8dz} zq5?ZjWd#~{-?Na7#ojhZ7{kxr-<{(ZOk9Nb@!=?23x_)hex3dCbHNDF;A_wv#EsOW zzE*B~rNioUR4CYBJHCUTUp&XEshy9F7enWkliNg)xPHp5=66!YU$HeD&D}`xyFMG= zJO(kfO$C&6KQWG3o_fo*wV*TQp1V5bu?O3Qf5JkQfBjo%xV z-&%G-*VFiWj?<+UtQakB`g>}un44>Q-xQ?3_LF!AoZf#JZdt0vA2%xMvzu_cqgZxY zb(kA|*<6S#M9iD+ShX&iffqNCYWRr=gfduiY@6=MnK489o2;UXGg-P|qXDM1KNmM` zt)iK7EL;14lW4HMZIQ*_5JE21gQ|SK%IsW0{ILUQP^mP8l8TJ3(5NaL6cev z<`h1C2d5?-ye4VDJT~!wf<82N&BhkJj^B_&ZR6r*ZcQ3uwEQT6eg?}cTr{=m1xkH? zkyZ4Qi3>dQ7?-{Rul_RuL3rOLX?_2rRrEm(KRSVR8p|Aq{xdp3c<<(kRdnYFfm2u| zNl>#2kM-|C9FG{cd-&zgX~@yqlTAIF_KmRw?T}4)oeKLV{t<^-UglNgXu32O#}eD? zT2Y5sSS>p4hQ|>->1YuXd*O4=`uozV&lhMVpThOq;miG2gQ5cMKS0zCa_! zuu^b8#i(_aB4{9|c701lr^4daC4SM2lNmF#Kf@~8W7I{M1j?kDdVPPQ6~$X{B_+fd z1><31z~KV^o*$W@n_b_)vPp-SpgO$Ybyv&Stm&T10R!=M{MfwuaNxKVzX=g;Fq4Hq zj$5w-`v|ofu!KwOvpdKYOE4Z<=>fSKB@p9-3hap5-n6!LakHv8R+Klmk_U3I%cYIv zM*0MqTY?09{l?GBseg>A?*v=Tqc7a&aDn#gLQ$t<%HhJvjZ~;_js)U6>e4ZOyam7D zgT)^?fjt;$6->(6cR>YQ24S%mg?TDGVC}vpY4FqY_W!dW11=FDu%P#^7 z&o@eN7F?+ad4ifu8q28+DPQkqwpBFGs7n^2K1tBks7r<3=mOWUsXdc3LLOyY{|EtL z-y9Nz#wr?V#O0aHWf?A7IgO=>bMV4t;V-&@W!x5jMIu8pGOA1#oxH6kx~M38M>v#F z<_G~=0WTBmGaA7nZ6_sc)4@BnM?&LPg?rA`H2Kx20r_5`g0pb!uQ?q#-7-1psJIGxU_u!2l8s+9m_J0)QkmAl&OG z0uU#GAO#5gKEaO`bQ)$64ors|A_Q+M$#x|Ir#~#xfE+_Ds)4qcfw%JDcM}g%;AQk7 zCou56%nJ>O_R}5(h!Yk3^-rzp#CsY1L}048ngQ7T>$U~Rl>=xA1PRG1B?CWyCkXog^9Gi1Sk*X<}lW5I>VK!#Yt`lcjc zWA(Of{=h+P1V(oZjVJW2h9ZN0u$iVAxV_41NEGC%&zIsLN?mmI+RxnDq@}=FDkPtx z84EHx=>b2D#eg!5hryGP9H9Cf=GHPjg{c}s`3$Xi(COFlXqw!kYpd)i5Jxs81GBfx zCEceZbMDl+xC8WF?OJPDuv}`*7!$Zq=*1z`k^;N3EL6>mAH97D+EmTMu=QAX z*T*<249>zOQL<@g@$9lCwS~2fiwZQw_c(( zrCRx+RR=sXsG3-Be$k32Xb8-nv^g*U)q%+anveUz+x9VcLtu-$tqOJNr~#guW%a2= zwCn+}5~uWz8AvTtE9rOKwy9}Mv^q^B0*D3#Mjm<(E<^>+0zp!;V_@J8Who0tPC`u? zoCOb(0I8vaX~ElOvU;*$G)h|<&{%k3>_3f113_G}g0f)g1OwWC%8dpJkbui+Ku)q% zi9nhoXANI@Fi!*P=fxM`kh3hJEI5`&*E#|Ss_2J$M8Ah#91Y^@ThEXglF832q46<^WxC0^{Yu zmjcH#|vi&ufvK1-Ll$KO4OZ`&}4|7Uo^~#PL8lZnZMcV z{t!EuI$Q8h8+~eENGwX+mfezN{LB-L9y1fo4eT4GRP*NrnUu>h=N9J56ZzoL*F<@c^`~t{v-fiP)Uvr(74I8=pt$hm9dF&ce8KpTv)ize>2N*si}Kic zUzvd-TJUKhw?ppd7tF$xIFHZX)OI|9EjO`4!-x}q9FJr^`1F+|ciO^eD6G#Y)4P^n ztBFxhmL8AKB1@_ZhxI0WqLlp!xsrr1jFC+eF^oxwAk^&wp-B`8(vT4)i(_crEjU5o z0*0|_vv&nHL>``jhvy>)ipljwszwK+C_@LMwtrLGf~WubCwx`L99#=YBCBzL%V$iTP#amCNXPgr`e$4f`)<*s8Ld^YxMKtw% zv4EoZtK}I@AV&{A&O`S4NJuI7;XnexI1YgR1t;!1vv-29Y$1R@Y|4g$ZUQ@l197s0 zC$6v$G~kvgY#vARlBM{K`;uPsVqNf3>z0zZf|fg&=VJj$Li-DLz7-xXIa3VF2l>1N zbjbzcsWm^njUl$M*S+qiNl}-m^)u_J0k?W@g_wfCL96#t4R#4zidLdlBu5$urP~DK ze}-m+Ij%|60A6n>}`RF zuvW{AL&UbNv>?Oey9C)dXnOYE-csv+yopF4>7(g)PN^tTsxQ_JHK#-tXEt@iORj3O zEI&ZWvqvF0Y7IDPef3In$db5>`1NSYiGI8r4@DH9d$~~S96W_G;VbUK@0;$p+7y0c zASpeU%2g`ry!}hz3>7nWAK; zi}oMInro!WOgxB_zcxdxV5}Ir40hajjK2Y=g@LSl#Hv%ybv(t~TLRI_!NN%wKS7*7 z^{F4bQC>11Tpbm*5#{o0@h*ETUiM{^dbpEZ#c{8m@8xl!*tl&!u8iHVrdk^;&r)xv zM^wL&;9k;T&v*O%k(bIiZ=}qH&y?t;>#@3Smc`Z6`1Q;c*E{OrXbt_x39QhYn8DLb zcP^-+Cdifq3`q94C`1BrvE}%E+T*gm_}a{)op8b3g@LC8 zgl4lkrH2*~Z_EUSmr_o`<(MNU$oR2ovm2-D)*x1~#6tIsJ3ejs?%kJHdJ6jr1@YCw zn@8qK-lKij4q08OtB*R$6$nv{ZLi$%MJi_(!g_pQq76$*?`%#_?IRl;MY+8hrDwTk zHb)rx;gxx4YQXp+6YGK9&%>`h>ED%q2&8eQuXREQ1#DYj;%D>CD!+~s6dYWBWBdGV z@~!rzf1kfr?_E-DWrL0XaCv))ebUroCBbzb-3Kb3{VNjx7P9O3sjoS5xTqjNTJr%x zS(~8cqEzjXOz*Ps&%|s1B0>o<1D`F#rs1!UtG)hX=J%+(n9+iQpq;B z9zHytTaMSrnia`Yu#$tP9)fHJv}nai{^)3tfx<1dinCR3_VbOYP*{OG+!_ef>|b#Y zXb1Qi(-5V@U5-D@(H8SR37I@Z4?fta?RJpk`mgZ`^?6n^`yy0E@)ojee29E7F(O$< zwZIPh>LeILLnxGpbt0Q1mAo|FNwqSsLNj-R(sR- zJuiMbU%XV5(>EOt+YAxB&5wvO9y)7`*+m&Ds5A79y8n1O{k`a z^os8te#HgvxpPcp5fKcjr0S(<8h)mXA5xgyo){#EU+mNo>oVb-y4j@gILzt+^4#16E-Vk0^s}rxIAwysejHo9nh@K$@rKm9Zp;LnBGVE-CD2W zlvG8=;oFwvwE6T#B_olQjK2@s)>M}jcDl=w+{{?C>npijY1;~i z0V$derM)PcMV`7y3O*%N{#1|f3w&88p0#1w&D@zLl*J{yd2kIlQ?dfjaiF#QKbpY!0L5XWc`DA8Ruo;r_4C*A?l$k-)>iY~jMdph1PMV(a)n z5+^YmAYZnfAbPdBBhB7!b_D&e#3lu&|hp>N%=6V^}#b7b`D)lQV7 ztfpQ=nMY6a4wlRIyKc@G33qZg>-UWlydOUg-+{%y{2mdp5Rzr;I`#~dSuxaZXF}K= zy&=zTWLEBJw!wm|BJ51gCmU`@EtnWw%vby&{@e8Y$4+_wAPPTyZ%;0>fNn94jHn_&}DJ;q>Cht$Lpx>(|ge4wOvi0hf#ao4vCK9N{T;v zvS4PK*Z8YpCC)BPT}#U!DWUgSxW6)$<=W4F=)NgY6B|h*fBsUc{cF+V_wFarSazD! zfoyQix{Oh>5ki@*0V9VGgmgeJoA?yN!$L;i*X=(n-i^FhQWX4* zJvuX!_;-&y?|S3m3$=mGcXPIKQ3o}O204p$n@nrMQ$&1K%SqIfVxNNo%?gw{{i;ikVlY zaI?UJkF1KBG-8@sHX?;QtC)*J+Z;cK9PSmmqKl*}j}p-~j^gtt*|H!z@JHK2WN;SW zYq*b$cf)R<)%Mr76U=<}SQ1;KuuG!)tcbi5iq_Q?nCc{)68-Qes!*#Unj-vyn+Lt( zn)7t3HNFfRi7@+Au7;^ZR}iRnVjrG#4?ULFUtA>7Q{MQzuOMt#jGJ3?tegvIa?9j( zg#iZjwjU@WTB@1qYAw1Zk2bZ0vJSbW@1Bn+eI22Z7K}`M`1SjfnFR+&-FG4Zr-U^k z-zxR5dH@zdQv1))QVA_5(83}Fy@r-bXgMkGQT>*u@BC#4PR8lFfyp%&t@^4uVi`s< zP*Reba-pB9qIna0PR0fKD4qZ7O}Np;xH;dt-gU(Vk?&ux7!fDofgFDFr0tOup^GD( zv0vuQ+M66`v_@MT(TLOIt(OR0`)_Bk)U$;4gxA3o$+cpt7d+$A{@0BcTjPb#-*TUm zwkpJss;&J6iL76s#lM{9M8^B00cKPg1hrhpRAde{euvLp{xabf^XT|n$sqV}mQq9! zuQ@PonDhcV0wna0W z9+Osz6n^&k{5M9i_lVOKX_a94_r+=peq)=JenXJU&W3S8$_e1*u0-hCuuvPWGF{^S zuvSjU)otJw$9CL^Ye|THlt?!lM=!R~zRBe3cBHH#sYVIllPxeeR*N-d@bu$u(L}5B zZNI%W%IY&;-|SKk%~<7VD~~{R&PiRa;3$#cfELvkX)U@{5L2lc<>Rm#+-=vfkKv43 z)1-BD-Kj(Vgyd?{T2iV1Rotku{701Yi~mQ~HwR}DJ!|jAw#|)gZ*1GPZQHhO+um&K zH_jVuY&*I6-LLAqb?g2yHC0n}rq1c=KBuRrpXUh@j~< z0m*pN6i%Q(%_gyNl4@e+rxov+0=x-2o-qb*wNN{jqH8fo2mIzJS3o!@-1HZ2?fi6q z1VuVa-u*QBNL@;Z$}>k!XM~jz#?T};v!TWCt`WMFZ)%;$k`zZ4FHOd*rblPcLyR3` zd9QDU6>KO7n$jm)@f5G98;vS%1xW&;BBLO=;;Cve#`~CtUkt67>g~)K!g?(k9@L^u-ADC2hK%zeth&r5}`cP_iv*z1Mc$Pnck0wJ>`~UdgA$E^W=*jt= z8x?)$M#$eGCTwqFs%-HcUUuf99uD?SF4Kuj@bJwL^U~N~T=+dp zJHzCceU$FvzLv5+sr{xq;8rebgg8CJrcwRDy?}%r2*#}{!|Ui#hg(y}Y*cyaTEXrl zn#!aBLNPEI0l)L;T7cic1UKmqa=|%ORZ>^|U{Qro|N4eqT?+kz%}w5ZVwT-8!PH@@ zgL2l9rK_8ee3nHYuc6jh41q#Z;$C6=i%&6@AKI7XT-)SI*T&HQuo)V|X=a&RB~(ou zw=xL02&xB8`_IlmbR_PYI;Gn1$zTa@(upJs6Lb3sk#c&ay#yEQ7STfF^Ne6k6SB2PmaPi+YT$#?gQ3$SJH9ki4th~cqCB4t_RsJSQjcx<623+w ze)eqEl=MOsrE&?+^}X}8-`>c4>Ej^0s<*hw^n5uU3>9b{oIpJLsN9A92p<}G3prG% zj7zboX!|Zf;Z(q_tEU4Xsm?Nm?jwh%yJ|H^y%xh(%e{)D^ycLf!jDl7)39Ub+8VhR zNzn(xrp|+1f0Ksyx**eadGaU>^la(ZPjfHvoQvOs+8{PaB}_X**@6AGoLY_MT$IJoL#E|GkTYo=Mqi6k7T{aSIm=@rnzkFX=o%WVFPcc+r3-e6V z6(^l$D6u+fq7z9X%(yIDWQf)Y_OVFRazhVJP;LsQUUQtTZkC8wl1GJjtmZKo& z)2Q_-;LhxQbb-qw7hxUU6l2|W~b+N%o@HS(Zb5x^i$K9Lc?|2x~i9S-WQ)RI}df) zFXd0_vx#+}vb%MTT^^Pf6#wH-9^WV9bAoGVzr>FpYF|J9`;$gQP(@H#LR3^mUs6s? z{vy}fMt98>$Us3l_UK`>VyAQDOR5*oHX|Xdm?F}NvzA0T{g*TrEinmyR>mT#gf**> zpsd6b%hC$X4yhy_OcbO<3<*qBp+FX=L=dFKG7v*_q3A=Q1!$wgb`kDp5nTpf7Pm1G zyZL2b-+Z}!c_+;oJ8b9N)gs-);PPCjMoyf$zurX}jIN zwd-~JnMwh~*Pbseu65U9sXYM10uBJB099XY+lz%|>sp%E{xX_wEpwL(t+NLJRcgmV zS0xQgEx0dz1;DzhvF2*_EVl{5o*nWoJ#FcuJKpY7*bC~rQY(gZF|B$S;aKi`!rqMIuWLDU z{mA;XZ&SPPE8t$zRfHEeKfmlSB-7be%Z()&P5kL$Qo>nacc~su@lce^Bbp@op#@i> zp=93KR`4Z?Y*x?WlYJBT#6Rz9H3rl$a#GY>-+goby;`(rTSqm z+)g>f@Pq71@^TB!?bMqA1V-!C2~1CrQV)M zK20*))m*6JVi~qG$YP^XKA{(@Yd9q2t1R?ANdbt>d0TWzqW@S8XyR@!aNi$^H_hoN zp|OX^Qk%?=MIGe`qNH!jb#>F+Z!JLPE*KO8yISJe7sWKh`7_ELtzl;3UOhZXJ)WUm zYbn?;Nw8qr~2b^p#}cBgf=$Nc&egZ=7`yvxvzCJ2#3#9t6-(*lJVflMCNW7dr>FNrjrVwwft2JaP_S>D#(S^ zq5XRWR9P28+ORsSsM@GDIXkb_Y}xuXAfyXBWgOeLyM$&tKRj@>uP2a}Jwph)`T~_j zHfmJCbTP2@@evEo+nGye7}0UMU%m7t)=7j;Yr1EzyH2ok9XDpFfAu};N(SL6JTQ@6 z>E@s^k!iX_1Hx>r+W+p)BbaNLYP6Ja=WgKzA~PHXcr)T8jDK9#vt`}$CTkBd>YJDX zwmP+@`M%8X8o)+$L(`lIwpM7QEr+w$n1G_ix*F)irlaf%}WRlg5xf1g70C8hl zrNAY^t#t+*qmznSe&Wi9&BI1r#gyr}*uxfcsFl}fHj>ZXa^&5#+1od72RxPz88^eP ze$~63bFjzh`S5pH2rxTwuc_RIo(^t@XqpAp-`n|~FaF0nm%g;w@U z?JJ)@qLn%A7xseVRKcLZ8uMSnP&vc}kDmt5+5EaWzZIc*UKER*$P4l^ZlTBuxkG-4 z9TibJO7V(=9fVmlQQF~mzx6%&O6#=iA|YFk-kOs&O_T~`riyhAjEXp=X^jmZrK=D$ z8rAj^-5l8ZRH(x`wjeP&5}(uv%f=@$>&r6w5mk@((ga<$;>A}B4$QL{Neu5f3bz(a z8G+1$+fZZMwarw4$-wvCVr|KUemvWTO?-ZCmEYwr<|C&n{$feWpFLxv$aHS{-kq-I zmr-#t7uAZu|jC$=)om}Ylga)|^+~!2>TXxvKa6v3+Pk&>-V>cd<_bR~A)V7Iq z)kjo=&Rp6bzS(-JOMH=$Z1GzCqwdj04cVIDUs@E%I5 zHG-XyCyJ5CM4!@>E#MWTN>$v+uT&5SIH7D+1yDNZ{D#*}@Dc_BQ%r+tTLcome0|X) zA=$1eu=j(QSO;%~V`^b6bch%mQl<}&h#IK2V|3ssXRXD)X@@i(K{-_6>8+@n$uyv+ ztf}u4tf~9ly9igj8F7$aNFt%3E(5+aH9M?mAp`U=+j}q%FscP5U zzcN^*ae8x_4E79|1nRqL%EhDy zcDJG2_b5wM+*YOmS#z)AOCU59X4Bc#%hgPNxNaB^ii75?mn*C!ww^fR7q>C|dZEDee`+v+YC zIeL#z^Rv%KEB$x5S3S3PUwiWIJ+~L~Z{`p|tr9*5oq%}jw49&J$`qkJ@^*`cm9wbS z5D+z+mt{LCFnwfX8@2ddk=7AC!FuV#5-q3`?WV9g@I9X`6C3&|kj7mN7YLK*o}Sl| zx{jU$PdHyj>KzX?+uom|9V^*g?O9L6Nfhv zhQZ>Awf)*Fw=O1oocJ$#!+^zIz|Y>5#s}T44a&B#!s?Y!cKm4J-M@QWO-un1QhKHs zV}pMY;ZuDroC0D-Q6HBJpgYcVc8ZfDmknYECIctO>=z~2*@|vF)nd5HZz=9Q+e9I` zx7v0dqFC6J7EzLo^qSI(g8roBs-)DY6O?A9aqK(mS#+>uRd-SVMvf({i>w$b=u)1h z)z~3xd&f1Zar!04;v*UPD(){^?msnNjlpBmgn;n*C784qPp|s1vnlOAK99S89z1z? zUJD>eV_J$Z?ij_3LCe+5->?5REp)_+mZs*T$m$~3&(r&T;^I$f3SyJ}m!;BRXoZt* zK77$nCLq2vg2HAV8p)wbiD|k0aeFmT!~3}HX>{H59I_pF!k_9!Kp&BtuZkHdSAuG$ zGa9|{=v$SVzYy*+TFwfzF1bi_fVHlbTkjK}woPfl??LJ~DWOk^Q{oWkN3IGL9jK;t z1=d%;5EnHeDk3O^8U{N0=q@5Ig8Ur46`qRb<@$$p;QUVx!ccr>H7VoVslkYYU%hS> zRRrYMKQpb#lqyfb;9()=vxr44&OyReDyFg9Nb*elIee7wo+Q#S!*ai5C9^n+>L~A_ z)ZQ$u(VR|IL12ZXspcS6GiPM8U87T+zK7zmx7i$jA$KKx0_lhr@s<&G3Y#zha~xQ* zU(=F2FHPS-CT4_fGC|->bcnmiEZckWhpxGRvL|n@^?mBu^QL(g?t7Q%FL(mM=GoGR zYxkqtL;>@y`ltS|eHXyKpi)zQSB9#kzzI`}w?>5X&C{-Fu?si~51Zg;<@$X%9tF#r zGu+YqFVb7MD1+gjl1hq05s%*(qgGR4D2t=YV!EMM0aua5va1hB$?PAv?8;A}W3rVUb z;MY+M9&6+;L7QsWzohyB+;}C5e)C(oPGG2}n&(=M6cCS?Il!f{9G|xXHEl9MXw=Kj zGN+4%^HD7*Vj>|ETSMW~2e#2pyW^GV8iGk+wbd@&))>z&f*_eTv-Mppj2Py|f1)wHO z$+{Cv=D65JXgYXYukI+q$#7l=^#PZTLiElVg|ezC3BD2?tcCnEu9*EOtAiZa1Ap|h z`FThDa$8|*?1n5~{IEB^_l2@ZcAfjR0%9wV-@<1d$gT=$YehHr2oT)p#;|xhEx6Ez zZTWDWdt4FR*bav-c9?41dC8k{q2S&}jB#JR34=ofq)2Q<7iGjiV32tnQ5$8mQDGKD zIAnuB>9s<+H^UA6ibboiD`eML6uPDthZu$6E}g75cjB#gR;jNAq2-vWUfl(lqc0n@ zzV(o?Fg2mRek76Ag^D)GVav?1Zp~pHLNC_`RhAK|FPhjtnJuok1fJw84TTJ73wmb4 z2;*xG6B(qiq*_RGR1ODfdCQjm)zwzwb3)t@2^cn{cTDIYihL; z%uHHjJHkx^k_ZM;$i4N%oAx(EU(c8UYPFpW!d%2vh1NRRKzkW9sRKDd!&xC(uR|$J z%3vEIL)#7xoBvz_b(zVj7O^>sCvRTBm$==T-`YnHR(x!z;pmmEFseB)%QKiHjB}E= z=5Z&@__o_dA+~iE2D_O9rX_pQBAxmNhtA)STXZSTog%M{86qz@*@7@d6LH4PGHv;{ z%|`I4MUa1smtkV|J~ib&jEJ{k=oKiuKFSS;pxssSN1=yWYN)w_&_C2TSp9fd9uz`( zSgqR1#A}Lb^+z*AkFkFNEM2`MjU#2vqdD7#-SjNtfo{uRSS%X7vT3+dZz3JHfeR0aVKjs=SBbLRTZrK_geSU~tr28^UGp_AfORCcsxtTp9s=&+ zKb>QgcfY$qx01yVk>e%%Z(XY=ee9!wz4@ z=wjra`on4bb-F$UhEoNobp1JWUi3@P{Bi1hs$D+5LTJ9)U2=7IaxcGVbj^o7%HMJq zT-Bg?w#J6MC-~#TX*TZb9DVD%Vupj9ck$(4=&dq&fqa#xdVU&xceg-Xq-P5c`Imd+ z-HE5rr?t77c5{KmDT3plRhPG zv#YUgLBPRAX4})OHUUi;8R&PGpQ>|J7SipM!y17DJVs{ zb;F>xN;2S!ZR@U|duv{$`iBhU&jA0_C#Zq#DPLf=?g{peY%V3btZL-C2h|)EA&L{V zsC^G7kt$ZgFE`;Jso$2^^%?oCeLSe`STVP0)jr5~EjpPa$R;|@c~G_46hc?F88^ZT znnDQh0p1BxyFHjg1(ifI3$MKiPAqnxK6*B#X19O~$4GBEu)(L1NgSbX&7*n<2(~l! znIO5R=-n>O_LGNEhh9nFB^sn)u@|Kd)7-k; zn@*?Q(oF%l)q%%5&Aqi;@@~OWv*^px@}}k?U;f0iJCQ!@ZDsqV(s7$U^mevaCihf6 z{M29Lj;k7bggL@~oh<%)XI9%t1Ov=w+h}MY4MTeGQ#7{ir2zJIc{WB7^tpJp`g?24 zjG&radM+jdUOR?tRt!UG5}T;a2W4G_+V+LR0f36Mf%Bf*l7W!sOT z*ayjbd0mWL@tkl}pomfN0!XXm*pfmP5ulYfaZntg`Bfal$kVoP-Mh>O-*MTvvs{d} z;wer148#B8i1^5kL^4;`0x`U0#w}51X*Ix^=vy^>k$K0q|x#uRAHt#n)w5D z>%tps2C9f$YzJkZl~{yH#>T9n?DshqB1)uzdME+X_$7bUWc6~`52s{xlI8My)G?OS zDFC)LR;kD{V5OMvy$(Va=BWDhR&UVaovqbL1HWsLiYL|X#n>Zxh^2|8-rS6zouRwLOSYPszSgc8cp>20+NxDznEt2(O*v^FXpZ+ zTMMQT(3Bb6 z;d_n?{l_*_jN+afzi(~cU&y$7uHq6hH4akRSyYNYtE1}P84=07hUr!_;{kfG}v za6`-&*2o72&}#{J(C>_IF$)&t_9i8L8qK$AvdafkrBor?PI>z@z8ziN#<^1YioV^EUf10;$VuHnHrMJp zLY=8Ndz@=so~m=S;&uAKGS1lHZ?a3_!w$RDoOZeKxiwNKjaNE0uWHp!$7tEQc-pPb zXemX`l-`XR^l1{%T%V;_x% ztPxpWDtww=-ZGXWee#}&F|^y8%96HIR|0SV!OWp4l>Znd7|N`w3Lh>@V>RlSxv*Ec z%TeUv29nE=L(^?lBWM**&N~m;#EvtFNJoCMW>`wpDYMk*jKY$+rJzV~rm_H^Tw+i) zmh6|0<}Bvnf_0UaNtBTnBB_O$84PzX3LTBKD-$eXIlnACO4;l^Be=!LC4mvFr}E}z z_HSmaPwN4Uf?=a-yS8Ong!CUc#YNWeJt5UvP9rho;8?V2gR z^0-zyI%JsoUK0u*7wIF3?xe`ipz$6$`LrgYWv0}kqskiFcK-6})q5SjGXLA$)hAfG8_wDQCXD<4R?&1%BMC3*^qT&%ry(wLB<1KxMGeE9H zAvKblYAbACta3iX&{$adYIhF`c%9ob2K>ZWO^JB5X@D?`3^k2C{g5%kn$%Defj@ha zj(=Pp8L~;Z1mw7|mQwv3Vf13U+%w37d}_|ER?$AcvLZuhHZhf2!wI%&~-ql+#GC}fm2+^)=|q5An!>OcOV~>beXLJ zWJY~6r<+ijdj~Af3}$2U;+g80xvi-`;KRLt8JJ?nWR(Ww;!n#7koX#RBS)i%kNhLo9$ ztE{YA=q4{L{}zVmYeiOnziE4vti4E^dhFF4)l+E=jAP_8y4@~RXxC!sCs(&hFS)5| z8E@7ka%u1L)l2&;c_NOnlU7RSQ3B6BmbOl7+ug?i$cIfGa-^r#ppQmiVJ4rM%;2q( zC%Y)PJ&E|&PpGoxqI;P@$&ouar9ZnO?T@eWwGG2gEs56FvojW63!~lkBnOf4FxklSA!Aw$^}Gqyd-U# zG&I1wHOdf3y~0LxboTvAe!W%*J%W@4@4BZ+7n<@9r&!P=v~3e^(k1EdY>~Y>A};NZ zQZQ$W!yFm3LsT24PnR-0?8nq*j52CwDx=xUpgx~0y;WG$Z~1Z5Q;XbM47jf3!(mEn znd?`9Vfn(BJF?(7UoIumwGp9cLZ$;wugLpj*O2HpCZ{$-V2Kx?UzH=>0#Eql10_9& z8?sZT7$a24Zxt5w4KxH$b+6I!~Jq6(}Lpf8G_WXDaiY3u{mr%z~m7$oNKjb@)+8#bL?Zryv}LNXn+uFPq}2 zeNfacDbwVZ*C~h7=mLv?h9#$lqmHNQe+-xX7y4=T)Z=~(#&r>`NsG*z(7#S`cGSu6 zne+?8+JPs?#rFO=zUJi(*6llUtrncTS)Q{;NY}mC?Fwu>Kjf`)ey&=D134eaGM^)s$1`WME6F4_0P22 z+4!?6o$wtRSi-ZSzp#qLNiT-`CWsT;jK5HUM{uSZ8@Pc!{D+K_*2yC--gXQ-rgmTo zJM6BSk$#L8Z{2L?VRc`ScQz2@&)wMQ-C4Y)$K>cN^Hu$F7NzhXJIcxGirT6xj9s`- zS;C2n61^5ptY9g4yGo6qv}sskjyP12ddux*0cbr5xYrQ+!HiuU90~%09H}@|XaKq?Ow4PZ7qw zaQ0nT+K?(erF?8zIa=)K$g$mNa-~~v^3ZS8cd=Cpzp`m*@e@R`7W+E*6tH4m_p)N? ztm;?HD&J&Ue`+%6Q^#o87lbuu)6z)iEr94Ylk5kkskJ}*e)xtny;39<^{M7~#tD+Nl@`OPi;`qbUpCyRDfqBL7)QIjaZWq_ZhH0Kv7JKjW z{JZ1yEyGWGSbIiz5zno4{anMVxkcpdFFV!4A4BMiL&N`vny|5dlP+iq*{-1uB6Ip4 z{Kvndc>OSC^_b*|uL1nDkzu>gEH%u-)kNutZCX?-y_ku$@TvvY|nxj}8av}#wmTKs?}+Fmtd=H z9}@satq$z(;<@kE+`8kfx{b=+=rEl~p9IWze0nu^wb!hoSsQ=sjgCl)tw6~=G?`Us zZCUS)^2$fATHo*F1{M30sNOc#yH8A`-Lykpyb^m6_l91#cVmsy;SLCpo2R*w?&HefqY-Hp}BCiUj>7CuP?{TNTDj zJbU?0l0c_twYXKbrh}Ta)CvqzpOky8<`VuEzl>wko$}TtHOG6YNe;*IgHrme!`c5@ zYR7;}RUHI>x#n_NvHbJZY-$Y4*LM2NodK{Kz{U-6X6yNkv9i9fgCQ2GgXpLskX1#DZZtQo%aA z`xK4|%K$gMJylb_A&}l?>HLMjFXzDE0+$yg86GnF13~FT3g4t+gB>&i)}b3XHz*z6 zD&jm-?&1{ZIL8AnbO-$6VSo`roHMt>t)G;B(o(c;Z2X^Xax6TdpYovdabq<@z)haK z(c{gDL$kMOye7!9)%hGeTSSK81H6bapS^;+^m{!#mAE0+ENoF5#`6G~;mEF&9FQa9 zLis5RYeS^R6y}^dD06}cN6r2-Mk(#)qY5>`qQPG9!F?pj*Wc8%t|uJ5hGouqbm48z zH42Xj-f<8VuxnwcKj3yxvUVBpBD$E_BNmHnKqv$tR~lOGyf3!?VE{2fArJCHY@A^2 zTFM8WS(&(?P1y5HdVudWYSnFDY6WyZl~oyrmoCb22Hky$g-z+N1{X zRUg$Un1>TUL)lp18-!ngj~yz1dWh;IQ6z@) zgf;q<2Xcir3TCR*Z}U!QD3Gc~cAUpPY+MP8CJwIsLWDQ9>;2#99%*CZtqs4Ra5mao zjm1DP+lR#d;vOj{r5?w4ihL=&c#)G&O=A&eoab98#ga3B6P@vTF}E*`Xg(?j*ZW#k zjw+3wp%^f_ydBKM?-Ws+xj8#neUglZLB3p0YuRYDS2M;STB`HnSZnl}$gAr#V8ltk zD$KvT<6)QU*ZeChgEoHiwM)aAqc#McAm`RZeh9UkmDaQI)Se^HOZ7pPAGluwC^N#z z92wD?pxJ}{N2i=YbQu5$*jsv zZ7L4E;I-b(Gb1i*lqG>`xMQm4M@S7O?d`6|ry*xnb=1>QX*j3Fz6utwbr$3(^Va5? z&TIHOLzqeK7I`PaVWUWl8%c83c6TZnC*2!bD4fkXZk&XV6c}ayki=j+yiOI@;Mp8* z(p|=4XTAgGKLH-!RRuJ(myO&Pm~*P$#7)#R$2;Gpwixh^>>tdG}}Z!)m09!MU40` zb1Nx?EjXl8;;iI}ljRm-vo~q=le9W~Ug}BA0KKJ&Yl|`gzh*4B7DYAWUC?YP^H*oe z7P0-f5l6N@y>`~VnDmr4X}Wl_uwzb|10Y-9KY^^3f zzO9XHv|Cq9&6iC{x%8}IoS`M}gZQ|zz0LF=fQ?#Uf`9QCTR7KHd16|8Qp>*^z^H3M za+DQ+z3Y6jR=oiBLi2byPa$uJvsWw%5HBZL$#nQ$dn0etX#NkXmasLT^&=s9o_w7# z`x7_vky5gSPb(3Nb{^qua5h`G$g>P6R-bQE=94Q{b%QkAD&1;`0I(`qFD6@do4ll& zY-Lr>>h-43(#K-zzunfh_$L1SXWt^yJjPqop89aK$hu(TyHEqvgL*>5v3#_UY_4no z{gv;Zk#6=6kdqALdJ5p1*_yEG7qD*o45$_>dZ~Gu4X4ZQT6;kex3f0sAR?dW*0&iu z&TO!EN$JpJqM$qkH>$6-+p`&{^lqU5`#d3p9I^AhPP&bF=X3)G%T6`8UDkius9D&C zx^=C2CYiRgt_3{V+3$3k9YsExkGk%d9ZBYr`E}4vT8^qgY#_(hzf-Ed)Q-RxR1Hv`yo+n}xLbugWwFYtt+cvsta(6rVZm@GZYzlXjrlEOd5P@chys z*)W6+lkwMoz2s>;o?Q(Xvs;2MPP%G^6)w&oGTUxJIWca2 z2Q!KzCt!?!GOeSi!QB-S=iE8cs*|4Qolf|vuY7Fnca#;cXHCb2)E-va`^Ypmvf*kw zi<(REd9|4}Zx~ifP982LSZOzNv5lAuectV^r#cYjgl>)CU=Ph2yxGy`>qBt4IXwPoTp2zaAqkB-?n9bd9B*C_`MMs8|BXRkIsx7RRM%UjV?7+w%GOe_UylDcew~T65)zrcKyGd);kc**VgyIZG2jRota zxtc1jSUy>uDRp>DLwt+^VQ2D{80=1x#o=_3Lm0x-X42QQmxyG; zF>>h;;&@Z$u_{hGSgftwcnT*bfgeFshynQi8ldbOdy+!YBqTDHQ;%wAY`)#t+v;o@ zkMMw=q6L~RchUOluD*hFc>C^$w7%MqKnhGNuFYXWu9_p7ytyM$c#Ju$>y*LRpB4vM zmwC!B%{V1)28iEKGfPGD@UZt2umvGCE4CJQQkpZ`Wi?B$d5n-~FMSM9&2IHjTsSW& zk7=+?gVE~OaFp$0TqA(o)7F@lmw)`WcQaPgH25B)cO@r-UOy6N6CD{wNF1NqNS5{4 zO44Vhb-`3jz!9;Dwm3hbV!8Rlw81U_r?4*ENe+uJgnaqd^ia17{8u`t4MRMcpaYfT52&|l;&VcRAs4og6Os@Pn&&|em`a#oBhIM^Ph zH)yUw)&xn+w*s%q!4jPq=ZxOcwZaT*`89`4keqF8iV zZc4B3rGUG^5z6*`#^|F`bv_10{B4Ga>NzOY;T~NdqnS)DMQNv&x=D`#HgDO@kj{H5 z&+gfYv?Kp?J@5M&*3Ob@kKS_?kG2O-O;QTc%25Ld91meeMsi2(vo{kLoRk9r zn*HmV3eZ@GIEYmDs8oT-_Je#hi?_yT^+~pmD86BaI7}WA3XyESpUv%He7#4lxB2(P zd9DhhJSkU4B-}lQx}psB4%M7R6TYL^TuS2t-OE6~Hx;piW$G9lg5DCY`>Y_s5W$$M zyPoVgtIs#V%9!dbwkrz)=P+_oPZ?(my(@+jZeYBn$>2*^3%6&)34@s;wI#yI ziej&v1pO3D)ovC;B4E}Za=o+QOQW59sTIW!JH>-Sf6`y^bT?o6!%c$K`9yExP4YsY zV`ja^c|534BcysEuls(edIQHfO0Vlz)3Yb{Y;{XWx?Jn=^;rtjVdRn|x!RhUaJ+#A5V$k^WG`|Eftm(1^2RG#v^h+9BfFE{H?^d#iO}A8gr4Tk%KP{}jzHSDkE9xhzQX8f z!?2Nqp@xXb!+30=IeJJ(8A7I|2rYLILI1~3LDV^h(_sw9Z8**~sVQ*Po?rb|qF1zk zUYJo}omL+Di<+WeFRlGW#_U5m!}JH03yB8 z_OV_OjQ5QJ(359&I~>xh{MRd0v5mR%4@Bd?4;5DA?tr=vC^Z*e;$Y=*{%+V@R_5stfvZj;Ju1iuMB{asG}g=P`_52CEU z9SMD0YvcMw(%S^8V}w+^U{n2{ppK`&VsR6Nal=kdxRb1cP5`*w*XIBZpp`km1-Wz4 zw;m&fXY0EufwP=vi()7h@mLq`q2tFTG7mP3YZoCLhXC64{)B4z+pfa_Y3Lcqbv{@@ z)(g=e(cb5lh$dKw{A~Q0GHXZ%L!?eC{g7EQdedFO{3Fq*$ zYmI4t@CRLFd!%M0sX4b#Dt%%Cni3j$84lIaXo{)lS+A<45)NbGMbNRCt22rCXrmKE zM9{*>9}9lgpK=t%<+Mbs?IuZx?WoQ^pb|6vL6gv&nHNKgX#qXZF${TG2c*Q{43gXE z^|^J)$AT08wX(@o893akU5iLqQW2;xI&1bk!#UL)NVYQS3Y8kF-cLTJ@D<}uYH3k! z5vxn&6_X=e5bZErLY5;*zDQDtUPaWdx$x7;}bY zmhhrB{OUsPVz=1&5u_fcxOA+a4KquN?)}s@ zX7Xl`=T%9LRd;3oryYn6gi1yzH6fMyEast73vn)U9Og)pbcyeDcmTQRk?z@39Glg(1#$WXJn=&(-4DbAACG^o9{!(Gg zH0zY#BKf=7FHg_-AH<}Tp?LP~dI~2!F#}5hSpV3xPmAYsHOF*Zp5LX!=aMNC#be4c zfBjOv_GPFVrHs6-Wo(WW7$=5kGPYd^c^N_>bdNag$hZCzch-&89<%6p7Eez3CJ_zq za5mwQt7ZsS`7LAIZCLl4RpV9Z_sE`coKa>2zo8&{O65yiU*?|a=~#?s*p4$bXyPzdIMPCukC~vn{U}R2SwhTH%i+p>YNz+A*GO+wnPHB3V=n11 zUB`0J)vRp_kATj-s0t}Y(Vs_qoB}pWq8-KSSQf^`Hk?d2@+n!yQ@5yH2|r(HaF^Qm zg$_HKa5ucfcZs_op6Z*-<(D6)aBqIW_`a>dpKjzxbcG=ssj0>z{h)F1mrUs{Qe+3W z%*n$9Mi8>7-K^MPWWKU40**vgsv#!PmWsqeJx%sX8Qfb#y`yF3_$Tz)Y`+^dv4+6;8E-uXVyHl z5h-8|NC|MfuNQQ_MbQ!Cq?9*)F;oMCtU-<7ArWLUZy8B{RzW?WR=BN0F&6p4hVRoK zi(&tPCIJ&ny)!xG#v%A3tnQaIT_Ff0psNarwIY<)aZ`A@6vmuIgSvkSF$?27gQ5xE zr}Y&VD!9)c=cP*?VW9Z9(BEmQ!6WmM6c)`;O3(CUjv+xBHFCPJyHF|~DO_8KUU`|k zP4k-=+Z6XgtN+Vp57}~_*r=)_iuB9w3b&}N*9}Rf?%9ip6cQGecQB;?3(v9JJ8V|@ z80GPM2ov^6d&lG>I@B4bQm+OSrTHTsO>9Hbjb=)1ZJ?I$quAE{&;84DyC=}?D0o-b z{-WT-dq0SEv zeU=&0hcaWKd+1pox$pY9j#lWiOQEmCI-{E<%c&!iBZzgx$4`%u()!v=6>^5R5c6_* zvQ~X~YKQ*j5i0s6NuSb7?$-IgabHr(ZY0&#bq%2od8j6jD1#_6{yRDI_BD8F%KA%N z?yQF^LwTg^KUm}&Y4e`TDj-!g3E8L*CBO^QnpU1K_8c0T8R10iIV*IaExy4%Cuzr1 zPIMcWGPuT(h4#pOhfy(GzPIDyAFtQbY=^N1iJ5aHTbaStufdDWAJ;0`4YbgTyo9Z%Nu#N?STcohG z+^?FRoLvp8n8GbJi*KMytOL1tvsVXr)Wu}iZx|_VR#Kr*7-(D&be(>0Uj^7Hc$L-T z-c$u|T1`0mk&~5uT``KJTo49nk@T(~G{m1(P{txhy70+s!5~JbBt%R}Y3CS!^VE&c zp$y}23}e4?|01iEcmH7dnT%|BHX|e9jopQ_yq1CxkDxoAz)J$nv_f)L<}XTYzVL^) zK~;6Gbag%xgI&`fOHzFB{w}FxOr+S;6{}TE)l&k3;cs3qj@B>w+b%0See}WCTTyUc zjqfTJx?W)AVEiwGLbhG?46lJ=XtgvY51hg_dS5kCAC0gX`kQ0)NF13s&Cnb(7w~%7 z(Sj6D!V2&uc12*!qGEzWSFM9Db#=5FcP>o87WQ(c#fgTRfgl#uXb>>>Qa;oWd+u0K zQzCMyP%-S#dop%`;;2#g^p_*maPs5K4&wbtH^}6SM&bgm+{|ZshVNy$Z+TVR$=$fa2BODzLgLY60X?D}9g=laZ_*6Xw~}{fO~M~l zQohaI_>32pjpqupa_Q;=J(spi{wVrkpgS(~34JI(N>KnWXsl6#zV!?&QYelpxWH3) zH$b4CN_hoGt@_0$jMxhVJ2khjM{Ya@dwqKugF4c7p^Kms^74sJQQW`T9 zW2(9)(U1CvcXbja0$8IH|Ti+lgW0TKo7-5KO_TVplj@T3u>X@AHL1RM*!=ZeWxjgJt>X58k;0 zpMuxXY|i23skr(+zejH%$-*q_t=H;DtJNICq}t^KUMNPGV2#B#3{6F-s$Lh)epxu$9FE4YFk$GSAdYf?vF!pP(1 z+e`8WX=WiC{%x`ZP+e1rNGV(G4cNRhdQyB{o?UYQAZ(o|vE0&*dzLjJT zN>ZIRRvy$kWP*j~Zd_VZL{mq4>#DL1XFJI?(?;Z#V~Zwf@!L|o)Zc6GJ9K79V`J~0 zukLPmPYd*ZT9ZQ7dEE?)1+YmjYIe!zNd>qYsN!FI*A{*%rLn^;-W!5(bchq-U*2bk zP<7AC+GDI{u{4S*5r7tl%MZwiO`93CpkDT5QU~E8osPGZWB98o8B&O!4WkyTbchL( zD;HY|gc!tI!eEVRIy{{I&45>Vf_iuPXqN``l7YRl`2MiFUE5)vUE4HT;wRHFOHAi2 zt)@Ba2{ySHQ$_QB?3!`c!S(5HgDY&vcep(zmj}g9k{FP0yq4ROwA7Xa6%!nTjl{m5 z6S%nNE!TCy%vdFOiY2{~ADLNe>OidEiZ$rp%kzwi_LnQX)Yb6GPUmq%O*y}5F^Uc1 z;o@qXwb|=jvW%i*G>xCH6RIM%Ym{##G}O?Q=v*F~d;}+6K_}Jq;ZNpKI+;0MO`-827CnfnbKmc)C#;V8-bP~wFEVwP~Zd2=kpfCnV) z+O~FOB_u95zV}#sC@4U${nd<3ib%dwMCi6U{iyK@oAInKuG_FcgEfkk^2XaZOb^R} zSwTB8vdd2bbN+N~dj#rBTSfGPx)-`QxImYglT3duLzOJSS7)#fxT`P4;%TvFLe-|^J8bn@s#IvQ4O!tb)!_+axX@y(cX_5B^jRQygzy09lI@7-yL|y8 z;Y8S{f7fb5IH01oHhnbKzN<$fRtq=53Y~h|^B}P9lcWx?`z|hom=OFp< zsAxA)PhO2k@y!>6pU{Zq%5(M}1|BD;Bi;;zNfyv+H}KsSTbG-$f7XH8HhCTdpANL# z1UHkgRy@-C+R~i$=$01j0e*RFv2Eybd6AO(YlMR(I)Eq3otpyg z{IbgKdE@box*l#iV&843z_{~dbxZ!&t_kU>NG~*;ZOvSWmgnG2(Onme{=7}=-NA%t zq?fvp@1NUXqut&`sZO)Q4E&~G>!ZLxQ&l5 zH%Y1j4(wYu$SBEGKuLzJZs7mZN2QJN#fR%ZYFa7jP0jB&Dxx)R?149IgZkg;RFMjq)rn7}*ZAFPh305>SN?GY<2Lq2@q@@jDp~dZ53UU8r;Ln;IK&S%qAwo+(N8 zj+@|m_g4g`=L8J0GPd2{3Al5Sy*uNo;Bi-Krl;!O{)1TS&X8{sJv?m*h?{!&9*w~x zx)qs&3z`$&=~>&j@kMT!@I<6c)AX+Y7Iny{JO0ueaxWlt?+@(WZi{XzeT}IoUa!FH z(>SM=Ys<=X=Vi>>FBo=~F=d-sI{Z-UtJDjezqR?0a#33rEHdJ4X6PxZ{f<8MA<6wV zvaBRtGHo?8Q~EilP5pJ$=(tzL_Xc@~(WDfqNDZAQUE6FAjubpXuVi-kGJi3=tb3;; zh1z|J*K~B6W>e=pj^`wCwzx)4?j_Tgac3E%ezeOP_;=Zzs7SAICMU<{Zf5--eL%WPP}f|Ibuxusg&|l z1Es;4n0iHzPvkyv;_1k$+azy)hb^@r$=H}KtmI`WP=9jycbvLvnr8bTB5&&E zm?XG$K4^&V^XZC9oy~jkU&)3&(VU+CCc&fCmv$4^9|;P$;JVvO2SaJ|zAGb%M9I?y z!{8hO`M`OhXt@CWGKZG*Ij)*!IA`3>rCDTgilWSft953IRx_}0%Q;-(nV0)P1)!IK z%!fxlOfSNI->c_Clk>u>RqC+j8%hs|OIlGWxz^a>t!C|!)wgSw-4Nn|$kmz~HSE0G zo-^DNp7GJKAd9I!A6( z$N=cQww`)lbthz+hahH|9@)WZYwg(_kpObI$fLBL%pF#_%BE+-HEWgp&{b~cdbjK6 z1SDRR&IVPSRfx&|l9q!-f4%!|p_{Xw;;SrugtxmCaQnq;gM(U4plLK3;k#O|^uA!! ze%%{vojQn-Be1ab7~K*t1oyW}-oE)K!wx$6hJvI9k z?Yd@Ae$~>Wo%4_hS^EwqaaqAV^twLO-q6l z4NhSS@MwTOBVjnO3fDh;`Azm(S6E9*WbxEs`bPV+?(ANe?TT@8AG}*A|6()2jx(6@vE{p zN@x4OSbp_sqdIrbAyeLM`1)h-)Dq=hj2O8T=hZk38jmG-jtKAcM~{nmCK@RG+*Kp$ zrn&GqjjB#$^@jk0(CkdwVL$0#I+R8;K8G4`Od-Lppl97xPrfj2BA%Bfs(9VP(|^pc z7@mXH{K9x8*!A7*u_x(8thNw0?^5TvQwbm$`4zxF{#G86>ze>bN<$tE-;na<=Dp>q+G~NkTOC-#CUu zlEKsvp{t>Wx^qj#P)7x&gE07?Yw2tqXoa!id=g(w>glOa?Pu(f>QF@>P}c9rBxr27ey zu8cAO03A0UdoQnh9zMVJ_!JAQe=2& zl>E;sdlul=vvhoYI!%}X0FrI(m)Nf%0VLPjO~h|A<}?Q*zO7lOhDm15+=ukI3X7AyiUYw~hY03hp}XOgaY3aYCA z3jCME_-9Ml_Y`N8#%I}2XI#8L*+bI6|6=C-75py&=TA^^oZ_sG^DKN;E%+0DLZtY& zXz(n4R+;w`rpg6h5t zQF)s=3BEr(-=Km9Nn)IeSji2nsC9{0D7JcH^p5Zrn&548g+O9L?8%}iF&ZDgx0Vki zdg@$QA1!pXGgS-8STtB6I+YUlfl)H7MBwfY@+HRSZ<%A>D?S0SHurChKk6pd_gCG@ z&ZyR;$(IzrpEd8F*^M{;_;q^z{r#6m$Nl#O`>P+uEWO%QZ_|ZgFHL)8m=3Ga<&Pkz#>`_ieY)~0?8S)w6Y{vEti%X@`LF{mcZ@LNQrzgkhleYmfug?3_31i2@ z6;J8M>F2A3&*P3#PW?%2n3<=@yTrUG7wWf6OG}l)PKS1UQ6~rHQ^r=tN<`^nhjrO? z?N1vOZ&W|WH8bKeI5RTX&L%UieQWaUP8s-q9YdcT-`vXVH%)RDl}^kaNI*hPI%}wC zxScX|Z_X`cfCrqkWwMq`_>OOJR+XOR{ERB03sTsB22EmTwrEKXh9HZ`4h)Hr`@UKN zUy71YI&od8C*?*M`h%XxZ3$(HNP0E6?v7iXV zcfn$5CI8ix6nKPvMO!%{4!Sb8eGLD$O4Fyd%B%(Bp1SS%fYR#=2uvpVuBtRXuL;M7 zLodFhhG1bHduB$%BT%1C}DY z<8#o&lAB|yL>OIDM8|*+C&1Pz| ztQAT;c>&`qbFrWqSqoK20TYHqESTf_3_m?Hx!0Pt?;{)58bQe$ z)H9!~slNYuC5;ow7ELE>qKd7~_|iZ}Zwi&NN+D+n^$D&&BZ2WOhEeLsOA1%zdD)oR zyd^kt{Uu)oHB;?`XhsT9{ zq0C=X%L+l)D@rLQNdhj)W&GwwH71qW$@NB$R-nQ6^s0huvSZ^~oZRsGnK?c9CbGQI zj4qcYb5o`&vRwopE7*jzy{~h74Zs0p3Q4u|^exTV2o{Ef3!1GZKhILBvkUu0vJ+w7 z@l4vn9WJNeEbC3$w=*5Uat7ViPmtD=Iu^@n7u~u3tdrU zXyh?u2vo~2gGo$v`+aJMGpV&Pc_`k2?0rABlLE+#<-Em%n~+?N>?%^`^!pqU*{$!W zyQgemDL^gNo&g=!VRZA!7IqlELc^80eV+?jTR1zCq7)!DD04>4x@FZ+hdrsa^nNfM z9xO)tZCg5!6Wehe&@vR8(6#xihJdiavY7C0)l#9!OHepv-dq2e`V^CC|{>Jx!omW8>y z(hr+FR60Yehuln;5^^4(W?QjcXb#J^ODKr_0VN`hAPOFv{2FQ=*nz!zsR+PCOu;fe~MviqfMYV!jR}o7`C$d2kcs`iYiYO z9&tQq4p@LUeKdVc=0mXbXD3F=&5~*CwW!N!A584~_Q%Ho zLH9RGvfpCHk(nrO&fSW=-!a z<;)61-zj8tF3{1Da@oN=R!|MH`VP$HmdH$SA)Lz;lUTX?YsRC36o9#0*W#Tc9yuxI zbK$ra2}Lg@lgUP_+y@Ehe3`fpE)0uJ!(fZaZBsV6if_4S(pjH(kzOZ}(3UV62h+`* z^n%eZ2L+bn>sU$h>(&qe7HhStP#g&OYLO24LWk6{r_*^_44)0Bw$oA*06hvF>~xgn z=~=`xTI_Ra6B0Kx!AV{?cOQIzT=+f4xABlQnF5l3Jq)1)tY>YO?+6EwmD=D;smzr@ zB}{*%!dDsJhWpi=bKO#iCKs=MW2(6kaeXlys=KXFs1?1vvmTJ~Ku7G$x8znqyH~SJ zL56c^+0K^f^$Cf&_P2f3Knu1tDspVuAHtw^8iYmNH_pJ^0>nvRt>_uxt(c8WN5^jf zPcFsa#6cc-r7kwK26be`spb}jI1c03rlaws)!O!S0lJ|$?V3)&H5G^1%8`e6esd8_ zCqti8Tzi;*gJ)d+Yz$n#Jf2E^$e|e7m|=|EBm6HOkA2SC$40o%XL@{YKV52sVzTV z{iuFTnDn+sjwPCdWv6}f^Df2Cm)Qu*Fb{5-#<|#4ph;$Wi}_(>fN4vi{$->nCVG~7 zR{%}u^KPhmC}E;J79h49;;12E>^Sb0y6ex)QgKg8OY%$#dOs5pcmjdB_Sp?-q=$ZR zkcNq7`i&W(ttB~>LnL1gVNtOCvi7F!yq58nzI&;761@Xs@B(RT9NN{{8^khSwcbOZ z;u+_e((~Q%raZ_BVVy=H1_4wCtsuz;V0PpA~P5kGtuQ zM`yrErb73*Sv}h3P5J=Vh_Vq96AT=Qysl}-aju18PCP2#6%Mp9-*`;ogr4qe3BgQ< z0B;Idwu1zDH-^n-mkdU_Bb0m~7Bok)&T2_rOP|qx%@z3EdLCka%iA|Hek?R#jC0sq zi-j5XvM02I=oi3W%>#vaO<8K9_d3>6G&kkvGTC-{Tt+Y9v6Cp|^aWk{;HP;T$uHGQ zyhnMc$pS>&e)woV0r~Ls7;2CEG@BS|Kjoe4ht8eYpG?h8lCYoD<6Gzd4ztB~TS3Tb3P**S86W<|?8BThaOx zY(``NdL6USJAUOqO4(mUG!>AFZeDZsU8OOCbDd?vzH!LY?DR%Tjwytx2TNz=_u`TL zYAa{`6YqdC2Tm0_(cFh))&U(mE|Pc3_%=qy&~@kuZ1F~NmWmKg1}uwT{S1ixc{0r( zi$0{sij@+!i|Q+JW2gJEP{s|02k5*BuHq zvcXMsjPl6nK$$C<+CTax!C8 z*fq&oZygm`pTxBqV`|!P8ocaMu1Y}0If=NU_k_y{0lf^ik(xiFeDHP08;56p$f}16 z?~y5p*-W5uQ$vyCiHs?|W%F|!(YDtE{t>+``A^gn5X06R%q9M#XcuQ>s;AGI5gGx8 zTV$KD*g$8a%PE|B#DwUNf=>8vi>_Cfn;Bqd;j#Bapj;T`_xxH-ao<21y%Erf#wDl@wXXB%{&Dj*LL8*T@1N1IfI>n@mF{CCs)DW zlFlQn<{&#lMR@1y&HTh9^k2kz&#Vw*~gNtwF4OeWN^(RX+_W3z2*!*o9+TzvW^bORwX^*I`t%j zMctQFSAx22C^q@U2snfz9U*5)v!!p7f{~@3{a^{@1JheuJEd%7n$o2iIWCB>fLXM^ojE!Edr|y zn$sV)9on76T+GxHKRA`b?Qc9*$0Zy*2nG2f zyBW6DjiK9D!Iclw!Y4}UXG88TYV?T!LhVz;(|jZ6LTi&nVI&?K{%ze6h?}G8ujgCW zXz4<)F~>RjnFivvmy22F` zLnuvuuFj(sWXu=+Z6dWs%{L?CIAvJW36$?cB>s zo66hwwZx!5urs@!nKF6rqG6lD*Q*zSY(=dW7e`;(QlpkDblCLgTTvFVok7m&Y`LZZ z&tx#LYG7^LL&|BtgfZOr7+i#C1=Hi3M~ zbo+*TkM}biSWQcpf$7a_F?4!sZ3W6+k@(cQz<@%egv_HB=vBg^>42b|gotkflU{z{ z>%J5rec7WV5L=%3K?is~L!_aWGL13bwNz(-EN;t)5Xs_aGddev%$zNKHG9x+JtKie z2Q=m679}OsicKn%*TGvG;V=^v>s=U8*J5U&`UQn{u|M@M>B9_Z={qCw3--YIAz%2y zf@b8I+4#Y?ZM|O&WYA~{ZbCKd6DfIO7xXZco&~I1?&}M?BXn-&|l%3NV z+-=RjM>I&Zm>sPmSBJ>9>8BD9YfW&drm_)o^(sh=-Csa+80TS_M@Aq?`gTInOdCMI zRP!8|U=HL}Sulp571Qaa65q{qs&w9($f&FOjn#=X3~^8uTDl{I#URWSSw%B)m}0IN ztm|~^L8x_RgfC5o5i@NDoa@9wktFXHXpbV*P9YGeS#Pq3u`|2v4%W8Ej@i?p8fF+P zXwLsQqe~L}(XIZuhhYQOd`!B4%0%h}P=Tc?QUp2`64GZ}1)NcKt!k{fIK33cv-?Ut zzqfy+pU#BwsBc__(Vs5FC2ls@flu8VIIma2?ME&NH`7tC9(b%&rNuF|RXovBt@BU4J)i{N| zv|*d>&!_rWiYN4utHETGL6XR>&?{|!ZJKFWKoYF@<&YyP>WnI0oQ2c-&k8t*iO(;SR&3&0E=h$sW-qn8JJuc@6x^7f;f!$Bx+V$EfdeKvQ z{cdgfz^$HChSY!Km#{FpRae4iNn7*!kRS8RZ0T)7p=7AgAk9);?k447qrkHm=hKu! zbrTuYp6yw_giA9%Oar0T_SRDVQt)&2!qY`5Q;s_HD#2s;M~U>KS?$$Apq=0QuDis( z?0ndj{%wL`KpL4Lmu!=u7BqkuoL~pV=%*ad`;eXdz3gnt2Z1H(1T2{)|FfQ@l=-Fn z@%sa4hOgd`d?c4cw4Ll z#O$mmN@z&+o~!>ny$s6Rr*3%c3#Meiy-plHC^$^qSe(z2;VG(Ys*${2J=Y3pEt@$q zRp7DdvzooM7cewreb?m=SqVZAk6~R^gd>}mf?0g2%%qq5gdRS`%9|+vlY0ASj6%Cv({|sQpv106X zM)E;%$JJ1jsCtq0b}+@8#`Vp8pH~+rk8>WVr+x1GAeMSZwmVw*98CU#kpjX{xxv!9 z>`1+J_HD0VA-`MBUH$UF2~AyBFfmurV@i0Mk%ExzY&>Zo3oMKysA(_6MC~ws1#OAw zoH(PtT@un5RQIYk@-DDXvX0Iki(L)*Fx+e-%C5A(-HeNI?G@Guc->WRhv1`# zQs&nmq_`u)*U#K?Nl=^_VecOzoDb7 zT^!;hsE%IpH1#9Nw?sCI{t)D%8a|((;E_Yiq4TpW$&@_$CF5aNxf*&Er}`Lmz@ZxM zrKF9XNM?ylO-r8&=i#nlo_xHY|2>aVV-hLGG6XyHiUAP(c)#uK`f6n1P4E#4ovY+J z>?*15s?w7rkb|JVlkiK4x_v(~SFP9&#Fa(FCr7tv8G0MogF2d3CV~zhVLfumvW+RY ze3w{dPZ3+Y^1|(EPOZZ@i&D2nYj!*?7LQsPqZHhe;AzD8Mf(v?IinjM1N2GsZ;c z7FFgeHy`VekTyVWQ#s<06vkYIfe-kPju}uE4;B3^QkWHP+NXlUKF8S_S)IB!v4HQf z;KH$NRHkjnZ=8&|h=wt-8uh08E7#IkBPbzMb_uE70i^p+1WMKqVsU^f=VSzv(^b_9 zyQAb$jo41JguS?bAwk(?T3#Yh%htfqUaZrQsLkslY=5X2ve7)NAPTJOi7CmTPJSc> zC}Oh=(UA`*_vyV1njqK`H3v0U2Ij?Sz^{ogxy;3d7jee4(d8vlpX0!q#mim15^H4c zr1SEvlgl!JVJGio%w6HA;dB zL3d}OBwZ$lw;AtGfh(aoIm09*DRbIE=;+Q|KT{4y%?X^DsbFkGKt`Cj5mO3C-R?b0 z*sbfoVHQv82|3Oh0}j@GLIqwzT4aaFL}tPDV3X6E9GQ>7h8eA*K+N$}Us7U#s~~0(h?zDccjq7Te9sdWdEIf(+@vYf%f

=79_+7UR-{}%|E`nUl%5cH@^6+yZ z$QQZhtoyYT$#w~h*lNfa!+v`ZS+pOyWcSD6F34$V1Q?tJ6ybLFhf;g6g}&5%ZAJ1&u52sL!P-T0hop&%V=XcJ5Ny@sHtIdq-?Oa|gt{;q^RQ9* zb`#2xGQt!YViwScqgkACxWQ$uRTGaie&(;b5W3R7kqYNC~i=7;;5TKt>G zJd)qd0j}}=K4)qY+(zD;bM@l$h=3zkjc{82F9U#SoHBCnxP&SRwOi_RCX_FrqOAqV z$(Hai1`N4)`8g%j2v|ZCh zReTdKo*qnbtss5tkY-g+yT1|%I;EBcT7$$~Zu=t7mnhYm+ifXKA9~f1N(PcNat__y zC-+FGkyUC%K1_8KIQWf>V}NbK-9R=VvxY?d;Lu`Xt+$@MPQu*UV z5BSTUaX4*s_60W9v26H3sp384(5%4JBl*<=x^4%207;L-%(Dc`mgs#(t!YSNk|S%{ zWCi1@4XP(u6C}*y2hs<|(Dig+k=G_54&Oj(qR`xQB4_pR=ks?4Pz|k~kayeB*QotV z$IMrg685c^Ef_BmatRX|@QurR2hsHT+|ff9`u(-s7@@PuGr{TUh9#{k9j>qD%+_*8 zjf*fszr)KJ@YK55zP&NZ$Cs^n@-HS>Sj#?@u9eEiMhN%q0e)|h*Fjaw3Or2M+;Qx< zpAmfIE*77mRF-2Iv1R+I!WA>qj$ThXVFcZdHr|R(%W}>Nyti9s%rm8MYr2zh;~nG zo^zPG?FO)iiWDXZioJF9Mzh`VD*s5TrNzcT(C~cB)rzQ7bY#)^K#0fDkh{qdWIY^# z3Dw;D8xz@7D}DQ+U$5@28_N4PETq4nC-DM_i+V07?++=uY`N#-c0=iDv{TYjD>cUH z+bvXOJ(Y#L!XJwI?1yLTA=5q zLmRPY=ki0V&N}JAaCyWlj}a2$+(Ixo5I&B-7Ope}lO;35-<-5kvD+=5oPg`c&2w<~ ztbyBjKk;I$MeLjKXJm_EtZ7ez9gSVsDml+RvFg!@Tiuj4_YA{P*K$s(Yk6f~L(i5E z8;&XK=2eIzhnVyX#f*h6*+#&K!kweG94SRrxRO_biME%p6su`ECT$OdXIh$fad#c? zFmnnQE3dbX;($c&=cw0e5x|HIAM?SbXW^K8TCU%x;R}BUL!1^4l(U*E4%_&odnBJ# z;R%llT@stCp`=y3T`p-Zf*7-^msbwHXv}JNdQzHm7IemPpHYu-UgFee*709*f`KfZ z-zrU1m5%O~Jlz2jhy3VS->psRruPHMwo4pRb%ReFI@gAxjH@%?k{I$ce5H&lLZs>#(}Z71B+Idc&wCf_*a^g2Sc5&0ERpTm)H{z6?Rj6_0H zS|Eu})j5KO>myIF?|E$zQuacLyIMoua1lG8kb%CvW=5u9yvVWV3dMe@)yDFDv;Qf*f zd9xzfzOCxI#`^X+xFBoVM?{JJB=R&v2ihAJ^UsRnvF6m1?k9FI)`BT_*QrNv*DUXi z&2Dq=cFOpY)yI?rOuQ0@&ZwJbjXOc)h| z2QW<7pGMjHeS(AVXh7C$@Wyr;KN`dWa(Qw3rsdO4v8<$YF=*~tWdv<>gJ!0+&u%qW zAv`CMDA%qShak+TSvF=R^>O09(rXeL#Fit>ijh;xbp&*U?wO8Wh3DX^eWc z_`D7V{&rK!V?T>qTYuBrity53*_23ktbBlEZrf85fsxM;6T;CuuVg$0w-kQ9Ty!qx<&kb*qb$FWi6}R za!H+zIDGtv{TS7nc6!xe^CPr_h=skPa|7xH2iMW@XPZ3D^6@MrnE9d=SHPF8%q~2u zvim(6lJ@U?T049(Hf-tHiba56FGSE47co|S=3C$d)&1BfZSxd6Bisz4QacO=BBJfK zsg(&pRGm~;v%O{s(b|*IDZ*7IL$7eq4`c3>1l87$cYqg!hn?jE;5rBrYY)<+WH9s$ zto`xKs4oy`?;P(BD-fVmB!duEYI9#u$Uxu_p_|mtHur?mzD6xGl^3V3U(u@Fj;)lt zi(qch(we$^M7@hlq-kr}efvNfM>AP7lYHL~ zHCAm$y}!3K--q3&LCh-Wi!P_$E2a1>M>LZGgUKl2ZGdmIPH^y zgL$Z}5H2^qsQ6J@H(z!Tpt90&{Z3+75b@oHHkrZWP;KsFdDnM2O}}IPz7pCEs7r#r z!XcnZZ#S4EDC>3hYaDo#=^>~i+&UrhYv@@rDT??qu0=n%V$|k3DGui1*NXbSG+DWF zz33m5mo{CoI1jk!S`r82td)@fbFnIfTexf>r5V(sZ(5TC^yIxaZB2m>NCKgP6MC77t!4)#BW|TkLnx zhxvZuj3k(FX20A$-uaiyoH}8yFwsz9A#wkAOYeO7;NF%9nu+9k-c3Q&c(>Vcl3=LM z`cA|yB)Z*wYR%F{Hp(DL1g5I#z_Rf&TX0t%hv?FL2l@T7 z_$LbLtyr|K`3XwgBzcr6Zo_sdXa?W^P%wE)f*xy^!cCv}X z@?owIh8F!n0v_-?(f$yQlN7vx&Sgp1tH;D2_saB)d?V&kHkx z-}me#xxc{V?tO#+4*~W)V@~kai{Zu>RX*5$kU+wp82%30?vQuQAL1ht@2fvVr`_*+ z{_u(9{}g_XA4vX%A-_@PKz~7d59n~*@3{Vj=-R&j$7A~Y|Ir$LELLZ3dGR z((mE?9}zw0jPr>3LGbeQi|&6#?eu?9+H>}B_DiAoz+WVO3hJHg1_B3u44##!1~ljb zQLaBfQp1J5^Y;JH{q?<2yp!NB-21&Zne&e*`8EFht>SkQy8Mxg|0y1J|M8d}i21*> z<{j+8hh9OS6M}bKL&AW5gU-ML_y_2#Ab)^vhs5&-G`YF|tnkI>&+PmcN&j8Y&g}iC zZ{_N@g8={^I7h^M^nrKQ_oUbV=SlP=dp#2ZPwjYaLwK_2xasI-uDtJ-y#W30G8EE* zl<0r3SpUyt&UyRK@c%m*K30Cc>f*y2atbs-tYboUi|wX{=XH0UpSbD9{nE- z?ltiKjrvd=;P2`|LjCDC>e3p>|H^uS{=W;|@4aA6*Y}E>`A5b53nAs9`};9J0RI(`(f_JH^faeE6Qd0-A5r*{$MqHI{L=Rwf`>h=_a1=fI{T41A62FD z&ynOY{6~X$ab5n=uf&zVu=#iL34>hR$` zw*2=%Lh{}rKL(TkkXb%>(ZZDO3*7BLK{FyRK00mfJ7B-3ioX=JD%bB-_Rr(Mf3Ld# zv$6ksoBvqx1H4a}e>Vrc??EK|&E)d0sU}YB1GGQQ5dXdExheY#ujoV0VrD;j^k7>M z+_-?1 zBp;054$~|9=O|Z=|6NM`-a~tH{>RLW0s6-T$1nV^7VuvMS0L;E_3J+|@qe56`-_1t z82_kBS7_$%y-InzA3W7P+Ykp!DZBg_213eQJ{o+uPus_EbdvD9A;pkfe>pYmf^HWs zl1j(GS$B^OT*P*ny~HH&NM+9@y}C|Bph@m1gog@RFIVkE!Ukn!Zu+ent@CV zH&ge+09*HX66lwn_Xi6Y{31%VOI9AkY0&+1S6g(mD;|o2q+KsinPG3TJDnbS!2_<# zZmzBOe~#fo_!hIGJ0>j(_q~WNl}+424rFnd4bc3*0NYN3lIC6UJ+Twxe=3XjKN&2Wl9-p@cE z*Tx~+(L~?TM#L8$z-$F&y11kV~g5^NSjKb(4O?X7m%kE(3u8Ao@t*96(o z7!(>%;>jFj^Rmya=8rynDaH{d>G-`%4nEi%9U&zNgx(i@P-8_a8%#dNkKEvde_Q$8 z2iYT<^t+h_UH|}b{(22_G~Whceq=dJKK!`^$SGb)*{%X!s7w`qgw|aM1aw4HxX-{6|E5q0&cdQ?j8p z5@!$*l}y6ZOsdA?T6t?=feuE2L=TaUX}K)*x{RwDgS5*E(h!5N;#NgPuKA?H z5eW^P@r+mW9WbK2KOXQ65pB>W^P(G zL*PfdId(QfKkn#OTLPK(_m7>x(1nai@cbN{7@HAyk7pyEZ@rrA^6iT4swV)-5bl%~ z+j~gvIE-sx~2-oRY(Y3Xs%^r6p);2;(R`G%DBF1>vmE-j)j4=ie5{s-=7!K9$Ss zWB?r_s}yyzfzJQ`en~kK=yrHOnL>J#naE+I6w7CTvBaa)PR-b*pGWq!I$7Xbwc3#H<%)BCp?lz%xW3o`ptF_|ejNGeG&iTrLx}Ji92)6)nfGB!1 zCO*I(MLx3CWJ|foR-`sGTsp}bvG}ruzfVU;*oQh{vi!f7C z>)VVVhSQibt7Xf>mh~*}J{yN@{Pq)u_K~@>5@b(0s$tId_X+bw`xr1w&uQv4WNNZ@ zg`}frE7$<_a_bqv*!!@nH8xAfz_^!zLfpy&zB6(qWyj=Fe3#W?YdD^t;1%QF%C#{{2}SPZ@_9 zwH_ELEhvj0+R#oM7aNl=rHq$@CGSsB2sYMNYvB)yQc-bdOVpB5rB}5$#!(-pdiOkF zcBsVf_Z&vBc>186{`gKV%SyS&O7xkJW4=$92kIP{AP{0k&`yLnxLVRVD(8 zaL&o4Bh3ka;TB)U9;gRQ`@Sw|q)6tFfH;cp=Sv*G4xN0)mJSSUDBj6G%Qn{<&}17w zK5EO{3~;bphF0vKK#th#5U|FbE~v_ZWJrm(_@(xO6F0aOk!F zZ-#X7q$WXqHE>SrRr8#9IuLrM##k97EbQwrTl-9~M&+Jj1797h{Gq4xXvfkJ_kkKu z=Bnbh(EOpF@YI|u+x#5D9>8GrWQQTwK$)^QN!tl_(J7h27&9_pjp7-^Yx5AQRg-#So{J)(oc!4 z3eKl1?&yb|33d;!Q@fsGruYw1=$_HGDfQbhQn0idPWV7f+3sd&4iGWp!KUEI<&8q@kM z&joJH>ydj6grDDLN~W>fJpAwdFYdn7cYa2I(+rv4!8U6X1gZJS3FgR*Akb$|vD|mJ zo^%E;&>&1VnJbA!xpUrDOtMR@Vt%mo<18gpzEiOR0rfYV-mUin} zP_MsArFiSQySWjc7h9&e#i`-hUz|yoI@Iw3R`XuqkcD!RcYWt`(TS<4uVdRv*Nv%Z zSTs1J-~ZgmY}aGb)U0a}yE(AumznFHLmQF_u&-$!fm#KFS~WvATffyd3`6k!pnXr# z7O_hGfe{xOJcZR`InnG?<)L3fwEjYHNvE;D9)e?)lj;gaGyPXXcpa;iWY|rKX#s0A zt}2RpBfqK3LV4ZU+)#^|xe_p-$*5_YPKG?DV;ZFOmQ!BD&Ted*V}iMUW^UA854&;o zxUqZ5DQh*8%NgsSo6B4&nt8!eV0!j(>E)3^#FQ}y&gdCQFWW7lD(+sG(Ly0CU#=9B z8N4nNmM^oDr~anYWu7y#6xFbP%I$s|Q_LhP3@7CoxR&fI6t;Xh;z1h!UW~I>mM1hf z@mduiC;#pW(>N!=Mh6Cv#Bc=3&(Pp5-*nGF0QTKYD=2<%&|j%g`~V#x!fFDECInV1 zM8vFR=kS|W{WuYL5cbq9dm6X-WiUzpp9KsIn2SPw_Q5l8R@8w;bkDLZIS{i--8D%W zp-)Vd73|OM&G22>5Jks)P0ZdLwn4pzPa&!@(Q^2BN>vp*%=JrFR`|j|Y0=7pWKa6c z!=*%oRoi{Knho;}Ai|d2C;#*_chXIv(XOM2oY=ee(I|R&4%H1&9n6=BG7AG8)nwpO z60q-F55x(5HKf0C_I_n|xYkYX*2_N66${S>55jWet0LHjOXxPnJ?#g?ooLhxo-mWC zsfxV#FSjZi`d9ARXB+}ACc>lo*leX7wp|xj zVhW>Kb$Y296kB2GxI>zv?y?k1v6pVKEA}!1bs29NR6C@CIEx=FCNr`$jArQH8uWkx zzUikDRdgyRTddOH{19(M-hC^~?FB_!kVO6FA&&@YC@_5wX$BqL^Uxe4G?=Nbe_A$^ zbuf%CaLgRobs=|8`;gZ9^`s6x$Yz}hy5sfG#2xk4I{B03?yq+KlQngDCX&5Wz{UOX zDd|stE7;KnK*atOtRt1w#H5PQY%Qv>q8t4y(zm^ z61SHs<+=NbwXW^CQvKZPt4AF*HU4%3wU&Md459k=JS#{IUyARCU$Erkf21u@kGF!^ zC}gwu*>admB{jP%$+@IE4N;`QVrJC>9(e|MM;?7e!j{t#;-v=|u}71jrQHlbMj#gt z42>l)b2B%8SdyWrY^yM=%{y|-3Qe?Qv}r=Gt|2s)P@z1^;sCK@do+wI4u{7r7DG3T zaIlv65BpIXgna2I^v2!|GMB5@Nkiyj%6+@Ehr5V)f*#4QLh2}*-3)tPqTDsVC$-8r5!4*{ z&Z;*LeLZ|}(~z6*uzKM%kxeqz@V$tj7&8WTBd16jMoG8py+Ma;`7}+-~!{S~tE3jix+!lY`~Z5#3`cfb*dC zE5`)n5Pwsqq?{Hw3(S3?nDRNYb$!81Se%q(bguBEWe)1&BJ{3vjsJvJMpJr~`vUGW z8DTXOF`^r4&i&;iJZMt`i01B?`Dbd}9;#pHqZ1&UUDZHR>`W~JN*!;O!G5mqCPGiK z5<6-2EWj1-Pmh+!9TI%0c>FbVm(tV`f^-F0yKJ1gIwp6^>bC9 zG-l(?u72$Ur+%(&lAW(2WewF}Xt7q&5%~p(SJF=`caFkN36kQ>vrsWlZW4`$F)np%Mt{nG3^BK?!PgACw6o4RYPhcE6hozKY zlu!QqciN?C62;H#M+-d;k)?a>PZ)tflHWlhR=J5!`wn-`)l?;2>j@S9vNRwW_+wuBVrb|2S2~1~Wh-pHUet*UFll+cZj;2yYQ3g)D2#E|=LoW|k>fN}|gMGrX)Eq)v-w#hh76e(}r5XdLv66J;Kwaym%t zVt-2RjhvE4=4#_s7>>z)6gdyq3(!bIa24Kc#1EH|_3gmUV+9nEwKXsX{8|1(c@44S z=GQvz>uJ^M&3cum+e-tAD5eOQ05C&;2!G9Gfgx?z-K8#j;4s#fieQ3ld?8i~^%w+8 z-0IP^!>v3qvf#Ja`RglYP7ArI>#KZfOz``*HqE4;%%dmtl6lzfwT12VLbhW3?XCF{ zp;wwYb;X0@X+%!`TAPcx6Pj0ucZV_dC8X!jEOy};&R^rL!-<6XAO}=zDdVm4c^4pK z#+qO*SSr!R&aqc=FDXPx{6W7B(IShBp(?v0nBu| z@vW1DiAwn|F|DL^eWszqAC1L|9Z=2JGXp196k9(?AFFGZ0RTn)Y@7+hGEW*emVWpY zw)69r7zoxMUe1b@Z z*jJV9M*h1V0l$2c`G*=kAmDy_LiO!|cm|3Em8M<{?$1jnb3xG%HPq_rHu6a-(J+o` zJs_0Ic5(4A6PC;NvMLe^6Pxq{KS9V2qeQ)7#W`_c9O0ZWX5ma3A9TlZm+JKA>WKZF zo9IBdnAft18mt5a%ji3z)iLGzE)er{l)kB*fwD!oL70t}x{jFWs0<$v?@F&uHce<) z^2hQDzyIIWfHRy1u-<9>q47uzr;TP9PRyyViDuu!t{)c?6?e|2I{i{PN`Zp2aj(YB z?07#e@hFaLU8lAm`TcuPoPw_{)Sj=L z-!jDB-{j(qI+V$|3Gc-0ux1{c-)ZR9nXr3Nq0LJN>niCro2YP?xRps_syA=Kz*@jP z4gg7qLiMFw7|XuO8EvqhixC8WW!}Wlj-mmqB7KViDAcen1YjA^xFEU7Yy5J0n!z+@ zb{1BZFBePSEIi$v9pKU}7y(u~g{V#&T{%1%kw!VV1AYGc=F;nL^CD6{BVO`pvN3a0I1A!E3pOZhnf8T^JW zU)L2AKTUH-MHn3sNPUyUhPDS4ZSp&# zw7K3K!~!>6b*4y`!`QBN@tc)OhUCCib0y5W{Q(2gZ5Cjg1}@!gAQgyI2Fi8JmF75Ls47 zcY_)zPUe)08EYl55{swTe5*3|XxYrGq;3=nJ_{h)pTseWq#~Sn@-MC1pM}=SF|W1! z(ksRDSvmy?X|bOg0vp2@?NhTL;dLJO-I2&k-;-~rEW_wxc#Z&}ZO3`m<3if`3L8-X zHJEZz%vTBcx@G(=L1Di1`x3=p_r#|W3#L0YS<(-Coz$Xg1RV^i*4)#f;uR=wHF~5H z5WFn*jIRC<^@oU1lNs=J=8(|-kw!3(D>hx$lxloY`k_XBGRst`R(5vvW!wz;$eJav zB@kWo=y$$);PlM=dMKzPI>FL<0T!4=F|d9t+M@F+M5@Kg#HDn1 zHhKGl?ad0mcA|SR3KV{J3t%lZ^?c?Rq|6IfH0T!8jfk#5)~msk6fQ{quFHw=tl5dw zP#O%g0&!cr0Gi&Xv3nLOQTvG(ke8Uls1SSK(E9N;TfhU~n8wml(IgdVDzqpjpsIBEh|Z2Nbc`(iC|I7Y9DMS?#z; zj42BM0e1bEK#5Cxj z@m(Z@TqYuhA6-yfNY6cd-fZU9T2d7X}i->xo@z5Lc8fkq8NCL6im2+R3;J}c5a!|Iy?Re^qC<4;&WZ1? z)SDYv-t*PKux)?rUiDw^QO%{dymAikfglOn+uByQyMvxGABvF+eihn^O)7CFck7qU z^D;WYqoTybfRSQkxRJu7q6ZM%ILbrbEsy_@1cZ<+)6_}lX0qQ_d|PV_czw*AhBcP$ z&J;Lc(M5(=4}99d-dX{p3wZFTjxpI8pQ#z^I2W!DgyqB#fV=P%3!i#Ur#Yg=0# zQD2TmTjg!8^b`Z#?czFuj}y{W?2e4~K|5Iplc5Vv2Wee68}KFCih&HO9fvgR7A%Ob zsMszpZZYRB$bCD2i4op(k@(f7iv%>5fd;N}m`xJuM?&k%njh+j2AXwFoXF zJ=;Q>k)9C=@5_9y9lFN&j!ZYpfg?h&9Tjd90fIZGmZButHJC+VEP^4&o7i_RIsB(r zogDV|X;u@_u#P#@gHlmm=XLGM?&{myzqlNUnf<9#u`k=35Wr#z0*1uLU}l7f%?^Dd z^|n6`jvHfg?qe*@@POb1TknTt%#3l(QlUuVpuY#>wuTFOF^JecO~Gu^ug%q)&t`*b zJ!h_UbI%{Kz657H9CgsS4o_GZJ&J21q;C6*2w80O+Zx7mu%J4K>G7Ga$61hgMspzx zS}$nk9&5caP;JB0{hqc@34CvTK04V+YA)CLF&Jw>TAYpaez%Hi7-_Tp4c;rk1~$WC zTEs@&c%~LhHx7cQ?9BxzMB?g%CYV-{(o-U~TDtj3sJa2~kOdU))aRJSg?DuCDT8y? zoYMMHu|@1@&WrNX#wfKuy-v@dPZ`1;k{cO!K8l8jaT!Z+ZD;0Sh{l(wh;_#=*EdOr zj8W~j*Q<&jQx%(^WPT+e&5G;W^*JO@Pdno1Z~99gpGwxuxbzLWJ;z0u6n&FewRSdApJ$^L}Qi*Al}-D;8lL#z#%?!DTSK&Y>ee-=!<(*3 zKHz{S*6LetlB2>Pq@_<^{C7<@(YsF~hlJC<%z+;f6)4@OeNQvyXv~>JW6vYazdg4o zTd)T|2vVCLX4X7E?2}(ato7>Pg>UNS1+A;9-so*+b;E{dBQJ#0zP!NLHu3^pSCn6$ z@dD>X`3fB~MZqJT7Zl}p_2~V#1aRCCIOhrM+M4hEeCGJ-;D9aN9KeoW`0qt&93aOj zazHrk%K^mpBL~oZMae8lG!=~*lc)`Nq$qzt{U47H^x%bU0k95N6EDQ+a&ob*4qlk< z=7np%+T?|6uK8-@g>c%J7bZhz*)WjqE6Q)pc!Bexd|gu;@JReq*0A`%hKB5Ca3*jF z8RFwh-4^6?-(HkIDu=t*H7fdh;W56{&1)YJyyT>lIS>b&djo=RH3WMNIJfKOmIHid z4XUTnIKXRl8a=9(*>1*FCNllm zx{v2KKS@dOh2I&ro!J-SjM@S?;C*8s&V{RgxX(4J{V(F`%}?rvZa13^9E{!nI0%j% zKOV%VyZrk@IZ+ry?cPu&zo_Jg>TCSEPuJQ3pPjm|B?%8cXC)yITBFqGjA) zlmSBA-7-LFE(7+vuMCju6lH+kF3RuBWPtN-8L*0Vvt)qht7yBpNnr(r%LBHb)KA-w zD{0{uhnp48(Ur#n=*M;J6NcEWn_Im1sjG(fMmMR_f66WL=#`$3j0*FA>dB?_c{i6* znscfB?#rcnY9N~~!WUAn8JBY2&81ecZWfpF{N^Vq+3<25jHbsG5yI7Q;4K7C1n!g!-jp^Q^{CzQbTW9Xol{JxLa9H+;&0J01enY)e#SfWcBVtH4 zxUDK+#S&Am%wgDi1WUgl$==?VPSPu@nMg5X8kg*YFxtaL2`*%1_JEz@cpoMEjmCMg zIJ3a+H>~ur%{>UM@&qOFHw7b>e9%h9mmsB0=oRHZQ77w1p`#4h&xS7loxpKF#})m3 z?iJ^zniCEd#f@Rx6uh-CR*YArs8#M`?ztrZ?c8>YMKH#fR9WW*)rdBl;LiswXGcai z<4Y@hx-d;%lhq^qhwyasdhvA48HrxUrTZR5`5`qoxf*!qwu-YTb5|oD-3{kznCXbt zqHQz6_L<)-%3P<?UHT{Ank;Ivdz#XAgIbO3Aj1=yu_NQQPbT$7^p=DxrVno$ z?Dny$26Bm3hObR+B!qPWqpEv;=Mb^~EX>nFb+$)m3la})u6$Wyxw6=$h%d~O2gN-2^sxpb$Jt# z`th+qE%YE`;KlkEbbmy@(f|cdC5DN<5;)`UbnG)g`8M6WK0uMjywRGpQISR?K<#0L3cS&AN~0H$O?q1{15=ObdQpz)Jh@5wq2|3k92<$xA68NC5aQ?tuPGxiW&z$? zCE`WW>{6dpdY{$1tWY7BkCnZoHL%v+(i%vbVlYDPw`GO13g1?|u$qOJ?NL%WN-tCE z1ef6gjuNY4@-<=0t=#;zD;Q;1-O{Pv9oEh`!5s7MzB6I@2+Ikk@c0eIs?PY_H> z0fNnIScpQ;u$u`FpOp1uV^fw;H6O018tZ>h5Am{2)_&~|bsBldmEEtpk*ro$`^KsX zv18ZgR{4 zJw9yt`+1$qv18Th{tq{~r%CndVFG@%+iLDL*tlE7q(2Vp9`;^ub9M_078jjYw>>EO z^G1=C`+j^0qr2SLV>b9BF?^+)bvM<HE9i_w z$!aI`592jnK2y3@oRH|*eoyG_cHSoZ&^_9qG#_o)@4lmrZ?A!Dx(Ltc6%MK_R?K;q zC$zyT*3G()=Qlq|Nr<^0mR>urM?M`Md{dBbtk*YuLs8zPR8I?Pf9|UrI(+mB0+n%A zX)Bd%3(o}IrC(cX2w(SgMY*HC#_0R@@C)5;e>dG?-+%Le6y;QXCmQhET6exSyK{fK zQ}rDQIL6v3S)}XMwj#Z_$-yi31sRCM`8qP>ZUy>KUr#?whO*hk9V_QR2_x90VmrRJ8mz^Y5c1*=C4g-IjZ^On_5 zjNRE|F|2#Cy%MtlGKLD#A-{jV-d}|`_Vn4bD-4jwm!RTuvURZ`Tcb@;P}SAnaBCWzQa+)a$d8)+shj!s9HnSo5 z^+WYF;E)Zu{1`8d)&Es^ax75uQ#x;ZmD9S?@+z;)t|R+`_Z21Un-m)ChN&T->%jRFF z$5}Q%qiEU@MSf_|;cz~!W!@F&C)MQO)D1rRp6Q~}KEy&M9F(lArg6u?{& zj9vf{-5(Fs8dLvDaCP1mz!_ax69Q;2^os)c?MVRf@9^Yy1@mKDxH6+<8!Te@Y#_%R z7#R_4{Tn9+CUwp-V0O+`V5uI+y-KY{0Eyr~toLnAwgS|s!J>Svkg%Z}zsHxLRexbC z%3F1RQ8MnbZ7D{Xb9d`4s$74!-jW-%cF^)v;4?ceFHeLKENBT=T&g_f^y^CzE{HoF3KkD z2~9U$JP?iz?RjN};c-XPdBvtuN=VeD|BDD%4Hn4HF?~wj4bdo;1F$>*UCJ;UuZ2N_ zZHt6YgMK9|(}K^o8GX>Dq6l!YHTWtlA7CKPgoI7*vtKg5nqg-1RiNE+D){w*e`1Vy zl`5E|t2?Dg4r&-NBNPv4c(K3p9hyMVL_=r8Yg(5H@ZGJCjYA}rEp5H$7CjLD8a_@d zDbzTWBd=l}`q|nx z3jJ*LUOuic|F_#t+nSTna>ZDn-%kZT@YO0s`OgC%{jcG8Dca$uTYR9O;jg!Lu(pkY z53JtH#|KwhJN(R5#T7p{6jxZ=M!^+U@AHQ%e*UWBieDUxE39p!;0mkv`N9>IgUtSX zILXA)%^7CCia0qAGLyUHXAo>)_iloDEM2mVlkHt8Y;V3-PQcY+iq!0v%JQTvmg8#J z;C|~(+CyeEe(R(lJssW~mx@{0|B{t}=!Gx8V<7bYfm6!`)E`^nt`Zsf8B_{f&O02}XhwdJGYV4^E3Yc9d ztTsQXLubP)bRheQ30ShBA5l*3`E0aIgEn1!XE@sV^*R^p{kXn{nY3PAMj|!D5%N0~ zkYj*%Zy2hc47tGhO2>m5KND&^Ey}Y3p(u~3&6RRs^$MGCl16UtkK&9`Gs=M__M9;v zz)Ba&n4DuY%{_ahD0p8>c!Oj;)pmnO!07owjh>-i8&PK1t5#;x>Dgq`m%+ zj3QD1St??0+OQZ6+f}o2mIyf8LJ;*PLIYiyks<;vYKA^BAJ_R&X_Bhnh@9CB1e=H3Drb8Uh-n1UKH+la4Cz%C22sXa3voYqs|xdn zScYa-p?Xt#dZmDEnbb#x*X7ZqZLTFdU@^9xq*UHjWy_t|5&=HkrXy{qW#&Gi51|Zg zr>$sDbOJJtVw<7Lr5+`2s zU?wtpM#g|`$soFb5;;VnJS2=C3-QfdkRz~k7YVpMs~lgVO++I%KMCv&=(8Eog4eyU z?g>PAlQy^T*D$;@$gGs0_peDj_V;j1+Uu>}};nGgJTURl=RDcSFF~ zk%Vx3c)4XvFQwrFm<1@qCz*w3gKGR*ts0ARqe7p07l4k@P`n_NT$7c&B$T{J$KJ}# zq(PUXG~iiI4JHeC%XbHV?qpa0-l1#eac#O-xt^D^e?L$o)-4nNA@wis|E2FxjyO6@ zbR#F`O4651(=Y{&naALxBH#yl7*jK;YdcN*sl{DnIGB+El8DVOr?&%}#~$h?#OD(s zZ22rJ#9?`3YJ6!^4v+|zC$EOVH)OKcXt9DEf15N=hVi+dc(d9|<@+@Wgu$MX$v!Tf z%!Jvr2%64!%A_BbvbgcaFF>JxsR#3g)m!z8#nh&j@9W&ZhIf$_0n`FTtFxxZ`LIEn zUzE6vf-=4Y@0g~z@e6{fUu?<7=lZAh8~>7=-F+hEjEaHxa?{7F@7H%2-K_^RY{3F^vS-!OoBiNoBmZZMT4;U)f)cn9yDUtg+**SBwI! zP8vI{TWltkmpj0>`}94fyQmE8cL*RmB#_-OqtA2%2dG^EznQ9joWp6|N@H0rfHMUs z+e~LhkIw|)&~KaW1k>FS9KI#U@7FDC1BKeyFZ8DaIC~R2;E*I6PfV-w{Q`lRu#p7E zs<9-{a4RqPo6wCkXIp3-*xCAZs^Hp`u0nR`4OVmznWxhUJD7s)>!8>H9eDuYFa;i> z%{?<#-luXpg?0dPTF-4$!|^HW#?oI5wD;?xsfHQ-I-oMZ0T>{A1PokhHmo(RQUHQ6 z968hJ$=LW~cgq6tN~p2UO3quaV zE*J~;TR;#=2P13)U%(k8Uvd_V#Rj!el*EC=b8LGVGR$~ zN!Xha=5)`M-jY;gMf)>?W=Al(FufFqK?2>15=332eGxyl)j*xY2n-nLP{!OW7^z-P zWTQku|DG$x$2qyPX2Ol=ZbF1K1WRenB#$3k#I|VYID9@#Ag@zpKSHb$XH}2~p*0)b z7Ux5{(i}Knqh+3P;LN$+AERT7T1ENdaOoxCQl${$3koa$=p}t+;Bsy+S*O)#fILLbskYv^Dsm69yPH&2}^FbQ4~DSCs$bn+XIE zt*OR(%y4nvqq>h(%+;OaOH^8v*U4~hev*4bu;@Tg%nYqe(Vh*8mRQVrO&;Lf7Uj$J zNPPS?I@eP9ASmA&%E3#W2|yw=hr?K*Et21%D|zp$Js(!luGCmMGB^9pwK?ue%C zZQ6A_DeSt%3u)8ivhS0@zV{zN-rN~e3kdgt5xXtTh7l!R!lKFz+S^4}+{*ajDK_O{ z8=OaU-$Li@V$cPhGd6|Ixq}UIW&_MQZA8GkA&;pR-V%96_Y+6kt$SIAi3iL0249HL z2Hra(+kT$OIvwbpwlCJ?2l$%UcyAuKEhv<={uUZIpvk*e2i_Ky!5f$hI>r2NWTGus z+trJFkS%oAM39_Il`Zz$;9c$aSMi~>fk{!KFN2eZ19JV-wNt!L+Id~$tybf$OFCoG zD`TV9lBD;SuYbN_HS)F2S67m+l+K@P%S{(IU2Hq?M|4A+IK-ishdJyt>uNTgvS(~u z(e1#$J2~)#6v&;y(K830h{*|+;lQ&wDM1Bd#1>;=HlCEm3EjhlIbk!tLvT#()1ZeY zTaZDGy+J>w^&VLQsXN2aV7J1l_bOPqCCs7_uIA;-$Awaxc1QJWbHsO>?usKm&ijZ{ zQ660G;&+6LU0oM!`P-TpVral%QA0}R4^O;;+PNLn8T*o4}1 zj}Gff{7Ncu_-So8-)jdG>0@cWu3^CH^s2TTh#GZ#37tOnR9n*@Q)yGvFJ_va^O0f{ z6M_~4UgK~0qTa0(POFIZkxirb#nx5%g2vg++=z8mzE)#;O*dpcqBBUebZtg-@_DZ- z8|ex&Uub$;$s!+{(a`U#%B5c3Wm?y@>$>*p&{^xz^VQ8vtxa9qiU-B$`Rd$e#REHG zdRpJ(OKp$&E|umUvoz_GtJ0)LLJ()Z&Kq0Ttn2f$%k#jxX7!v=UrVzUYbPKPgTDWB z2nBpX%5Ox$i5Lp_q(D|d-yaF5RnT{Jy1T8d?+)6U8P!b}(cDG(sVu(1`AAKN8HVvC zs?{4iWJ%fx-4jsJ!Hmcg*33v{r|9{Skxn5$-=pX2N_stNO!7$I$Y`Hwu0}W(Jxm3D|9W-`mCkVSKlE)AHz<-NUIB~U7^|@KUpoUfYE_fiROC1C@s`mH^S>a zI6inBIR8U%jr)Wr`iO0Gjr&I+`aIZ{@b?4>w;%)3UX=ei^V&HdR-?o?0+5SxR?4Ma zCkb*bt#UznX!u0&;k3%Sd+Y1oMt*+vb~?Vn&m_4vS$5N=i$!TcGo7|MbJ!YxG! zJI4z8uy$lT9)_VYZR1PEhTpA%@uhf$tMSTLWY5wS{iv_pA=XV-G*41r!97h^l=o9# z`Euh5%k*MBzZA|R2JE{Oulz!d8!P;%hiz;u3^%Dpj4#=MdwePR$s1`_({@L2NSq-D zs2uG?1WI$X6A`$Qqn(Jrl^pFv1n%cAVvvZymAo4g5xA0fLm~oK=!QguBP$VsDy*7_ zLIMXUKqheSx>RsbE`d96so(@H1NWUPfunZoyV%&&N0igxcqR1_S8{r%KH`cI&qSr! zM|qDYq5_G(M1|Rv(B=&+eAw*CDq5@=6;S-eEAMYy$&pLU&HZ_U5_5AUCqiOwuH*ud z2*(v8s^OLh#})V|;+6=R6v@p*lDx6#iZC&r% zM}TWmVb`UA0~FPo6<&AvPOS}mSW7Zkse4qbL?6)lDb8_}^8L%`w2;oL zcU#kG_#0o!OELI->dgfwrOw&Hjh8Ff-cM|uFs%=!WI`(#*#(N-_H6q;HpgQ_iv#*_ zo!!OR`?0c2JisFq%?Kzv*Knh4hR2Rfwtpd8kejt)@0%(8V&gumhF!*LaDbT+2*3h_ zty9>l3GCQ~+cutKThILgPxj;E88)M%J+?t>1&)mY*@uul_Sl_{-3Sk;%yxxhQ%rU@ zql$ehr`h`hp6ty+gV|0NfK8gF4I7K{&x17oML7OtIR2H6RrPE&bs*3Yz_wK)+mG2k z?QA|pYq)1hpDjX+ji^ABEq!g9TDGvNz_E3wHFlqX(fk7f!4`lJ$F}Wat3iy&7Lj$Ywh1MxQrYCsc9f(G>)iu) z1f1Bqk!7%KkhovRDb)>j3!!G)$ZJjQNRyFJFQCf2jp zxY`Ejlk)8#5c@+L>#-C$a=ytbgkuM3mMpWs@d@3_UQ=PgGS0J@27eomk31>=A&dQ5yY#ZJG_V{jwbo=-cgf>gt-mM*tyYX9e8Qpqw^@%$uSGR{_&gl?beV%sKE4LBi!@GUFH*~~Slx|fGK4r&{$dvyzM#J;0h7j>}W8wJFZ z$RYpM9^R8p4QvUDbQt%u)ezDEEo_6+ut{cjT}PUAp+XQ@HjcG+v0ErI4qP@hqJFl_ zMj6sBHl#uWqatnhR%j?PG4h)dXWXGB+7lt*pV1HfjIKi+nF>IE&{FD&5)G}`c65tA z^b2}`jrmX#v}{Mao^9=V*y9%6I;m&aWehbrp(lZ9ykOdFo64c5SsWmP8yi2HK5VsT zvRw~+hJG?5fi?nTXh}Wx_JT+^`UuX!)L_q`7wV55Y}K>9U5`=5`iDJlfzB?jY!C}1 zwmF6Gpc`DVUFY-+vH+*Dg)41q)w82r51g}2P}vUAHq1qa*!`D!7(_xXN~no5qz8Uy zk7)jpsD15vcDCz5LqjR#jlB+_8-|)*fo}93(BTs5Vb@yQt`W<_E|qLM8)P--w_WXe z&>G4tk6bt%IcB)sqTB9vJ(FaPPthOH z4b5ZLobA}He~^8v8;22{jTUELYVK(8HSOt=AMP_(g!_>ic$J!H51a16*Z4K2i{L-5 zMyjES(IqXq^^hO<4EbTRKVSlnU(~~9aI^xhLsq#8ozdFx0h$WOc&1%Xm;9Jhiwnrp z^Z@NLmkT>YpojLLiI5*;h8{#}+4SE0=`Ol;$&cw@WRM!68+0&gVJ)#WvpHu!B2QK=HSImaPfA~vh*D|AwQ<$Y+Ti9 zOP7oy;im85FlxaAV(duYaOI@VkWX-DTU`bkY^G~|IHL(D*Jw+Zgwp@)a6?OMOa$jZ zd1?VR^-+uMat?K<3A&mNWRvnBIjLW|NxSevW)>Bl%#fQ!QPRiFScfjI3-7h;P92)-Us_hgz^@l-bx7 z{IRI$Ioefw1Siz=M-*Q^Euam#1SjeMU-NL#Q|22Wa|~DL5A&j_$0Xji_&4nd9V$(e z>e<$;2MVK~7*E=;8H*h~c%&6>Mlxw7y3I5>x)bTb;-M|N>X~fT!~NJ0(}46c&!Nkp z8!y~Bp))je9CyPFa1GWE8qiZ=ppJ)=+Oxe`4;+T1p+R^ajImtSKlY9|>YD3q3%hC)L?hgMr!Jp-qh_ejf;K_~(wYGFru+K0!4ABZO4n8W4rOBpdQ2_1 z43YQjmf;^xeLFGy!>M<-5C3rL-(AB$oO*cg@DHaxe%tU5r(XW{;U7-@yw4tfZC5`x zbw*^1{dPN%?xv<_vPBZ|TL*g}b^q;yJ&?Nm&cPl?-TWPcJ&?Ng*kBK&?!0HP2T~Wl zXRrrSx7}|KZ0+g?o75p8s9W9VBKp470Z9Ecw>ki+-%hR$KYc@vC%MH}IBu0&%p0=K%P->H3^V%X{Tbxe-J@@YX~~>YkeXvNiTrA%bVf^dmkvDQkG&v%#;%OJv@JF~zL>MDr{0Q0L_-;wv+9VU$S3 zv))U&ol8HP5ddPy2^@?7>D6F|h0o3*n{LlopL7%8|4Rhm#hQF*=!wu+dm9V`c-(Z} zT8BH$i>w*8GcQZ}kd%uTk*

xY@Lk6Ve$SUad?}0^WD_@=w+kcezDV8bu2Hm>!#O> zch-~G1fLKn_zA9pyDYQ;8L1%K8Xy%RBFkeZGbtx*H&@-9NJZ3|7%7b=8b*AK5SYbji8Z4j zPv{!6`4AEkVO5zgz`PLqtlsx5N=Q~AjtTDAQ`*f$YtsH3P)h>@6aWAK2ml74T}S5L zyrbY3007op000UA002d7VPZ*cW^Y77?VJsWW#?JP&u+3dO`A~DHnt(!N#{`Z{oo_pua%x-2H-DZb7bMAZ2^Stl#y#MF(oU@;}^TsUw zcV$oc?XG{__bOj*{5HS$y~=p<`=htKyyv)vX0yxLT(**3$WCWZWoLD?mL1gSx$KO7 z&S~VJ&M(H;pCk zi^sF&?0~*c=x1C<6B-}OmUOhJp9x*d*{=Q`63vW$&ty~Ch>lmXx4a?&_L}dI{+!7c zwB$=#_;Pk!yf0=?XD79cCv<#9*YCM9?A2%;e<>wwN7N&ty&zgtfMQN-Z5Q<$MRcT^ z%h{7!-_Pl1UdI=7Zbfq))VGT|dsMi*o3r*!RFC>m}LNZNyv zto%SVD`{56>5{Hn(G_gRrQqDrI9D9k_r>73lzmWVFNeg>=m?IB(&n_*IjOlPrMFS( zZ#=X*61qGk9{*ogeyW61SKGa)O_-K|9sL}TsFM3aU{qHe&^NB1mIAt> z0&Ku>S#a7@!s(Wm1(CP*Aho7#J13>5@Hwe-+kww^E#(Q#u&hn%S;&+YI;Dk;haiXq z=Eq|B6Iy&%;$R_T`poDE0Y-K8Vkm&WlM-+Y3lZY=uiyBE{)X}A#Vr~sZI$D^xK+O! zI@$N?4I0uCZ_^))4VVGA%h`Dy?YVMG=&d}9*{sQAFvLzndw;L#x1+u78Q*;}wsfX9 zjInef{Qcgzk;)kH>0P0(BmAvsddv;q1BNHU``EY72&2;)o77cccScuD3BmX${8a;Z zg52#r$UUpGtMYj(P1p^ugXp1``f*NlNP}En?AJ(%bB^=kNAzy&cvjMtQ`*Sco^wRzps$AyJuF0Lg zfh$tn*Dv#`TxKU6;t)Qb79Fu=sm3h1Ys@EG-Wo)C+(zf!4jDIR}!g0aH z*(5dCM8sq=$T1xqlCL5KJ)mpIJ16uR(>ZFeah;oJ0;~#>Wx(t9!X1gS6T!D>ZbBix zlG^92_{X_^I8w5jpHs}dcu*syBpm0(`}MnlaN~D)9pav#6PjjJ%cgLoKs=z2MR4*# z&X1tjjgt@Rg%$l!7%ggfBjONQIQhoBqV>Fr*@Y-*7owbfPRmMWL`7YxXH8|QV*Xcb(K+|0eG`KXCBr=^^Wd7P#%Naj@~_6;d1C&q&oO_9`1iWj9i zGp>juM`)Eo^MJlh#+s09S`^Gk8mJj>4k?*&RMcE!y&fiJV;*<3MV z3}_BB05OO+$6c=nhioX;L^&g+tYNvlLY=2#X-Fvq;Co+ETk4a^uTq#1)omPCOigoMm{ zOo#5N(9^gmNB^jncUJTF$qPrM1EH?PyW&lRVh-@iZn7fU66_}X%k0UVAg?u> zT3`b$th1|m&<^_n9+vd6G-dH&>@(B2u10e9!sQ8mVuqRUQ?;$z@)~n>V_l$;-A9(m zSf%GeU!a5r6`8doiPLmWDkr7QrM(*c{i}Cb0&rBzCH{w#TJ4 zd~;);up9V7iO;c*01HUOS$w2%;;};-1$|uaS%??|n3$EV^-1O3!IQE_V1nGOoXb9f zx!5CoBAx?ugH;fNFJYH77kfmBiNEi&C&vjlb{xHkQCJNU!G|NP9n5eH#Ep9U9jU*k&2xrd0;+fvsS$;L_6$>s7q8r?<2Z?5viK-?1bh% zDfy1-?}_HFVIz1~>=~3J1$OEkVnujMtm}}j@Sd_N^v+(>8YVVqPGqFdN)mQbr`FVc zU6EcJGJ-~M0?LRX;1LP!lktZfVdrR)Bdmh`COu-$K>Z=+yGiqXNLD;2lExe}x|-c# zCx}l*^^7u^)*lu^Mxr@N+nN=#H8HE^`K%`2sd?_#O0WcCAQ?Rx z0HMS$BaOWQVb;v81AI9d3V8!oL(9ntE?!Qn|M9SsboD>03upqLjK61uEqec}gVsu{b#{WU z!PAkMfn`>KuLa#sw9&9F#tnI5GL|%{>$Qs1(_u5m>U}4rMjt*}OR$u$D_qB0^@IxBa~A%X~1+uxhS)9>uwIL{&O;k$@D zcqi`?mW|~yhTmmxh)2XmDkJi4@;Ln3RY^0sdwWAnAWq_4kp}B$r67$~gONrAMjF;n z4S)x5oMnHa7T^BfOzoC5iLZzNMo^^o=>eLihbeTxh7$J`X5?~~+SJ8v!2 zNW>6ljo5&tLHo|DyhmUTA5PAQKXbnTDWgWZqfZ91mPN@xoZq$e6IIBj@w4Q=#JsMi zHKM2w9MwS$v7DR`&rOv~e&*glSo_8iao1u95s$2fda3O9uyUgZyFdnZf{Kxzm$OE4 zY*y_mxysiEWBIOmSTcL+LT6mYILLN2g)N|I=OtJL8b=y(7os4xkL6sI70>LJ71OWb zRx5w;{KPkEX?!iH0WJ6`JQsNuUKLM8)=O=IMW7K$Gt_4R^Z?K4l4oQE&+2k)x>0xR zTxRIb9dI5OJl2FhOH7c{(yk;MN2k~bt0se`f56^Q`|*qr zns;BQ>iRTSeSWuAO{PHn!uz|n#WqBZu+52@pNjL zM30lmLJNs9ZB>^I^gQVUlTT3DU?r|mjV3Awd=1jFChr)%6>?P0(3{3D1;Uy=$nu`r z zM0i-_#7hyYT`iT}Vk{qRpa*OZ`KYPLdA-k7v>2RqHuPP20_Mc}yoB%Fw4};!f?L7Lm@!Ah3ay*v(^lr)GTnr;95eI5*Nmu}P zK#&Do+C!mvYzqqmeRP;3@0r*{mi8XgK$g8zyX1a66))K~c>+>mE$k8=)!vFc0<004 zsl@QV*f9viK?q@En@GkZCp-lR-ZtsUk|Sb2=9bW6ZP=Uv){(}f-WetQY1JM&;kzMDd(0*bLWY@NIoAEp`c zo7>t!EE}yctM4r#ADM;wlfJixq}(CmW52>0K@r~C^;&ondf*#dho6PG7+PPG-Jee@ zWLAN1ptp-&sm`gq9k5G%0>bb`F(9>i2M06HUPkWMaq$wY_}SPFOfY(q$d#CU6> zHC`UCNc==TW+YQ5g0kAa*;@5WW#ap(L_OwUWbp{29K1$b+_t zi>$==3w@Sqgvdib0bF9$Q57|xDr((dYD193PT79Zf#b1zTi6w|fNA%(T+0(7K?Z-T zzIKi1bjB^D#^<3sB95yPGS=VO7U9SUyF+SKvF&O_>tWBG?}0SDI-NM`d)7+C=+$I+?ngBfS>KZ0LrUiz$aw1~X4p?x zt7KiCmny}14Vw0Tld*v;>=3O`6-F+=eZ#bSlSFxZC2^HVOb^W6YxayBpIt=~X2)tk zFS2qLIsM!LJBz=RE%nco^c$JU)fV?#{ZtRx7*>EkO1(0k*l`KWG7E8`oHgoOc93VU ziMTvP$XiyO=*&}33aqDgQ?j!2cqjKoapY>;4Y zfK0vz0X`k|u?u1#5;;m}re;0FQ)4_sw!t$Qbl8a6&|}lgN%mwt;+2RxT){QgDAgaU z@)J1JGtpfKC#fF$aKa3r5j%3eK=o&1Ph`A;>~4M8A=on;jM= zxPwzih}35hA*j~}U#sC|&h+{5yr7p;KCsJiUc6Jk;|G8RK-uRMcIhuG195XNC)bD@(`!zl8eto|GIV&$W7aDr) zul$xTGM4YlToCARir@QpWlrg>oq?Aahu8Ol-Qjh9552@s|HJ4%pfgQu|BSFwVw>YO zuI0n)cZow_HZO+bu!h~sI|{q&>&?gO8HRoD81`V87Zln7mEm=8H;BeJX|##P(+L_m z9(aMV$J{SeYUnpIG}@qQgX}F zuM5)WgT!H%tvZKsK8$wn-s0MBDASeV!AV-W*If>YE1xWE;^x)opT@H3ntsf}YYI>> z<2wF+`RCBt7v$>i8f*yw3I3Jb!EP?3;pq7{eQ-Umo37R0Do&eWst1mq_y}H3(!JTV zFiCE>`(1d*krRjeoEy@hsR6H|b7E&w6!bU)}?4EeQR+aqn0H?yv}+Ik<5BOT!JV zfQs$28(_E#%(ot1>0NG0ZZFJVxuvOS7mYFl;ey)AVjz>gKy2l+LC@$y;mG% zRK67b@0I>S9Q!jJ^KQ#OO`>Nvg#evIn~~GS%o)IhQCM0$Cqx-h_uc(lF%Ev#kM-Y* zk-!~sklCXfC;Tb1ehjuJN&kKiP<2(tQlLq3Fu>*&{JYRUhS|dMx)h~UUS z;VrhZ!Gz1gXj&brQO@*k(?1J#0T)ncoQC)xwju?dk)dAy=Q#j?n;Bxg{&%SuQ zTA8yo^z_kKizWHsp~K4dpPlVp&j*IyXEr9%A}h3P3yfe3tqv2cjHiCnunT@n$LlS% z16xNZw@0EKX#(&i9`+q+^h+bKaMTL|h$SA~>?K_i@ys8}Kel?&7dBki#N+NVQ|fOI z4c&IstB$9h1k`dHUZM?I8qhOw8rizKVtZjAk~S>*wz6Eo15n`*9EJ^DB(KX_({U^e zpi8cHqNx2Y?sK*p`I>)E;jMqNr%l@p+uor&+feK6?SL;7>M$MRFCN{EmS27nr}5UK zC*iuK6N0kWA505C+`Kwl!hp-!jsm7w{`z6jA!Pv1ZlS%p_%I%D z%uTDM?Hpm}W51xiAC%bjtX;?9#DY{j3|LV)dj5U;n5xAk@c}SAl-bbw&={s+{3H{e z;`sMr|6&n*+WGfk`>H-J!W4G?XfnZ0)N}2^JNNZU(jz6?$(wv8BTlEUYJXiDB+z|2 zTO`u`R`a$V^H*6p8n)1O?~rABl(r~lTGR2P{eG5pJ-b5_vza{`Ev~$2#l>(9N~rKy zKFlX!Z0P(YR#I%5P`gl)2^@3|#E}COh)iA9?gJJnxa)7Wu)YzSdsPx8|4Qs~uTBrU zojs9>h41hm(4Awh8{J@|F+W zu@UkQmOaQ^Q?IuE?H{51an}sbzn_YrXR|-r+nravBD}Bkyjw_teN$HUbG`TkH%o13 zA!=0J?bFt)dHf5=M-A6*@?wwkOa<(Au27F2O3los?1wnDs=I+BcZYvXNH?hK6Zk*i zy{tVZn@}`k4?%oc?71-f!EVHJP@l{c3*|$XdmvS~Y*}}AcyUfa>t3u+@$bLS0-deI zf&b4>_AwBCS_&N5`xmDn(AiH{LI1uLf!=}@x(Rx|__k2(KQG%g|Jwe0&$*v7`ELn% zch5vyvobs2|1%Ddb-RDx{JsB=1q=j)@m(q^D@cops2ZpXDN6~dN-4-2NXd&UsKqhC z1}mb4#wDnLeFT=ImWa~UAK~DjWUN=~8(9jsH$9Q+yrRyLk@-OgQ(tYmApG5a+pgYN zywcEaKIJ%`sl1uz9@AQpK@K&6~`RrJ_h5N?4M4)wcw0Z_e_t4kt&*S626bM zy`BD4C|eNMX|NG<9W_Kyztik8tY`ckm{3Z+v=V6Dw1ULG{1dgGJ+Bgooy4SXV&}kf z5opP~{N|HCDqkH^vw>pY8+i+wkMMtX)P#3wF88~WC7?h+$lo0mR!~rtRS*&t5|$PF zw~y0Faxx%FXkmacsvxY7K=y>D2)x&{*;KPsdN3txHX{G2(}$l6x+1Cbou~pvA?ErTfwn zr_S{BMJ<|nJ*-;%5#(M!4D89nB~&_yxbAU!;4e0Qqq-8xgmlLg)1jMU@)CU$tfN&; zI^4;{L=G7YE`|xGl2V7aRdiEyNr&krmQPBE7bUwz>&0)`QGZL zUFh1ti!M^N!5;H;E|F?yEs@;nqr$-LGuKj0#py0q(pi*F8cwO$WEu-3HoLA>rkypR zQN9B1WMZ0Ta$9y44RrV&#C8~bGi7@NuA>xJJ?$@BgTq%gdAds&=^HPz^~K7M_A0s~ z)H`%}YV&aXBTzyk^>3tj_)s;9hnQ;SDtDE(DiP_^aQH|1pfQ&zBlE_5?OpTnw^Ap< zO{~9oRC|VgERV}^exPcii>;M>W@ckQ>~k5U%JS4#MZRzMm$)=vU=B{|`#WSpHYTZq zKk$EzCVHNpL{;^+t|>SW5IQjs5W@Fp3du?sC@ZL`{%0sJ(x&Zk)zC(3^BJP4=roDY z(b4|o6DjF@x;I#oXDFhp*@-Nehmh<8#s-{dHiEoIgTL8PUZJ)IH1F{%qQv4!{J(ez zHdtB~7L_8)59VUNhu1&HXXY!*C7{!LJmxc&)c@kHw?Lvo_f=x&IN_Ld$Kbbtc#g0( zhhC#mMTy<1Ie?YAS$4oSgWd&5p*W@;zE;Ewf1Muye1UR=+atD+*J9zd1$0P!FXFvq z)oekqj*VcoWmE$1GCAZ;;cSb?F@d8wf&Xbu;Q-^SBAd=?g<6``)Z8|H+Y7^C-z(K9 zUJk>du)*5IOAk|GOVcG&yJ{J*SsBym;Qxm{gYt-f$M2QT*y-uzsxB<86=nGvPDlpcAQE>#}qF$Y+GqqJ`Vyn!!#x!}vuvxQprI4J_#7JBU zV&^iIEn-h?nr=iO<&tuXVDfID(Zixz41+7zILE6)UqHu|gT`8}oY5+51&>^0iCkLp z2o9zgk{Om0rXrL=GFSLi6~)jiDwY+`EfrcM8+Va#3?*!R*f#0vw2Al<2Ki?BV>RE) zy63>v8jh_ZA;7@$k02?f&QXCg z#Fiy3`o?i-99|w?6C4)7avbfuov{MMN%9u$J$fVR%05xNjk23RRrF@af~m@p9gyp0 zRf59hLP_}0^RV}jsn|D3J@c)K5BULUMel&R&T(8lUQT}(uCatM`tj~_XB=mVBnhrg z=ofo8{g_b$32aOqVcf$iF<73JJJy3C+1Z}4()I8{W&&a>V)5^3-mw;_AAgIn%&$tJEsbB4a1zG@2~54jOjq z+mRQVyrmJebs8*n*8+Z+ zB`u>U35-%%9r|RhFqZVVH}^Z1O$eiG=5yrc=!c>?TE+oy23Yvh7YZ~3fSUW})eA() z-Cg~dhNJ1K99)Cd)eo>+edlwr2!%3QDSN5j-e*)$Oy!C=PPbz_&Xt-E;`eqgmA3XZ z!ef#Hf!xk0`~mGPO;4?j7YJX^Y)u93?pxuw+K0lXI`HFL21{U#yl0HO+hoyMCxPZE zz%xQu_Q7_sb;7pO)!IJNG9#d9CmQ(7ftD#_r85+%?DZ8xk$Tkc53NcSB_IB%!&W^+ z^Ajf3rzK6?UMm1j_E4zf;BtPDr1>D#wjOahJ6-i@E9hug8NdbVO5F~5Y;@fNM_KmC zMAZ{k$&23A+axRYBcFBAsa8jQvjI#0^N*-*0DqDb9dk{tt6$p~Cfyyfm{;qL3h$!T z1;uWcY3aet8EBc%*#~}TVq;jKbdKIKO1Kvol$*U(?GweL^-CTa|1>}p{u45X}JK`Mq)QI9c3Xr4CNLm%z@52oe3Cw}!q)n5vj*G;u;+>X| zMvop)Pss^KoPUKlPKYpar<`log&G-}CtKW2B4eY!QvwMlY8;VwR7P(i4q@e!$>P)o z!kN*e4^ZsJmE_L(A5$YQPwx{fFnsU8NsvlR}SX^RxY7*Vo-t1 z_oF?#G;p)CY3)K&x)0ZP=Q{7zhxeta=3OcdNGYQltZXd;PgI!`Sh};Ss7stLnGtb> zI3qSVw6*z6kPmI?hNsB?wJgUOv@wnO;$3DIF@e?!+?P zZ5LqQ2NkrAX*geVM^wM81IH@ZrJb%W|8_9%u3dy~*IxQOO|LPPBQyAU7$Vu3IT@|h zLU)X87-|iO-=mqM{$Un^K4})1-TU`g#U0j^b8P#td1<9X<*<47udp$>+ES$(-KL9f z%y^7+jr50`R05SbcG-F7=|C8Q*_~yIVq|re8qi)FmrC-h@SS5Wc<$SQ=__`Lu!BXf zekY!ZVTs<*e%Zjh!`w$!D`nx_1>W#iD43er6`V&xIOAzz+?6T`&)kMPz!>QR4_IX{ zqkWfZveg|hA1l#3=3A;1SZ)u+XTAL#+|>kDE)7W-AAOf~V>0gPw|G9yqMOK7I?EvU zK=yjDj%d3r%|I?dt&~CGmac&M{iCvgTzFodq}@S-ptJbqiA0j;o~6Bx_Y@SzE#(6~ z{@0~r5^el8`xtJVjM*U5-&YscKLlTxCv*SX9PA&=dpF{A&wXQ_0S5@^y8sfE6S_## zvqAkvyFycY1v>KmM6{$aa#L}M)VhAeJ?IT+Ju8VYb%zwY@_BfZ(pWa;CsBa#m$+R0 zSH35D{&QF38k?4#Ou;Zs=j6;xPCA!Gq8GqtW@^+%WZ4hDWT5uUVBXFT&QB%XUS?a( zAB#(JI-4W2wS+#+VWY*m)-Gf{^%v<@liQ^n*#Wx+!9`C}uSV8P1z$vo&%jpL}AZL%&$F2_xsv#-?DiB~R|oNquis5#e>Gyqct7a_^U z&|x2wcRK!_PSX43I&z{ngvJ~y&Zjh+Vrf2fY^?k1ZAg!6N-%Pmba z%uKDTSk09Pk_R4kavsoJpmN&Sg9O4r8%xa2Uu{gPLh*W#uv&MlJwB0@2u*)R+Ppy8 zMnV7@^bfvt;~F<`DNFeWU6~3}7s?rOIOFn6I8+I{Hd_TRi|lsr7rl6wo}`J_>l1_ zM1I(u%f*JKt!_Uk@Cq0^J0h z0`{|jc_pI=?hAD3t+*yIt-)u0bL}#jYm9zFgrd`wkqYxXa$4J$X1@cg@&eAaYMHQ- zT<>i0lok+A_G}5l!ijs$169=sa>3vxQkAew;O%T5as=W{S$~J= zC6p`wnXrYs0w`Lz55zHVEnJ{aKAx+(gkHiUVRpbW-BbMI)ThV)^zxdb)eP7WKtS5) zKtSJ%FCYg6xO2kU7$H3lnz!M*A76yhDtZjE@90r!2-CV^ z5sG#+a)>bE&2q0BeHuNpClAQ=2Dm%T4K=ZOL;dy%67= z+d6b-Q`P*P{jR%&u*38f_#KSUNN3Aq{EOgfq5HQR*Xwa$G=?CIao0UO2d^`KrWLi~ zTo1lk5pG)1JQw(l^>`%rk+JJNkaxL3#M#ApmZ{M`~5Y444l}4a52h^Oc zJd+zAO(_`Zsn00cD zXnqhJ>4?4>;?x=@0`}+5seyz`<%8aj*{G844Fv?evmdQcWQF;k7?OUaXQ%b@Nrg!! zGrMz(UEbOsZGTe-cm)mDHpb;$|3W5b{SSqMILk zf=rLF)JDZQGTAnU3{dkL-}gFW~j@xh@!_5unFgWl|4y6Fwt5rNMUfbCA{3 z0?}IRNn@cc6aAC~ntKQU@D(|v~i z`lT2|<8^bLysSzlp_w8D_ZlEBs_9NNXllyI>hf1{$YOC>ZfGa>V}7|7%w|lz@ZygU z`&xp(I*&Hl4DPq;jm`?oTsGy1gOsA8v{koR>ywq*DG&JmH48Zu;mKrpZW;~}6rrkH z5BXlxKa@Nb<|$w1;9Js-FQ(1L$hKC+vOu^bJ;>PTP^P6K#YkgM&*3Vbq#dfvtJ|wK zjQDK(%4FHM1*?UdG!vf4@)ObXCI?QNhN|F`9mG=YSncaO_!X?97uYDOSn{U_K)Nxa zHxk^yMXcV{%C=*c%Ev8}xMsAY!^*F-OpwqqCfU~Albg}jsw9>NEwlctEVb3s$xWcQ z(303;SFF5pRk=w<6K@rmP88d?mI3Dzn_fs6I;1#cUP0UyNgJ3P;VqxZE|5)+Z4c9| z8Cn@v>oPBDa;utHW;nA-hN)ZgtPqJ&tQ4YsisXkz)6EMu*w_6a7M)t1#Hti$VOyJ> z?ED?I%v;`^lxP+EYfRDWluo)TT(VqfNHTGqNn=cNxxh* zRrIInR6_b5HJA-l(YA~2MYI0wN?MP&0^C`Vnzt|@L{1w%>JgAuYPEhpl(-+!fW0h$ zoKb>uP%CdEi(zPP+7YSILSPq!oD{@r#F1BBZz0d`jmFPoNj-H#zJ}V)*Ox+M>y#j5Ydr# zoiZ$7%L~S53hWoyzKY%yl{0?k-o%tD)kl_7b{mO zA-p|VMbL@h53=QGiGcq#Tl9YxK1Kfa9h~331MdI&4p9d?BP)BCe_V);7+T%GAm_rU}_$WcMyNvXbjAWV8XzPny8599&8>vT^Ug^ zVXdLmQ5QfKm&>-x%*V}ii?I#ccgVtE^T>^pWum?AuXst`$a&wtG#`oz>6?OugDh_G$$zH!~@Er*?Vk1Y^X z;u>zjU4@GocAjrSv_Lmu8m$~e<}ftNN_h)t?60%C?LthV?CPr$s^QJn+SpH;T+|}ke9cX$V3(IVslWM{9$>wrr zb;CdC^eyTTn58!QFG|qE^*wm0ujAamQ#;|$Ody~2N_U~NwM3d4gciT$J0e9LfKSDZ z3+y|ft(6xf!>QjX3e&?QTrpLejB%flqb)$DGU1B8Q%vC0YlG{XnIX;a(a`boqnIfzf-J*N%kxn}mH}QbglPs!7UpOz3qR^O z3!dy?*EPeK_@w&O@-{9hr}PWg7Pf~cyTTO~`PDnf6{Fl^TLZA~?-W?fOX}qn)3^iE z=)!&%GvVX=d9L!`Grv5`OU`QJ2Jh?AsPDgeL7;Ps-@^L$Ltvi}k{$tolqQ9b8BM^jx1rz(mUSc{yGCHuX6_9EKL8(!MUB*P;Tj!V&2d&{BY94 zO`^l7P)Afp!8UKESaGAbqqn7ZP2$l(;?T4La<~!rvo`-6adukztVy$kcy%!Mhkcu; z%H05BdSgq~F1KZvI5gz&urr~r>OfnNvcQTic2cl}nTs)&Hs($f81ASDtd(+nuLI}M zW@NW9wSisQnM13YM`^%$Q9AkuMgX~SWqM4r5YhRwD0b)G!8LKOjS-u#_kEKUt>NL3 zJe#$#B=mq9pc#~zxX9WbXi9y6!TP%5RM*T(rQye=b>~SGoOkkVwRDS~K@> zAy~mE)I(YpJg%!Bs+vARVDSPF>jy95guA7$iPYZNNL1OY%4VldBETk4zQ7#smoFHxWm!=5BnZ{ZUvGbnbSoE4;h`69Pf%q z7h6)@E!E0+QREr$kE9gf4B{4D4-zI&Rm>?v$@ag;-l1aI{0HX@EPk4#)yxYIU5h`> z1Q!FadDRw-N*Kpu_1Q&UDTNnIjo;a@e@X2?W2F-qM=x76iQRPv{@Ah~vurk;zhMi% zc?UN66>wp=V9rzeP%^DA-JzWEntP&EYXkJ{Jt&(tZE&_xJWmmj_Q}Hg`fXwr_r7?u@v_x!;CiLiXtvGl*Jz|ZCDvH7*uho2IeC=G|2xHH zB8w^ik6$KWiMGUs-Axqd^p*auxI6>))wk$A%+znoN7^DYvF5lU`Ut);uM2+5OY57( z?_#w}!8S5^W&epT~;P{mQ~e#kaapyi=8cHL4(V#SYU;P0nI&a72&Vx272>IKmT>jx}nNr%}f`h zsozuoEbfk8yn(}sk5ta(esY(u?h=}cZ)A0cah~y27JJLGt!>SOhq+YJZA*HmNpKn2 z80u7aVQ$dLIIkM8kcv3WbU|JoF^g+*1#K_GOF>^=WmkzZpO2pm5L0|p#d`%ApUeKE zuGLkVUk$AoK;TXixG-tZ5}5F*_8_x3558a&O&xN01>ll2q_L;*v`}lj3J;zY(_Zn~ z0-hJmzO=9kyJ{x|f!0djwVb@KEpCC2|2A=P(n?0O7YBrC7$7h3dkWLNXf(}X zQg=?z#pCF&hl;Xz%^6N}7*6=l#MJP#xebd>rFH&(5WtQ#C}izmAEDa zs7MLdU7`CJbpFbNYk5Ik?QSH{XAbYn3VrfdEu@u&ht|5JQf5|yF-2^l`vT`KhN6&T za{TaNxzaMiYe092#r#f{Rt?CqDbX-K&mLA1Eq8daW@)b11Bcqp$uj|27rBF^^X(tE zj@mnK0WRJTW&(Yfi9@5joRPP_5FtIm4)o;7y^|@{I)J=|hmko{9%}0n>Z}Oec~%!c z-l;*RWtjl~3``Y$T}$7(4;K@Q%=_ZGp&gRh$ovAly^u7-vG3%@O9H#BhyDEZN@VHV6OWu9upqTu{|8*r_KWReZCcx_(hoG4PW=%z_Hk73`z&{Ld5+Ib;&}1VTNb z)qR@ws-VNCqP$ZVM zM$v*`_$OeugZzj}uC(A^Gv3Jwc-K(;+z}J}qLUlDeg6CD;N&NJj!1r`cyS0th&pAX zfUwKvWGAF%TjryPT6r+|1CiaYX`Pr_AEpXlP4N_#5lIkyZ1Dyy(MMuT0?Y(G*Yg?^ z!v26?$E*2mIWfI&)HM?1Qcov1alL#>mKbOn4H9`!OC+k$Y67gEQbHJ_gvXvhh|DCm zAG6OYTj;%<0p>|JAUz4NGPhUvRkRPb;By3`0;k7nqSjwP(#Sie4Phu{(& zkH4maS~xbrsXiG>Gvow(cwq_^04G;pO%8Uiv+eE;Iak9s_(?UD`}^H)p=CNnTAL!` zb3!sP3SzE0hRiC7=+%O%zvwurGC+?_vw@Cs!%y@3AU{0$h5B24EBJD9=(2q?;hXX6 zYn@OHDXi-K&(G)AgJ$2P*Drg3?>FaIS3*LOjTZ~4)CzOCzzU`P?-uODp})aY^(=`) zd-LofiJm$gOF2=K<64cB8|{cwES+Jy@sP`5RoOpvMl#b5T;IaeK+0$#ZwWh%U$#AM zjOi_yu2>>DjL<)wz4K)haMavP15jl%Vx+~g7AnFVj^Gs+;(tV<>W93;bZ@V5vi%Tq2pCmjh~#68s5FZp~n2d zdXnLp2nPk)lXOAfQhnv>*43M9cRHU3)tMLHV4xDG#nz&>r-=-_rT)T0$pZf5=f$;1 zK}q@DrK@)keY<{yv+vzK%PrWpuq+fj~ab7hLJVT*u zqHvlB$9YVKggF2|A96dqcOBW*6L-J&-kn|b#9j$tnkbP|P8C?k>DWU1rYrJIWEZ_t zG_W44fmsnTkvT==52}3TBW+RCFq$j3J{}2&<@x$wOJE{dJ&Ue?A|*&bK#<>sqJy)m zqJr|p?;QnHA*9h#X*syOkun8-)pEn&`1#!HK2YPB5hAbvvM{9X77Y=q&{=EcT+iz` zu;^PxtN~P-o4c*feI+I;aa5E`XP-`%#>PgMo%C#%#jHonPv_nBLEV-wBf(98y<*Sq7iw@^&;RRD9B z(el`;UzP2wz;PzEYpvs(x_cKzL-e^X4i{l%1v`}4y15;Uo|&bag&Q=_TB* zG~Da`7@^j=e7je`SzN}QMT#FlXQyQNk!~#t6n^(QPy@U$%C`=r=C&=|Q9E`x`o3Yd z@jBd52Un0AURY1xq2&E;)?l_1l0h$~PY4lP$GjCCjl6;~U6|rDa1zWc>UnYj znvt|_)DT_Z6lWj?a0yCg!Ggy%erQfOEi`#&?mpw%DMbCaIwC!%dAkj9@D6jnwE8t- z0alSSc?&ln0c-J?E74XjXkMQDlu}2-Dz1S@5^>cD*GNoxIvbcfnnn}N^n(aP9gFs@ zmL}NV&u}KxF_sf{_RR71jiagBV-%(;U2*P2$Q=c-fy*O?G9riqfKrc)M)&olN#69z zj!qI;{rT&|^b30;m-0Il3U{6q_Z!G}jP1r#q1uE0@N9WwVEFx8px22>Z%YA zASB-12oYrwFl9sqRD=A=_au&L_fg(UL=RtY`~ZE6+UBSAzgvH&y%tn6eP_I%xP0UQ zyj9IB{%fcVIgwvmjYPga^P`wKhm*s(rMjM}JB7D3ll-l_FRxaEQ|alySRgIrr#E?B zJde_9M?l}`@>X^S4iNl zwX&SjuqK!02d>3(oaH^%C^;)P5nk>5A0RMW#+LD`1y*3_ii?#c?UYIIKI6(pz_F)b zgeJ`{!tsoC>0I&l)xiTKPCo+&AS-Q+VwtO^muK;^sjaAFmdX#x(MWr8zYpp+|?`*xgiXJf;o+6*33lPxK0V+-&@I10#d|*fgI%un3 zMW0^n3;q%ox~7b4yY>PvQJ(tRkWGm$F^1{-Ocdn3UA-U(T9HF_UvLKP;$9+5)u@^w zezI&f#t1X-kzc~$DC)+sgdHzC4j)*px7={>{` z)UiN!$xh?r3_(;A6tM(a#LsCsBJXEKt_l>g?0V2JtWQofzC@TZVAwc_oS3jYmP|hy z2Y!H=Kf?I0!lKuUr>)ic*tm92@yodF+#m}I6ZctbW~zwZz-+XhpiJ&qr`RRh9CFD0)p)#Um z!2ps*}0xAd2l%B`<3O8W1iWZpCIA!<1^EnZRxJ%Pr?(+jbIjl26^1;&qp#9bd9&6}pH=sv zMZ54tT7Cf5m##&GwGO@IEFA6doc-#qmj|jrUb}{HFsR(D%WN9qgOlP;T>um`HzEg= zO(e;vwzFJo_&q)*Zg>=@eg8mXS)5WAyB;Q2d~e1hupE4IOb{f^K2uZLBs)sXuL0(E z#zyIPVZBY`F3zWq6_N}nLXgE|`yqPt3XRx4TIrhTTOKubBE|`gwQ7wG`iD!)xvr1| z&U~#tn~0a`*UsG>8O9IFEyQh96gTDN$)(R=ABNudLdCP;0eeCxYgn_{=qK32P=;gx zOYuI8eQy;d1hhNn*Ti%T0m%=S7YPXxK95dE0a#;$OA+^=WEh5bX=<5G%Bmn9G2QAV z#vv7(BKMo5de}c8O8ur^V}kL+eO}V%!ZJJzNZ*`MhO3MH21T9a2>yls(6R6Y#sI6O4CL6cssqwYgdi1#o7uCL^Q-SU;Pk<< zEe8TY3LO3-Hwko*Wr&=j>jSC?jS@xyk^Lj1xxXJ9w(u)lg9Bk^ljf=x{>0VGO@&oj zj9XH~vCkVQr<3xY7ZxNEPu`<)Z(A)m`X2EehSe~VtV0sBNhA9@AR#X^hhbfj{>S+& znzch)aEKQ%({47@2z7&Q<6Rjz#eG?*DTT7+RInLIi;xQ*vt~9psFv@EZO?dvh<)E) z)H6D#D6=HAmL^9F(WV)!$OK29(d!rnm~QdT_%RY>f6U~A-YzTKeux;F201LC06*Hf zd?K%-jbXx!W@!=KVhea~bD**6?I*&qtV)km!0pe=q_XJ7+Z@hoQ@w6i>=h`MZc!3d zqv+Ld$sXsFstda1<}(sX{&t3+Des6w7IG0CRNBrfhDlkiLAvA+E3ictP-U9K_9~rv z%xrJ>JhVa*<~`b6fqbtD5ySKOZ!UjG+jDD>@YA^y)me{z&)EIMYeJbsuY(#R<~p+# z;f`<8s1w{IXOZZ^{T1f!0{^1cN?$zTs6lQkJ zD!fH?Uq5s-34R}7P9a+HH2p`KhaQcw03k_k^*^f3}tGJsrTGP;U!J8spV0EOhBkcT))j)*1#T%lJ0S3^3&*C+CCMxttU;k zBSwsIkx3n?o!HQ^)I42k2`35HmN*t+0_QhXRXe}dz*Xg+dSR_n?bdQPEN+NQTPJ<@ zqZ$xhbwl1Zoj)+|VdG(U0l;JhEo@XDI#Bfkb@!N7<=h@k9+3|H#{>u>sUm#a#^1Ole3 zphzT|4026guR0l=sl3;`#FU=0Xvh-aB{Uz;7=wX@k!3Cl`06z)yKcLPD!(>qity1U6w5i!>8b{_E~kT8)md(won&@F zSy3vd=~x13Jf{}q7`#6cT4b`^8XtRJXpn=`59)j%t+re$8`j%mIcTm8O zuM^%#uOaXbjEIeo0+Y;*+%WZiR-WM{LHdV89?Mmv97Mf9_VD?G^9nXPwkj82EeKo@aX?|X>$%807LpKrQX8%)4@K!bDGv0B**Fj%T)k_Mu!QugAmay zQpffPItV)L)B#t5vU-E`2#TtgyXNICLpM3-ndU0zT($yu7C`;&G0;g?6C&?IbH`I@ zjoAmjWj~5`pWzMtUXsvtJcln(*luL$EeZ)lM8or|8zXT=U+@-spLu}{;&?$;J)Dfm z)+GK!!}^A7-S8?oC=#qu^pU@WO%rwL6@UHkS9I0zQ0(C$iGZiFdO7JhoLn-9dc90n zh3W_QSO|w8Y79-KJOLJNqnHMUd|swIJ!nJDGJ;*rlGQvaa9pB;S|hpuxQF5iY;w7| zB4r)U&1H>3VN3Eu<&#m}Emm9PhUoXf4*Q5{j#cGz)`OBs{0J9fbae_>7*E1KZ|6!E z8@+EY{1yAp4Tbm$K%l$l?o92VmqQ>={eiXjTM!-ejmE# zB;1^1i-W=DTs*%O*8PzX7)?w@3e_6Ve8hpGXNeInlo8VmqtV29LrbgF-XNly1}5y> zB_b=I3&}J-oi7|*%KaPGw*l33H2Y8~(-NXUQ_v!Wv1O1?1__vvn5YS!_=7VRN^-Si zRP2)851EuCXH?Rl?@<%p7rG0wOA>J;f`&J0SZctqEjncK_3WGFhiP1V8ysHL6Yz~5saa>96?IYx>)6VUd(MUe+Z{x&b#q~bN}H~iO?Z? zAEqyu1|I@ar#`mFi-8{f5p^#0ygB$XNhHy*;3=$*p!J{njuZO%FdvR(!XpJ$oVJS} z-g9I}Aun;{^YyfO3I53huy>lEoIprQ{$LXJ=y_c1fjg2Pi5RALu#ZF2MJ|Hdq1$D{ z30W{%U(ZH`L_F01=r^AQOAPeu)qqWc0RsaD()wU(FhyCy91wz^pbE2pPJE81)uk0N zZnN~z1j>hx@SgvM?BA@S&&uMjk=qWu-95mz0pgu$I&ixMMlc1={qhy^d57^dz?5(j@1Nc zk2d4k`N~7_2reh){6433o_J|Y1-*RP?|q;=vPgG<;z72UEXqWuaLY(4hae@!S)>nG zKaLPAJU)}iZ^qF3JTJ-^nz|~P|AJe*#3LNm)6E7~g@8?E&`M+x-i9MM^6#z{7dfvK}#RFY9I*kJ*B8Ak{wT1WD_TR-MoO!at5??+0^qfIpLXjU!Y9_i_w@5A! zrcP|sdP|s|eGu1XVPzqj{y9=624x@lW7NmnLpl-Oj|}X}-xc)d0J)AYj1IX5F%q3b zT-CzS<4)Q!+Eq~h3DAooJ4)abNJtsz%QL&`9#8|@9XAL%TP6i!?2efKFb*38^BlT< z!1{$zjdy7G@dCt6&d;%v?OetGB`^Qaa0VnI{!VGO(#3VbnemrnIds@06%cq^UTdpdfwNl6F9o3 zNjv7$cb}q%3*OG98X=ftQFe!4hat!dxWJG~i170EgcAZP~`T>iI74+z(nH zg;Y;53H=un`2kNn;u@_Kmv!e&riJp)yWbNlz^{`k4|oi0pU~So1d5ny&|V3Oq3jAg zXQxSB1+vIQBTz(U5o_v793g&eDepa4m@Mz+*DMuJG8wG-d$8c_440u;DV1&gVk)C( zGl$|xL>endmwe&XY*vjrNijb)#W{=vX%L#u3NKBOXIaSaSk9jhLUAxqep@`EoMi{% z^DH1(VwXw4P?F=;CpfRiuMmKP z?Cvl-LokT`^uHTPEISCml#(4HL}C>nEP(nF82+Lo;43>-B!{!`_z+v?$PC2iK;%#| z{f)j&l=PWVg(SeoPXu`P6`bjDq;RQxJEr>Z*kWTej5`*fgH3Dds&(J9BG$E55QYYi6kM79{!}*|oJobqC zY>;Bd5Kc1SVgc|XJ$58!gd=R&{6`X)-4Q$`79CxaR6FSoJXcqYSSB~xR zD+Hhl{h57#suR=a32+cVrVuXy!-!bG{>V9Efx}JMjTi*w*quVzsTN)NgZVd&&Cx61 z-8Djjk#DH)zz`~73jh<@XU!1c37<%p5o=;pNud!?&!+Tt8H#djKdD>fu5WmVu8+ff zt;Rm0nWuB85I};=pFZ#hPd!!)IV6lFYlFDLWR~y^5Cc!3A{u-nn>3qm;EyS86S{Ip zn1A+fk3W)s*88BY>v4c}mpPa{yaa%BUceAyDSR&hi=~K2h!naN-wooM+A)d4rTJHU z>DU$EWDAH7i3WXL0EdUT0KnP!g5o1K3~?jQGg_am)o2B5LSX&;c8F~q>TdoQ$>NbK zfZ6{=0u;aAbvatyli~nOmKcE1<`_HZjX?xaxW>c?s61!&{E|rOV9YO-o4Z8Qu`8fi z@54lSYzIX#TO`h)GE$AL$G`WC0f-3;;ej{mMX|hj+aYxAlZx?^lymYueI?fhJ~xL7 z3Am@A0V&48^)-Je3le;vIF$GQtRdhAks@Wu43zK*GM+baJCrBf+K9hm`p-Yh$1@mF zABpxy_e~wnL{&+b*H6@_Or8&aAknY;3SsqeU8IN57 zjlOmTh2CZ@$QLyLpXkk#)BKYLFwVf!tn0R6X*LgswhKjIGh39K?tVIQ{le#8Ps4K93fpY zA5W#7@|b=^Hy#;2g9-t7^-vT+@U#XBkPVG+1U{UbJ9dZR;vn+`Hu&|REG(5e0=hJR zjeyNremEbRKR1UF7vR~kk&VSrq@5U^q&P_M?J~{<2#_Bj!-6;{AQKGw2y^?2#Wq=i zoLJ2N{?AxA<5^UQAkcx*07ig|2@F0SG$%uh>kF@-Gl=T_nG)0}YfK<5I6qfqQ(!)Y zGg>UaLt}7IY7P~Xt`5u|@Z^OeH;)P#Xn7uoV;cm{4$2aNaMAD-7+itkL^Q}7`@*TO zEb`zC!sT3%-x|rGCC5}Jhl1&cyKNMtqgQ|<{aAWHWr+j8@#uX|Xn6Pmg$N(u53ofN zG=VN$XHXZmMy|V0o=n7YbXbw>0o1qX8 z_<%S9Z;=Ie^az`%i3{)>+ixmSd;RFW)QE#Rx_|wk^GzFwReg!=C0iS>* z7ocD<&=ejk_~UY}DE*lsvVqtU538^o_@2RHyouG-1 zJ~OHiM108JVMh}@K}y6IS&1Rh7jO(2Wt1nGL$=qjxsLTE+-{yM5|BMT;%ReO-#6J&51Nx|L0`ZNVSyxXE_cg5V3l&(VE)ZzbMy*u z9|7{81@>C?s` zhRkiQ2>1HWq(Tf-$Af?eNU4Ar(BaW0YlI*Rl;J%tHf{hhXbOagohM`vT^ycK>44b~ z^DMPGHp?!9yVTbt@n=B6T+q`sp9)DVi;_nm8$k-jdB(j5_=NRCG=;lcXcSy*(1=HY zD~@*>Lh=xR<&m^e#&mC1cqUbtlOrS@y8<55NwNj^+8?t)ObDew1LhAsLc-0r;;>J} zcN@Sx?w#UsIERb}{u+rB8HsiPKL5a{V^_dKMX2ZdQgNgS#y!L$=}NW<@gPTm@Q`2h z@Edxw0mrxLIPl~3#JwS{n?FhSY!Ql@)N`I~iZ4si+@j7AWy{Hq?u}3-qMklBO2`=?GNFI6)9_MaBvf%7@e)R-unVyg_yIapn9g#B}Tmc(%^r5&?!UyO3W93otm=cnKkKAD&TR zodRBAg9RQ%0De*BFR;bxgwCTy0iynD$0XA)pr%;Cv+W-iRQW}|3ak( z8NGvnK{@P>fljJCQ@{5?Yeqk|6Q9eSiuV~O$c)U?q&O6{~B6p*R%)5xk zzlAu(K&4I42RKfIX1;ETb|Bt#=JeewJPG6e1dNH=#Va6b$3p<*Fq{L!&IR&OCzOKW z;ZELClb#jY9zb;Wg7oQg8(-QQmmUb#@c5bRU)C~xtL zsBo(9-8bz?Tn9ri8Qw+JK#wF*YvcZDzeEV_pOOIF1`;&ztUJ7C;PLu{#b8Ib2m6>M zmcVg0?2TcQG{VDG=v2HNwNhDejppJPT_U3?cwDxi)_s zoaNVKs6M~)xg`e{M1*q`BWUcTM^q}w&4L*b6lmFb&8V1ICgYiX(!L_}`{;tTa{P^eLbIvB zA-Tp>>(xu51>YOvD-~oV34=qf%Ga}qD|q+=>JbQ6;50SBBLqjP0pQ)B;1fRf*DGmS zAsF5-)ZZdie_H=}U!=cc=i-Y$uR4!azxX5v^n1#Q3+}n%ijTl9Kp46@q-u9+wg-}U zX5qrKsuKd@LnBIi7xWQzf%n7PjW{*`l)|CN_t`3t!i#IKtR_aKt%m4h0^yiO?r@zn z1i~F0ERVN%1+`v;o4Qr5bN8rYUYZr?=#zzc)Kfsq9jZd|>n3Fq{QZbk76E$j?~0F5 znl0U|I{b62Em)$7MA%}lz#%geMl@lnT(?RQ~g+!f2Oi$ zqZ64%UuyklM?$^Ak-ojV_jtfdEac3*N2ohijl1aXu@j{sP6}ao&nAHeJYt2{(0h_; z!=)V!E1qFeTlE;tPW_Fj!F*wW{lXBQjSrpz`KcxeX#}6#I;vijA2mXL*vh-DEUo-= zEC0&Mzp(OuTlqmNKcli%KKdfHgTSQT<-5f;LHTek0aIz-CGR-ZY@VP$$SZrcI9RH= zXUhD#i{MICW>XK9n=kzs+X$AuMp@dVC%9{~`Z-a1g<%ltZx!Dp^&!GGO|p8=TBu7m z{Jgc%&0q*Fm@>S`v%$e-8w`VMVSNZ2Ghl+xU^pv-G9K*d)6S8+B}+^Kkd6zaT!So+ z(14YZc7uv39e>w%F(&9Dw|XGxCD5?Rbkeo@yL~3*(@Pmo|3<2YNuyiIu?NoBBPRDf zewD7H&vjC!Xt}M@cs=?gQl`%)0~O`NHHEZ;EMgcF!1gqxPRV6;An)4B2U&Tsl@GM? z!B##>Wi2$&e&N|iS?L1gA=&G7BM1%GMfnDmCNy86%0dnGV5lWh>l{fDUiDdt36u2O z+g0gs%E_Va((!GV+78N0Ti%W7CI|URgapp6Z#QLPH18wh=gsZTc^{FpItFHLH`^BF zX<;{+DBN4`d`WHr!+xCza!N?e4&?ar3eBMeB65#P9@B#l5vpYRfPS1mv`+GKK*lzi zAU8J(MO!pTOCR~orTLrWl~@305$G0m?;F^&K;p->t7fKJ_sQcKJ^PHSX8Ht(Yq}ux z0hjg%qFFUFVBKdtvoVOF&$w!)PY0rd67Ud<17qaZZ)Z9VZO6y~ZQF7n<_wDtygdM) zf&FFd{tO{ll`^~t4y}0kxYDn)@N!)xGhH{FEmeW%!h!jtoJ?#{>E@I^Rk|kJ0`l$E z%-OMeb1E3=ZQSLS-0aMG)D%MTG5o{V*`Jg%=P@8jO{QlmJnKa_N$Glc1K4H_Pb8X6E_#8)NSI79KS(nEN zgtM{7=zBN|>zJk(^og4Wv71vvCk@Ov@np${O+2Qf25!5a|iAK&2e-PhN_-;+894)4Bmu`!Z~Hy_4K)Qg)eo^T|vev&C0tv$5}ar zT;?G)Ax2KaWu@L5yVOtI7*WEa?lCWVqH$}bJn^UjUXVA(#xDI6H+FdEG_J!tr>4Z# zsScQU;&efyS_@3vg~v)k4@}$~_+1lB+;owecIkq1>Z~bubL`B8xT&Sisk2FDmQ5nL zjD+By?FsobX;vpVsq4lbf8CBi%S+J13>97LbK1LVkdbpE2%bbuW3j@ozF?+)BM z?fP9-I)dMA*YCCR_pNj!IoiCw&+0>V_s^`n%Swmc@!VP&8fY+G!JP?d6XdBb&U-?)(xUuMUrO*z&EYHe7Qe{1Mq{VgP{=a*QW3LR~nVrg!){vyF$ln=0Sp_La~ zd6CK{#ldMx@s~#Fa;}T=n?kx=h|O`q2ECTE0AuXKpoo2i*l=dyIy4z4_~4#Qarp_lL_7p*G2g=Dtmi3 zl>lKoYh>w1-@uS&`UgXX2WNy8r>awH#^5TiK-=6{O`;trOfH03J_MLFpolrXJ)frb zJZ$thVC6n54`yh?id0IQcSW?}x+s4;&_+_Guc@b5A+}!R+}c=bX)^U-$e0j>9T~SN zqs=q3sF3|iaG@2(5QiD?35?u@>uOeYzuggC4wErw$5_u2$|KGIKsyS&(~$oSR=y-d z0$@l<@W#l1ab1*e3I+_|1su$=QAzS!QvpD)##>Yp>A>8Fo6cDE?ZJ>)&@;7I3chs` zGSD`svDF__I-s;3d$|rq+ks-Vwnw<<@aW2 z0ZXQ|cxOZlu8Z=w0xk4cU(ZTm#E7H|{ro`no^ifu!zVDXS8gM~I|wiri`>C58 zxJX09q|&Gd&I#P>H1-MoXa8?_IZpuD5pzO1muF=HKYUo2uYmRAciAP+!3XS$_XAh` zP&KZ3j2PfJK8M3lICqxEZ#agFG({LpM8soBP?m3R_K`8ZV4n_W}37Ui3o6Z z3#22BTY5N9cc3sxJfPu`XpUXz)ID$npP+lh*kYKrS*jR=$AbdhgCdIUa<(DUy?r#@ z+(yW3GYD{dgo54;F(VGRlNB89sT|JUlLmu0E>6O8Zhk;r)uCmKvaBoP^rH!@h2tq0VmT~W55lr7f6K^KXsx=$eC+>#nhYmbW z$W1@XG)&)Tut&_}s-ZXIfc4NA-vhQ`>-@uo;E)Jal`iOW&6o9W4%D|+It9)lP3ABzuIRzD9ZjzIu)})7I-S1Vb=hoM#qicLZeE^+_u7{>*V7U76IPWw?xDpoZ z!VVLY6E?z0D^FW_r8c~SCR+Z)st4ko2TC{HisOz@<5lz0qBGdn)Badc5$YUt=gl%l+> zqi&l^it><#PdRE5?sM$686(}+UC|#+A0p%!KSlXHHsM!U`Q282mzCeCvPu1?fU>i= zIN`{MJ0t3IU6f}6^?{{QZ}Ic0jUWdiT&riRHwY^yo7Mv(>F;|SZzKjohNe6Gavod0P0_&^>0xj_DS~-; zuZ0fg;QiI=T?4tuo{=7|Z%%|}c={&M1?A_U$B+0H<*y0BF|FE97N+{P5*5tKx@t~J zL8_CY{8cM|LuFGWu2AiiVnswTu8Z<1F~!$|Q+!grJEp)mV+gyzj-r<(*GdD(1S3mA-{s<%UqwBdCOUw%_Va!PUk6of= zUVH+JUZU$ful0XGOk=|&J~q!|+^H`6_`HpVrzdHMeGVhiFxLNR8Ym|mtp9~MRtzAD z%Z~COc~L%0+f|D4u~t6Z%12uHXq8Pqf4bVGZ1DpTTX0>JKbW$`r!;y^L16*I>#1;} z6P&a-&)wvkqWsrf2iHk@SsM=N(0YWykV(QLI8&bG4>(?+{;jLJNnfN+Ej6Jz!#vF^S zTx#W2R(^%bCc9jvK2mmhd&DkW%X$o3$i^lQ0D;duC>+ujM}TIM;Asg5|0Nu#MwNd`E( zp{rq*k33fJ5hn@kHKsT9F(+x7WkQg-Sy(6Uwb|HgyOm#O<@Hu>v2vTrCi^W`>y-W8 z5m{xfi}KflRmQ8TroTZ5<8+(bOu^JF*5uAn^*SDp^SsUNMj2*i&}WM@q;BJJzM`q~ zSU;>^q~y;5HXj!s3;{T35thXLwNGQb2*&3#Wrn58TPm<}DyZ$26=l~(r*_>6?HI(G zO8tgWQ!rWMCdOp0i?(zW<=2Qf{pxBsICy^*478=T-F?-B1cH_PycN&e2+OD!* z$of8G{~xpRC#?K_D}T_+*ID_)R{p5UrigI#P%0wtjSL9aMfrQdfDm1XE#difigVUi zHaB)|bsO(+qf%8hYgcXfah=*Y{*v27<>7YNHVHo@mAvEX$l9<#!(=vTU(3$?WVp?n zv^RF&-k}QDbl=`3Un~ddU?%AlRUzGm+TLh_p+nQKKNfU_4x%X48BAyBnUMarl^?M3gI4~QmG8Im53KxM zD}PUAQ=FG**i@X~7g;>6i}L-!;#KF00rq;)a<5oo!9AP=Uz9(hC{LN3N^m*->$p(3 zK|Wl(1ghKk-y79*ze*14OavCxbCVc2)7EcuCh6^>5YPD}t(g};UaJbp4fOssVuo-e z=U^}l+8`L#hDu_Vn~hnS#>uohbn_NL$NFz}10LgfG|n+1t*L}tu_>;kc=t?o=yaGL z;=a4tiT{{wzC0byYp#fX91I~jgu?4PA`sYm<~j5+wjY@Jq}nKJnyE$}!l~4qm&rB; zb%#cz3d|n|`PpVZrZY}{aaa9{P21YADF4}nqpb*Yb^m38y8kvo-Oo=@_X{0$vxoto zJhib$5;@_)M#QUZZDZR;^RZyZW_CnKL0%jAA4iI8V7OB3CcIvn(0V!Y0*phkUnf^3Dy#s8*8AkZLew6k7U9aM)+rLuoN0pHyjO9UPBIu~s>1aDYi-Nv%t@i*h%3u&Kp&e-y!I>${tcN z-h?<9g4Eimae^>UrLd;Y))MmRnQef17rRaDG^CnU6T#spTEOJ^3KP>>Glz)mJm6p+ z2h`nk;Q%)9TYjUtF=3Vm?$E5xsZOKZl(HI*ahr`NH0-c|cfr)1`_l2pOdgDezHJo19fxRT=K-%C^}X^j^YZZ~cLir?a4pT(FSAu!G2@`dStA3)Ye-vmUW1 zZNR=(fL4_f&LR(`PDx2=< zN&%BPa=#cka$FbXF9k;q$i-;tM#g`m)?3 z9&qFxlh`L3uxgf@W;Qi|J@iCtI64I5HmBx9)h>3Sd8H-MA+X$Lt>P5rLZxkra)Fiq zVY=#4yS~iI2Uz(yZ6p|kZc+vH#^EdNSu~&CP=Cm1d;kQ`;T&mAzxh~)8loTS!y&qwrH>?3 z^AFiiRyjTCTF-wlgl>W7cxGYjV{p)o&+I%mjmJf@nIw23eVRYyl4e!TBvwL(l*O&u zjc&_EPLA3SQ*>``Zf}O~sw*lnG2YAumvx(1ggw8Ly6b7vHV_&N1csd%;}^V8?ISmU z-3pFCpCf!b+lpC72cA=&tpl_ZvX#srwsC=c<7UxTaTNv*n#4}lv?%YimDKhsUv?Oj z3Qr)SR2udPmC9?nw(G_Xrc!rW`9dpSWaU;X_gT5$%3W68Xyx@*KHtjgtbDGOJFNVA zl}&rKMq{Q5`r61|ajosa!CvXFzNXs+2Sd6*SD+R534zDONSv+NxdD)F_@w%xjjeRO zBnoxbk4Dj>!Wp2}s`C`6>os1mI$Y}{OO>PicN-|W2tcs_#Cj5|O7jO4Tcdpx9k`wW z>ML77-4&Tqu63G1Fr|``F#8bNbVMVVkWB)Ml_oY@U!!TZ-toY1E_)4h+x79a6r7qp9YJHK$@#xIq+9OjOAe(JNmYNEgQKSR(Ji80@ zS)tt~KcFaoz{Ko_to)G-3BO9+qQSi?R<>(WsSP)IvXM8maWq_V6F%6ccAHhfH;%Q>Djy7ifLYPi3Dq7$Z066W2eC0e z%$5gn$=uYMaaJ%#YRz9OB z{~!a*Gh4v?awIWa7v--6i4jnNH+N?B*kDM1)PZ!TBaJWx_%gkc$RsP-P#K7tKXC%X z9ge12lw}49U#opa6YNbXB|!`f5fI2uoKIAwuvVdYUNOg39w*1Pe`SOITq#LnSlJ? z3_8C?_0!eyRS`P5F3Qybo%#zN@NKS_uya5N@B8%=Bx(gZ7}6^>FV`Q>gp(EKM2Kdq ziFqv>@i3!y-y!NzVnZp)rfT?FwMijg5<$*&Q7&yjj)LXbk37`$2-JoC#8CU11X(9G zpp1Q#7!31Cc_s_9+lhECHN20=z`IV}rtto}xHI^HTq{YgGV%j2RW~tN=^~Id-cQZK z7KtVV;-pmMSh45}qRyGs@HI?}GBB-g!Ss_6OkC@Xknu3l1u(H};!nb!5%KQOhoiZt zz@AMwuFk-*p#{f!p()UYYo(o3j-d@*0LRt(1M@Xs!_B)DeD^Sq>_V|Q!ItiZEM=j0jY&i}IN%sGpbKirJ7Z#(~<_1aktFQEJCm2`52Qv*WWf zux(O{)HZ!<#Ex7S<$n+Cs5luQ@TNDIX}!Hu}ZU=L#*^{ZogmaG+$Crm=S;^pTZd5BH#y#_ zfqd}mJG_W`lS$FeZ?%)-@CX_Kr|0O*5{bwfX@#&2qt~`8IEPQFC)yHKlphi`dP^S? zkfs)6)zI!V*@eYhK!4@N$-86YK*q2RQu8JoZkHDCn^Gvl*2k3?tio!zf z`29Co?B1radBk&k)30rg3cMUBJX^!om^2I)j_km8t0<*a*3nG&C%+(`NQ5*0$1a0~3_ zJ%>kMJQo(o&=jjy2jo(k9i)<3IR|cpuzh=khfQ`q(;pcL{y0N|Yt?N^f`1i6Av)n& zhkL1vqLZI(xa@QRIsq}DbC#5;Vt`C2+*aEeN$n2^qVR`D)+LzT8oas1?P;B%uRM7G;M~ug*iCO>~#KddB3$K38|~u0tRPo z@-i0IJ(Dq!`Ar(}R%NLV&b(Nk)@l~?_bMF_dmpT`clH5NH`!8r_Q4c-*gX3zyh?5s zMY&rDYC(3LpgGk&D$2}urukTt^zLy^$~kG*$$v(=m3wLWO~{dh1sDbnYbTonQyAw zT}|PFc*5O|S?9D*X>9h6g|*dm+CFxt$v+}C!JS99bRRp=vpK`TOO~=M6Ez2cX0YAAf8>$iH?KD?vg~tCDbEEFE^0`*tXywbTJY?lfR=(8A+pWCQ z%I918LMu;NdD_aCS@{wx|C^P^tUO`mJ}X~r?SotEAO;_$Z%{6u9J{Nh}To>g( z1~1z!OmqJnU10YL{Rxr8VG)9@0lcQr-;8;iFxKfd_YWL1Cl(XIJWIai^%fU%VA(c# zJsafI7v=Y7K)zn}Q;cU`Kz1<$11^3X29Fp z08f;8k$#%{8#Z&VoLpfO@WV~SEhWK7+{H*;hiv zsI)kQWi7oIRjJn66V!oSP5&0pki|OoXvX``GTYv%8s5XzSQ9`))VJdGgyt^z!4N1w z8OG~`5Yyv?ePH1eyhdFLwzeNLUoURaYym#J5G=eeBt39Ey9ENouxhwRv<1Oob+(tz zt;bu^zaic=!Ix)c!$X$Nx;g8R!{W~g+EBNOmvLRTN(zed55@6%or9IXZ{>Tee6N-7 zv+~_4o2vKg)kDg?Ya%_wby2PjdI%*~@yG^=#$k=jrVS2rL(A4ItxCM2*eh+6H$+;Q ziljf;&O&ZHsqRa0^toM?*hJ5}I>XMFq$*m-d)}c6J5;^V7v$Yw?%y%#;6_vj4Drp~ zHW&6D)Pppimnq7xNF-L^(alCR#WyEN!#~YT1z#jo%Z;R-@N;?8=2Vp{i%%sO-6jAJ z51YjEY(>V%?+(q0OamKjNf5O191Ka;V1s()RW-3{sD_MhH`EF+ar=68e^?9vRpSGI zsvdUg27BY+j0Q|lklRf3WGf-kRB}yar583O)EEq5Vi=Q|p}b~&*aqtHIX|i^C(P{! zUNjxaTDz{`0$(9|4fsxV)@2=y)0a@qs^7o~$P3&<=s1ZBtGOb`WTJ4rh;}*j^LBk> zlkfyF7%ZB06I9=@$iRI{;gBsLx zK*IfS7R-dlmQ6#^yQ4+XTv#T}8K~Z!!K~1+R(HLdA}%$vhD^uAsAUEa7azkldhZ!D zo7RUdR!#=;;ZIcY$TuGU6ZBJ2F0%58RzBX!hg$g%D=)F~kyaK~KHSPjS@}g)ezBDg zw(@c-ue9=URzBIv$5^@0%8RXhpvtBjvt4rxZpYeQM7`DvaOiKCMU-ggLWT z10>#C$1FhBZnaI<6WUG-6pi^UY#8y)8)Nv58St6Sd8x?F3yk|bgj-eTWT^?NlSXe> z&nB!O4+-R+)gd@ro`?e6Kyq$Ju6f(obibXgUAJP}+bfc#19Z!B`zd72SJTUa4o?6J z0@PbEdm}h?f=ygtEo}dp-R<%zM=P|5L1@m%2*G4tiO?B$f^FmAVwS+@us8JX#kt0q z&5O$t6-OM4?ZV}fvygq_x+t$uIoh4kJ{p5AP()xH!xtiq zF)9cP$|qGpZPxER^kTb0UJkwZ?hHt~)Nu;ZS4SXmt#{l6kl2)(}f6?77*Y-LY^&2&rpD{^$la>F&%Ad3HXH_<3Z?^_X z8TH>K6hZd5F3K;cG}!|np@fX(x{A>nzFB;$;iG{_e>#s`6MGdB-mP!5SDbjCJgb0xs3ONYe zwWI==j4Nd30V>JH`LmWuYo=J=YIW|ThnAVH#RqCnphoN)XAL!X0AgoGJ>p;pmq2-@ zjmWbwI`&y$D)MOyyM7c)Mdq5x5CZciIMhc@yt2lTiTb-idwOFuU=KuYgscSm)M`BC z8fK>k?Vvs{zMjB$jhp3t*z7bxG-(Xyl!;v(^h}yCgBbbc;w-fATRc8`b|N1o9etwa z?qK?B7J8FM$uVQ$n;NXpT>Z{MQS1Ow}?FP*vwrL{YNt@Uii@sU8BD5u?f3=boJEVLz z*wu3RbL>LATHmn`u+=er%P-u9YyuJeA+=!f!$XA)`i1A4f*HL;;A;}sY7)zJYo8Wu zS;j?=vXr|*%e20fySKVpqs82P_S;Hz&4Y%AEDl&=Ilg^rZT46WB>ke-QiEBPE0g7g zCWdZQi-l*Q*21%3t0*57xu;y~y&%Co)qO!i69x;ZB}@R>a6%)VdI}Ju3@-m@^+F{4 zYbMDjH3d0#-lPfjmSKQK)=J04C|E5ZuC{86Gbnhjz=?&WD7Qr@;JPTkKA=E< zfgNSYOY+iOnr`58?-paA(D%r5f+=nno5bGFV91bk6M{7!r>CnaFi|zR&|`0IV&b=F zCmy<}_{%}(@JOw#k^UEe}Qct+tY%@T|1B_6T!$($)&KyA8FwtlXJF@blFo zAb63ki}KMCg1Iir#{>kUhuTMb(FF*6vi{)J#t7{7@Fv~zNl}?oZ5_LU zD`s!KBpu%`=?iJ10{bO4Nwl%4K82(j{p^$xu9uwRfd)e$hCXb=fIcT|EM5%3P6gh{ zHHOXe>4r9K)ISSy=%^G+JWk?x3MOXORokwPJR6yR$OOT|5RYOa9xtUMQgxi&kCEGB z-#n4oMhP7X)wt=;MVQ5*z+FTdq^1#|4ODIBPY}c-ju>UFHtokMk=<$M2((<&}D0_41 zxNf=G*{$D9yB!PxkV%6iIGK%GV_((^kbF|jGG-$fh;VR%!HT(c)`lQy4h_4vo{br? zM$v>L)`*`&!*r@qyt3UGR$D-gQD1N6Ypr~cNdFB zT?Eh9g=UXfB8W}z&R})6cp)+qXVEa(&BnM8o@eg6`q_EX?M`WhZF(M=Lm=O88tHvj ze$>i8wDN8%ODq4}$`4!l0W1H+$`4xkrz)G;>_q|~)n*$bZN_y`ZVK8ALzdBAm@K@@ z*pF-a-C}W=j>RX^zKz$k$G3K*y2Ed=P3`7qr z(!&l>vY{Ah;XmJ!n@+funa&PNn6q)DSh z5xt;9c@BH5GXk;s%w2)D#Xn{A@d{M)g$Cdy??bn{ubS}GQ@%+~O@C5&?58ZW;McpZ zrlPvSwzDUiDK|)aLZgN*C|wN!o@7`OK%mM9%K|@*YpkkujYv|AV;z!gC zBd3?M(blWS%cNO~@?lm!)XFcm^2@D!jFn$zcp{8FK>x-EH+ueO9F&mcS81$Il=Qh78|SgyH4& zot`Aq;1d)fefZixe3%HJD=dtE7rnnW*94h8+-TCzU#*BMOv`xq<8A)pZ|z?(B7BXN z>oTO+r#2}m{-3g1Aq&oRQT~fc%YwVAX@wiuhwTaw{1An0;`4MxLdpz-d4tr-aZ5=h zw1SX+s~M^chRhC1F#@qO*&mE#CzY5XpEi~BV@)Mp#aX%71ZBmMEEJImW{B-V}5tB5fqwWM4K3x*Lt)&$04(R=z-GQx5m5%ar)f zm(&H~b6u1#P-(=6%|!}zBe@Gnxa{tS`Zn7f8v9_=_UUGWqFkH=jT!e5N^CZXCT0j( z%^NfhD-ImX(qb+c@_^>Np}BnoQq(saTS)4g+ts?U@dSSKmb;CV96Q^iO6L%}HAEae z3(ufC4B?w`m!zPzD>P;R1mJh0;3sF5AcofQ%~WCsLs%o|NF)jaI#Ury`mETv{Rs|EPjYS#?8E+<+!zs(KYdUW= z&@b(QE5SQqtW0RhW5IK7+IL4nV^rSdHo>UAM16B~WX%_ClF7ujZQGc5GO=xIVke!6 zjfrjBwr$&X(lK7<`@QvE|8x87ed^ThwW_V?^h)$@gz4fg4-|i2>##@OBuZ#pi(*rs6XC>7S z!~~f%=O1}R9B0UX`+6G?jt~&S&tkGi-ixpK5UFiVAjP&cOQ%Gmcx{ z5ok<9w~?Ke@Oabga;IYH)K@6XsZ-1UQk<97Hwc$(=fIjfs~aKAfFgb6!Au^6%MEt< z3p+oSN56_Ce$#$Vk8U;HdaHi?O}J87QFek{@RWDzWNKw}m~2_B!|CB(((~T<+QZH+ zAHNp&Zx8z*%hgUlR!Wi9*As>&6Qyu12#ND9W>sCmcBWF?0R{kvtHMXc#z*-m#V>@C zF*I^D3m0PD9ZlqbU*T%T-|n4LpuP?)Wy%j11QJQ+LJ4RM|Pu;aL%C0E76Ngs|2E`HQo48@~!^|%tag)Vb7KP*iV zYjqtQgsI>nN#AlUqdaf!GCxdN)pu|3PCUMX8TmvhYY;k(Oj^y^N0{VO!pMsG8G;8f&aCJGHpM3KJWpW$r$HI`=U=4y*j=e*1?@6L)H-SbW9cT^|!cOHRTWF)PWb zX9B-YJI7^wS}?+=lGS+c*ixvkJR6g{Qj#UmZqH`>Bo|Of38>D&n;CILy*uoABes}n0855Mf9iZ;02nPvq}JF zX2DUeSKF^9=;Fbi7y{rScgmgJyVMNO{fR!~)k)d<5mkIK zps+S2akqlD@Oe`#(6TiB=_Bf#iQnMu0RHNkd$uIX<)!EJu2}#sk`*0MsfVuPlYl*y z77;}ly{e1y{;_iW_-F~wci+N#dIYPGp_Xa@6xc#v(8#H_o98(FoXXqE!U2Ag&j2%v zCpV8DZDxQe$1i$$A9|?olbMN3!JMK?Qz57Aw3e?GGeB2Spdjk|ha)mQhr|-67@wV# z=#ZL(^Mjb%YikIh0RBxM{|s;~mq#vqwUg=P9eU=9Flw-sdbey&;ax8p@Of%J`B{Ee zh|r>u9(x)imqO7EK4Qi$@KXQFk!%2RxqucJOj*NxYHZ52aTx*E=+l?LO{Nw?*;R^P zlfdt}mX1e@fN#{$gO8IN!Ac5w)_LN#%>uNCSAs+xLg3r}+41vo{EaKQ2fsJ6qe*8B zc7VH2WuE$hC505$d*z64Hik`GfRgc|i-bM=7I~H^NMt=48KUV-iqJioP8r3bQe`N3 zAGmji3ROK z<~Dgu;jS6*(J|q~wr8YcU&z4=yEQrV@6s-)LzMDUm#w#Q1DSibO>u?>Uu?F>(JS9l zgni#4Vaz(4+_|bv!_Ki9AKx0JexT5pd{Y;q*cK!`i-PYuubm1c8__3gyviu$_Gtyf zXTdax#JF?ma-ZTaJBw0vqj~J4;}i|nv5HNlgA<@1f0QKyq6_pV=x_zq3x zqv{?x4n9R=gqL_K82wQ>t794CVEGI!wxWi?j>=N>GbiiCDQ)m4nLU#zIbCa83Ds{4 z>aeT`wC_tE=(VGc$>~M1>;p((*SQ-;Dyj~)2}*FjAeB5Q^xq%jqe~)5=)Q#~Y^MQV zsW(a#E6esV?{7d9qmyNbozP}09?QaC7ru|2Jj=T4*@YUBeWeD{IpxJxZBybbI`uLy zqbsLAXC;gQ++zL>sU|PwHRcht=_ZB^3kk%7%M5WbMgX;xk&U9*{bA5|9g1%N1dOQe zdH}BdTt9(Dvbsit>$v3nvUuO`@`~C_iG9;8Mvuqv%??tJ->mgyj8pRG(xU+;vnscC zYNug+Q5a`yLePsasD0ijGO?6a2ZU|rmuejet!;{3RWo|BK#kn4BcdQu30AJiV49@A zN0?}lmW9NLhX$Z5GkG#r%iSLzE=v8;sUcFu=uV`UV$YYu;a@AMEyqgT`WKb@Df!iW zqOB(GP@~qk!M6ZJUuAER(CX1g4I9qU{i6V)+pIv`D+)wWnjJ!QnNdCETAIB=;!l4D zPMHx`#St!M&jQYw;BKqRazmh{7`GPjR)6n zHfcfBL@L5Nr%n5{zEAYlA=aW4&KL6@L3d}Tb`_cqbfofG@s+=qBfCN2+4^gJZTLc+ zHW0J4`<~H_=(MYb;^_&TP)9cVF^8BNLaS)x*u$@;eS^&FC9kqrOtJ1E962IALmn*Q z&&@IPjG9a~%vCVowDt^P8EfEd={=T?5&cp{JsFuJ95YK;x{8;Mg3JYSwrTIsrb?j4 zV-l5)Cz8O#fVotGl9sJx*N!~6U`P;8wMu?{26{u=8v^1Gm^bl>{!)$+Hc-{z(j%aa zTtDWNK*oXCc(`0U7p6zclxRs3f;3im{4mf;K?8*WA{9)rj<5J;O7FKm z1L7PoNXx%L-EMz*3_ASH!Z#rF5wkHlyb3^JZPdbMvX61z14oM&Fu0E2k-1!5{8)87 zY%sE$tb)>AXR>iwoPYe$KTQYPv8|haWBQ?Ar*pQ~OynHUED!h0t54JfVzS`^e(b|5 z_a~O$u&nc{MPLOS0|_xy08*4VGg`a+s{c4s+@D#2*4ka2dYZpg0%8TM2hwA%608wH z7FrG?li#A?A^!x2mQi=LNbrvFZ_nhG#+v))d6-0y?ZBoB7S<2Hj3Kjc%07_ih9a=7 zs1&9a(K z&qm3oBe_5|4H=e4qh@>1#mc~{|5*IlIbGF3FBf)rHAekKE*D_H50+oMvLyM;TKtjE zxWL+E)|LDxiEwAO|8o3}*Pg@m`yU5{($-$W{r)sD-X64YF&ELL6wnF?)@m2nAa^0A zWs#ISOx}TS-&{)TJ<5Go1yPuSag6d|h}m|CleEsWgKLU!enIm#AnPFh0!sU=)@tUw z1k`H-iTKJ_E@>+?`BAc}a8%O~&7qg*_t;Hgqj|6yh4;TRBM$Fu?hZnPqo7sfL9iw& z?^}n!2W9Ihsx50vc@)dq6&WP1;bIMXiK;|dvX!#u*T6e0XAbPqMMQ|1R~!E!hl`E_ zG&8r`4uT$QKK^CZbbE+T08~gB=)6WqgmPDgqr4lufIF|qzR_VsO7tqkOWik6C6!8x zT{K5EgG8KCs~uBBIMJ@Qm=g-?=tef$9uDAyP81Lbn-j5Me?9SzPL!%cI*!d!XQ=QO z=(XA&&2vWtXyj{@d5V6zp_mtTfT-^M)wkSPnNvmi;E`qDZJ`czd1@O_cj|DPl2e6R z+(QgFh56xSo{s%P*hZh<&I9aEFx)Xa7;;=luUOA6^fsNrkI>sk?gr+N(~@u8Bo;ocnd-_nYOV$@OI~?yA1Y@Eq<{opVXF9uG-lKbL zD=&lrjbVs(wm+SpaAd0inck!{eJ#Mq%1eBd(Q8}_`Y&-ulqe>03ab1qkO;?cLduLq z@E4a2DNNdF3!!zC_rrx${B>mKA7*!d8cY=#$1e>lfU4>u9#G%;IDlvbM|dqPL>vQV zb~Zyg!yp_ZTd`MU4&-cj1{G1dGtg=Q+Xzs1mf6v)@pFzAowp}EPgL&($oDsX+Ocz7 z&s0`_3{SZvz}-G@ad+fD2w*Q%;N!n5ph@aZll^cE70m7EMvzxM&H$Lk<$=o9gTO0$ zOUtO-6X76g4fgY2`Wk{ry^t+4fkFKtcOHiLR*vZoU9MfQTPCV6FVB}b#^$y&;^&EB zZoq=)Fibsfa7A|_gq1x4G=r1-jnI>V_`N(f|D|byH*WW zV1hf0=Kos_ZNOaC{riXgcZ;rZ_pV+=`Q}%8-4J`U%NU!MyunRL zB`^n;3bVK{SbwkLu>EKNjMCPh5S{pGX?@kHaz-%KUDK7T$9Lgl|EP3s4Ilk$f(2(` zcm+AD$1Crv?mMObRT*wnal;sP`>Ao3zCyl&<^>OKMsOe8Hm&orx6<%I^e#;iV_d}8 z6oUuEM_LUS^!*cC`{x{CL(jZ2cWBY zxR0d%NPl=AVZX%ngF*T?136xKHf2kFMrp!@hJN|A@3mQQN&gz428ib9T-P2;lN zDPHXdg)0=cO}RWX?ut*UtGF(dgtjU`m{iFjEq;-Go@Y0!(z!eeeA^2+=u#&>b$2E* z))SXNY@vhes*?OpNltALj7+hFu$HJ3Io+1=iPv^n7(pGyvsyCS3VP_A@7%C1es2?#+%WQ~+d(3mz; zjy+;(Uf_B9y@5oQ z67Z7q_410<%pTbKck!n)er)s^);41wj?!SO`8nWA+uxR0^2k>6*e8Y!SruZ7_iUIr zv@SsqswsbhK7oMTH(qc0(JnP=e=-Dv9}ZgWH+DVzmEZk?$nYm-af{v~TIJJd2LjzI ze|7ZT&t!gRVbAKmTxhOyq@D#H{4sk6+p#OU-h>Q}6RxTpxr==v8)#9D-ShMuu|WDx z>R4X#WSS!KB5wT?Z`g-K^j2IHP>&G@7vx&f_fTiCRj#L$1+@v&o_w8hr8_r|Q3A0( zs4J{JlxjJh&RH3wgoerCcy5Vn&3d%?7p|qm0YAg6Zxm{%w+@-|aA_B8Urq0^x!WC> z+a}7GlX;9^TQfx6j$GA>uKl8^rd{->nFm8lIs4gh!ArnxLt++W^2T^@B{y8gcghuWXt9x$>W|=n;b!fbz?%v!{rMw z$6FVWSB$gx6(x+s)*<`Se;xbEU?|8oxR z5^L49qkvNJ4#M=+?)dyt{iPo0al-!)m@N^lvppoh(f>N@gR)6LbKkPSLvNPjVXbp@ z6+{s>BNqHOF56K<2H9&7-4_SamK5)#C)GOap-2Y)!aHPX3Nb=0hS6@T7ie31%!eh6 zLqg59#X`D71-8a>ryVG<)D-_Qrb4aqB8R!)XKlZ+8&>Y?-S&b#^em*LmmHj#8jL{0 z@L5bSIk$CPby4F}_PbydsuB@OimbbCT86QmN)SK4FgDj#Es2ymc9~BUq79L^s5Qjj z#dUOw1Pq!|@cyJAOMZU!Qy3+*lX7q)$zVUC;R-D{A`_>g{^}?6r+2TcKQSK80~y+Z znq)v)=B5uPf$C1KkD9_J5;XskQE>W2_Ay~H>*wT_(9GlA6)3mXFave|ojcOQcUKyy zbwP)jDann^smgp7+0{LguN?Hs9VTYs&)nZzWDqCvO55 zXEhm+Q!r+uapX@9{=^#`Z>?f4)DLGd=kT|;l}lAx@$+j$diqS`ZERxCd=vQ=XxGBC zEmdKMlg@ppZ~}6>00<=As!j2!MIS}I!lSVM4|hA-N7^49k69HQoG5&Y(0{X;ml6>>q9k^(rJ1-dw*T>{`KxNhlD50vz(0xzYpHF%__zu zm>`QV5oD{ulc)}~Idk5a2)kJDYH%F0{)!Vz?KF?YZC2SF0LZ!*>(4?`l+xSw8kV)qsFbYmG9_ zrism*Yt@e)(tJn$yDq&Pdxh9}Xodo2--tg%ZG5a5-^D%ZdR*4oHRL6%_026BU}uuc zBcFh8ET13&0%lT)>Ed48vjmC}X&K?)dlt0Lu0^rz4qw?5jd)V$8C%Qv;hp2Lc!;0y zpi|KKk@oJUS^)kN)hoR+SwEcv%9H)f=36ONEKmDX2&c>SbbfSS6qriPu)16kJNf2r zuWAAfs6Db4HG~L7aRuaqT(58T%`Hx?X5<6|5|OpbO>k*DdgNCP z!0<2gohthoww;l+{4O{3&N%45*cGYvH0B zX{SfApbr`YMiwML2Wezd_V~S9hO!WM{;E~FIV}=~nV8iRx(c;O16Kf7X(1Zo4PLei z!ij%bra`jehwtq%Ce0rDn0F#vat;J9!(X})b1Y2R(-#_Q4CAM_lX{SV@}2^iH>8%p z-BVVqTIzt$&gA5hu-lzJhS|qtLNQA0j?Xq#YK6&~&7;ua1dis@35_eO;MhYV$nk%9 z@o3ekwY{JmlPoF-!)WUjWD81NZAhB1+X}F$EkadWly)fE;S0tJfpV~0Eu*T800g3q zx^BqQrs)J>v11Mkjd(;r{OYlu!lvE{o&wV5(cq=#*6ou9vn%8#CiIo=Nx??KCFSJ% z+X{Iue(BX!J~a*lBQBTU*cdgKXzUyA(X|JBvuh;{y>$_O*{r;kmnKaGl@%yAN%UF) zl{%Cuf$;HPVrZq0o1*EgJTqTlB`-0_b&qDEL3BL1Mv}}+8>JH)hS1G8FDV$F*uxBJ z+#)2|#or;Z^Z{G3XV3);Bx!L`f`f!w7`0GsH4Cxh5{LT1m|UtZg~7&-37&IS!ju@d z#ZY3X)-zgkP_nG3@uPe?(P^Z^cDm!T(aUOvlXMx|?s zBzLO6!ED@ZLs>r$)IkX*jWH2!60Rz0=BoT+HW;pQsIKHKMt+giQWrEIl*DH$1E)ck zDi(yM_Sjw~-?-LBcZLq>HiSA0yKcSp4$e+0Be&FQ?0PB$NObampd-)g@T>21a+&e2 zY(rPtovvsPfyRrhw8_{ic=ztP^*6V@U4j2MD0J(lmU ztN?F4F94xe1s5E?)ou%A=8+ca)>P1(ao+<5oG>88FyS-XPp%y>c?CNKXiA{bhTc?( z7sPiT&~^pl3a@ymLGHl^-DAzd-&=hOx9*H2hX0&}-v>WMUwg?XjqT=Zbd*{ch`(hO zdzL+j=g<($A?#;4&RQXi5sN)PAm4ZKAiR{Z@d~7{?bIvo z6N5H-vgPT_M8s-qq^I#!BSrqzxSsi@sYt=#dbFzaCE3Kf?sNI;v*qs!Z0nu2g{I#^ z17HTJXAM$)RqgsS1XIWwF-*<4m&7fi}Ixtbs`j;l@nw19DDfn)gaBqMS z_(s(fJkKq4YJ_e5$03K4weto^&t`G^;8&Rzp9)-^jMFNY%};{dcO}^($TJ#nBS&^d zSD=5t0d%%>=pF&T-@d{-G4-f(Vh_$)1D|lq^B@JP%f?Wf9~TVQTUucUKnypB4;u-Q z-!|%RtuNw?IuI~cO?^V|xaZy{xBZNHx~85UJEdhJLsi&I3igwhZ50#gO7>`8c1ErI zlN@#ks@q>BP>v$hA;|^thEc$&ib}6k50_UaoJ9?|S%K!Y9Y}i8!WEk-p3J>9M@Sop zm?Z<_jyq>b88~Y3Yp1KMt7g1)Hg?M3+kwaxN;W9{mE zqbQylFC0C`X-f(G=24u%c~WR#iq-gXq(QnjnL3rIF89}OdDBVhrocNVvS1|nb(;-_ zWUHV`UAwW3llQXEeC!5D72lN<*_34R{nc!`~yK7sU8YN6c;K zzYus*r6zSFxm|A+gG=}6YkH{}%I$v`-_j_OwC4y?AyF)i#_RZ{B+94Ic6SIFg#I`l zo@(2i@_G@xIN}40wsuBbp1LfAyM%N&MA@R>+Jm^%j+Y+s0b<`@oh-U4udZp2OrEMP zc)iYR7Fc{Lhd)z0`-W&dJo@6v9Jb!M%023QjLKc*Cz5`eG+P4Xjm5NJj8)OQ zeDZf6fhsp!kMW7^=&3HH=XmC`iBmK9le)>l+7mf5`-tLkw!{Vzq;q4o?*#6=!VZ0F z*j5mqKNrjvB)6#+hmZQPJd~A>zK*(+LOXDc^f;b?+uBOPt_Ixo!ihciwZiJ;+~%TVMNoujoJfh;g|2=y8Pb54@7#zRBxx zsM}Z7fl7Xtqhq_p6nnN|d2L28ip3M_YTv-a3qh|Nn&G=Z`Q3In&GKF_9)XSG`voI)H;T?sZ9#7ne7Dl*iU;_id1EIo9pUheMIg>%bP70 z=aEWv_VXl@OKoC@pqU7ya4mLxSi!H@RgL%uAq6zqu-DOVc(7%z;-ORxOZ;q?l@K7H zl7BKrscLa6pwgq4Sy0s5Dk8)zO{zJy@g-oT_hB!=f}L(xv>#Az zSnpSUtHeXUD?69g@=ptso-Mj85!WMr93twQ7fWc{Nr8Fc(%gNl z&u5FLM`Zf9d~@rELbU?E%t4yGPm8zxk#HJG99q)N3cMo7(}74w6>&kq*KYd&z7AiX z-w(b<^jsajTRdM#I@=fG^5DBv9QK)3*yA4Fif3iFNMZGJ&i~155OIB-UGU43@3mVB ze~P9k^ptZ#!0x5dQOx-yeOlHEWPnU5_)gG<4iS&ha!Jvl^4nFq%{Du}z-J4> z8*LpTpCC-=x2C~kfUX81ZNB>yOl)Gxq~#P=N6gd#a1k~GGWKFR8Qxex`w70a`)`Xv z*HhPWbvo_04kaCqpeTINAOXgg3?#<%QUQFPsF5J2vP)SM9d?0c2)PLjlYVsH z1$DP>{FSOhkNcjhGrF5m>_Et+P25hTtP%Ic+Ms9chd|fe(MS+{;M}z__qI`lvvx&* zrC`ODl$Q3LdKJgxM2sVo^_68ET`!s!qBd}0)eOI=d@9r?yp}Q3JjFL-U~RATnd$ey?3mt*&Z z?R}q37m;YpYFEwR+ni?3phhZ4lVEJ0O_lc5*Owi%>FPLm4d5#jsF_nSr+{NMn55=O zIFx$BENe~h5yUXU7#_jyX?qo%PrddUrE^bPDj|J@F6nrEzqN=csl=LV#BE{Hkms%Y z_g)0Wz45&;)sc?!-9eB{hMoaCJYQwu{GYe_$jboWXBcYu(SA{c*zBpLn62(#Hpqr^I~Qe>E!Q|}xQ;M3Vq-{@Uql@7J}k zA@9C+!yLeetHiXtaX}39pqk|WA(V~Z&`-0gMwCotDZYjIev#?cAioTa{C#kp@j1fD z%RO$o)?(VEa6CNKWGWt8HbH+#8`!~ zyx{EHfvM)PP}oCxMk;^#-JI{63L$;E;J1dH-?6K~%QAs5>Put4bL7OK;G}GN;@`N0 zvoRKd&IUS3sENc*@~VW@9L%d8p=AZmU%J!v_JlrKiwT0Q`E^9$tTo=!E&7|e>8Nr= zPa1SGN#6atU2k-UoI{Nz1J7ULkhwXPH+9Kdz($7JCmh0XqtUT8r|D-Q{#l}@GLv7|52XE$V z-^v`;GnDu%!KIX(Wx zV}i`Pcv7-Ioc(SN2+zY!91%CO9I1LsD>t?{a*ieY(jY4fw`VN9BKZjirX{fI$7euHgkx@@_y6iE8wee`DmS4x>5+p161VQ*yB}v^Zpzr z!2??1%Y^Ki;}3s-pzBrWTAbY7X^3KA;odFGu|~M3Nnl3}_ypfI1(W)(>kuMB7($MU^v;eZnBU63<>kQs z=cr+QTPGp{V5)Or*nF*)EsxDa8ItN+9K+6OFaO5fQ)1tKZ`F~GJvzn{1DlTVAEogh z)xFN8`_iDhiS$KHKV}j9N3Hy$>ay;3-AD)vBu_jm=LRNnKNG+ zG7-WKEbx;tm#eVk-ZkYQhi>Z;#!=7pB8mVNMIwgonvjR^g&2D!dS@ro>Y0na?g8Jz zZsx0iPU>`WXWi|+_5TY=@Gs;PQ^$WnBvScybOf*jC%W&#=0KN16J|+;TaK4nxrQbN z&YeXtY5!-f?hEr<$#fa+(g<%~mz(aub5gSA#EC4yXOu_%1B??!pb;)EM(VhZs3#FO6hbcHmYY#O(HM-!{Y8-#ryoqovTY1 zKhL}JjLdVxQmyH3z8O>I@`(Ub&~@)-abeb-w`+0@S8DshFuiNR31gADcde&^e0s^U zJ?43QRj66h&+7Cy!#(@=7wv#K`@_AY_Vkq zfBOVRDh=8)n`o06M_tCnJbT1Fkq|2(Z%GixG4}0+Z;pEQD7R&55*h#2D?!wf~L=I(D zXmXQ;O?8nIy58%5BtFY34T=dLE(<`Xw59fALZ^g13BaU0FYP7X@z_EY3`XAw^pI6p z>I>xl61!I%9-oT2M-)TDN=B^uFJ=i8|0PY)6^*O@2f=@Vn!f^hru@G^YzRRzrYY}z zO0aO_k6)krzuTZ1QX-CTe5nK@b~H{1J1&Tkw8hdZm59e>;p8-Fr)2Z~HPP`_hj&Ki zSi{zTko86*=`O`vMlNURrZo3kczJwP_-(S+aN?InRx3!p1O2ZGsftAM^k;a{2Hn;X zT=vD;m}K}8&b0g@>z=?^!uhNDt?d@>-}vF*O+7Rg30<7is5W1Da+@Kl;~3orfLjVH zFS*BL9a!P4mNU29*A=kXj6Wwge`Vw+<%Hb~D!%HIns4$J$2dB?X)9^(cxX-YdgLiN z3`#pIdFM3IT6@$54^t%6D-4%3nG|k5Vbm*C7YTPEn((MzNB_y1D8=_Hd0c7uRGBj`+lBgi3hbYP9JuK0w5HF@5+$1#??_%rM`X5Ba9{_YUK2Q(DocJkzLVI?UeC!O0BaN zciqosf`}hl7w12YoRW1LsLk%MC~fBFE10?6At+Q9$d2~c(`Dz#)Ktw)&xF4xx-c*) zR3q*D?!#IAhSot@^IT2#Eo#j9YCi3G6F_t3GII$N7FVt^G zJJ5p4$U4x%*thgP(@D5bd^fPni*dYkvG!b4+Lcz2I>X*z_1>>1IF+Jj#`dj=68YBF-b8BBhF%j@4!l_6OP3kz9ExRA4H z$jRqqANoPr=Ecl;h&P$>lOsrIX-+L?qF3iGqRuCPqr+&b{uWV^p}?5eM%uAKbI_bB zekd>M-vNBx!cv?#T0>?w0#UL2G{h1I+k!E_(8PD-O>yEPVETL}FrF)8cGhF?@t#-q zOlXQsF!SxR|6!Tl9#BBuhU$@MKc??mJ94QUGQvKq9m21&VkgRPIW>VE&O}*_avc%W zqvNiGK9rVUO0QobkuJql#DZBz32Rbo=={x~!c{9L!=;e=p7r;E4Z}exzhGjGcK3E^ zPgJ5|VQ8J?dLTz>qd*K#ut^hXk> z76SQ<-9lkOV25qvf%U+|tAbLK8K+iJ?i4$EMUzqg&srXpEB#WQ^zMX)yPWGl`8P|i zUelr5TlOX=57)rcjX*D+j&#Ve>>O3ks;dFAj8zg&B2KFXeRLXG@{=~Ey!^wv%Kn5+ z-P8KWjXkk0G*rtnBpB|FNf}=$u{T;UF+}l$AztsK{H@ZuJG&@+BM+1nwf_i(t^hG;=Hu z(2WtMIcjFOgsUsd9&8mvDSQNxM-ew}4Z4z&^%?P;PJVgwLk{pO;(Z2SGh{X?Wt0}a)~4dz!gSu^%#vM@E(JU9bW4J=JEuTlQ3;3Z^kc=@= zp?8t62DA0}AKRfD+C5q133$VIFyP;(M_OG^=EnP8OU;e*s#sGr_k8ht&#uunFp@Z==W($KXbp zPky967iS0eFYbP+bl26Qg2u5;CPi$FoJS|YLWD)zY~?G-m0VDEFq!$9t9Q2rN=%m* zwpH}GKP=O`0dQ*+n;1jUy9Enl)*OK>naldc0(xkPmA5(5a3wVwyRyxJKOlwT5iFmK+vE8)3caj{nM%?`dHNMLU^d zrQckvaurf8Qn1tWn|Qoa_lC3Mm|yb$2INVOA{4J;SvG`5R>}PKm{S-3+3rhzl5suq zW6q=ax8@cUd&OgFxy@E=L#TcQTixu(_0NoBTj&{ORqbsCeQmXYYJO_B6V0`_zBIPA z=*5)}m#dn0?6`}?AUD< zFpJ}vxvn*E`1J5gC;oPw`$@~NTJ5l91{PnNlX)#i)eg=IIs#A%l}C;soe^>BYl0oI zsT43X8|+2TR%+HWs(~VS`8;IsPW}1Vht+} zER18pdKX0&7jexx&KyretJhbyeWUW9iN-Xj??(+zS8z3)>M1l~dPzhQ$22&ilnC@v zkMJowm6&i*7JT7X6NG`#yf~$cxz<$@B~Wp0nz)_buwfkCJ0-a-E>-)T7<`%FsX*Y0 z@mE46!?ljj>1ZSTwmC0^uk>^;?I7p%NjH9IC~P>dR5@FNfCt@fRK&F}6ZGIh46A|$ z-P}~U2<)rt8IlOq1Q<5fQYBv&td0t(ytvU!s$7pP@Nza~gjhX4IqRRKe zVh6}td1|BRe_<$Xo(^l8#}+&YuJ)Y>r;B+D@D!Qw6E~bbHDv4DmPQ)gt&vx)x^Yzf zGpvf5q;wl@Y<{=xtKc5VS|znx?roG@#H!h>;~JW_o;pRV+S?*$?(pJT?Bm{$d=-iI zv*L15!SN^QnQd>XB$K^sd$TTi*QS&WM4>?~cT&?y`Xe|sX04sAqb^wdlF6tyOg^iwQn4GkLK3k4P>HHh00_0E0c$!B=hiBt${p?yO$3^ zmNqaGt48AB4BW;Ex&h*Z?{L=bFprsR{Y*xWp#e1CAcw0wmB~u04W)m_?<&+A)tbCR zK`454OIj59kZuU#PY#T7;u?#{w;U`;m`lm6WbpB-uz;)#={9(-4Z`FogF`7z$b#9j z?qwYw1g1wTPXauG>A}BmgAIAoG28Sk*fgNHIzLpKygnecp01f%XY*g%oF8P&?Nvj1 z>a78+x!Sxvi(LZh#r|{9@#AL_hLWO>-0I|S&-usxd_n8=n!CSXXm*CFwaSd0 z;qz|8bLW^kK9Fj?#TIct6AqUSEzxl26{0nENeOPy`P1^8@Kgc#?H);8XMm7W@dwSb zLCplMU`aXCz+~h_%|Xtd%{}rR)WuBv(*YUuQIT^%0>qQvW&{H40OqNH1njb)c`6S5 z9xLazoypU(K^wG7U9>xAt!VXJ-J*wQhUVyrMPev5!&j&Bj~*4t_Vl~D4UD>3ZA4E3 zESINZvo`2wL&zTyPPYc?Z7;aW_BdYjIqu^PCTyk3KUs`l{*fj&FkH4h2}9c|NK9Mz z3XOk0mA^W`U0p@1Zrs+zTAy!PPCGI=)^R?-c`%KUnTzSoAh?SdL1|j0JQ30o0zOI3 zN;tY(jNgqs#4Tn>o)wBMBgkl?3+@lw*N#dwEzS*g3_no(1IhiDKkz3l^dV-;RyUiW z)^ebAk=E91^0pXe!&f(pzW@t0q&3nW4%HwJwKZG}170?47(-8=>WbPJ-T4QIe=!vN zmIZLE%ht0oS9H6+T7-%qt-*G4BrSQc=fg9t5P;%n%6vaP*wf|n;udtEr=;INfV(xq z+mFqEK+Cpppgj&aRD*5c;_i}6ZZaV1COi@ve^*ErD!jGKVM?NrlYQum%aD9a`AL>G z$Z%?c_plIrh^4;4^IPI(8;@u32kgc9#W149SPIYq{3x4Bf5}H_+Py(OS*s9gvL4CI z?lQU;jwL}2wxEN~4{XfO3=MH|;dg1a5gQOEC&lqt`ln=1OMB7`x1XatTJ>2MHCLA= z)i{0Ax`v!DcNF8*|7{I?I5Rt^+~8$B8W`|*Y~W2_B(bo7nXHV@EE>V|%*~!an&3zD z=+feTcFfE!>`tw~;k;s!n>A|8?#4-wQGVLtV9cBt&!R|ia1KQj&mx=Zy%V}?QHbUwyx5patmC}u(! z?_uKEo{K)8e@f)}m2nPV;!>j+*CpUyMqf{kng1#xB@;2+r6Md=6YSU?I{?0149JKNLPNxGRk}j_7>Nnh6`Cp)_%B;7Qc=wiu zx)PdaRa@?^NB+aSJbhjRR8W_G|A(!-u2Pn#a=CcF2FQTH0F_MtbRbZrdHh)h;nkQ^ zvE1L9#8a3VQ{K1rwsx|Otor)Dwb2A|*J!N_`s|1+@7f@9`2}iNcA=2P6q=4Bwy(ey zenvM=Z7=2BkWXM8%R?GAzlmSAVHMjZN9<6RaQc@bWhJJzVdxARPS!|SYWw{HS^XVu z?1sYE03f?E(T(z&uA7{~Q|L`Hq+EF_HNHuy9V=ldV9If5RN6Qcy!zMJR;J2(f-E*A zqKZ&WcY`<1Mk{S}lEU_`%KNxtf7edQbYW#7|w9609?Qa1SJqljR?z||8yMqG)b z=2nikTWTL-BeQ$!DDM<0Wc34FBB;=-^}VS;A>}EdJeEvd=54Q3@!xm>Ixj+P^X2s`U@s5||Y*Sm^wl1QABNgVdod~aw!%s!GCH? zAVc7*3(f^jV*1>Fz_~KqB42<~7_8fr7WSGNB6isvHo3D(=%(mwxLt#{-*OGEGRV0& zBW~ACt5Xzz?i1?od}H*A5r(-nK)_hfIWpplES{v2oka~gR&&9RrhSiqDMNf0^G}Yu zulJZy(n6P+4Hx&gAwMAp3RfBa(i(kN;qD>OYbbIa)=H0VwDEVFM{BAZU>1mCsdGth zFaIEdj?XzeOhYg%t_=_fV0yL?P|rJRj9TSWDG4pNUJ8;_t(kDUKyeS0B>U1=ZFmZG zVq|QTTAz~Mt=+(3*zLviTP3#_jO(}NObhRCrY?0EX`drwP4k5#uG17FG>A?a;jZA;4!grqM_V2!H{Ku6>+Ars1o4Tg~% ziUB(QRx5$t*ijE|X<)TBEg;i1y`VJ)^ok7qX66wlX|%mPND(D$^W0^Gi z3p?G*CkB6MgjJTmD^uSz-eGl5bP)uyDvG#j`=-rA+)r$9>qH^yvkPphvv>jTBp2UB z{LafL>D@jtHt{h6=PQDpXg!LXfTQYxwQNi& z3_s1=m<7>1h_wg z^#6!V=ort2ID!&>GNnf^MJVFg$lGEFqhy2IK;e0($j>Drn833#DoT-%@yJO#a!F5H zkDjSRr@rUV*N?uL6DDWcMWrUu063I3ZG%LNPqa}tqu-l}k{P~05BFO%HUA(0cVcYy zNwN&9Z$J#Icqg-d*FjRPgrM6fxy2B`z!kR#(1J5rNd(MfUu6oM*##dCZ15Kg$}ki;t(-=GQ4@)I6J{-@Z}oe7rAPP_VjV=i zb8tV_Cl}hIH#6=1AFxMFnqjWdj&1ygq7#*yNR=ZIF0|7lZ!58>6EK&(k|Lp=N5**H z6V3=E8Y-lzBgNid!eoxNCxulQpI}QR{oLyh9R7ZNEu8!9CtiQBuO68VFO{?~uq4>J zi7*vvh87$MdC#LRBgn0!9wua~6+(nr9PFOz<~qzk^=?fwlXDLD@~cZ2M$&4{F34S{ zI!i7z63q@3m)s~TQr{xUHc5cT3kS$$6DSyEM?RKmmN}p0@$%0B5s#jxE7m)xd_+^q zU*2nA_~)9T4Q^X4wy823G_+|~M@v1@_!%^AlDsGcE=!&zV<5S=C=8{VvIxwmm(GY` zrGA{~FeYXkgj(%9+hW=OvAtB+BfSx|+LVZN{*N4r#(E^*WkfcbzyMJh_=otHt~u7D z0PTfeW7oyF>V9;&-~XES#fKjRm~rmOd+Tr(xn&c7DUcD&>ZKT@lmw0sq#5|~WhQG{ zSGcI8*41NhZSVru!Gy7K5X2B zvH3vY@{`i+5c5|o@SGks8K0!jJrQ_*#=~(Zr2GoP^PvVs`+qinS5Q4ArLiU|! zKOVb|pHn+iG5vS|Nd*Kb6tu8X06Z>zPL}IR}+=qiqFzz}iuJfH%8M}@%vA`&{%u+S7 z29t_MfmWxOm+}vyIfEIhP!N%<#;?LM#?DQvb5gm7@87bS(l2jH^M1KUY*_r|ep1nn zfpM)KfO%y&^qM*t1_U28W7Ue?Xq;XOSW3VxEpI)360Ndv86q0l7~z!=j^K%=SxDn& zpMYI0oWsbnuW~8P(3$=w}IckXatyc@YTMP z>igzBT7MWCJQ(c5&e~C+fovv;=bdu)to^SZoj@5&nzaox46!7>s!4g&L_?lM&`mvV z@aq-WQeVdUwf}Q9ySfU6c2Kp6c{ek>x|2Yz8*DIrRG}VycSnIv_-5fx=3=Rm8%o!z ztV6lp|NO*yRPA8hl{5N<#TvfX@cSFWeIZ!?U8)J~V(OKh#=cxhGLAmNLxOdItO1{# zlg3PHL)m!hiGmcazDG=|gZ!f^jsp3RV-;^8ob=YN5!%D?2>TXOcZCHR5llYx6R2#0tX+K8~33(OIfwN zw&IyfbyREBxW+0tgK{MT?P(nD>Jbb*shyh{uZ!)lXHbL9% z9rRF@ZsL7x7WR1~@mnXSMtSGrGonBp_|x@_Ou#hz1sPW-1SiMdbO4j(HZ9=&D}`{h zI1l~$;uZ<{q^zMyMS}}3zRCBiV@J+>&qhu)32i%$uSE=uslTsQEcU-V&j2uVMA`Py zbD6BLLDWV+0WI*k1{O~TVcA?jrlQCvJmlL?V-mb4ZBk+Ae6=}_2TY;EIO2>lB_35CtXg{v%bCEXPSJ76>o)gu|l`7VjqDWU@L$lxv zhjH8YPk6hqm`!*K{WSx<`Uz!g;RkE}(y6w#q<~r^S`Ltv{AojRkKVU=ZOu&R*3$;l zQZWw*AseRqN%ZPtwRFpGnNN;wMAYA5;ebue+B0&PE36&A1+#;AoPB|liV`>rc+#5# z#-oj=1I8x};7in>(}BO`lO3)k0R+Oo*#~Fim=!~PR>dAkL&CqYrYHaKsRGTDECbiM;f0MA!?o>3Lzi$ZwAKb^-?|&m&ko~s!%h@@v zc4(yYZ%=Vk@a20=sT{XjLXMGIB8)Xh4sxydV5b*K=6V^N(||BgASw4H3K<$xHpN^( zI;Ho(<&aE0IQObw!@`DL6l9E3mY3?dK50Wp2xZaGyW*N&DvhNbPc|mNzuwPpE?n z6H-)Xt#!)a%c$yAa;g4Cbt6HP4vH;B2G6>C2@`sX3^{hEkZQhn7Gp^fpFS%tS(yx* z{%Kidk(;llB~K0cFM`HSit69r(7)<99RLLmjB!zoSS1%C8}h4+;=eK`e#d@nAsE*3 zG+=db=lbnwXG<}FOEx7<{g?++Ac1L~!}r!VP!f03TJxyXkjwjzb17@ z4nTA_!?Ly*=D@`ifw1+A+JlzT+`vaWNtYCjm?g;eC2&`!z;kV-##6;SxfO!vXw2*? z)lu^>g0q^7F>lI@PlJJz$1a(@SdDVW3A2~WYdB8QClXD~U0NYmUV;Rpr;T*c;T8VG zq0R>hr;XYoa=3}UDG2$0Zs;VG>Lv2rt;E^WtxPsZejIy6k)fC!8{PbAB>KS{@zyJIp0P>HZ5j_?V!3Wx02U%ybPB!b+Qn|_ioluRl8Vu0kf%Ra0?$ON zihu*xPM{T@e=oEz-OzOnmx+8+I~QUYt4$R;x$*&RJHnJ2Bi8TOId}Oj=k&$J5MwRo zQyIDE!md*Ky6U@S2166=z+_86-v4+7PAug&TF8;l?eCXpV@`A|7gE!0lhpNq%?%80 zyQ~MdtDn3zEf&fVxwyXUJ7vhYQN|=mW1Fa@8$3&5Rc>0gqH2gDEoH3175DWudlXBw z+Wb{F9%3R%W>~pckquerP5m7?g=sQr;}GstTINp?J)FW3j|P)eXzHudkFV_V58YaX z)f78UHfnOa5IxH(Se7#61X6W4WWrZ>coMc%1L;Z3KAAJF06O$NuN^Bivjna4?-nwR z7YqIeqXizyS+7YD5p(hZtH=LpHV7~Gft_@q$9*zvj1V;#DzAY_xN+_oAr2e>;xgAO zH~x-OC;v~o8C@7@>rZuKFh7>@y&OL||00XY(D)?mifjXlD*P?$0b;Ypyhy@8**4}E zjfQVK*cxpRlFUUx1G_jih%%H?2bZeFw#a{VYVHwqriKdE(D1$-G3&u*t z8ZfAiwmyfo3DiMbCzn>oSWsFj$7{pa2)egv)f7K>#yYfnkGSC~BWugT+FY~RkGM%u z54=~y+H7z7-FA)&oEzHY#2Vfd#F}cYA!|!TDwXO`f?*UH+&>l%qylVRW~-pBdxMq6 zMqIc#s6-2@*PD>oHp;aL2A$4E4B~T{;Ky>?R$6iiYxc&Pi2r07(pZeYiuv^eY8<`k z%cQV>@|Xfo=5+reW)8(aVYb8qZ-;SyY)CiPP7IFoD^soepY-2F(GGJez~^)T+};|} z`Iz%cpUa!bgP8}R5%;y1ofxW7upYW7^!>1_(8fe&t8;M!R$k&(DNVk)7Iw)&=`&rx zhm&`!J%{{_MbsAm5m80lBpuYV~)Z2myMp0jn$+H+6zdC=9nG~bYw6d zqko4f_R0jSvbP+v{UMwYT6kmvne(No+28!La7Jpu(I?h^QpiTGN!tTycBzsxYR8Z+ zLL?6S$EnfOk`btiuW2}zTzC1+nkDOG7jFKoxRzX(`JT!pz6KyAs2H|M+au}g0Cga} z<0KE>KGbLAt&lPMt0IrYw5`v^Ya3V(X-O z$+}=d2%vJaQG&06rI!pSAJUKJ;=9qcq`%E4eA<=i7@mofl%ClTv5letb9(<3L;{$_ zu&a9Cq!omJ`_hR^XaVL*{@YNBtjfmO%YPE`0m`&hFxdZyOZ}x0vx!k3mZ^z(_j(!l4DOsWxA3p2R!!}k?hP42_bdE?bj8wdLe89{ zCcsFyEGyxb+;t3r55L|Y6H*)^%blQ}r%k_wPi?6Q=c8xX*ku7FM1A4u&kV`M6$}u@ z?|)2E;&ct3n%#qWPUEd59jR3krKWXFDu_i;2t?*c1Ks3329e-Uz!f3rnN&4o5{?x$ zjiFxe3Bz$R!xNCuaDQzo?*c+~=z{7Y9WXs2yH=pV-R4QGhsA#%-X~Oa{LIbi>g+~q z7@f_PtHc_WKcLMe7Q-5o5HUCg8Tl}#E`K;waW|P7E`S?u6~fZdDt8u-#H9gFcO#eT23qveg zQdBVz*Qga7`S@EP=uusy{)`?HDM4MrDdq!!d?8UWn&y(sO`A@|-I5kT1pd_U0zeQN z4BP4jsW<$riClaagxJggBr|*5shxDn`EaAeoYc~ZNoCUg0O|C4yOj6ya_QbmEBH90 zoX+V~!=Px1v-8Ru&iumSH`&O%lz|kK3oWl9ORJ2|gr#X0ze4Hg6!QoAbVkC1${X?g z!oZj0(G-+BEw5F}!!Lf6wT0rZ$t}?-DA!tSx_qk!hq(EL)=FjxytU4Lnm8K0YWu2G!?uU&eud@HDT*IzGmb0k0;i@A-(=0@u3wVT^9vt8K*X11 zH+CJJ1F*olAp@(VscGX6A?z>7R5*-ThaY-UI;VxC_UaVhMaCJ1cP_|Q=LnykpC!?*BZkAPp{Omxcnhc=gv&S~TP zLa_eP6s8+39pgHe_>W*_z9ehnF#=CMNWwd(lX2*|sE8fzz*<^n4Gw(*|1^HY8Ms$@ z^OKdzr}Tqm_f57HciKDi1IBujO~sk^ZvBAyUy_-)b-ez3z{zj2Iown@>${aM`6`EN zZ?dsC$?N|GHMw(IFyv-*HeFghzf2-BfZFxxk zAO4X(%TVS#|3CPdz8#D=`GDOY=mo)e#SfXSFUj^?I!{d>4p6@&SFjb_1OCImCfjrB zJQ07u-Y>~STm|>EAF$Fh{hE2*4eo#V*JPL|>v1FD<4TuQ(RHxx?)Y9$zdl6mN2))G z#mnAf7vJ=gC3mP7Os9e|vhlJ8jH-t=l60>Q@v^9x#W%NP$wUmQhxn3of?yi%NOi9! znL-Dw;v1QG*$pPu!znPcTEVnCm^r(1n%kxQ9T?67j&w$jH<=0U?Q+xF7dLRV0kCJiM^e*0E1odc$Ej+dPPW3a*g`#ssj%lgr) z9tMMN>laK%f+gk46sCfyEaGLE!BmxG$@5@y2yZ*VjupxjzGD{GHGqq+#eMsXjHsKN z6$0VIg3CoWd=ct+$_3M1WXUjC#k1N4(;%{BeX!qgU;*^1RMJ99ViK>rsDc8? z=Nrbh1HZ;NB6_U@o(>E;;x|5vZj}EMz2+0nh}#ctWP%;z^5r0nC3Mh5$vAzn6wB6M z?;zl)yw8XCg!2k@nmjp>#<*g*odiQWU`Xb!%4yPNX=+FO+ezm6+=M(fyFK8OniWv@%$QD{;Gq_pm1(Kk`#y zTjBK$nddg&h7Ig~lZ(kZFNL$y2J z&r?AH9PLWHmUs2}OPCR$(0>PG($n{~iHWfW)vz2V4$|qdSf|23p}BRLbuKX!IG(N; zDu>EVRCc9nazwv0&lY0shu%^4m!TeFbD_yth8Lr~z>^`zMxnWCTghlm%y&nPx!b31F;3?t&PW~64awE0AErhVN(SKtBthvg85pmYOvxo$a6XM)_{bd8k5fK6 zCBFI~Ehr%{>r(7qs_Lz_zaRQTjsG4de+I+_tuKxvlfoR)Q*98Vjyyv>oBUXmm1{zx7$(o@{BPE|%`W{&bf?b!qJKhF)jBFh30S*37yKYOj()Qk><8uKVT8f zN7+}0ojfB?0$M3I^u(|Uw=~P){Gz0}4xK{5Q!vNc5{G&{gv7>={$=7oL2T$Acji!~ z;%atTQhK6s?YZ;R(^CZ5h~CuRWdtb=x2gu>>5|H-sQCKY?0cgYR8tIzitZ8AWdfB= za>3z`2!UTLT&Z*8aFg#BsN5h1@`T)=xM1@?|KjoA+^$%-Q|AVIacckCjPula5vrw& z!5fEpb~(8kjz4J_hL-sL_yhN<7kjd7!OqbsSsQUM{NB5kIIKas;Y$8OGmjwjmuNp_CF?{(pW9q zSM_Nfgi_^yM}zYy>n^FHb#v)Bt@V9LMJ4!`ydiU&&tq7-xt^_r3LU8OLGdau(B~Ig zgyak_=v68(=M4I{vfguTFF9YFe`qhS&$5)b*GGcDn-_b=uaP;+G~zpJo?mLc)~UZn zsNB-|&A}EP-A9+4-)aFFHJCX{^nqiYx7x)|0V=nAesigXM%!|PkgirgHU)Exe+#(h$WT2u+~h1Qy8UtK6^ zQD%hJYi{R}I_U#RASm-yBR0O|53YvrPGyU7Nd-&E`c|b^Q4|n`b#GosukEP(tz;j* z+kp-4Ev)m1zu@RrYHsC4!y%!>9Y;g>sPYN+(C^LqMz|5X@`=@NE?Sbe6av4sg8xM$ zG~#iBW%OOqd+vqj5%aKa5Ah{J#5LfaH36FC)UIHUiC@-~F*}tI~_Bi__TJ{)1<33H`)vLHmE##0cyG4R>16G{xq54?mPhsrl`Vnq zpITmF*69#F#4eDhNfiSP=MGNE_~7 zG^h1+#?rooruFzm(Z2iv7csOiKJuHLeVA`H-~t>5(xQtE6NFA2wFhF>V1o$Smx;6< z<_`-51u_N&-$wL@&sc518iz$oX$$B9-7!1GjZ2_?K4x8UL=)^B zwwlLrTF=TN;=bhcI8zDuOTa1F5>wH|9r&KZ$2@7mvcBC7)^AR;HO~D;%dY;>`7gLD z*z<$?t(LQK4uH^HI=t1@zkV5a)vVH*TCf`1{+)L}>on|C{RCaj!$W=(?PDmUnv|yg zKC=c#$B^55)8`)VYIOU|jpLDE&?k0)=uXy6OnLxweMX;0cwM7+PxE=@?uy*8bHXc$ z&_Anj>aNH0FrE2`z@*aD!N^vA^E(*fG`jF5s!^+Ft);tRS&g+@pK~MoG2&-9IN10| zR7>=`{N-*$KQnEm9khm%(t5lHG1mvd1IUaY_1~$Dw8OqrXIjtmAZE5T^RGrc=gM;k zFyqMSA8=Mt>7H{2{`IVXAp8UuY}phMxFe8&2bBUtJS?;(LYo9=7t$eajGh~@O%5bD zAe9mbj#?10G4n4aeq-)<0&D4XZWw3c6C*J5Z`4>?9|`$QauWn%EH#g!c1QigQ(K{I zGwB@cmPgg1d~o*RE=6ck8Ps|^UO0C*vGg%)nuG@RIVW-+>HeC4?{ha0SX7!e_HBb3 z)ATaEcwopWpgo~I7{df12UG1~C#~mCAEppz(S;Rj@csa%kYLe8TUyVx2?BE%Z5vC` z#qYG9NHFOp)2{+u+i`_HYQ!=KB8bGs(YL)`-PMw-NdqLmfQfzAFa0;mqs#I2Ry=Y6 ze*mkUxc0@ufG5hAe21e>e!;b2?U!W|#i0eh1wF<%rFWMKURcL`Y(CAciJN8Udfa-+ zdX4&8Ym3>GO=~l|iRH58lVvBL!P4sbz>76&J*C0+!UtfClXpOhXFb*fP+ga11NgJX zB7fa_)d0wkjic?0TcNdej$YUEd`*(4GpG~SvE)L!_2mmIipgkA)1&zC+u-(cIoTQ+-x$ir${D4$ z=`YvF^scS*suru=0+ID4ip#xZ8T((GNj%ZuC>cvdx0zZ6;Mq_^jQzPWsA280Y%0*2 z@_l62jb<=K8yo$`c6PLbEXva{rtG#JCt>L-T zyh_$zla82NxMLxiH_LQt-&u6aSQ-xcUr@6Ak{qiEwdFqRmfp^Xk%msfUDKli_!&^A zGq}2{MFO7C&!`SSlkEJN9|AOx~=MG#u(i3c$?i;*os{d3sJ~mYh&4*2RxB|Tu1cs|G}?PB2LBb^rW-=YSH~SK;{PadT z;12#8aKSjfo!ALh#e?%nwejiCD z`j54>6diUCLFCGe)^R|nqzh)|vxn~9{wd_9UG>GC*XF7F#pb+`9L{IUJv$Njw(@_M zU(?trizN$T&rCI}eBBIDA}9{;gHIY+7~GB9+OB^Bbr(flPqmg2`VRbE^TVGZU}()ZSMi2Aj~H`C_5xks9F=#;E?3 zPR-b(6{4j22Kuq<5^ZW-*`L$D6Z2G%=7OusBa$)S04HmkoyV=i!fOnN3K$wU)m(IC zr0P5CNmGM@vRz{|ohpih&cr$WE9}IKwRk7Yn081fSjA-qG(*s&T*22-LD*-r(gh8RJltgl zBf+LL(4ofS&w>xXl!p_oAnN2M^rjn4#VcmRsy9sh#RY}M&DEKN{RX=Uheo|DfS*nU z>41V#xZf^+vX~~w;nG!;2A~z8{i--(`mj+VGk<@r%anw1nm1Pp3u4O$d$FQ{8cM+Y~{nf7X`dC$wAqNpYhDzv3M z1bsrhN^rdHlYO7(XT|oYK((pwRK2`=;q1`^QBXijbQnw%Gn#6+!o5K7eo_1)elhD(8hq;_z$y|CIfWr#*$(L7Pe!$0#UDFi zIUF(YTeoA|YW!AYNEdSnL$0N!j6$Uy-X&F4$&XF>4_*wnKY+)g&qXL?x7_6D0uP1>AsH=kTsa$VM~`I}+I^YVeM^Z+yOSQ0D)X zds6TJ^p~s(FFF~_$aZkO8;0$L9;pOcXb4gpti_iRS|>Y&kOCGk(qqtQ9iXim&WI)p zUO12#VUbSYvKn|Kj08Hsw*3r(G3><;e#brp3+ z6BUCY#htK~Wtm@^Nocn{OYCs*Cw)rfTEu?S{082L0}vWM4~uv*V5GTx>m6ij5L^}W zx3Sh616N&sD@^yYXc#gUlSc{E{PU8}!Qu<|3w+2%jOZtJ8)^Tg%6&a4t7U#YBGX9S z^aj^eJcs*I(fOuiRPTS4RC2D720$u7|EcmgN?)Azb@HhLws0kWS)V6&A9=_;|Mfz< zP~ZOJ5I`|jb9bm)VM<#Tqi7dM;r?jnRAAHEB#lAwKKU5vzJ06KirygyiuoDu25tZO zln$Wuy>;<`C{dN^?rN`loD+p^qA0^kCCg@=y2@y%{!3$o5@#sG4JS%d41~5IFIlJ@ z;*L8}h~g*`Zy(-TBDs@gKdmK7Q<`{8Qa@pZ!FSR)MDKhRW3mbgX;T+VlP)uqe40`U z4c~kIhkzeA^{}#80ix58KI39Z`^ra1j@3HzzBRYwxuQv|YXU9Q{%}$eSvBVscDSvi6RW6gp%}zVtXtUzboYSB# zdehiNu3Anh_g;W}m)%bLfcR?({|Ug(E$-SKKvYBL?O5)O}<^lXq&Z{a1D&bhv4`12CY$_D&PJW1p( z@8Y4*v0F>yZi{c$N!`w~DCr!?+i;C8;l{gX2D;}S8{xYqYNK{SQK;x6Wg*3DU1sgf zy@pkktaS%g_G}v>mEg&~^?gDHKhYbMxkS2(9*p9MqUlXX0oK8S*1|ZHZ}R9#jFsy6 z$as$|-@W(2DSfGnb#HiuiVX1UR^_rfJ3K99Fm}RbZ6uo^nZs_ysOdZ_DcSfMy z?B;r5xJ<-kB=SRS`rz6peg1_q<_iLmDnE1Pn#x9$RaCp19jC}{f<{Z${LHY<(fPei z@x39IM!2}m;fL7FrU5a5#BK&|oGK>w2*y6b;oY=cNaj&7^DbIhPSo*o5keb&zIiSm z{+35w1ER3ym3xpri8twu5Qn0NGkMy&c~HQ89trnlS&vqv$ar=dWv57mQbm@|NQHr-Jn96A$Ow@)qb2!Q-#GyAY}5t8ifBv{r&JKs#Wsl8 zd{9%P2$um^B=qOd)+RW~i@!7ie-nC@sAgNU|AQPN>%>)NcJ#rRhjugmKO zKqfOy4P(aaBh(q8dD&Df(?rD68%hf*^MSdeRD@{HKjE*sx^{C&QEqN_K~ti#ebDqj zPxd)gg#QEnRI2h%9^2zrQaZ#UAAPrS?Le%Z|8FDCys108Lq}()HFd$trN2k3tNm3H z8@kZj+e{5z8W_N2JM5B{0*_L;z7%p@vjT0B7y;pI)1&ye3Cb3Yi$%+9EXcEVUAPr> zG%HqtW2B*#n&Y$im_5m&0ZQr>zg2vj*2=R>{+0VD{p$^L`M!#goz9v2n~fLuM;qUB zIuqYYol!#~{K{H~6Rojb4MJCLrSOjVZ??C1=f!w?zF9Dhwn&4XjK`uCGo|?3uIjGK z%f@h)6)ubx*zB6oKk=IpwfR$*RtiK&X(S+eF&3y0rMNU1zbw%_?k?is;Zw1Q)2KnG z$aFE?EiS`RsCfTO2To=3jF~4x6%S1gQJx}3hRJH!QvS6yN1sA!K6!>lLHB?l;k%i+ z{No|!U>}g>@6tZ^?-mz!o_(oWCVMY`!-QyL&StMFOa96p4-=iOa5Kc$pWOCx|bw z=f-}}UNH4&DUPYs@fi7Zry0aiq1LFgMQ*k&OP>kr$BNwK`%@Q(g$NCh{Q|hiC!z=_ zZN9?Z8BX)e_b$A+l=atbS1lWaB8fqaAO(46FaRoli4M$};=iyNzu3Dn2e(5G*J%WTTUW!mzC4|{cPe#GC zL9);~5@gyaFVi6nlIfBO{&~|SSwoSv@{el{{pKvCIX{KKZ+7BRuxEF#=d#^NP?g75 z$Kyw3S3BZ&B+(H0KB_|>?m_;29>ricoSBM`2>!0uE#>m%wseC#BeK;DwhiIdm#k(@67korZk;$iW2`n*&Z_iPx4fM zr(^~fKRYi6F07^vOG6Dt6Lf zCA|&mUoQR~9x3A8ORjwL)whO{`fg%g{TxwTdsOE3s^NtMoTd+8T0W<*N05X7Aq)RscaKbW`YB2@_ zl*2k?stEeDkvUt{j;)+CZ)R%a;7i-9p2u_a1(%*jrhZssS0OvK1EO;qrIq)}RQ7G{ z8@JIbmeCI_qt`{Tvefv92^+&#&+h}yMK;&BXOhQ13Go!O-KXle5K8;^-{=>k^Ngg& zxHv}REN$>Tz926p`1(2gol9gx0z5&1eaJ?qB}&;+5rRSwB=2Wli{J9sE4XmF8;#K) ze~SXAM&*uf&OS&`7XDMD0Ad$sHvp1FZ?L+B3o-eRIbyR2GnlJJRgP;r?^&jlx z@4$~Of6ibTU3Ai9;-BVg!{3|;<$Ql4q)f)&`YvubpF>Wti9B@4m9MHX`(p*}QN6}nC-wiY|6!Nm;Cc(ES79C@>3QRZ3E=XuM- zjNL7(7$_T@&7Nv9+Rn5eA8(WhO!;MpR3q5D&egMo(z9e!;K5+gjrM}ZZ9(t+i}KK~ zPbknNU@l3Rbgk3qUphO*;l45j;5_kHo}5z%VWDc-7f5mAA|E*(A93;Vi!wMv102T$ z{hw7uql=lm-x~Awd9#C?{!pLAq~KVZqAZfyhm$^jDG@mOR%|~^Ky*16M=ZCRM(1|# z(CjP9aB1Zq3+KZt6!P=NP|17AF7{r2%veU^uEhIKn{8#~ru40%=Bp`f@>$kixTWsB zV(TZPQqM?u*4G&vmO3t+Dqe;$c5YmEIBy07&X_kc@k@`EAw$6*8tqlK%9+^lAU#Avh z^BOV-?V+hl8roL@gcNi*e>WUg-qX;?&u4#E;o&C>Z>Kv4_teX|V(rwtneh~Ycdx(6 z+w$g)u$X{pm7ed7n6dxl!eld}V`~jsd7o9qow(HM7WJL(zCK^$KC18XE!}j4|9xTs zOf|TaG=6@$GFchf=P!f}!J{gXk4wQkBW%WGVllej(E&Wg!L7(lv$z^xHpxQ)o{-hm zIh-6I8AR>WtFpwGKnXlHa$i}HEJn$5u+R;Mb-X%vc7aiI#=@wVrv71x zaWKm%vux5chZjmG2}C##NrM=wYY8X@Ts=pJ$D*_^j*ka|GzkUX!v&+~l!P6MX9Nrj z%qD`|0AZ$!{4Gp=5?aeOEXd*)jxb_dsKI9X)hWXZb@uW49pBuwsq6S3CYx5eo4(G? zM9ez01~tT~C3@$Hm@!nosNADWlDl~ zJ&!eAP)^VSDs6`(dMB3Y_wT|UR*)-Rt3Id`R(!^c#Hd$YxGgOkux^R!j~d6)+@fOQ z5xj^tk@VFBjd&y1&ec=al)lZSeh6O=JWyiZjs?1$1xa>8r`JUT<|rSS-N_W|m2B{o z;)qiIQu6Kh=G_}3h}|wPIp@F>nJB~Ig%}bBqpWG)20wz6p=f6bg@TvG=Ls^Ui23_v zCvLs#yVZ)bcniG#j<~N`R=Lh?sUK1{$YS7=1QYv2{Hg zk7QBPGx{$ne#ldxT_U9wmSzS8dq+;fh#S5rTh6FJ1>&JCcRK+wRD9%u+^`JWL?E5H z8D1OE!lXH5 z^V(GP#=nM*S&Jc4&qxyaFL6@e2OT%u4@!@6=ZC4lhD|&rMg>?QD+M5z`E4 zTOXNgVU{ndvbY|{K<${dqiEjLN1=S=AwwtglCxgunp3x*f0Vh-hv18Ls|(!HHF0@1 zn(XujOzKO1l1r9bPLfrecucXS=X3mSm3Y31YT<=NsgK`26p zZ4<>-5Yt4hAVOB5SAn(EMB**`<@>KyAZK5#5EL|l_l}aG;rV*=ULYhb`|T6K3A+%+ zJLU%0n)SQ4TM#C%)jI6E_k-BP=g&U6h!biW(x0MwxU7iSt3R#$X?D%9V7s`07n&M? z{ru4NNoj7&3Q52v0KeGtMZ*4=WAEo5azY261qCf`-i6+I2>K9tlvyN#BszL4s$c z`+-qDW#Gd(VaUt!f9ku*1powT48@fCp zQ$L3+iY2@ucI>Ekx-KH^3_$LDQXWR7UDNQQ2mgk??RZ=;5o_i@j3dwq!}CCz{l|>b znm&AeV(8Wq7hQ;4PoMhYfkZzOW^Qxl+M)$^ZiQpi7)to38P*W-E2JkN=>GwFK!v}s zwFqR5T#prj{6<9aK7or02_$&-{%p5EwrCK@7VyN-2_4&+pn0R}5?pGUJHd65ww~sm z00YXup^9&8uV+`^8y>o5`weDu(cNfgcxm9boGykcrlo z^c0za)Pz{AM*VJ(eZfU`!B-f zPvy>$a=lhK{*=Ivi`LICr1g5#_G$gSt+-cfJTLY*B()y3qQqV;m7_-`@1$s(e^i$Dg7K#rE{0RlfOcm79A@xCIa7~WaF z&n=wia;6xXkwOB-Fee|xRUhy!yRBkmXsOPL6n?U8n(vkB7AxJ@u7V9vn;%-~Hll*z zqJm$E!1ovUR}uS11b$G2zoV3#0u?0b2?EcHR|i-Mj*Az%)iHKz)*nPghASa1z3)FS z`RgGP@W%ZOoi@Se^`@Rm`yepkElXg^KAXddv{w86qn=yeL*zX{;49EoNN;qLVu#PI z3xR3{*peyho$~E)GcIPQ{9(e!9D!?#a8oy-inxh-X7DkI-rWLUD#A@YL9%dT>A^Z{ zwjL}q&Ln-O7`U1{p|M-13ho&}GjisKUqlfcQ!cUL&k_~>SMD4x*RQC+EbvZ=5GO&S zA(r`OWtlvE0L;{t>B|(}?T!a)jPQ&tKdrbw&d>ck;dOW6{Ym{no*hDFRl#KIra%X2z$K#@ow+oyyf+b%s5ND`F+igeqmZIa_ zsyVhtH5}bU_2L!@gN=(IiiU?;GBs%lQ(4xCcs@j9iHLa#zg48Sw@CP9k?=o6wzCBe z68JeOGe`P^`viUpE)W|TBF2w5x+T1@LBc;1?%T`kZxo9$OmRKPo*$axM^OZC;Z~+7 zV)?k-IaIFKh=@KZ@M97m_9+k_BBmDJ=@wCKYCd>&V+$b;iW5OSBJfuN&k%T_z&|O7 zl7TT~5DFT`nRVKFo8f;1uVLF*Z?jZ4M*gB>Seg*m43rc$Jz}xc(IKW zIuWj?8=IQY@}@m4uaI@|v#_^%Ve{4}%gapAa|ykCP>gRtSp?eodKRG)SZ&`g5zOGC ztD6ed?loHGw&-LqR|N;*8c4?AkL$%I)3Bhw8k!;r)EXD|t(&RxNzzidRMQf^+A5o* zlR$}j>EJ}6iH`(ejZJ)NMi;Lq2;55GOLG5lf%gl%QQ*4)Tl*al%VPkxXTIuNc99)m zOA;T(Q})1<^Qo6bAi;h7fqAsSFQ$_mwV7rJ@HWX95BK&ol{bL=$qjX?xQAYCWYybb z9e6VqnoUHrpk}~Z5@tt08`20s4!F6Nf7GE31M0yGH`Ft03d#xadUqRJfDps;kw+p- z@myGSg#UP;4`jDfTbJW z8NPC=$`sW4a73nHNv!?`emsfQE5h>IL=@YKD1Ie(?h?3%g!T;u{z-)PU4i!qoGIm( z<6FORG;Ah-xauPwpSb?lEw1w#CWEGnQEYoKC4BCIgg4L7Cga`TVx7>W*YiWO`MQ{& z%7q`4mW*5&kuqpr~VQVq3tmD%^$ouxZWIS1re<^O7i%z+Lv=%6`TPeXFWfOx9rHcS4VhBo zgFp?>-e<9(z9F(XDM7RdPhfOei*thRiy#fpggX7ap=-geNzuR2spK>1y~I#973ch! zT;C$_t6jvF2plBvH{y_Y2plA3&cglHN(9C7(-1?Ml7-D4v~Wu`FnxHc>Tc+kSVI!d z+v{}xF1#3`8Uz;jp?|FvFhA@kMMV)yz|-O{t~I+U##LLP|5`Js@b+vth#7Bq@$~2>zJjDc@%NVA7|58axM_1$Jmj z`h7QNSI2O6>`<@HPDc^M3gPT1DIUuis)wD7@FO>8SH^I5({5JIbQGsGehfvDbRXgD zlXAUKc%3D1iomZFveMwh`vo`0S5H+|dKrR1#DS|x+6hzEi!OP8zrKc_p5tYFS)p2V z*%O#t+piKJv@g0uP4M3hIpbX!0@sH|LL$RWiWx4tz<;!n>8@6F&OF);$gUB#l_Xjp z?1`i5Bx%zJdrrq_^$3iSXVnhD3-0*ZyD5O`tv^#RYUit&m-LLGTcmKD&rx$a$jx>l zk9P>XN#IoiFBAA%vBQtZ@h?9BY%Kx$pYlOl%5%VUwvs(Ws~`8E@h-YW3QLgK31XqLw+ljQ$jM99$w`af=Q zEocze?K4<$o(SzTlJ!nKh<7Fl+(h6gfjdZQ|bq72J^2$FCI#X7z=Hl&hTt?zChi!Df^R}TfW(wR_;O+vq5!fm4Z_@q# z{QqwEn+-$yXZMRt&k}y}(Mxr|^gnC&`)P?M7sJpRrsDJCf-j>;lI|z@*f-?*lS6su z{Q}=2@F_S-bXdw}m3;liKivJsho@%UtWG#ua(o2{_5|E(gr^b0@tdW1WWWtiAb#fN z>@Ld~uO(+I{G44Rob4i#}@k3E>zU6LAYEATG4|2lye zO5Nj-aRnwn9k%3WKuu@wm@o%My}9Ud!EU5L9o86>@3~bBdP=J26o+L-*`<6j+G~hD z`Q-l`+0FGgQQ0Xwk@@ol-YiMcr2-cU+z!+1IBrBbu763#^;>7hg;wRKVde?U07;07 zvzTQ`l)l!JC`Bx#Smq!*Jjo?aScFUimuecqf0FL&7yY3k`ag^4PY|K67I>qG`%MB* z7x+36{dyw$e~9RRRYdgEU)!R;KPP&;_*;DBX)(P=Wf1WaU|082s2&HVV=Ud(y$si4 zf6H;vk^6LYH*ci)Fuv_vv%bJ{0C93OD(F`o^Eyd)1eBdp_&&EWj9%5b=2n3U0g++5 z!V>%`cPGYJ;M4D`&fZ+zyg_U&go5S|LGyeEHTOO6Y@vnNjlm6K^ReTC$58RzzLW4R zPZ{ENFm4~?YnXXV6>E({S?=E$gKvdxG6%fdQVqkaZ3oLA#EP zC@D1^DLpsPohv&}_C?7>lH{)xnGw${{LeisXQ7S5@$ChegO z_^RqvVlEdzjM}fX@}~(MJ*<-CQDBj8y`G5ck*Lb!+LRx0pA%UnoFG?|z#5NrTY%E^ zKYAZug05KIp@-FlLLBXiew2~W$o>TA+^*%m_Mwd8b<1zgj5Mf5Iosn&D_QgyklJa> z5X{%B(;F7RN=M;CUs-@FZi1TxGns%gDooQ~=p{6xc|D~XeTAn;EpG_8ed8;KV)r| zjK=@WGAM%iO}btIvtH7`df(`J7R>qxZPq-TQ5{3f?W{_}i=l0`p_P_E2Dzbi64+KA zJQ-|`!#DKr`S_kz(CESJ>*n_ZR(Uk*G|lQ{FvXsZ$C56@mFmP73tN29Ks{uY%$mSSaPr?;Dc*QCP64J515vA431JCd2@$$xfMV}b=3COt)fR5*a-Z99+4ym1_ zg}~TLwiwPP<4YPe{aA%DK1acXStZkq*bHJ6!aUJGg-5p-)guJGJvqnv&QEo9-wLid z-nKGkja%;{rb@_wN#Tp}=S5 z{t>ut+Hj|zOLz=)s@=&y5{fO`?sU-~){Fk2UNlJ;$m-SCwwW>0E33px2g_?-qjSq>%BidF8fhlj@> zULSBVB^-la<@vM=rjE1;+Ru2{56? z0V#*#+m5xJoon#T<_+J>!4QIvPIRmtKKuzxF;I(?O>OuSOs+fVh>DT9U}Yu*G^chV zh9Q`R!cUT>q9-*@Zm-kkQ@A7+Lj)5gz2y)lG?Tssdtxd>VvCk!2JAoD|~ku%D~JAnX9&4}XFc zA?vh7gvnPUl2K=X1%NV9V)ES=DlHtazeFiY9*@f9?A^R^&X=cL_mx}}SFhEk6 zs!RkCD!W?<@|dnDU*>o00aUuHdH^Ov>S$*@buvaXkh#G^qXGeXTnerBMw+9t z!i;S_-qp7EcDQO?0F%KCHO8ct+TuCXlOPn;87_h_lmNzxP?!Soglp!6lks7gqgjaO zbK0l}?>-1R>UkWVbIq%T&iq+3> zuD9MxC^rJd*^lx4(VXBrTPD2t5Em!Kmf_AKF$_9kUbR=FUW{s+9lyOH^Y} zQH^trnuxq`9zyrfSHd|EN;?-S)pSvj6i$&j+amthDwORm5-~&E3`_$a(=>nEA2I;W z@%9)LJ3)p~_A<;2N8*1KOH{~JnIsKJjX^nopbU;95%xsvOc_0TCX0vb%;5G&FX}6W z^az6EPmV4*j#SeqT%(|1C5>A9~uFBU#Qz z(6Tr_krM!bagUssICHML=7Z$#IdF9@O)P+e+eCJKpNfn#`s#sTOpqcFk(qW-;zAguqm!9%dEPu@Dbu^EC;1i|X4>>;h1gb*@dK zVbVr-nh(J!%UJ+c$-J^5E*>(?<9QM6&NvgsWer*#9g;aOll5lNoQ1h9PUX#m#T*5= zG)F>SG<|6~d^VBJ#>7&qtw}r+;XGXR;jfyy=YM=Uo#J6O%$}VYfO@0LXk5{1_zj(m zGoC$9vctu&pe{)e*M82!M@1yvbY3idX)(u24xU+ZlSnuqU@F6?qL#yGEey*P3D+%D zaAwb>mX)~#re0seaL)dVj;Jg~izNS8|H8U9>LN+f4@vHNi^^S-^jp{t)3J7=jb4CQ z$MuMHspyikss|uaEkIOYZmD7xnP85|13C$A=2Y9vw}IJg|V z8p!A)t6O_Vl0G0*eZ5YVUOQBdMRw>`R+b=^^VYfSu(>DojoN2PfXT~2XLPIJdmKpd z|HeGJch>B07o9byVJE<-Go(pBI`jndQ{DDOikm|+$cxWi;6 zW*RtyI}d|uhJGDD^dNSX1PP8EIMq7C7ATrsa%der9V zA4KJ-2*d`R;Bv+P_rWYbt<=B6*fG#$Ibkf%b58){z?ZATTuk96A+9PjEUC>BoG{~1 zhDit)(K(q$yHs!0Q=7Rz3RIAcD8%Yxwj~wj!y{KJ_*>-{PW)@qtQb_~U*<4?BuG)(GxC}>x~ilj7$ zB%^kva)aFMs4f-nQ2i|k7XwwX)vgg0g=vL+z?+3Z(0rkqBT_u$6X+PZD#d@Aw#i$$ z1L9tqx?0@^kZ-Djj}|-vD)qmVjmdGT?Bjlkpo`V)=;GRSZs)9ub#FRY&6)VAF*>)> zA40yY@ohA~!mTvlaXqpEN@_G5fieV33Yhc2%iMif4jU*nZpQy**!a+72r;^&iw(76 zyd&{^N%2NfCUc@KrHBQ0{uc#>N|*f1@JD4=ir&53(RMP z4#k-$J_l*KXJKpnQjDxcsQ59AAwb3FbMsNgkos?$ z2gc^ZLGjH1$2|HF>hkErSRZ{fT#7y)Pb+Eb=Bh{W-5&bzJ&Nza6H=Sw1T50Io%Obk zz+)kNsCeDy4D7aX=OMZZ7^SO~YIfDuL^h$$St0&@0yzUvn>hffepdFO=V?3p<;?)Vz#y=AW*cr zU7p(n+=ILUpiCd|fHD?S`f(DmQRl<&bWVFkUey|8umip|eE%eo20vT&I9M+@wKa}I_h9sV7 z8Ksp9Kj5re{{abbp82M!$Muz+)3nN2@{KdhDyq3bqr)9|$M!0-Y{Fp~R z_zwGLmVB@XFkgNNtYnSMx`}P2f`@>VHMf#(Ad(uZX_#?(qEEyd9U*ApSKAT2)M?|T z3Sa^@`1DSi221M2Nb!O!HfwBMlH!T&V5k-AOcbv_k;(lJo!p|`!F|Xapws#RNOJpTcQ8=x ztBzdmIfqLgZmqeVS*ktA@s9u$u3^6Cx7OM)G9w?$cW!5l zY!uFvLl;x!yipXlqu)RWrqU2fG%!2;ta9fYSSOW3dAq}Oi|Rm_!&?R!~;A)OgE;y-P@dXk5#V&9(U-`z2w zPIqxm?Q~aWqBu>I-TBL3OY=FzW*38n9Ux^YYU`%{7Vtn2QOlp&G{wR?=nhRJop*^F zLASO*>&#E$+@#6W12dWGoh_K_Tm~w(!VJ@a&}+SVI=a~H5UxItIN!F8o?eZpdf=gV z_FiY>og$;!kj~;O6|*S)($}8qVcL1=14Sz?Eow%U8ubc$>JJku#u?LviSfF$rAt)q?^Me$O^s)k-w^6vRsiT^nACwfQ% z&lKzn7s1pU#n(!e@0Cn>DSXys=&K}6^bJF!`0ElP%Z=jMIvHH%g%I$U;HTbFWWD6j zQT$WM&fNz8DVldn++7UgO47d~gyrRo>i6mN0GXbm*5hF+Gw`MaH9gW(O?MXb3*|gB zHw}|i>Qf}hkL1bIqxkRAu%+!c8I9uKei&sd#^Uw_99I3fr8I#e=Q z#ab;^2#t0GX?~-JBP|i9Xo%Evwmf3)geje4m4Tx8NU6%^9^b}8OcWm@@oqwo%Y;U! zA?6$_A#x055(;Kfd^X};Tbm(BVZR7r6KW{POp2i$UA2JoT~dbu=XG@fr-Q_v;c?RB z3h+p}WVf$7AutPx`>mW^l!A`m!^Qu0-7Ds`n%f zO{)NteFKTN%ghdzwId`(v4UOife+uLL#;aw+9;S5K^s3A3;(4apbb-*A%&lonjEyP z`sO$L0or~G^sPkwc*dW)v`wIa6sOb%8d5)ut|yq|g%~_nL31TMUCb;wly6GSg@P}~z)>7aNve)Dh?crL;%?MrSO zG&ND@Ky{$=84EcsTdpAu;=~&Q^ zarkitIZNa))Y4Cp8Jr3tN*zAKs>7q}-k2i3vi0oAd8wM+(SKQ~TDz{#!5D_>JR?zV zx@VaneF56(kTQ88n}eqy9mPlB8Oky$%ZKd1$+~5HfVI_e(X2bP_R&8)d4so7C>msQDinAz+U;75RFA~PfrnV_HH2}CHeQ+xrL z+q&`4=T>yL(G*csHL6l^Q$(SdnZNC~e0Bh-GXZ%#;-Shw zp8lq?fLB227ETX%P(?s7h8s0r_Q-tPx>0?_OBJXRTtUi=8rxAL#eH`~$eiZ_*;<7C zjxNG}PcqFUp%cFZxSxxE)1Gl^8Ga6^uLPKhlQRNLpeFpP5Da}*lU$&FLYb-?Sxi9k zFDr2P?GBduRCz*cYAu1INOR{}Vd;S&a2Tg2DRrEFoUHeFJWDwJHe_yc`a~5lkcpmS zxEB<1daik%(=*oR^cpVZ^j}UZY3tr5MUO{gu3^6CIlVTF%*e;`o!c3caC)j&ct7eK zxg9l0f^sjJ?j<02tqH+mY&69zxEnFmQ|~ z4DdaQk9EQTL|hWx(fC86yF%>zRAta`=uSrWa85HJ!fdlABK%J>lO)3YXNH@;B*Gk5 z*)Gyq3e;X;M3}?puW0+IR)^jaVQo>L{ZE7wj?A-X)4^|N0NCiDb}ZheLQ_Z=H7Zo~ zVqUtU@peb5QYRsG67n$Dbns(3qfE!Nyg?T3NZ}rz!bG8gJ@H2`dtL%yryULYc*$0_ zo1~em7rV(L(BL}s*&WU&0{HjAI9Xw(hYDQOw(f`J>8l&ZdZ@tnC?4lfAyGF{VkatS z8*1Bi=Rs+tVj90f!E5*QVp%Fy8Os(Z!DRgdZR3NBo#L8I9v#)|NRm z5K?pn^=bH_CCl)Gz|mX$@Z0Tw{7}KlJq@g**cX<{;F$AJFC5DkVDU+ymJ#@c`?g0A zmnGE`J^18%6i;&SN$L*RA|p^3B;R-yu-oYh)H#7#72J*uQ?G?Py4X@r0Qh(cfGQ=WoL048)rZ&o+YwI`)p29HJbnqBma=yo6b3{Wr{il&0@(Mm$v z$Lo}6TB7sq6vWcPLMln?32iMiP=ZRrLUo_mvqK}gj*ZW(^sQaj=inV6eRIc99k7|# z4CM^f3)r6i?l25K^rGVSZMl$22d17db4(8fdUm@a60~(cDo>xTpXT8W-=p~S0B^>l z4Dp5{jvh_t8S6Qq~rie=Oo@>79-rMG>kM=wm;!61qu#h{{kag=2`1g{Aj;lIAvA+v?v;%HIdFd3(zzwYY_OMn&*2}pT;^ia2H zyBE%m0~GOnL6K83RhuJq7VpX{HXf-5IvzuPK95B2cZ6ZiTqT_=oI?q>JKPswgU(O` z#yi`2Q5Y{Rp(S4J_T1``=TOH*cP+Zq=p8(C+M>IIUMj{<8Zaig`y zM^E==-Vgp*kA+~M+L;^cmw)WmmXCGu#y?W?%Rr=6Y6eJego3vN3i^LV z8=1KT^l5?CulT6lS?|xI-xxt(KXXG*Fh$o!pBca_VpfrE9z$>oLzgfL-n;>8qx6Bta|`dWA4HagqE02ngC~Ah zc?FOI4K59bRXBZa?3-KGpa@Ao9j&{5y#{*%$unK{BPc>QvAmD!Zr%qZ*99g?f6EALXF5%CPzyP7x`U zTS{!} z>{XH-tuJ>_gm99F9tQPg4;}5`!5F}CnSO+FhZbE8iG-KrQgpd28HAiC&F&qY+~JO( zs=UKvqZAz|eO!U5r2m(bLHteWsLALF{{8k#=oRGh|p)67i59=^X@K6MYFZe9|UP$Dxqstfzb>f}w+byp}pz$qJ(ZR`A zKvJgUMEPC6*F=GL3obxajUWAHHe;8v0XWTftkz6)LJ!8#+lBY@QkdX3F_y_256sZ5 zmjZ_Rzi_)m$6a2R%4;gw6g~dsW?p(M+Hhau5BJJ`815{{`qR*7`nB_xS0V}Td0mge ztx5-)43jDib>PuUFZppg?lYVmMGYR6A9M=hBv9$W{UCpOWiju}5ci+#FiAK&-KxH- zABI`Bs=S?S7UVp)qUk^_zfKW&Ix^{xt2Wrr2x%SJ3PP0 zJ5RV_(+gAO2H)&p5A+ASz8?mg1>X4p zJU==?;`p5s#u99~iu|4XMf#Y4tQgcwV}RZm5o1io{qeDX6Z{Cu%|T%6!)N@#iov~_<~p2OvNIi8>htMO;Y zuo)-IKCU7uSqs;P7|8Au^YptED-gp~B}FFC5<7L^1X!NlBr785^E+4sbF6AxcZUv$ zYtgO)PtC+OVYI~kknYnGt`}Tg zDslBZ7J-`|Ip;+*ZP|(~V?id@Rc4xjpF4h#?Zq&y(Qlec67o7~S#nK}O2))m=_y6= zlcMMr(XHsWzbK?qHRW7K+LJQ+g(oGsQ_zGv*yZPS9r5#KdrXdj$=xTZbOV~Q9=j$< zX}M0?SdWv*l?}5N4E&Hxwwe=~?SNj8P^+7kUeLZ9FI9-MQUGy&IBpQxRQ9qghc)JM zIB;bh^Mo(zyt#C@G-0~mNvV%IRRDYAzjPMh-L_Y2QV(Fb8u59FEnc2FF<$GUd7&s=Oyr_!F?;LBfgn@j$lv^79sZ?qCQOWF!OzUg|;4O97u>9igy5fS|F59{=u}l7?55 zQq+Dx0;wdOgaXaB;}5CO#zd5v0-8@v$!1thXk;Lbb$r5Eit>4hY^1^Ni;RvOX1id5 zl6HY|8@k|V2+8sWuUP_e_A~ODgN?1;X~29Ts3yf>_IXzXbAS8^m{TgEJjC73L_8@Z z@VSTXgq5M+cSsmLsiO?gKgwCMi@9>`gA%GlBWd zxIS$*$nnOg;dHkUNUc8TZt@d6hb??D66(Vi(4jkqil5my(l`m z^hseh0dl(_gp8C|*Gz?Gs-x>Q$imx~22qVdcRPw({B?9i@pZ?t$|IlDNH zUI|d`*+bi8*!%{`6@Y{AIB8+~O&; zH`^5GxwqkQaPC+Ly4={2D)Ver4}IYLk|WdZN^3W->L4uoeTw4g)3`9Di`1S}qN1E$ zmBw$qQUuoP{4UE>*wK|h91C*6divi(yShN@fmGB~aOa`-AFjfHaD@xD8fq!(p$a#C zSB1N_FgyNJ=l3{%%Dh8 zU&<>|%&0isZl=QOHld%ouc}y4d#+!)ooQf*B+i^2>r=j}x&~R^x4MU^;K_49PM@yd zn)1Oj#U@Vf31Hymza9{Q5{|#IEC#%^EsAEm0b(wA6Q7G9LY#?HA3XW7uqRqU_QicE z7mDU4Vycnynz%s{tHtY{OKZ6p$!icfj#vT1p?L%3hAz?hO5C?$ikMr?8R5c(RW>lO z`Dz;K4M}pgH+8R5z9+p{FG@*EAOxvvH?3Kenby?@bi_h>RIw`FgH1t=zq%l|-K%6X zP;C_?JBI}971c@E3Tx1K&J)GSa@ziP{ObYbDKQpTkR^9DstNm%U+@|g5N5O=3OPkI zyharyePvbDHJE%~>K=~Hhw@vR1$C=R{^l=lN=Z*c*C}O>2Ic18G09)v*w65|vcy%aZFK@Do@0CiBkG#An_i%iGg8A-O5*3Rv;*vK~m%Z2Go)i_fP zQjtWFDHi=RGbQ`c*8Mek;-UNDtx^0p*bJThknd6a9G+tKBkbV5a%f?a58ARcv_lkK zr(ueC5b^|QyXYS~1+KQUpm>-Ka~SthtGv1Hzi|c;YpLbR3}Voq8#CsiwO$6{qx} z;2Zz)(>XLyQ;S7Y^lFGdl((sPEpRrV*q09RU;2HBX9BVaAy)$YX93!DBREwQgsSaw zLkD=yM0cnKrjkyb38*LL-N|ZAqjHavLSqS!81pFDIK4X z%pdsHejhjo%fmqYpobgcLM%wm--6ySJW8S_Kn_Wr;`P`MQ}wD!s>*dbc;geboAlJ6 z3SHIgxdFHP1HO8P4453*O!#itsjCnV^wA532g|w2RKL=9O+9G1l3ZK5lhDh8fKLB5 z9th+L^Hh}H&z>9Vq5e=W>-V9mbs_jREFJIYb4W}i)~X|k`!+0{z=*}P?TwcK6F)g2 zyvmNBY@O4wq}h7=5EfN3GseuIs9f6^x}r z5V;>GiG(UQ$PRyy*Z2D%=ZX584hgDAa-do`XnG^^a#MOm(Ito=N-ssOr`j9n)JH6I z7sql+G%qPQr-&phH)v{#)0ORi4AnvRIMfMPAN6105J`-PgNmyJTjHX!9XfiEHk0Xa zc>KUI85O;v3OrB`_lNqb9k9L>lV>J6ED|LZcv4bC|6m@Lq*p0FocHd1YS51b=RU~-QV_4lY z>BGo44wJs8^h2fKKtBrtG1(1mg|rq@oFJALVCg7-nEemBQ?PUT<3 zLV8Q$L{6#LN_RJW_m+&*5YN_9%aV{lAiKfU0~d zAVTWMPWK)%38l7_V|Ac32XlBTGH`WCdek|HWQZQ(bhRy@wnzH|?SICi11%QslQpGX zU2iEJhpsC{)e{HDs!Kdqk*m&=vy_ST)T}xpP#Vq+7LFn|*d2wTq`RxZVyHPx zF_h$n+>pSl-fKLv!eOc31>ZP0dam)b4l$XsF$YT4!_ylDs|g<%8{qmKw3>^-LDelN z86IUIif@!W>Qs7aK2yEfwSZa z$PEioW-U5?8CJ@rQ$NdeUQ)OjE$i1%jF!24)P8EdP-mmQWKr*6nUjQ2&b7=XTref6*|vt6Je^EYvHZ2;Lx@EjBt8{pLh( zN}G2Jdzqk|+fr+j+|{E(+LTzKibUbbLA_^#o_gM(snz7+P%a~NP3zIBPAES*NYL~$ z(>KCxdgo!;cpipgw045SL1s5@W-eHyOm+0E%5N>TI_`6k*$rE##%;+o3wMRc;uc1; zxDNKTgxQ>>L$S8~J(9M~ZCa*#{_aAvaD#&^ZbCK!XPkeq$^j0tTsvw^&5n^i!cjaN z6hSNH8lzH&JsU+Jyt0S&i{g8M^;($w5EOQRr?TWU^oxCSH_vcYxd&{0Zs^eeL+-IC zM5t2hDItF)bn|7RcNQ?YBk-nRvjB`Q$ZEeRPa~U~2bWF7LCr#mG?!%-I(-Ewt7f4r zhb#^pw3a!@z>7QV z&34#n*?hCHg9S&0HJ345t%_V9y&8c(ToUK%p^4;~nJ%kNwG_NLMAo84x6RmG@cUoW zF-ZgrUP++4D$)ps5+Zw83X257jxG`jTEAL`5K@bLf0?h?_jeQ+<&mf(=K+kmSRaz2 zcq+crp>35`So&ZU6>?XbduJb{4nh3jgXC!De; zWU{y*ZQYzc)V~^k`g+QyVya$MI$`(NgmM2v;gg!ju^0qpGaf8eEhIgc-L{_>iE&dw ziJViWS3{nNywo>CC~fN|RA4|3bZ)0qB-Cam>R-}wA%4^Gb|!v{KyGIv3kjQagyvkH zFJ`p->)cLRM$)Du?OfD58||V@JL7l5LruVlYq@PQ;wdpmetKBW!G9X5;bsrqrUjcE zB5jIaoTn=$ijgU&@vaM<+apG^mFegcyNj$+1>@XzZ#%ai&TIrdj%*?;s+(>w#j(?f@D#+kK!+C`j;efn+CotfrsSzutq&1fk*M=G(LvE z4#;q@SkfMs=U3(VH9YwbSKg*?-{4yme^W9%Ae&-3hz+5>2SRMBk~tMAym^J=XXV4Jufo3A^|b zomhK`epP*mZj&W?rtu}hR|j%fbmC6FL{Y5LA_OT4kNg*IqrZhX|MJl&ehGGCP9ebe z5^vUnB z^v^>7g2OYI-FNVgN7d@J1NDwCBS@}aKQY}c;G{Gd(4oJ zIsKAGOr=QZG4o)qDNz)Iftt;2&Hb29n;c9*;?23QoU+D5nZ(5Gl>g^e$rYX_hl2`n z(^YdiWoF`}FQ=kB_ct&ze?^u-A{AFKBv0k5y_R3FtTX3r%#>LwgR#JAkV zL98+pK9uD8ESyr0N#oBd;C@nS%@mNfq4yWQhXKs4h_yn)o@u6$w0t5qp@PT{Z=3gb zhB1MRTznRzHnu<e#7}eL^117neWFmU~lOsZJ$0Zru+2}HX6|bcc(W~`w%xDwJ zXb1(3fWI`dEg%bMeQ=apWBQv&K2K`A*sYxs^e8f_33rAPg~-&jinS zc+;wy-{yq_X!ImPXd}LuT(UGg*K1T!3P#(?YbLVl1f6Vs-8ESi@m|VX1Q$i;FS+luujYW_x_eSlHP{@I?nWAq zUE^~--@A4XeXH&deYJG=BCl$qXJ!idpbQkR`J@^fn6(-)bdz67I|aq!=I;ErD-zNZ z^4>dCV<(#NI+wKFA3nxZPB@X6X3fTv4TSROz3uem)w81HchX55@g#Ig%hSwdD_hlQ zoDIXYy36u+&%DLnI{wW>Zk#mtqTx&OUEI?Y%=>&PW{8F*)}=efF107D+d|e#tMRBi zb(F&*_zX(8(SrKrJT*^SzFU~d0*hGRw=8YNar%pj)>NTi-5mI*cWMpDcx&AB#g z3QFp|H~~(db7RW93l7n(vtMsXe9rdSEz_s4*e>%h`J20O!gy#``WJEu_VT2(y_}&& z_L@-C5Q<{F5uN^|mH9*wy5c^F&-SJCKrIp}=Vy%0 zDcZo>J88?K3XReEGEN$CeTOJwq!HUuQlt@=-KbZV0;Jrp6X%ivuM?qT{}-29e?){d za@k!Z`%3dsKlt{lSL-3=Ti-c>bgyWFC$1+YHQ_>ztG&ai?Cy;qA%c8m*RH^mdMGg z%8tlIZetY@Ms9+4^V_OhPjx>0S|0ByV{h~?{9nBS*LdJ)_`WU9L+j}sm%>Y#nxnYE zT+KKy7FZ|fuE6pNi1LK)8-Hn+3cc|`vmcxnPosg;0%r^nX44&RYxDXrDFh73CcK=vH25!{FejmK7;FI8%TU6kO&(OZp2 z*+N1K`TTqR#Eth36%1!VU5Z!B>;e)S@I&s^xe}~iUW}sreCBX}60z0uLWqB&QKIJ1c^#0V3MV?V zMY~~^X;X9hw4R|V@)CXv(O$nAwOjIP6LgB*De`Q0?-Tuo=G}Z#! zYccIs$#l;Ahtt2`$*$)q08D~B)#f?*3flRlP{YWG&U0mSON(}ZPYf5)8c+q@^7i0N zWR{WI$<}7fwX||zGBNgbjmzU>+$J^0brq%?EnQa`TZJK_z(8X}t?%}=5s*h($1vYN8NXxQYcxR!Z7=3Xc zIc@Bx;ji_Q&!4FF9%iNyR<*O&wi}EZMPizGSr?A1#&B@Dsx(bnGpoz-#k+{fwfZDU5GRqYU9V6a4vl;Vh-^IK zpYrI?SeM)Gs8L=l!}P@PmPNtmRf!UgwCK=`B3i1S3B3bFG973D5HGY(e}c5Z-lSbx zLjht3D~fAGVncFKL|qHwYeZeaG6e!%x1%w5@G-J3nELFGQqn%leLBH>O`S!C-!po@ zt}abxg9m5L#YDQwy({g-fme%^iifMvS#!Cs3S|@(Ps|3B>!lMT1Qncf`Uq)QHZfxR zPREL|MFZpCdC$kpEv-6Wm4Uu%l+7GMOxn#M#3a}jK_LcUuCZlkgKf&|dhLoq_OGmc zR?E>J^=evfh478wuhG@)1V}-IpW4wt?nf4Pgpx0Oq_D(w*7=2zmPP7gmbrh%Gf5Ip6BQ-QeYejuGf7oSW!ceN@+L|pjRPE=XbpaALJtcdp?qZkMW@@~yL`VS@n-2Zj9ukQKbb9sxfE-Fe*QLzY5wdk2Ibph zRgXEHG;JRp0&UZzmtS>E5fE!%9d*Gc2BMFl1fnIpD=jId>@0reW~B}X=Axb%lakh$ zCV?DXNxb`DuT367X26r`I+aJq%)p>$MY~$u%~Nf%pW^{di6*IOTr_>d60g@sEJ)!r zEdYBEJjKyU{;qx%nRE7Fcy7wY#2qJFxJdEl3Tjqq$QmM$4TK6TY>k#)gtXMPxk&aI z!Ku?3{M}L1j_jCsY)qsRZkp`JymUR>rL2XSyM8b_Q88E4bG}1RC=lUHv zk~N|@cR)Q68IPyqV@0&9_RwrAy{pc9PU;Id%OR1M8Vrva@OJdmEw?4UOEn&-J)dn? z=9w#g9`6orW}~%pte=34-Fx2V@|BjGX#{aIe6y%_^ zq^F+&P`~ya`e9BDA`ZrsdG2hj`#vD^=F7we{f8%}yJWxW);@*EeEUVF^go7(gNk^ zIr0SGWgm1;P>q>BULdiI!EaLzowFA86f(OyTKT&)`|p|nY!fBbVeXM|E3;is4QG>u zIpcraO_oxl%gN)D(u8PNolO%*(y?NSLH7%z51bdG4evYL^KYN%LdW6D_jNuE-ogTq z8e!N&o!e|)nChk<&+~;+-F9Bw9$}%^ny?HgJJS@kCwtku6;}E4Gq(3H*2iDOO<$WFX;yH z^Mx-kRl^UTlkl-bV{7wS1xEp5X+_Qos^iwG&1D!A3=S0IG? zZaTah+4pc-^&aVV*>gW)lv3EJ3LROcPPMT&RG&9983GxjSKfCDda4DG`uu+Hq&3_2g{xg{EDelv z`KeEH`!{c%zxJHSM_976mQ!OWNNltD!8M<2A6OeGMs0X?KXj4AC?I^=wn_HI&+;@r zX-Ny?L#j~gzEO?;bEfZ~LJrgyt{Yb_Qc&3fzG!(%WR3FhoioUCP}ekV$igzZ6lA$7 z`~F`|$=CemH~w0Oubb?)l!rgMfGj7lVS-2TVGf^MVXDs zX%s~n=Cp^2h$e9|!Xo$IH^IJ*oCrW|>?rPj*A5DJq>))c^8ReErd*ZqtmrORxcLan zos=@Ot}GO4t&z*1Jxl-*uLaGJpnQf-oGcPGG-!gkYAi*A=31KZ%>-4QRDO}tZdlQX zMu-g+=(ZYOlgSt1m#7s|GojWzqMrw8Jo#CGXH->l)320e$8)fAo4pCx+~R+t1NE(E%Ng4%FqV`l`>yOKwC zHORt`g4@TlHaLus&_TIEvB^`A;-H#%D;nKhhG$E3Yd*S1E-yR9TTASWw)wQ$-M3>I zlpHZzOw}7t^qVPDrO)x_FTmkf$>&r-Z_P~0GS7~@oyt$ez3cg7I~$$fPG7Q#?un<@ zy92j;cZC-X#(dNGy9$o`R{6y7#PF&6qVe`xs@a_c2?AbbuG|NeCcb({Ljaak-tETmC8418_e1-+CbtU--duFXo5hbioU~>A4poi zZM;L$wI=soT9Hgc7z_kSpLUzTpTmUN&pk0``v{y@2JK&4CYE=3VCu1-0EZ@rI*T${ zvTB(&2ujjL*!2&q^NM}%4*Oczl8)b)O6Yo}Dk8OZ>Rf*<933&a#X>B~zMl3*rqGIi zSlI<Q%>zv7z99)3j=qg-)UkdG%$aYHHV@}cNX1O-8 z4c>YNc1*Qem(+2#EVb^cLQO5VHEc>;O5_X9;$AzXHn(~*@dI3_K2JM{{h+Kyq^&ywqU+?BR4*RvAzAs_pbLcZka3@(#ovwOJ?@J~cHpyq zw!?Ip-_9Kukb=H3ZDt3UEKE4++9JF#3bzHWGeDYtew2nC5yFA2r@diY8P0_~5gUvU zA?O_=&|e|jbRax|;ki>7#01$GfjeE0MJGsVJmLUlFUV*hT2Nqw5gjmpRf7B8Y*&~- z)K)`SA)9*#7%j}6ymx12Z&aHI+{M5fH<>`688&=<&XU?L!U=pFPPY3TNg)~ufsFry zKjFYX0v-7SIV*O6Ny6{rGs!PRuUU!_Ept*@>WDie+30F^KApNV-!74#YWfQgvZ*{4 z)IMe+GBE0q$J?zbII5)tyW%|M`(@&2PS-?g$~QgB#7)eSFdTW;f7K5qpC#-hTCW)PN6c z^lO$?UVqMo_?gl;wh^u9%NxN!n|`*ahZ=k{;w=&SN92!A?0HcUHq1LwO-XPm8DXC~ zj0b_`1ZxKa?6w){MDmr3e6dE%dqbtsJji}+=%ZyU=CcA2J7o{*l|QG1I2))UAxCH> z8d39VpH6D`R~mjDBNVaVpuRpSA4nS7P9m26Z$E74i%HK!A0JO0=Z$rcYX5^ZHsbO% z3&xeESUq-n+T534SEYXF*`puoF{;k~44uW9chL^XR!$pK(&re~5>!FJV0SrKk58bN z@B(6llFp~62Eq$EZv9?0Fo^yf-^W7iwp_fDUh1*!VEl$o;7ipYhf=Kbng$efg3fRJ zrMjv%^UeXdd@rvJ)_vs^jv4qV>8kkC2H=Of(Jh}M*qzzB9pkJ6HIy-R2%pu?Ww920 zxelv4e#xu4ThTsFz%)jKnZJFm`xoG1hD|YbcN&MxI=F~(*Q*~s=y%A0hOKNIPGl>j z+HJaQMZYOWse<$t8#j;|g@(T}PIXK&dVbHqFy~##wh$M&(MqGEs*0HEkoM({PSFg^ zKLdNK=L=?NQ{pu1)t8__r023uDuI7VvYFA&w>7A{xEu-z=LO7%v^xc5hG+rtuQ4OK zdk@rqE+KzX`CM2KsrBkY9%D)|tQhYPTJ-Bf#&LENqgT{#Uq@GX>0C?sy`|p9d8Ds~ z#je)U3S9LyNaC$KO-IU8mr`5`0Zpqy*6PyMsdBs6t=oapfL7=6vnvZl}9 zF8+2<=wtk2EY&~ra~)YPdB>npjz%a^p5(W26u;ISxh9Z@o7B|CVF69K#ey1=yZwny zTSpF(d(&|NlS_tDV6^S7rVb3#T2#df8l#AwOzc1ufetnb%WqzeLS-Gy@8RFj5EC@b8xBh-RoK zeMUAj&PA1wbwMTCQtF~u@v<9H+PvRHwL%R>7#qm6hDt1$U79tB${b!1^aPC+e0TkX z!t#rZM{dSl<_aZn&=Sf&~_20}bKMgT`7iv>3z}(kWEau~l_vHYQ4>|2;KgdSc5bB?DZF*(!;!3XRPiN{RlT%wlfj1CqVwA8OG{<$QTRL0Zb>RLMX)D5c^|eZ4g#Dbw@*ZMlgZ_4U!}xK>^ddFz8Bx)`&3$vG}8g_2wu zkC;eY)d!vkP{$?_f;|)Mw=9C#AXt}veCk&Y3-DTPU3GF!l0azefqSo^Q)zdBqNG#* zrrcav{bmXQy;E@u}nRNis(r&ZsKT>9zRB$1sqchm?rIY zg#F$s*?B6tc*Lb0V0aD|1|j6eU3370e`3a(N zA*`NFvvcQ@X#2ah&{hoF7NsG%oW2>kZtlA^27`vfAct>4pn0Qs$;f&Cpx%S3v+3|!8QDgy#(ue;ZZL1NVmvdD1>$S3#ata ze7=z6vBam}%5n_P#9a2V?#(ALt+}I2`E$fyF|L@l(Or%^P=mTOO#GwymfScKpZa9{ zXSz$YnM*$V4dL;8Sa3wRhOpcZvuWo_FPoV7S_Lg&ro4?H81CrXAP-d$*nZd5kf1AW zH{@;KRJOGdQ2rO=*p)f2`SQ&Uw!OIBhdKU`9S3m?CK1D*AvMY<^iSX4vUZ*CNC8jAuL`<%>WyU~N%lv(%CGeA ztu94KeNF5OOj*0tbY+wXU^$vNPhJAv)9AdR(Gr4FA%j%u-qu(J3W-}ZuJ}t`c$LDg z??c~@q^A3L@lFWH>}HE|ONt{jt1(+k{c>J{Z9p4N{z)K?ch2vwgUVkP87RX981ZYX z9Pu(RQ;FQV-z7vD`CcF9`{gpD+0v>Q{26h^o{O$2`#-^{1mX)Q_fNJZpa|r4E9_N_+;r(X@oK>alH@bE!9wQ_{h? z3BL_l+$V!Ws|88|C@9{x?)#5%Vv)hPX5COmswA-m?~SN<*`m$S_<3X3qvRF&$zc449`JtQlr@SldzKAR+ zGyMzQjS$@h{03It>qP$36n!*W4hc<%+!%T1+Nvy;ZvXXjt3k%O9I5GiAlE3ShhzRt zl4O3Ur4x|$&fP6om>+we{1tQo3u)xF@(I`E3-F&*3KB|ske$_Lvg*H9iPV+%7{C5< zHc`Hl3v^}b^rWfz&@4^;ZnIqJUqqdyA>bRP?faOR3-ZvPO6igwA2{Ye3=kfV@0kf3 zj}fcClY{Xf0&#F+SLAHA-Kuv)QexhO@WlSflJ$W{X|sLPGc$i0&P%W-Cn%2SeyuHa zjiB!P{lB(ULM6;6nDN**9H|XoLT(*1)f=^zU0$3%+*fRnBf5|hB%`q^9JmMjZk3DTPb(CoY$4iRKK5O# zNCAv&+8@Am8qOwfPQYLEH`&}jfX^ysjxe)zH_@X_M&y*eHAFS&Y`bjXn+M2*t~veZ zg#nR3c{4BDo&jGyv+PT=PO1_Dui_pk(7?>AvuEJZ$jtw6;8DWN>$C^jljnVzX7$Nv zi~^?*{()^*JbZHrjc|sMQ<#3!Y)}akqTHN1j7Y*|+a(K6I~vGj+hg)-?S-bXbGo*t zChW|jKuns2trW)6Ovd;H5_%Gd*&saOX~zS^|*$Mv7v zheql%aSHEkn(--OIOhuK1yQ??Wsx@**OBEvVYXgWWB3QnK|JTwZa+ah}(4SsWTdZ*<;S!9<7Pq zBNcbsTouC9I~PXGwJ?ZRYbu?!o(Qhdm<^YvAX#%NFPG-k=gByKa&FuKH=-ZF?0Dfr z;ap%RJ8#8kz@V?B!At4FeIO3}Cn20S{4Rp9-q@^j@Be?=%un?_fvVMFphV#=_=T@E z%7gFQY&OWJ@VmAYtHS={O{jph8TN(QI+Fv8C$Yk6i)Vf;L4$4gBjc52&1}m97o=|; zW1X#{&)lebmQ(XkzGjw^cDKo7OaaF=pU`ztasH7@*jQwn?)z^-ZY{;}b4AwV)L@gb zG)>!9J=>xk{axst=`Sho+UCaSWQ|flWc9_z_)s~BQMME^pBL@;K)b=kd1-p&60L*7 z`LY2G(5rMD+M;20d7ReBtFRC8Y!?O*urzzOCXrBcioq`)y+IR;aTGyfbes>~cCg%j zvfTk+b+h%;sVGofDgcO}oH5Lrt2-Rm5IxA5?4K*wj?^z^CT9b}Oq_^O_o4lsLFii1 z|JWVbqH5OK9*6jrbSBww+FfV%?>B_?Vq!2e6Bj&lHkcWOSUjhm52v2OSWQRjnL8Jx zrei*acp$aQ3wRx$ieSO66io*TdtW4YSt!|B>x-z_7%e;G4G#Bsq>vhG3r z^~1;9z^nEl!z?kyF}|8?4X=g3IPmI5$C{o=y zAJFa3&snOLgu}v)3Jq=_$vs>)O<;2J!^=p|KZ%-Hp+de$Fg$ar!+gFY@yEP_)V)iu z5O>zu_sj!&KD@J=omBsts-pHgMlg|paHkN(dHqgy|YEC^d-*Y=hEQ~A9yzD2qI~wC4A1LKGhBnW%=_%vy~Mu<+zQ5nlmo1l)#SZHr{5<6(x#6z z$e)1B*c}xIRR#X@qwcQU+Hbj$K+P@s$?_O@jfAg|4$uoJWL?jnnQphv=Vw*UUu{VQ zjH*|3!GMK1EzpS_nD%J}bd?YFa4>3JnOL-W=xi=$zy4Kxmiw2*;Wt(l0WGerb^&)> zA5yc)9_r5j-EyH%ze5}I%>Krh8dIe(ejrq@|47*Mk6nKv8I#U*8FC+%6&_E>eOF1U z=YZ7cWILR{GQk!3Mgfze_O*cVIBr!RF4nMy%xfU-p|0@R#Glr?5qpm5q|=(Jg$XI* zfT|QDNqs}*(Tf__KX#kp7%`!n_M{%l0uu8MCF?ZdD0Tt36nC@;Q%1>kGFwq18IzxQ z#o?B&iF1$bjSzjSC;8QS=E~(557Z*_qBi#omi z`WRSr&K+jWde*8Xp5VY-Bn<&Eo0%^xYD}PDzp}blJbk1 zaAxrNmlMN>Qcwok6Umw7rb^pv&jAYG?}FzjLaw8^`mv$H^-#*2dj*b&{1*zJvryPL*4n{)+Rrj^#5BzlU&$y(kBy?|c=qC+=7 z(Tj@@Fyu&p+Vx%`KFiOEX+?IFHum0pn9`7tv8h2kUoJUP^x(UKC@~N9wBFqXk;NUK z9}Nh*AX(20Ld(saRNa-5BX3(3$}-EWH0qXn>VBQ-ZYPF8Uf8f_5k}}lJqrEQe6dwyS>ZQuOXQ%@#}Zrywhl6 z7&!!rOXMg*u^#F{J0Eis6P})>{=KXGpf39*a?48OdE`di_xeA5iD$kWdrh?8uc6shMDGhf~XmDtN+c_XF~$uM72*Q96jw5l;LHl23y{36n2&I_NNy=OJItChAc#ft}x zbNUbds6@3MVsoxmD);Up>fk&3Ou| z-U7E}&V7hj?Q-V?M4o>o&RPg4zRXz*0VS3>&mf@WGG{{sG*a%oKs$>#}HNiRVqtL3Ag?lK+zDJS@2$Y?DtbrsXcu-z#71Q2z+CPyVY*Ezn zdp+#8?@vjPuOt?BhPL}^*;RkFLupP=uKXlsv-{P-V0vbg05ClO)+bBETb|kGau$@5 z>S#Vt@FN7)?`{zok~vaL*X;qFx#R0rSRD%^AL0f!BiLCV8VH;4O?z$LxE;nRWery; z_&LSB;lfa1JN+JMx0F76gUWuIUURhIeJbiE5Bg@(5b5WwViV|C?3&#+17(dr{HZM2*72Dmx-DE|JEp_o?9kEGE6EKNL%O^hjfI`eSRQh%&7-W*^8~^hXkq7> z{;E9TjZ~!FoeLLa<7SIOaU`1K7BQ+J`bMKt3QKG4&7lc@4v>tb!sG zK0I1$@?Bhjf3{)0QDry$=75Rdp4{4G^7#IPDaZfEp$1VatOy?OCmGVH#S)>Ybf!U& z=ch_%pU=)>#*Ti(Y5fN<`|&0<1TB~HI(E^jzBja6MMCh>^n7!{f7D;)JcM8n1|!58 z%+}?8^I(+f*5%KZ!Eq-b=W|=64pEcgXiNfs2ag0C++^Fy?gJMV3~zhm4D8re(@5z$ zi{4Vwb2iyG$vZ<4bXGBn`7L4QjhP$J`&itg5)9l1y!zfzIx>cKxBW^e^jotNZ_~J| zaXWw;L}~fHiA(cAgLIVJ14}br*zZn1x^uODdH>zUyJHftp~rm=SHVyYDK%SL{8WL0 z`0W$awm9cAQkjZ4YU*9M8@;)|!A2q4kH-B2|MAZ<)|x?`9s2@SXqDrOWisT`#a-=5 z=PxMJ$dy4+cd@4PUKYoTc}oMI-B^{e;N`_b%Ve0u z1#L%n%5ppT+l_ZD9pDTNIt4kxioLG2Z?7;jp4IxTk{D;>n(q#ZIt$yVJj1xg#dY5H zW%XQjM65t3*!JJH?PyOWF9qN}Ul^X7?&MyuQ%Ih7RJw$wTDBeYcGoc_8&c7tM-i!PPy1tPthf2@iKm17|E6c5Za=jU^Wb%IBF#lq~XGgcxXeTW9RDpG1 znq?s~^2!ghZ^}ZV*+PJ3ToJ^MAig-xrt;rQQ$ZOcHGvtr8e_@|>|)#SLy6zj7Q02bl6?j3vdnGlU| zzPLWm?&X&oQ6Jsf_l%S}**`@$9;sFc0aNnCXiAD##ulufg?hBB%7JCbto$aK_!^Xf+Kv;ZHMU^0NXbw%b55;5nOQxLhW;Wp z7Ef)7DV$;2pk*Mr+mDo0|{ga4F@BFg`y5WnYHTUYm zwVu**SK-qea#@h7+K?8upF#Fp+Jam49e_2NCMk(Cx&J#5Hc6;F?>v?@mqt|_&vX_; zqm8|)>*zej3>me^_m4BTNqF8#Xg{vpc#pE?KafD)A9l(2Iw}3BFxlre(8G2>nB-^8 zM3r$lN}d*cbD!l*@_8W}6+1&L)NKS^Y<^SMG;SiOV$I@eSBCA$9-aNw`k^h$yXgg` z)T^R~uXe$X9xqKvT;q!^#Xc*oTQ14%b$+~Qvt{8iFB zyMk(D2;IKienp;sdEg)%#D7eCJi%#v{zNnV5@c_XZ60oR6cc|rG<}~`7$YBd{$==O zyW!Cp=lNA@(G{$m^^*SNY#7I1NwH2X>3q}X_VSZoM9__53a~ZkOl8P3B&aJX#7g1z z=%o7T$C8!OeHf2lbr2|JzUFn*a4`SW&u7ZyYtWw{2fvAgbH9`7j(4=}R_QO2=r4B5 zT?nd?ST;cm8KrxAZfM8($XvrRx@(@bW13JM`s>fc3#!82W64*nrmOTV7y1a;Ubpv; z$=?Bgeo5deqknE>nX&9l|9Uu?kHoQNfTX;_IsPcXK^}C&z;~=MJX#u~Y2H^XLi=K> z5o{Kzx#5THzUf`YYVonbX_ld|Q{1P}@S%X#epaxs5nNgp!7;xPn|___&E(F*k13XS<|#V7kTyx;Z)c)u|p zZW8Y?G1I^H-+}Lz(YvkfP&m?mTJEyHlPx~B#^>O$jEX4zOv;GM3myA0>@-4}gtdXf zo2N;S!kzGhH>S+jC-6ZTUUtGJ_MSx+CQ@b(jwvpwOXE5Lh5RkOI-@_RQ3Ghtb z-@$z;%gWN}1tjjVMt-J3!%3@)CGn!I32ls#eI^aqp5{?iu|c=1Z1br`l}81@TNa?9w76;esM44uAcEds;FVlQcC+@RlI zvaVm06<(yu)6iO0^yB>v(@nZls^C=?0gNh)YT&Ak!p+R1I{M{HJZ!YKF(Cm#>OFzBcbye#_SvwD?)zDiLN>8fbbadE0VFl=(+Ri>oAJmM?{dJ&MXdMUr zbvS}nb0gTG3qcsL;8pj=!WG|;RqdOI#%DNPS5=9N9(N-X2}zRy?Ojwx4)eFb6gZu) zZ)+zZ>bEr|1OGK45@Gg)$N4TOg06HOg{gywVjn_Q8^batO2<`(^7Nm{06LB~hW^lG zJ}g9^zf@RTNzOTPVdCE7ohUP?pW1w_S)I~GRpFsYtG#o|C*CvvTCg;~Y%O~@mLtWz zCZr3vW^QT~ozrIYymu|%n(El@DuQ)Xwu4R6x|doll4vow|o`qVB-g;eTsMUPs$imJ`z%!-jk^og<@|g z-wcjmRXceelJmYJ=)J#8)VA+eX$GO#S)x_0CskIEa+T7{M z-v`^g0OW7+D%DyER}7FU>GO#|*p+V;cz0RyR|Dn_d6+#ptguk*3_irA}BxAYadwQe%3y!NG*+GjL~0^Hp1qbQwBq$+ww zmhx2hJ!yWAbNoi~rWZP?L8;{Wz0}095JX-6`b3qffmLD8D!vdTbmA1IORsca=O&_U z&CQX?a`2rnlX}yoA%p6GdFHN^@Z`G!Ffi1>x>PbdZ%vrd=^Q^FDiH4oFOb|Qb$g7Y zTl*WG|EKEaF20j53-ZAMA-K@1MrrM>$?{JW6}qUZ*riuKsIVtJ>IE1uKWmE{uD<#* zL%b`kJ(?r6(lh=0ZWS}GHcurZsEV{rX|cE}yjX=N$}BMDb2<)%_ii=*Wa4bPSZMKx zu}Z1NsqLyoYHkra=ZHg;;VIPt$iZ_~x}{2BC1_RW#_S~)!T*ilt&oZPII6^$v<*Ne zOb3HeQm3RYD}A(G=uuQnC`++odI}kZm zM`3~e|6+_UNSh8wPwmceTsA&L=@hxxW4s^nbLdEXNZ;*9eMoQkqdsW9dfons%w^*p z?b<(qok81wU$8hd9m|*q4ReY#*LQ0;9Yw^*L4T_N@=wH6B%aXu9mF180m08W0VhUJ zzFOS|K!Y|9z=~GJRSH+)O#}$^v39UAl`9W+^Gd*>iWPtEym3e zylQf@_5H?_{tn9|vhdfDt*)w(k=Sq1~4ov>`=IRJE%eWT$-ot{L+)64e(_Xli@*#=4qKlZzkzY z>_xHuhElJrh>nc)s>W~xxTF=C8xlH=PJ+59lGX@PR|m1$6d7M+=%pNzC@-rU2Y}!6 zMPAF6tVRvCF_^@W{EjHXua8`aSJl4x3g?wQ1lTAQr93Q?e_>eqb4SX4r*f~M^b=TC z=C}o#?l=sO2X(m#C(s%>GNKg`gYi;R)+`t)6#>OK*-QtYJ4&m2d#@C^ZDYA_S(Cq0 zC=?Bt83*;Oygo2xt#bt#;GZjuqK}G$8omYwrVLSIiEgerX>{Kbk|&aD<0N#_(l0sHrpJ$9 zWaueMl##S+g;56611Rwuh40tCYW=#c)=}Sm!>jrr%J7fqI zzDW@HOoa>S&$+Ez@wC@gD22#*<_s@#4EB+P4=Yg{BOS5k0*J z*YKStSXs9?vsA=1>-z5=TR1GwrH-fz6(h8AT4G~z|s;3XH_a~w`C*U ztI->61ozejH;BzL&gVm~%-&WFXxIym665e$R|ShuH8OY@#Y#Fk{pqXiv3@B4=YeQL zdU67vcN@NOebPP-A-+>1s72uXRTW(jOG#`kc zcQLvV&NEqtAlX@G@+Ms3b_J&~As-^o@2YaMGrv4Oy*`#>M^Ixue|#oLA~ad?g_y)- zTu9wg4qiR$2R1GcQ9f+4%XL@!x?pjnI$E7Hk98X6A&0MRWwD@Hp>Cmiz*Mo!yId~C zWeQS!{mi?LSI03`FH zN~aQv`MN^o?~O}k%gow>RGgekgB(O@&_U!&JTD&+IvP3Mw~QPbI{PE{h9C#uA;rbr zGcOf6Zi6lo$1^+6P0o17^L#@t(hc-@M=QTsGjq6@!nA{^S`uO^VPHM>A~mPrVZG@) z>;#x)ORA0XObb#|d8i@4lq%4PccfQvSNeXuEvV3R)hyA=2LdQA&Y!s>kovmDzOdpn zUOUFsZjQFm+S6xjuzn+b@u9W9y*EKjE4tKrQ6WuAi|1G7h}?HUL0Fxq=+kEiq>vIi zdpM{o$f1KGwzkJyevl&3tuK^aaq%CN3Qj#u)j`k2&HL4Z4Vqk zYky7{KX)eI+H*7`amR+f;`=Dyi^>j>Up(K`M|XWDXSYieF6B%6oc0eAci`P~`rT$e znGU(HNwHOBREW<0D`|i+Yi+C4$VyziIJ7AX$T!GX>2?HAEw>}9_s!3AHUs7DN^cIsI*wK zh0I(DX|XS%$etJsGkh*m_KH@?Hi*d>S9?z|L(nLWeHx6Gg#` z76Ii}X7R3-{--DV(Q?vy3ZIWZ>2< z`fZbHr*mP^(Xk=7K269Oq5Y1*v#7U5a~7=Lg0Q!*} zk5qr)%5l36;ZZot*x5iq32}uhl%oAA@qsmWcqawf!gVUC|GR2g<`hl(^sy_ns=rdg z#n!mzdEdFe9=x8iH$tx;2IL;RepwV%J8uJf?{di1XW8D&;@6p~ir1|(iN1>^`ug}< zXO#g`?z+&uQeO?R8B+ArPp#t~5y4sh7p5(g@cs$ZWn%=b&4&Z21jEmmn ze4|z0ciZNj=j@MM6?zgCuM}o7tiEv7szF~jLJrb0WkDk4XK}Qqi;S>PAzkK<$ATs* z2lx7u7eT4#DAh=PFiY(y-iCRPEa-shC5WX9r7jH0E^Rh(a!@xH z_wQUyWgh$J*df;%*B}=`Hd%pP#=UIqfqwVr@@t!79gIm=Bt~ne&V@;b<>axRFnMCx zk^)gx)(fNFC5cfFT3hN{jf+SgyYO2M%bCl?y={1FSl#=u2-ghWXM~Q-1CGW!i*jGI zM!CCUPEnn==e?Y9VJ61fGUMqb1X;r1BvJwLu<19>ingX(- zg)<3CyX(UBJMiHrQNJ{e(7)w9ws+OXn}r$CBo>ZKed!~wZ@ev=r;}@YK;rlFJcs2v zSyzdPS!vwwTBio8GYr!;bXyd)a2K9=jJrAFihDvrIq(e5HM*pbcBhF;(RYjOQ*>2d zC&w-;(ubWqa*|qJL#V`@N4eSd9wwGytx;|lpWvEa27n+l`oI7--G=JMuz43$Tu<{T z4#%kL%OsO7L~bE)@e3P_dODB?kR-iy5J@TxZqpY@W&J72{U0F_zRzQ$@HpAE-MWf-^pP{tQTpCe;zao5OQK zKZq^c>(F+^C>$j@ky1*JRH8n{H4Gx=+@;_yA(;>uH5-rr*eY|9nq9L&f-{t-ohvH> zNUiR^*@X}oRe%>oh2-NJJ`cDWRq{ekH+1#oilV}T9UGu8a&rS`<7A{sgFqxFrF3RO z3k=JKdg=FGasqr=b=gf)1+(E2AeGzZt2YBNm5K5WSD!T+U7-o!wimi{&V8&g3bsyD zV4|gOB?fEBm$>(iyPqfH4xg894Ql@SM*=D@%~sFj zOM4xE8VgG3II6f3b$iWvLKK*lymMr~Z=o>+^zZsJ4b%v~)Y8~x8$pSe^|lSveG{pr z^!h}+&vI^}?{A)XnFB^c1XJS^O+Xv>+V#k z-k)y7X#7(BLDwi*=^6nMV-I1;I(eS34&j}6`0odK5)txg8ozmUdF+s-6Ac0-Y_N(@ z`vw8OvS?vvf}-d1P-2)Fp8Qg@^Jl7bYksJ2vIoxYwqZ|xm03ej!PpT&HYM8K{>kN< zqzf%n;rTOGeZKq7s`*|ly`4v#&UNn%WP}>cu@?8T3MszT#xU zKt3m!?H2nRz&Px1hQ#jJgg{~zVAd&HL}Ir_xsGUBb_hGmtarso)SGq>xdQ{xIn!`! z@Nfn@M1fc~qwO-uUT~3fbz7^FTADzLB$Sfgf}Xfgl2Te_?sUjif>Qyoa8?aJQ)}LV zU*ha{HHj>b#xER5Te3AmUkxjYhW|BG#x<(sieb-Zhn5#JXsK@#Nek4a19tUJF!OkP z>6f7ma4P>Rh!);=&K`YLklFB7s-9kHJSEVU1)O0hRNC=9YCH+hEQHy#)~fGk*-i<| zADo|N%zLldsKU06dPQJHw}Cj!J&YE1AqX==6eQHHiZI_N!NuR$qA1i3az+6huadi# zg-P}6w<0j#*_&gI4#Y9Xd)~ZO@?N4oMoXY6Do>(GfFLZk`xegYX+Qetd8#`j57%P) zl9nPQblW62DdHscqb}}k^DXjTw{lt#&d&MZqIxL;8BKjU`;*iy2R z7=WDnNFlDJ`6*dCN)}^MQ-CvOCephLJ2L5Su`Ef`qVe$*a!fP&>&a2iWB+ z&D15c4$|@giO_;P_En#<3bs@|>FpTonN18n+;9?{2Y6?kJ&xo|oxv7zzFTriRU2=| z$A;^%)Tz^Fz%k_|%4b+IKLnQF;NE>7XiVgMuH7jy|EwSVTZqZp4n8=kB*>nd7G|r5 z>>$^AKGE)wEf06JC6cz`Ve2(&Zdxk6l=-MhQPu#PhBEXLh7RFHvhXDjbH3G z?qR8Tm~{1xNY$5VVyp!?eu;r2ezmy6?j{q2IU4grjdbtNFWMl}*4j37MfQe^)xC7- z5ayhsUcQ|tv9POLHEKD8+4c5GL}MhzjT$j)T-{CtxcYudRYE=FNsVe_cbj1_yEoFN zA-_N5L_<^4+-Q6VI`ksiQ$Yj$7)N(7(&!E_7{L5~Wp5YqH zhA!u30T-1Y0=j6Ep23=(4q-8ny7wJ)b%+CqVnr4})&(Xn!KsOwr0o=z^e%hN&U^V~ zegl|A5w7E>5i^g@*#Oc&>>duP{I+FMoYccA#)grSK3lv>|x9^%)BoQH>f8$m zCw0oMx!o3qJzXOXqR%Vl)k9{qTl}WIYNAQH2OJi1@`$p|itygVX=c!E^hb{*6HBE? zI~4Zfb6oqYAi2poNi4^#L16HJ1bEUcG+4oR9f=SoyE4gp*G`?1?oAP_aiREi{D8zV zV8a_+N?FlH_FzU;ag1&2~@vT)D($O4my8rgtnz~}Q#dRV%r8mOb{(zuTIIMAfu zC6`{G2@{lHI_!5nm2^fFRccMWl&M9txvwbapt`{n=+yHs16El^tuznQV@n1`XbU;O zI#h~rb+DRJQfWr{S*qI>s)BUy@#{7wJs&Q@0WGN{mnO8df&xu-ZfM$xf*jN*DOy;k z5+cVGFaTziegicVPO&MsMpq(^tX(ug2e5HUCUh++LH6qyL+@;DBAD6DSM;3IK)H|n zQvFd+x}plPfJf*@U*$pG9Db5IWd|%T^hATcijInFu80ev^a~>uf`@Yq{rEwi@bbQ- z(l36^`gP)Yk*6RbIZ6G6H$sP{_MN_vOIFH)e&jvoo%Se6qlgYkHwh)B z`7e=ivoD48RhRa#Unw+`gU5w7H7qCIBBg<}K*`x1`8;iHUb^y;HNDXbQPK5jw~~n$ z=JfQcFLgV~dGzi+S0gc>Ee_h&EyD`QGkz3_(MvmA);Q;V$cHDmmM6BbtfeTEB1Y8+i5#>HB6hv5 zC`fMXZ!;_EFxLv`X8T5%S7SVPw$_ju`;>WO?}%STHSAEu2P$rNKJfk>(Ac1m-T2;% zV%(E%=~x!{_52Qp>XXEbA7{PFUF9iu@cR=5N?LivNuuWR;s1LqMf%|3d20tt(J6=C z(TGv%V{VhbEY_#|t#a<9*SQqWsV9o>g2ZY5IGw;Dw?)C%4cWHrc%S_x_QmgxygbuT*mOh7uAj$QD z&ak$_*^1$HdBn`wJxW>1-)`&=ca49Z_$*l$ZRn!t%FfP`bzPe@6*ay2#`NZ>>6jbS zA+OcemA{3`Sysu0vChpl7A6oh{yf4$RiSqO!{h~A%=_3B7L;6l;X-QrJL~HEgNKS* zy?#{RPkFxb&r*n3O2w79Xr*P)JQj}O(~3xyW`)|gOPeEodld5QYiJ?ox7JB*o(D*R=U}rg3(eZ4EJA;k2cER z8n2mn#8@2_C3<`3`cdCS<_!{cZCem^%*G+?@z*;-4@eu$KflT8jOgva=Tb-l*tvRJ z(y@y7vzTt%nk{w9jk7O!8DSNEB>R!kaIRQgz_3j$wdmLN@f%I~fg{o6wCEX;GRvd$ z=aY<{%{pG~bndKYF^cWw^E zTRBP<-6G;Cag{D5>ct^Gee4M5tXm(naMP*Q(K{VSTYE;w%-39bJ}%i+B@>g_Kr8(b z(7TgbbW`MFu43cUq1h7c$P;Xv3nDnS-}mR+cXem#KIJYXQk5#VJZJ7vpcK%{^iXgA zWbWzs%Vx_D)>MD|^C8W=5uQ4GE+q3*b>`4uv`+su;x;mx;-WI&L?vAnO26fJ_q%Cc z=B=5&9+fej-sf=(yfAjxz7TCC%^+G<1a@u=l{I-&VK07PC*z^Q-T`82m%+4!PoA)` zvCo5@zbWSVzqn)GKdiT@l6&^K%DZLP7MmH6`kZ&_1Xotd8K4zC8^L&RSF zD8-ss{X;<#+L338E^X!P=By`ULw|YvdnwSgP0}hf!Vae9Oj1czw+#$JGDG7-BF;#Z zRADi65A;W=SH80I?@-QMCf*_PR-DqXsb~~t&jQb)sl(1ZoGZ(F$0uz zw&7;2PVTdfO1|$cpXr^&hjwSWNk$Pur@r37X>$qfruu#tW&Qdtn1|N1ms0a?W_WSz z%!@7yVqIlfaRkQjmShT#`q7q#y_{=i-&06+iTN;>_IRE9c3S>@oX4h7sreICqt-?r z%KYu5y(XgR^Jg+&)hnl-|I^wA6{SejyOnWc;p*v~N9C|PvhPzr%dORFf1qy2$@yTB zMl22z62-V%>!WI~XSf$6w?1>Rv_WAo)~Jaqj6>vXy+Q%Y`E0`VjF)Ma=0)K z0f#6NY%jvac;szSgt&wA`tEvBi8tIu@Tf2gv^3mpaCvrgN=3KF0Ur^qcIA5?=JY}i zN3|ihYdEd1MVl4~njDPZNSdHaRF@Up$I+TS*ssjVtp~|y-;u4b zkSpl)J&tUJ#sG!&QC4a6bf~wWQVnCQR|b>x+MMF4@3m;!9(Hk0mk*|#OO_|L?HWQB zWVLrs`e0PiK}sE3eBwteF60oguYc+t^zx)GI2%!yqK7?SY?C0X}`vSE-|CbxYowq%HAAo3+|?w&si_$fL&k(XQ!Z$I?2&>yqS|M`tqp?dTCg z={OoKAE%=gQR!$?eh7{h&UcDA^%}XMXKq%E(cfpIyZp515z}A<31)ti%wJ#NjSmRP zW_C;h-LuUA7POV<@z#aFK+z-Ok{RAyH~0ee@nd~^Mr%C3ZpguY?kjp^7-u&{ z!)kaWpd`z8!gco#;_D8&IGVGM4(>SzKl2sg_J=>U(y+v16IZo=L3|v01d=mYmynmCU3wh+sB>Fi*#+Iz=X7{ z;I}h~F=>@Nvf&W)kvDVT&1N@{H%Gu+6;2n-i{f%FB6ICKYhEPs&q27n=EWnfPHu~c z2N>j&0gB7s=g1VdPkSw5D*NuYRGFco4ia0p_ZS7)As+Wb=7yR>_si=UULtVjyLe?> z7@g*CPii+LmbBZXGKPKD!wGhBoBde>`bo0;!Me|Bj*V5wL}hrz$rp59kyhIXZwa7b zXYN`e{tySZy&(s=ZEg9JS~&x?8Uz3OCY6OY0~T;k!n}B7-cIMIaZ0)1NTK?gcj$8 zW_HK3Ue-$S2QNw9)iq#-1nwr^V^Kd6AZ8$KVxz+td%_ zyTn@!BQ$L7J0r8X$D;O4HnSN*CnGq#uNz5O^3@aWXWet}PWiph#YMQxCEh89=TxNe z@Oy{9eQ#yxQO50eFn!V6H}b>j6Dy}KN*?@q&QPgHk=5F&oD+HIRqW0FM1Dv}RreK1) zqB%2c{n|$rsdQGWNBl)urWOs;*&kK#Z$T?Y0}EJEn{4JcWwfZi&F({X9^oPzI~7gg z4Yqi;Vu>gNon1LI)+b}^1dLE%Ieo9p<^SCKukvP$J z-n{11mVYO;{(u+8{q4iKC?9azK5O%$l3Zy7_x+-~+IHZNmkA<9tG+%caq(nTF)DKv zcKuAl%N)7w2_O3jonHxLvesh5&la|d0TP@9L}=gD*CLTGLIVyt!)BV0U?#?dK$N&> zk2uVEzv(TewEjrB|GB`P>8I)YL3HB|ru~k+Q#=u?Ga9c}Y8^kWQqbx774g0B>_^=G zFN9Z!N7jqw%(yL|8=UkzZ2~#*y$h*#iX~5n?^ncJEZDCDz&1OXh*~yD%}V`19rX+>##;a4 zn0Seehm-iThLzvJM#EZ(1T9p0@#q{qDS0B zdpsbdEDSxGoPEC**W}C{6#PVPyD&Vz)7`~}xN9}MpwUgqt=^lH$a50%NSvyBqp5Rn z#FIr}Dant13`&9lwpMf-`nfZ}_u#ZLL=$Fe+YO>D1pxQS(Nv6Xu3P2(yog2?z_5dX z*W!MxN`hV@SSyUx{lcuOm@AHia0ts2XMA@htQR)E9Ni^}wv<_Ba0($t+}Z>Hj#no| zrLvmmBVV9Hr zCPQEDD0;^wiW1D8*PaeZnvfm`>Q;NYV=(mYA>b<}qaRTcFA$0%V_WQ2);23{(29Zk zv17hF%A7`VVjlgKFhhKaz3mwk-6isvEBYT%35^Y)VJdb%DPG!_jx1iqX7)kzoZd(0 zL)fX>rr-feb;5ppL~f~{CW$L*M1*<4)rgL1|MrYXDD4hq64vVoCvS!!QeiFxqr*!6 zajh!$9bR!3plCI6u+Qn-gYf1vwzyZ@!BR2p}bRh7BkcUE*yD)iJ zU|#jZn;9$*EUfjb?iN|rg{{{u$QumYNBYj{yKKERWbpQ)EbA0vDEAgW(cez_U~j4@XQox4z=x~=+J5YPw=s~ z=(fqg-T>Xch;O#4J5Y1%;K+tT?1mqA02&f@xQS@JS^AFPJzi4X5TRlCBtI2h*@F%u zRs}ABaXcfUpNgc*$yU|~n|vX(N8V5tg7kjvLs@p-p7MA z6Mb48p_Vk)sX)F#%DWGb~TIz{jkMgFQUVBt~x z7%*N4S3;trJMyOBv}Y_0oG@S?KRn2*uo7ZcYs^Y@HV7>tE88QQKqU7q?F4URMBjtnX<516XodD#ZQo zpNIJ=%rAYI-v=PS>rWNAg2f>G-8H~zsv$1Vt9C)`Zvy%{AGZrghiUNP%6Szq<+R5Z zqHgh%7Aj#zz~-$PW$#*%!ycZM?2FZ_Q)`J6@cq5m@=P4~EBC7-7s6}C-=(&#{D3Me zT5`X+%lZbsJMiewf^GKoW0V;SKT1OZ;HlYCdtu4F=C0bDcfY7sEkm1ousg0^*2FV5 z&ZmRn{3#ig82N0-2LUFH9cprj;gq%ArV+s{|9_j8;?JDRAoGnsqF^C)fXp(_uAI{k z;zLyL&pG~XjVPM!$)nGH=Qx@x_@PS1fF(r8c$Yr{_}SkA@RPRGR zD;LoNS1AE;%uglt{FPPz>g(lfLy$>hdxe5IE6jGm3E`jNS76nHEuk>#4WHoMG2wOL zi*@|%4lbqs*r;U|5V;*O!RKhiKHbY(rksAw8X%Z_VG0T4QPPx@qM(gWjEEHg%DOuc z1~(uHaab=A;2`*S!ipQjxO5EGe3gv-FxThx8QH;D9Ie4z?`16nnZZ?qxzed?qg><^ zu(J9F^S+4V2O)}%=K**;i#&)#)UFRJ`2)fYwN`ShWMK@zfd!w<6q$?h6? zi&7U2Goh>p$fQ4W_>+btiI``}r*=NqT+LCOX4>@KNA&XU>kHEQbN?MO_F|$~=RNUL zPBU5%#~i{f6@ec}|8xQaXsPfq7Yo0niE(ET!)zDF{q4rmt!-i)_~%s=VZqOSc?M#a z4QTznzxw=H*4{pAdJ6G%7f!aCdb7SQTL~&fmAc?-)&xBpOXWw&-0LNT58RS5U4V_3 z-FN@Qyp(xY`Qw^}-dxCOYUVYe#0LToyLs)e!lfz2wRQj82+oN7_AlBP39zK)dHxXB zXRjlMALGYQQS;ui{G3Kc$;>=n-tRGlznZm{Oq>R;7Z|VS=dK8AEvT z6tD#1Tt@Lq;(CS5iD{ne`{*g#gZabN|c@Fo<8wcPD*A8V9HfBPsamErA^Md5WV<$|?kEboG!4pa4t z1$b7-avZqsH8m6elBXzW#Pl77V<9)YiOcQApqbr|rT8bN0tp$VpLV-{(^q zUFe+`3!JGh9#Ma6i9|Mv#C3)BpbJhlu2<0STU){^pG#5bk*9uxybDc!Spgr(2|5|w zRUcK~u9JO}m{7bp;wb}+fa!G2qR;eR^IYq&-(?JvW11`HV8$eWgfs%~QyfxODAr7r>uV=o7;`Z4a#P;6bL2%xJS_eH5uT*;e#K!kE01=*pwklCHCaTCP1 zM(DrQv7Il1I2KeOYCl-fcb?7~lnhcobaF)Yv)|Zeuc>v@O%pGTu3Pkt+9*3Lzud%} zHb+hAEWf$sw_;P{Wc(0y zD~JLqxQ_vA!EekXnUPuUSwSte#{YVIcWs=e(mXbEnhV>aQdf^6yf&}MkjR)Wr5IWKfO+xl7F#Gw~B3I=KyyR0p2;8p{#`D1Ii!> zZAgztEuTob37&6c;Ip$hvZ$DL~V*peSpXC8=&hi zHUIY_dsjJks5}2EjQkI19}=WH5Cs4g5mp;T!|dv|I*EkMok59CR?71Sw4&*$8~H*| zY0_v1UkEZj@^tQ)A+6tKL$D#Do`k>Ov=ZA}bZ=wqs*1;@R!Ap=?`>J@{{DGXKP|UL z{*)Lni&9_~|Hf3GlE=_@y~k)Xll$rjOJr@yUd4XDU5^lD;8fbK zk2+I-1bGw7k=H$ghC-0V@YAGF^Qz*(kpDsuS>hmhWarW%mcZah*hiL>l@?j)O>)n1mr z?RT89m)MRO|1Q+TKx)bdGWFi#U|DT3$eHK2USNlbS3|V(y!Rf>PY57;BV^n1<4OL@j$%FDo`X4SxPy-JjGDt6n&Q$Bj&r>5e3kTV@w zh~g*N`M3xje>`M>Rt&wS%}5^k_{qv1t<|caU*2ZNAsQGwGuxuo?t34JoR27>it>*YysM_a_HU&njyu^a&ESW&6+>1%) zHuix;#WpW6L$XsOk{%t79UzNK|CjH?21lM(E@H^6EPk-S((iC;r_I(Ct^r7gC^if^ z+VI0WNV=6}Q(NI^Ls5lXxUy2;-7=Ig{E!(qF{cYhwITTM5ZW&We8=?zmxO=*%3N=5 z1e_-pMU~5aqi%f?WqpwIJ0!FUr+vqI)clc;Kf*#HHgX^M+mRl9C-GTj9XZ6as(4F_ zToE=EnNad^tpcK#eOx1#>)=c4ulzKjl%uiUA?B#kKYftesasZzIn6Inm1YP1Xc(1o zk9`;nC<{!e3fG5%t^t9<<~-^sX*Me_XDEVE3TEahtua zp}nT6A^FSR`q{tRC<}SNsKBkItdLAWoiUlzv}~tbRBN-kPoZU!kSZkXU*%+n8sk!1 zy=AYFeY_d@z&EtkUx7Sv>NY=hp$!sGW${fS_9yp)+WFi61Hb&Dqv06l&=c6qt=dT9 zObq)7BTOYEsYGKO!lquwSrb;+)7qLxHU&<3bc>qtyWqtg*q!Qp#fV(WI6Hq6q(!Jo zjOg&Uae3y=9b7G5F8B3qHQwi`WJDdgjHZ2`XyGgIy}x|6F{{xKb9C7AA%aRiB{_)| z!0-7wS%i%P579{l1cPXl`Buz?gpq<|h%BNGfN|@bRW4e$!pIRpkHqKrhXNTqMkNGi z_K*E{Rumhvh_lnZ2ua&M7KLy$a7XzAh`a`v$vzGqG9@cuxsrBeiN_!w@?;%m+AI;N zq6C9{d|gxi4qrDyl5YLQOFtu~qCmlsT2w{Vw&*_lc6)$wCGhQWkR|lyELWm=V0x2j ziv=TM4=7C~47Da_6hJDOhhq?2w?n- zqyOCrJ`_YJ2eTEwyP07%$U$Z{!W#hV-ht437of>h2E@4-pQr>lUyFcx#njHST^I~Q z3j~F@H~SP;9`frCZe=cz@~VT~@arps?;}%MhcDbG&ruL`!A0P>BmQ=muh5+9ZN=UA zm;CQ1(cf-w%qoWHqUP~c#Sh-B!h$3be;6t*L$>uX&5yZI=OW)mMH!~_^}oHetl@Y( z>SLVq%56#39tZ25QlMt6Oc_%kKQ; zR=j@!31MO56u$1=u6P6=j@?&DC1vgYF%pV6^q{Q%cK&`W`ZwM@%AXd)@H<0 z5aD@q!x*Ua>HN1twoaL_gGBah!HTF;_oePsRWbGH3Q-~YxlZih=b+Xj1;GF+L}x@g zVd&Y-FRZ0_!r^W?tD77TnyHXg#Njd%M8yrs6}g^ox)d7?6&BPOdVCv_VV*kC#kWp9 znuy@+eSR)7z)vo}Qy%nvXXqmWS5np>T^V*aWX7lj(H|B{-5|CL?1FYk1PeuULJiy( zsq^}H1Cb!{^@AxN{KbnUMM2=3g4j&Re~pPi0!(ZvA%Wi0>M=UC?P|S0Gplo_`wB_3 z-n#3w`=ZS9QaR(seKnvh4WjlaUN{6YBoRhb2x{RKgNb!=E{a3Fzh3dv;QSwy@oZ7n zfp2^FGCsfV8IqK!l>#6wtkbH_4%#jc;?l$e~!r1-O6 zK7daM#H5hQ51*&h)uFy3rp$eTstg(oLh+{&w;92-j$U!hQaRVz6v*gP zf7V{_?dw5X4-_Pw|CFz}mvm$!uf0VT!o=&#R245Y>ySjJQAYwwuTCJ8$z@N4D0+#qQV99im|8;}6 zUrQk$Ysf>)bi<2+(}+mVdcV)U-iH+z3fh*RRwrsu_$Lev;b)B^gr+-WvC}<9TneDT zD}JzoWo2uFO0l7Il?{k^wXLi}%}n-*C!%6?ciU6}C>QhVENg9$EWusfkhf+Ow6e>u zjG3X{6T9G(0tecUtsmOgCZD25>cR_<0+9P*!3WBK{aHfBhY^&rP*VjvpA9Hdnuj~_%KAsOM? z|NJs(#f&&Kub} zc{g#)`(j}dXo5O}i)Vl%b>!duQh|i*tj?53vKT1v0sy*n;M5X(cO{{8mFu2F2R-fW zf7{9W6Lg>vAbatFcOb+iYa`{W{@Y;)hjcbY;Mk1$GPSt|4EnptT-=zq8R%1}n6cYVei0^|XByV2jW6AWIR!lOMzXYP^*U*DxxI86|!c&?G zh20S~W-Ulfhl4Xq2a3{GYlOP;;gJwK6<3{E61#+i(povKLX-q@8hN}caG1tt2iI1x z)p`nD!n32hQbeg?xM8#;v~tMjB%K9_XCu8IS%ioeV5+QR6R{Q9EnwF2cPc^M!#D0f zERFJ;j6;x7C%L>a4#^}Ts(t-ne-h;I>RK?YL^MQ_4qh1|;b*v$x#Tn~;w*t6EjoyZ z)?$yfESyG4ak9z(H6|pzTNM^_64iKTd4>M=N)HW*Di3v_hTAi8jl#%dKATd9ecO-_pY!d-YNlq1PJ-t82OlA7n#AmBU&$I~pp1kb=|}PMl~# zGFG#?wKH!3tWKx_pMtnC_SNf?gh_91M7!_QEN6s;K917d)ks zgTWKW^Tz?T1fQ+dUx}w62nA0;F%ne64fkh}WH#{H|CPli2Z;#lCA2SYz)a3_{gN3v z7l?}&3s@0ah(5UCKGd^em?(ne2_5KbaW-Y5JNrBj1BE>M`z+s&E0}tI2ohXdK%i{@ zf3|V<0VpbeB$5k0S{XvTT1%TqU4-sG2??^%y%wEutXVgO@l30n8hm95 zM76~~wt*8t73`Rn8-A+1JW{EElobp5PdMcf*R$dYdi3;stG|7QjOs=nw!>|JGQ&6s z-A(oKkf;jJ;X#$dwrz*g96Dr?!sy!I41T@rWDaF@kCPEKI=*?oNiWQTwIHp*K21Ao z&fdXpfr~>wThp)=|1`?9qJ61~1*8erMsq>xd8m?=+Ofk#LfL>E^nNJ(&CoCP$ZupI zN~7Ktkd&S)xe+H=%^6wC-D2lPslSvejtmEEvRmv%&6A}^^y8n zAKp&wL6k^twFfkFnBOm>Pf!L44DWAI6kdKuAFQaNx8M=AkUEcWq*O&jUVYJ152Nw^ zIiX2HDL)kCHNCkG*GX(Qp=M#bSvwMGU|oO`3hmAw-6@BUZj6;2?~xh=EDL%YOw@LM4o z%*oKpG}FV7z=$HPSP~#}&)ZeR`QFIza)FDZ^49G+uIC3mXE(U!Jqr`e$#oHswu?R_ zlaoJG;W9r*?n)pPKo*o?OK7Q|8a@QV-5B8&Uw9zO{;ow-4WftbhFv}K+v`EAuHWi5 zHdX(}yuIewW7gS?pmr|#v)Dd**D|~!p(BBKuJ)1HVWM6FU50LO9V)6>G`XKK{>%ck zO$#yyM`TNND(>jfb(6pULWqdCseq)TjI!c|t(JpIz04#?h|*r1N1(-RCttKvSCwSs zLprk3&CwTT%9ckqYxu?w?TT?Bt@Z!tYm(kq0}+nH8nj|LXN#0zM`g^Geu3xbrc zVM)i8)XmzpfdzB zl}z`6+NFQaDxK;dBMp%4ZvY)Y$cfA2mjK5mTUT=$6M{%;t4?yozxZu-ANNTsN&d*_ z(>*;gSuazM_^90C)#$E?KkS*M{DZ*}!v>~Wz%s~_yg;P!XU`+bWDc4~8=8Wkc*mZ1 z1fi9{Np1CK^`Uf@ZlmJ@l6Rliz$riYk{%1>KGf#CtBP%O2+8#Qz~}4KLb4rg6eL%d zqmb*D+WJfj$r2I4IDJi>HH7Ew|AptyEByW%w}bOe4BC&^(8yF+GU6e_7Y2bv$=~7k zg{0eXk^Z~+JpgZni!lHs z9&yqze&g>C_ip6eDu2VF|9kWangE`0MD^r|g>lwMo$xg^vZj2C#}#M{t-RCRB`ZyP z^tb&C^j&1wK#z$rViMB%sf_0(ojU>N?{6H7wkf)4<>a|wHYO~MRHYHGs4+R+6<1q# z_L>@Rm%yl{dCkk6QULOyP!ZcNKM^_`wV<>!xc(tEogP z3QY`q=is0W?_ZTV9$xY07bD-5-$ogGA>$8b)IGi!YYL2ZtQb!2^}r+Kr`tmMjs2y9 z6~V7$-l0YEp$%BQ*N_-_pF@EvcC7?L*7;-Wiwn38t1cPbiF1JMs|4@@CA%}z`A!e4Co}dEW2v&z4(8S<#$rsWpmHUEcYix0nlvii~%S? zg!*6=7c1Zw=Bu~9$13TbKLiLRB#ob63QP+~#KpV&5x%fJip-)?+!O<45m( zAcpPGL?rfS`rB5}j?9w_Fg@Khn~&XLhZGczA3+bO9;7KaZro-1_f*;j0F^&KS%H7>T8LK^G=_7BU2GM)7){29-NL#2Gsgx_I#phM4 zUC;2YG1D9iQZGU}K&bmB+boC&2iP?*ujKY$trDI_FNseFM^@1xcY zsK4wAsq|;1KCqb=hS<^zky%rt7{3(U3wkYtnFcjzY(^Rt3_d6D>rbO&IhWt&Zwnfn zdlmL2GGEeBAK*Rvg`=xta`vxbk|9d-P1wWHu!$&m;uuHsf) zTZfg?2FnK!h+P$L!QB94{+~NmDjyu#P=+-Pbq2QeP-C3-bzs1(x4!5Sq7*OE)yiR~V;NQqL#-IbY9SU5kmtD9*l&$(a>uUCyB?q@kb*o~DyhLZd;1iF6os#K`6cJ!~6{*)P6 zJwnU!!d1YCEVc9t0G^1~^Zr#wL#S`sQ?7L@|1QQE$!_8fx{gi79kk;&4)gBt4N#9Z zRCE)Lzhw3J+dMZwl?s1KZ1INxW>R;-0rc&tr`UM4W2Jfb5e+%XRC>4piCY8ue&bYaXWv980q=1lfWSJtE|BwjlI&{R_rJ*O#HY8SX!YDePDv2sg2kq z_Q8KPDVzyyfVJ54L1@wL8j|Sw;X9550iOG(nd`CTAzOF*gi8y#WklBh{_W3_9}HYF zC}D9_(wjXz$sFD*WkJu1^)!@gal2Pvwoi=>yo?j26a zdP{z{KUBQsfqhGaSIHqNz7imh)A~GmegFT8AkMTLz)q>CeyV<@sg)v<2 z3vnog4jpn?@-D?=sVz`Zfls0I(<%N;ZJ&9}YPLICzEaaihsXYfnJg(|K~q0x;0$Y_ z<|VL(;UZlarK0yf%pw7^_#OK61ZG*(V=WZv20^cU>MJPmi#~*4HWMtDN7og>fFVn= z;r(tk`mnVsojdwMjoX$-WwO3{g1B`LY!ym5wMSk4yub2L$K@t?1W{#;2XK4jWv~WZ z-MWWP%0<$Dtay`aLC*g`*i89j)ihE!hC+Gk?upg#C8!FV-elvd6`9-*23mUQKU#WY z6qug$##OhJrq%H!D$nkG)yp7HOD-Thldpd!mE7M6X5RPEElA)4Wzr6q7=_H#MG|ub ziIvW1J({h~23Y05snwY=6o62LL#s0@6g+{MHCO3{i``y`vB`g}&Yav$hPvX*RhKGx zmjZXN<3B2WRPs5rtJ3}>A2eUg3i+ViM$x=52CT3F>hRnvfom3QikidToEZZ#(#zc- zG(m+9DK~F}X@mcpHf^sM^rQ4pCXXTRfw^o7fJytI2{ck6*A0-^M&~W$xFp;I=-Uww zFb5jlYoxGiumXqtxm-uq)I2ycbeUoQnF6L=wKR?VTtFIts^$>hQ}ufk`5EK{g4u}y zjqPVq$e*o2;%%~@9FJ&O4z^TvI{87%#wAVCgMTl~FBsyiAdTo4!Ha$y+_dt!9Ry)p zK)h0U^3I9dS;gaRf{vIonxwiv(<^RgcohJPis>>UMz+tT02Xp@cXIC{v;cZZgUcc3 z0ixQPrpCLX8HW_n2(z{GdUdg>voTOM8AckGC!mNFT%QI86rp;xJ`K)4f>9$mp!a^h z(-|(YMN$OllAnsGyi!#>w|Dh`A~ezbbP{g@RTZfDY2BIIyOID9U%EVpR>;1mE{X7Y zD+5RYW{M8AVmnKq(V$KfJ_5CPixOP_cl9Hx`~-X$1Wy}5Vx8!_V|qSTK0YIY%ec5B ztqUge$L4d=MB+mK-FMK_x-ckpA=diUj*kA&E#H%G9_3}leJL;8jGe66HuX}YcS)lu zzmM1Rc1A}1zF@A~%mrc7*6Gd&VeCkm#KV+B@k=}6C^Hwfn6~c!ZXQSJ>~Yq;x;+6E zndDzIGijB+FlBE~vL$r%`?caLeC3|BEYpfQtIG&7E{Cc1=;V(62~)2MXFKDh`ZYD3 za}0PxTbezoEw!URtAOp@tMRvRQ&fA|LM)Ng)%)ZqZ>aY%YVE9Q=dI1U+_I1{fqaZ4 zqhKnLYR~?4>SnIblEE;_5Z)_k`N`W%@kt9O4qJXv-mFd%9xB7bUwz)OlHxB0{!SSQ zSkvP_6E9-gdYn;kI*Ho1ZY@KtZF;9&M;FokqP>8g12>O^Zhu926ftA4DUXDL@3nBq z)N9tIzU-`y_@6k+atSYvQc3CPSMVe5GQm#PzaF z_5nXZe8srZlNQ~fZL@~o9M~w1IS%ifbIz|~y6owF%;L3~?$A8^d4VqmPN9$giG6_A zew3N@iRw3AmmCs9C5c35bx>!Fq@K2ZfB3r+%l^uy;)`_H6=TLS=ECqlxH#Xky6hlX z{n~qE)W3*&|IyI^f0%I`nH_RxQ3WlPJtOlcEQAWOkD#aZioCA1t7aTfMF$RVA+@Wn zPu5$0t1KCy7a|n0RM|r+TvIt*^{UFY>Xmnq_{^t^73Y;~9AsOHgO+lv?*wripW3$G zaBY9O{8zzJb9z*vj?jau$)Vob$m)NLx;>^jsbe#45^kTRvK7517cVZ}&2kZ5Ox{xT z>-(eW5Pj>RLpFHOfdL4 z`P#XPANkLW&?9dB)N{I9N_kq} zMtxr#6`a{;e(OxZ0il?_V>GFAK64}ybdAy9m(MIqMJpP6D}`i5>RA+Bz8WcB-##OJ zZrVJn%zR>4Qi3|>C2sV6%&wz{7>e(PC{nYbBk^6 zqTkm}{oP@h<$AsDvBbvB@Nvb!u^cm(xfi;(&iIzb6p0H(eY&{2U!XC&^oYbn13*J56}@Zt_e2UWs4*&&~XX0`Vb5QwIk7I9DdNJPZxd z4zMU0x|Y{no{-JK=M}C&FMK+edXa*9Z|bXRPt&eus@Nun20B!^OpxE5nOT49OjYxd zr#gXJ%Xg%uj5wd7CFDB07_uBS+jj9@FRIO~#ioy2o)|l14Geq6rvH^DmEO5qDZ@+E z{+J!6txK^blk{e7ZsC(%xrKIwb^<-mYH@e(LO)eQW2=B};SK?r^ z@aJr)>cYR&4BjtTWZ`m4G?*!#v07`P<&ovFbmiNMYd8FIs959NIrvA3(-Epoui4F;Bn|hhwKTg- z-#+F*szg{O>52LlnVjLqx;x!h48DSU9*9Y_Pa-|>p7U+SSO3#K-7ny9s`HY@%<&>S z?V`}&oRo6v zR$`{>hxAz2o{Lv2Z1xL1-D$jO?f*yCdjK^RwOhlXM(L>ZreH^!h$tNYmi^y(6S`5D}~qZq&bXXv#r5mV%`;kncpjl6WXs8$s< zYJggLj=qA&a<#SO!mIqbo0~R%&1^giytjj&{|FyZFEqM$r^~f4!BpxDU2*Pb+nP65 z@LDylJ0eeH@mY_GKfx;me;69k40+^XloKxWIicVZZlo@Su|N;k3-XLCU9vRL%c}h_ z=fLv^JNiQ10QgdN(OF;1Qq8)NT*CGdUrXDCi|V!x$-J-*F zM>BH19kX}7Ry;}$RwU|O9_BcsnLIG;*9M;+PS;Iw_~4oH=tEq}qupg~v9PbF2jz~u zyFDb7liOi^HX&|`U$wb9a-g`$*50+v;}OG|1`kfDLf+N%1Nx4Wbpp)4)jF~m?mpKD zi?HQi{^RpmeuDo{*FRick@hv~%N*ZIM!V5hwOR1LZiM*VSFFL156gMD$SpBPXW0EUV8#T` zZ@t0EjtV?sMg{}UWq0E(k6E@%3W7Sy`f$EbVQfhW>csb*+9x)!JbC7DYS`t7kR?ee zHpxljDms7{d`z}csM+XTTE6o1&I7z`LpADNSboS*mn&?r#?<3=aY^m8M!Rc#K=N)$ z?N?JH^Ldrx*ON~@C2H4KGh=qO9LhrB(jRuY-7FUFQc{Zmk4*$e3%%R@2!5O9>GGQS z^LK2`&6RFLlRb@Bn+NngPH^eUrNa+N`CIzIY2=N8tAi}t~jR|4d_c8bBe_zaL#t=2o zp1M*21|v4f4-}Sbr)=JyzvN%HocHCH;=HGiuCdAT!hS;a-$qhaQQt4J%LcKkzy zl~MsW)f!%Nz0y01JX*xp3Jqz%Z=;P@%=Nq&veOLEce+Mz4*m*b8p!P(ovd?{KdLXK z)-NpuZh{+PZS>m>`yRL_map&e{)$`WJqacJRBhn=o`)Z!K+Bb#LR66YAoI-A6(1o_u2Rmdl3F8ocd6#Y#D-YeKzxdzch0ogeI-o*Os&Lh z^CISV4}>`U3<&16x?yDVKm%t3KLcBbw;76x{w}pfyXC#>%dO&_$d?b-+|2TZJf!BA zYm0R1e)9rMII$K2)e|gEkYe^5x+#FaHPU#k+n@bt_+Wwm%7DY*((Zjhwo{ghW_w9m z242mMSN!?=;jS*4iiubJ^YEHHpan)YRZLZW}HAk5z54M!i4knWZuZ9_D7c10&vkYp@ zN?meM8>#8fbpxPdEMGWsQFS=DZA`$yEJ~OKCR=P~K9-$sewS2-4{>6qtA+1f$R(SV z)`C@A-xKEqrQE^2?zzpiqYmJj5^t$kO@;?maON1&RwP&Hl~Eczs6d8Y>-_s$9Rp$; zWfgjvaz2ggcPJHs^;lRirFFiKYpr(QR1`6P6@Ol3IiTHy3_*z}6q;g1rL ziK~;Ye_w=9Y<|wa9C`n?}E{8JE}2Sla)-L<+1@4XiQcI`arR4cWEMKbZCdAH{L>6Q%ykt2v^(sjVp-#cL zsN4~@+m1PjJ(sN9Lk`QPl}P42Sy|6GWHb3t*87jlm;MB>TDwYB_C2JiCbbN_7ZV}b z+$E-R=D<9rdnmo(lk3)aD`HyfBbko*_S54$+TCiCycyTQ1tI&uTCu(i@`E1q*y!j{ z=!Tu!r6s<)<1PvBZuk4;z@FOvS{{Dg=gR4(_d>UMq}=`P&2z$(Q);!)cWJwCjZf&@ z>fd<_zLqkx;jrCBcQ)=1=G7wS*A`v6>lUHFo5mS8F zm!P7$Yy0A`UbJ4nP&)B`tU4wfK6sYRsc@_`$Fxb`6S@`5?6c6JCRo=yRPy-^XU$D3 z&B>v)Opb*E$kzY}*3VFMg!^dq=v})V?3ki@D(a|z=bB2mZe3(|y(M(UPO@-)UPNa~ zE9d^imG2Z-2a8o$1<$Z;ZsH^8#>mSvKR)Xat=;l?+=VVxM6NMT8hhdFTP)jKiW46t zAARlLzKne&xw-L35?j$~s$1SN9ip%j^93!8uk0Hwj&1#_Bx!gLp-bwuD2d)}6|OdfKB4H@>v!y;0z*_1`&m7@mk=EwcpuDT2=QgVXP zpGm%~R%kptMDnq}x#UY`SAX@z-~!97>T;f8*WASSi5VAz^b4X3Zu0ChlQ@hv8}X$l zkNK9WbH{J_W+(m{y4ZbgR~dd%{BN(1QCmePi^_AmqMYtXceiAn0^DWE`Y$&fP6W#Z zA3M>;7$2dAxmz^pn(tXa2mJMta8ae|@q3=bfY&?~>n=?Gx6--wY#uUyNvH(fRVRt~e2eUocqSt($D%wB_^WC`b@nn_M(PI^rHw!gmY za(tyJnFn=IOWMNNJc4u5T%+{O@&oOVIm0EHngCbJjRMr>?Mcb3dg`zzIhA;%{KnI)7fL^)N0~|Dl7%DI>%-hD=VKY|K7=#$C(K}# zhVB-<>yBK?@^(;X{XEs?rLP#IGRdMMQX8oxURE!jR2Wz8y>dQ&%Qd7pWCH7#Y}LGMS{1)a1LF;#Hv09hw`6YUX7Oy9 z|2pEgbZGJPF0ta>rPkd6AGhe%h1IpeE^SMW-mXLq9KjxuE7 zIQ0Y}c&hR#RzM^_4m4wY(SK6VPbXZm=L@#hD(fPp5W5%)P>*LHc@T2B*e8Jbt z2&I_nD&s|TwWS=3%}%?2Z6dzJ=2E*qng^rDFXDr*+2(IZ3$JTb{mPjURX!^W62Z3C z)P;%vZ$JXiRCT)Z@6(d$!j^J1S*n}kYo1&CL6YA%h6Rir`0IUCXINH3%Xl1c!IA~X%{T>;FLN3@ z42c?T%6HyV-X5r${lY_b9b>8Y)^v9=(YWOEOy=8(P8J-yQQI@o2!epogS~qtS&EkK zzxgFMMf`6I_|&SZ4cv9bAJrvfbDV#7_0#SHhFgNh!@S0~ptxNl><@J@_umgB3oeL1 zzdgsntf0=g8G^cKMz8Qi;Ys2F-Ris2w&NBFBK2Q-!b(rx-DJeADH)>#&7j8cyu}x5 za@o&jB#JjfZh7iS7ARXqUFzf^0_-~O{p@vtL{)vZBj>V(wsJhpssp;OpZvngRIMDC zjJ;1?H8-JyqPE@f-R>o)0;(f?{QzP1d<+vn(cVkz3-LN4YhIxt47uU$T@nnq2?R zQUw)$N$>7G8@)KkP{m*zD>Hk0p4E&$mCbtKE!+cTil(GoDU& zbfSQfZUta6hhL&b4~tu-TnsyTo;AwC6%J26-n2O<`I7#RfYj5`P9ARcM+S^_b={kS zrA<+Z`jUk_2~iK)cv2Qk+kJaVvosR|>&2nm8bOtVEM_N+ZygC^Onq~i6BkvQ)e2_f z#W|a5SbFP}(y^I2ib+bOONQRe%krOPC<71OyqPX}Wx%z*ukLb#uTI!e<3o<|7m{Z5 zQcoo>AMtPFk%~5I=b184G9LczElF}VcG$cnSugGs#J*CS?W>8w@_u9X79aHm$qQrw ze})HBDTl|fPuSvzs#zXg-jhb4c250}EIBDSRFVaL#%;SamS7QIDQPBX{OekovDtW` zujVZ-+pz+vvgAO~c_sYaA4NBniMauqJsQW2QA%asF2iS8bS(C&BGI#ZFF96WRd1_6 zLO{#T!)-0*Ch0_k5~7-)B_1_u1IcuZ9|hoXGfMJuc)((G!f~l9?o3jVgqMlOOD+*C z`qwP#st6a1M$NAm`C2*}|9$TEL$~ut%c{p2NBrFol`fVtnX1Q+7tyaR6D;e+N8u6< zyqCot`!k3^O*{^KcCq|z&O4h!B`5FssD5LqI_KEUt{ZSt7g(rqlxbT0;N=m1AI+Y* zy>Gm?==$?7X4F`+eEyjph>W+tpjg{ne*A z&98r9sp7=FSLH`MIOlLOJWxNt(m8%BY9@*Vs+QxHSi)2XOEyHuLa(w4%VNfZ8v*H8 zpY0!58EKNmQ7`J@=q&kipuTU{u2GyBpnwc(9BL-V-={QQ72YLZf>}C&IxCtl$29)T zM50)~JJkrw43~G1U3oc^JK8$n5;n~4IGEQN9A~;Dc_GmI+1)=JrA&HU`l#8*l5Qm* z-I$8%v&*wUo%D4m-x5gBzLzs|l6Cg_@W7ARr_IwpE=q2SmcDL5y8RHpwR~D~)4i4l z#ajjsgTFQ#A7ZIGVLS#m{0q`4bd1F+N=bUz!dAj=bGN|=OIo>6JVvWP!>oWkS4W5&@>=i9=wGq7l? z;dW9e*(Bm?sALd_>El|S*rPAmWMzQjIyv!8#X$%Ch0kI0l##4-uB4f0f@tOCGT&#f z?~e`r@G-)Ess94L_>>DGj&NX-3TQ}NHY%&CYEE=XCnN@F#?DEU;Y`MV{NP9@Y_COM zx+&tL+RkFfCgpI`)uYJ{S}j^LY~WuTqFM#UKI6Oc2T5UDy)DDus& zHQN`v*vP_^9GG-gbkBb1pC&+3`@pMtE?KV@f%IJ0?Ov0@)!M^y$|XGG=~#* zSxd9(vd&-)2J8wor7OB0T*LjCGm@=3#ra0wtu*WECQo>F(mf^P9ra)1cO?tP1UJK&~D25>!dYFfsAWvkSk8;hW?G;v z^fYq+E@WGQZ>XmO%6uc9{V8QveW}|L1y1ZB@;iIFXD~H~rj0_=t+YK4D<>DG49Nm? z7z@64sGVu;qIE)caSS@aX>|1U&aNFhi-qjs*kbyWH-ulQjCr-!G5_CBC71{`O*ihSW1)iYJLN%ejbc^(EY{ zhOyfpEk4VxCIw`J0`f_6Or>=|ResUIDezwCHRQenWIGqKP)vtm01GS=<2TrzQar`{ z6fSe3mo6@x;wrW4FDsryzv|(6nc$s8l7*e9>Q*!Ar zRS>5p{MntD{!WO~Lx}u!ZGmxT7{1$J>PY+CKiW3)T!R zjVaSQjD;+$LYyoi+bVoR#l*$>CD*lnRvT$=xraLO^+qifoaiEizL;Mk=@_4-W7C(e z(-{X!hj(em7m*E0$OdWT6Me|G9W8Llz`7SQ>^2nO`-fp&>Ptcvel>CB95z<-c8|I* z&bwLq@%YBXaN7rWP9ezZONty%!+pj4YNoyX2MjT#beKu{lsc&FhM(Mp;9aYIPd>|1 zatK4pUPFpi(MI5a;Yh2$Tr)pRmg^u&8tlp(vdsPdeu!h#HOWDOKGEiA-f4L(2#4R@v>W2QVmvX8< zmk!uzhQW|eP9pd7V(#pwq6&)+q(G2Wmh6J%)P8|!OFkeKyZ=I*s$vpcgF7?iyEKgr>)32+1LW=$w=hrSfe^HUbM5k&Wryff zK-%}wValYnwhBhWIl{lTXYGF326tMaL0DG^;^5>}u{PmZyNA6izOx{}hL(bD!oqFBKkb4?+*4!9Mpv;A zCryZN5TyDt(v;_4CLOVf3y^Eh-WTSxe7&$mhdC;pm%L&I&Q(2weBuf5b%pqvL446M z%fIIYXf>8fhcTrM83!>#_kpSm&4?+x4Dzsq)20uEz%;m1f2Sz|%g6q-r5(A0)h}R54N)clq|QD1lw>AZ#rhO0 zg+`x~JCc@uBk?dWmZz;r3Hd|^vTepUBq^%6r;(5IVXV*PL<^?2`hoKZ3@M6GSuY>C zLgKFI-%+(CR}rtZDBX*(1Cykz;;9X9Fs6|{B@pD~QJZPLw!_ykck~O&-DWnji$%j_ zk^64|LL6`d+sOt0(mJ5InG^kkTp58C1Nr(@uRi5bcknQ6p09dnez`pD9jXBhYh3u= z1+DlWVnEiS0U|8YrzkU|fR!2XhQp?1-foz4P=Z(jwe(Y{7JDrBDu24VWs#PobQn$$|B*~k6DxWQDF_Z5 z(W5pL1?2t{$Tl3Z9rG_e5sb3&AU#=aGLG16LT{vPv>Q+Q+5N*Dab)VTHg}=6RjxJ_ zW#qUVGF7w;XGlqaI5|P&1=}zzHbfSi1!h?iqb!L@mX#qzlMX|n!~BFe zxj=kPAo5qH5L5hoZTFAZoMn=I$B-h$kWxyYQp%9BMxRnBtyS$6+-cZJltz#2pK>yT zY?nZsUO=3>Ax`-aCoM>ITFjlT!Wr_$dbWAGn3gLipTyUT!JU9Rd5mvU)~v~hMscAEvLEFgtv(q~5+TAZw|a0Mgy@GFN{90Nm2m$a7u{rGP| z55)YmTegjV++)5W15oJeO^f?Q_RX16LPwgXG>vyTS#6B!`9~^$1l5BAG-8(327gSl z+ECeUCfV-B6f1p`dD?0V(|4rv_~t zMZA`y*1rWB*_R6)AqRskhDOEcdlWAz5yO@Z_}d&kpkqOF9fl^SuWCkxQ!CD>H0Q!&_rK{lmZg)!=*g zh!E&42C(&OE2oXxs@>-gqLp!VfP;6bi|R}9L>d|U@L7(ub^tO8z6Dzbt7WqM!~MeP z-#`d}dflt%FU-?+@0Otz03Sn2IisvKt4)h&+ti}nTkBo@KNPhkqs13nL0Ozig>*vHZnL2(WDomBohA1t^egD&`j~q8jXQa;LR{wp?uM5u5O38rrJ<1{l%00SMWPSCRv5ss?Jv$t!9*0o&VqohD+c&kwSc zbpzIKe+5Bz3Wdm@LZXQFFGZ8G}&E*O;pepXzAYy=H-VfUCP0 z>`I%h+LNTVbaAhm`NjqoYFYYS7gz>UMW)W#y)_EEHBMupKvo+f$eTxd04+yAsRcmf zySvy@`N7;F0FZk@$#2uA>`OZpm{;$-OhwPAF99vJ()|VEq!016f%ravRG&tg{tu}< z5AF;HtfJ-n1mar&pfh+(eThdJZPwkvkdjD;DS|kGq`n35b%6Ljg82GDd}Ct-RuXvn zm!rzQQtH)~K7W}Gj|AZ<^8rw&wwOudV>gBr1NxLjbjl}B;o_ovj;CrKCwG<^KJ|MK zj7(&Rw3YbE-Bdk90M03*aZVsj*QD5j+B4h*>M>|VAYfX3Y1P4zx5A7h3B5tB1lzC@X|p)qW+BvOv1s=k-2(Eh_cf>~ zm=)Mp3Li}uZ1BjeMU_2n#Q?C936vWw&-SA6jwC}0peKq7@`)j2dj+xp6d?|sa>`dU zclseHB-hWLM{TSC-6R6@^{W-xpN0czaj-QCSug@yChIXBUSzDI%X_#@sR6Z3?HVFSYs)j3`ln){B5F+yp_>E`hCP z7b`SMA@^U>m`ooqS+go~KZW)Jl$as;Y0Pq0&wByZwv)xB2gnWpoRmi!CyCq#6=}A6{|Odkq8MPqMZ3>tj>5jc zfqQ`5zKYQnfHBJgSN@0Go?JohJ3_WiAlqq>g*rOSaXQR9It&6TJFnGLjdo3o!kjdHp2vx-8Q4SlcCb8x9T|j-xh7P+0@s9kFgFz<~`Zr-ZolSL8|b ze3l}rod5LqD4KtyqzF9#QbCbXw%q@pz-~b|0Ch)Aiohahye$T#NZju3?`E1vNQ5l7 z(P7AR7(I*otU|E46A~@1vE&`RFa~$Nl|7%)YC)AhZp*J$uvWsi*KvvDtbn_=rCssiI z$yq6I9ROw-L1;j>Z_s2mpq8Q)EgE-$PROz80`gyfbnqbpTL*MJPJM}!^Jz=3rg4rx zzFf|H<8I23q%%4OwXW*Y})83YZPF>YPLKJ9;Qc z)X{_+3gQ$Dk?-g#a9ZXjZA<@0T*O11^gz$J(i~*;kNEpY%?DZUSlpER0blR2+YmuB z){ycj^C1139Swdfi1$DS4@qPv(~|8Jtz9%DV;w#biHUirNy&(pIYY05mGXm7{^)FrLj~VXBfkRWFwvU2s zA9>q8inf)o+VmZ@F(Z)TkIjRWfyV{XsN5G3193`&I88#Fiei=xNo&v>ut^$c?f5?j zb>0dZTuTceg5(!zyAo|<1F9xGww}gG38~9=ohhQ+s*{?5M}}AiY0ck}`VIA^eTGkb z|3-*P`N$rc`XiIco8Z}x>0;T&;Emte%Ha7A*MX*2 z8kO)tfif2rYx~IcuOA&*1ugl=zU@w*AL#P>1&=iM(Y#n0ZUvEFzw2eRV%U8YK%LTe zn$oe0JxdcD?;%e2XyJUKP{XOz9OpkZt!YfC&jCd4PqqZSro*j2iz2w51NfhE8xTN$*$8Q!IOP7h6G7P&nGdm^U_LYHL7eyY!*Oy7aaz0 z02kjoSL^uc%OIi00U5~Bt`&eDH<95J=uL{W|0@iE^Q^?=xemr6ux=Kh>fX_(7y#uL zuL~w08KS{fHArtC%YSLH9_%Gu=K31I5@=mSb%l?pey0T{{W`vDXE5_800eVpS#u^? zbExbjv#bF)n=>DfK@v?V@z15xr!3Q9Vj)h+5GNeOsSe_F4JqIw*K7y#J-JixZw!gn zc~Mr?mUfgL0~TF>^trlarV+F#s&%w~yiV?@g<(fNtb)rY2iunSe-@St@&ag?&xSZ5 zfPK4J5m=fq20f9#-L&u^6jTIPv-`=NKcH38G1}1xyg2xMHj$Qwpf?PVD|Fg*zixMO zC*fZpZ^i%b6$c^OMF(LJYJf3ayAHI^xmf=2KDJOHplaKGjd$uMcL=`OJ*GJZrSnC^X=|zI9W_%F}2=%dh$D zaiM|T5BFVf;oL4aa9et%6QDO*o475@ze@@Z_{(^~Z{Z}yTze}t88mVTL&8vBy;l8!CH0BrOxm*0{RlG!E-4l1>O(Le4y=J6*RdeZ2 zj=k4RAM^UTy77g|fy%%MndccF??iiu3LPXh2OGNCyZI9$M@LFy%=v1h>$Ht)7AC#Q z;g(L+vWgjuSE;*4#i9(HD!LS7zF1RMN!?my?(lLi^^$V!bxWTL-*qM76~F~x#JNdd z<23&WpI$|R;7x1ya&$qBp4(V>V2zxmZENFjc!(z{Fu(Tt1EC5TFAP_X&zp*Z|c!GR7WI~49wWSo|cdS&o)iDY`4(yt+H2sBbhiE(C07j zm5{6ZLq)~&k$u*hi0j7ni!hxBic*pO?xIMQckeClR0G2$&ZH-{1B%+&x;UGCYo7%+i?ubDNDp zS8mdLf2@)T*I{OQ9L_&Kqh_sev9Y=Ow2mswwO?^fvp>GkKrhhcQGW&%?i}BH_v*~4 zcUs+XS3g~une(xCeO&Z$Ulx98tG0&K=n|@L}_F6_mJPG`SL)_T#vuDitjrrSI%cZ+YPxMU*r@F89H&Lf9ZI|^v7K zSSfCQ6=;`tYaa9H6f<(o{e}2Yviqj6zhpOfI-6qqI|=qFfH@I7LblvZViXKhOXEYB zq$VU;dcpNnr_IW*?(Ucn{2%OsOMDaKQFq*a&VM^?e&WM}V_oxKhwrg9gGF3Pw1#76!^S_;7_Ihe_EJ^NrCT#2X7@V&V7wnbHhOL+~0E#d)i&ItA9uJpfon)ykO`` zM^xtN5x;_#z?{AZmOO{He#6epDOG#TaH(zT3S|3=xuWgqB6qm;E~da{sDFc?YL4K~ z8JF*gqi*-a{ZQ5X?iU|6o}&!z8k3k=6uN#+t>s(z;&f&#sobbI+AS z0EyjDz0`k6=x+d6;pMkU$4t|!pSf-01Lvl*9*ZYttTZUfJw0_2&%XJ&Xq!S9s1%hE z6qz?qTEIN1Ag>%;v%RVP!sq8azr0p=ZEbY!hgqLBMoHC?o8=PkbZgbElS8YdT&O-s z^z`#QWeXMk#HZ=f0A(217c zHwKsAtTP_tf->tfm&YmO+zgwY5o_E08KD=5g=V{DhHj;1#l(panSmzV-?H_jMb>aAukY9SsZ7Gua_4tS z=g)%TA5(u$qI`Rz@Q~HU-opbUG9QBO*naX0N5pk`Qcp*9tBN?ON21Fb$xrc!L?rnI_vDgmQ`YZ|2rRfjB;m@Hthe*cNmFqWV{SplG%=;{5_ZZ z9E|?o^Zz?=)>y0;wmQGRMflbs}{Ypu+FQyCpUuYfVPEVKLlY~8sr`1Oq8zB7;6 z?lw9fZ~qFbW6eE)DG$1EWh_UP)o>TlqPIQdCy zs@}cDA|eifv+AB6#84}n{|pjw&b8IlP|{xURK_nAvh(}~vA=yLtdF3$9bdQRocWAs zFxlGgRW9Z}sfa>scu`x5*Y@|;$~Qd9F%y#DwHmsa!n(H0heB|oa5Do|)N0}eC+c>Y z3w3(*v5$7lHhwMfW+_{_$CLe`q+|q~N`Z&`&IV7|t6kfkAbN!;NwuDvB)|r0t;Zfx zCW#v%lNqhU6f!Ysue%1(+CnCg)^=Onsq1d#pJS+!TjUiIWFd7Js0#NHk{MF zl}lhZ*F`5_yGuJ_4PsX)%Xb$KGjR*=_i6$k#i6g3UE+mWtUt!guZQ=DkbQMu;3eX< zr}73~EB(~cv1Y@nO|Ul1wND%Im#s}7%u(@wY~&GrQe2ZTt7ol~lSE0YSfaC4Wb1}2 zmEs=qJHM?w(mpd9vPdW3qs_-n_pk)RgBWFhlJ?;+E=mpPX4 zM(<(zy4PQp7ck#s1(r$^X73zj!|}xx^*A)uzkkTBU}<xp{s*`%S*563&7v)$tRKpK_SaKBu6k3=-W zsgbIwXCL3#JHd1Z+n_5!ForimevOb-n9{*o(j?lvu17h#_i#MC+iP+oSf`QH zNKAtE`!%QAsr8c=?eG(Bbd&m@3dHv$0{=l`lm(-^u>e4tjB_T|t(up#c z6?S;^GtuekI(8@_4=0=rR(fE${!yD)EURsj|K$p zR>Ww@1W@tbRIg;W(niS_mZw%v;U<1l)&p8a{3MiK!X6LFl6A;}4Z9p!2u=*2uG;A>4Va{{Okx6A;UNhLdD^& zztwU1FIMOuoqMO5C6cPgh~?O29p>!1G3#%ljGs|BXVk>`+pdnku6|T%?Z)h=IImsZ z@fPcsrP6(kzlWciM6Q)lrS}>$tIn}Mnv^ft>}}$h%VebgtJuEl%orIOQejlS%CwyS z_(@xjk}LVwM!-9U<3`@eq>yi0P)GW5At~#O%ox0kdc2!5-b))llOES<={fa*v|-e} z%!h8BoI(VmsH#ihjbk-er>HMVY8z*2(XCmGO3Ie3KAT#T^KWt>SC7Sc|5VA<@Jd$aIy4j`uF*m2v?SJ-0mn9m2H0*+`rJ924Jlb^r#NV~{|ourBC*v9tc z>k!hE3ho+*r|T*Il|RPKI=c)OqU&f&g~kd0R^1z+nvx9qLF&R3VR0hPz7xS?GK^{V zJZr{&X^cl#{y`$*QPMHqOY2qFyc9!y)-&eLrHgylHKxbnnaT;r8yZhf%4Nu$uDRwW z-@1}3lH7ZEGhS>0b{qxcMIm5t>$O98ogXQZNXZH_$F?ZKTczL#4=g1wi%e<3-|H@K z^Bzl(OO=e==JGRre_JtBpO-AW{_=;EEpA!eYjT_eT!rgAQ7c8LP+j--jG-&{Y?@pa zvU<6cd7oEd?$1D9OjG`8`G?;mO!S)Xi_$5{Xje!#NdJwN{7A80XxeD*>xphEk4fis z7QXEeq~mOM@5z1V8~KO#-RSgs54XayhB1Lm=9Ff#?pQJ3;bvkfg75lsV^#zQ_g{j5 zv?{*Yo_{i?jaA~aAPW`BB`R0TYGj0$(bod+dva^T6ie1G zLggD%t={_`SzLbZR4^~Qd9jYkQXuVOXS5ixhD6}&f_21|eQ2J3@`qs^fxABb(T{5B z%l#D{Bc08Dc1D2i{;)um?uGKY_lB0-(rdAWKez(WWrPbGml^$xs-BlAKSVFSD%sE4 zK(hLY6TdbFR+^TdpT{5fQkzz_^=k^Lik`;@j+y8f`F=boOF1~h`WQCu3BxI$iCS6r z?+Yp)+G1F@KEItPEgh0Wl9`@hP1JqaUd(Z@k0b=d_k767jT*38Lt8FVG;arW6>{_* zR;gtY_&D+cUJrFh{%VF~$Q@osc_P998t>;uoC`PG_(a+l9XZxCEtgy{H9C6^6QwQh z<-KvbW%Ch#oMbn?RXfs+oABgVIH5p%E^zqbvB?SclIYI3;A6@)r=NG-WdpxHW!7jL zFJn~ivsd)y+$pJ~gr_JItz(0B0(Wl5IGzS?+_p0*>BMuqwKBex#D9I^&h58X-@ZBR zak|nH>mqT~r84&P=dNRS*kTgh1EN0HUEuABktQ(PAG7l16?t=;{?m2$10<_5Z~E4U zNh$A(*(cvRKU2T)P%t4;h2@S*?CI0Fa&O#woZuiiv`|s!$QugbZ z)6ak3t@^BSzwty*O>ts)K!e?}KaXG0R{wqZ?HdP=)2F_hosJ;Y;_B}!96RQDx(Snh z=BLw_o}Xd~CM}rUMB|0yJ)4WNl{>ik&Obrk280+=V{q>wlq9j{L^&ST*ne3i&NyzK zKisM_f)H~p7=5iNxWS(CT&@E z`XQ#{t)*BKyCu4!H5FErKZ@z+oUrCXfxq%26FBBIW5zXO=o*6tDJd)L5UVlib4gsnIEYgX7jZ1_ods{)(~$5Qk(NQ;p~8R*)~k%{drvbF~4 zxCRNqyw*yXB%|k0rPUf!e{_F|1TK9}&#mBY989_KP`P4^PH{`Ql!{W8Tb*!j%=ujw zW`&(ThLT~KaMK8pfLrsSfR%O7H5p*&k%<|8)Qk|SRS{0LL+*D+5vXV2R9!5^0UMC{ zj_@S(2DarS%~H20Vy_0NEQ?&5Mb^wP+H>7;JUBYfwxs=1ZdzqFN+@9t^+$w^~gKn)6fkEd;9z~g@=86Nx` z$tDY?MhYCBhu*LI`l)>E*0r}f{IhIjF{je+j(fNJJv?u5UYh@Vd;sKJUBS>@=hHCP zH<(%NgrVcx2bUlBkm319^9-Gl35NxA;O*-+r>!erfnCV92{bX?R7$Lxi(HPC+sR2g zo9g*BDyrWy19p9EcalVPn)r{xitgl3AO&gFFH zYf?#t@4mRu0h>hBpV4!M`<=tZCncD#Yb%HIG_e!gAQJok^~XP#`d#FkcuI@Q|LfB9 z6D&MIn>mg3;i%ZD$FP-qt9y+rKJP6r5Hk2}fSC{w3J(77#_r<6-_NpnGvm76=;pfD zgOAWIZxare@*=L6`hk5gf1f>-Nx&;VMjzXPO-&}IT-{a-)5op%=!ESF%~$C+aeZyY z+aAX5rabZuPIK9OtS4z4wqLC8`2{8O>5AHIgGf&8ajgpIC5scQ4pArOB7=%tzxtmh%4Hwx7Pao3&MzvN^x2b%z1Z+_&98TC27zjY|JNG-ByX$lfxW}&*Pp7n zh?h0GT($j=J^pVWj0G&dOGcn*DFe$E+#durch{TJW;$V+nft@_^h z>c;WqvQm?!#86yG-LH3Nf1;~9b-04M=g!`j+W*WEccWJcc(~K$zaAEmVVR=&1#Gfo z7&tS{tg83>*^Ltt!@#);H_CxmT)&^w=>(ho7bEn1#e;t{oOJr{43E!rE``FV0eYm8{mE z%|DAGo_S`im&z46ntX1NBJ)m(`}@5)Gtch%{($H>JfM-c?&13WAnrehy-x2B`u=k$ zW&i%5?7sut-yeLvys~uCVCK!0KXRoP0>t`b^1>Is#K%0E-&onzjEQ%JT5hPt)W}F% zbUG-a>HmbSTW>iogy4Hxyxx}zT7PFja18t>1gUQvX2wjWL~ehUOS1{#hyT~B$MMb(ZZ)G?D0*Xt>bu#4-(y8G;^8mWxd2v6Wei>>#wf$&yhNJ}7RF znH&hkAyiEoiz5lpNJ{yUGMF0nHI5O7kiw3{6VG6J4~bd*%^IY@NHs5Dx<8ikE~{S= zii2^X#8?gvA9$0`MG|4mVc~N1lr#;JZ%fddZ3Jr>)e#%+id{rNablDw9b>GKYVqu4 zFjcr0>w$`LeTxLV_56~rsW zMse<0M|dohb53wFt>HCDs_hmVEiPHx!!~6gIzo~Dp>vc^R5R@2U>3QwT=<|#bu7Q^ z=)u$pRI5bWW|_@udscsC%Ua-~6D7_@v1z_dcD_YMU}A4C=fi$7bj{6E^q{2G362QE zE&@JxW*lr$dh=^H;z|JY3XDINoRHNlmE~)ommjaV8Nm@cyY0I73fc46wu{|fY-Wn^ zHer48V~j0R**)7XQ_l1VJ(bzUoxhPPEnCv}U*Cq$ti}lM{>s@6zoK?Ewm&BN&fxaM zK#b)LKhlI3kNw~CUm}oiFrB`g%lEInNWpf79=V{SqWy9Bsqae{9hDbv#=u8~I@h#Q zrmk$4x4<9#lJ66n(BqhZ9S+YOcroFq;tvK(+t2BXl0SZ;o{OSTSH5@b#xre)AFw_r zOv(t;#v+8l1qu}B)3t6+dl}E?L@NF=DpSzHnzN$$G`#gHycO2A{{h)I8AWWSd*07^ zKz-~@MnKoB!-&YmQVmj=MrJqjpC;)ehN1!MvP4dLHZk^z@~|J+#f+@5#p>sTK*q;o zAe^PBIBBf1~rfgr^o!)IYMQFC1^i2tG}2;{KEYB-QJ2+u8Beh%6%!H>h4xTO ze1)G*b+}8nl8SLSX*BDK;#U_!s?Ih9mX(07Nl;|wkQ#(7AIeQmUR*be%4xO{opBvY zxc(a7a3^SRM)313*si>GF=XnF#H>_xAjfn-=j6sk)w~d0lCz!z>00JpHZ`e5N5#c$ ztR55FFS=!Vk%AQ*5IDANGbd!d8J{?g_xOT}te9yYvuCd5$rH;Xd8OU#X3VLWr6U^D z#yNbkXEg5DdaJw2pD}JYz4I2FmT%%G$YFV>l_k1ZlH&OAnj?HB=*a7Z<=F_82BdDz zm%>}gHx%Ooq>?e=@(5?FEmbde(bR;+RjSoXL-HaTa@5})f6NvnLtu0PW5+1LGC43jp?k<+!wGuQE;%&6Oxk83=2b2G&%`= z*q9QB3pE1tPY{_>knv#$87QI#k!gNx8U17P>NhqAC(0itl?&7kdxH@^Yw8F3*LFgj zzCN=^i0v+L7wRZ-ZfnfC(FUDZZw;x?6%zW&TQ~kNFME7FCkrJgMN7xiT|+;AS)R_W zs%aUUN0$YqY<{qD^toT52m;asJV0SIYyQ;4BGwjd=7Wwvrl}7%LtqE*SI^H*! zPCi(Cc4nKT4(T~E`uHNnJ3^ELE9sJ+d#5z-S(a-IW!QnxjB>i6e9Mtj`$YGd@5{kY z2C(ivaS5TOX{UtYVja0>2>9%~61d$|iMbll%ph+q8@t|Mez z@Ic_Lvv5K2L2SXxr7vT2dbtlOa|750_$0JdN8zg1SwXJD+1RU%Emz5Hy|(amWWj^e zL}#WNe)o%CmcJ0>{6Q-or>c?pz&B>0X1A;GHOFwdgvo-dmhpGnA%qNb8>*wH93)zy z#o#wAYIX^h8JTJpuj>~-xVz+VbC;XNp+;+z!(hSneKFs6(wsqK!DiO7MH`tIi~Uz_ z$r;TVp1k!1ZT;N@80(}auY!tcCQK4IGfcicIoc4{edp2ut9cv>C9WBzcAVWshgUF- z9Y2b*mUg;6ldW_mQiNXIbFT*W&Ig;kh{qpS=Ur(TC*J%{Z~Dn|#NKsubm-m}Dna=k zd%gf^*iT~qF+f*P|Ke54M6!eiXW9KR{5X|iKW^1Wq5b1RFvtkth5r6vL@hKm@{etd`_ z(<(?Z-HEXecYK<|G4xEUXG1QqE~rg*OjK2vGY!Udyq>fW=wc|#d-ne8at)?rz-}A( z7q|H9^$c+-x zPq88Wu}l$%#SK*k=q375-;;d#IcJE&Vz$ewKCiJZZg-MhUvSE^^euP#fcS|}tmH@6 z>Fr8EMI)#f`2s3DL-p^=v%WTVBhGcl1Fv9dN!Dk@;N>L@C5m0Rn4u(PWbK76pC+shzBCNOq*LAw_`=h1si2#YGfyD)WBN9zAm{41l+(z_nW*&8 zBb-FB&I~gI9;O+mp%EaS5JTiz85ftH3%I4+U}9P_Wo4BdRaC(99PU2T^_%_N?SMxE zKkKc79ZkafIrqB#-x=3*rqn^cJ?%Lj_2TI{uHWH~fy(vEk@Xw{R|0T>=`Z*u!LRX(#ua*LiDi<*0J5U zO`FrmE0^N)BYQ7T-gKj6Hge+04?9ZcP{tHfwR9B)ce_%HrH>7=TW-!74r*Q*W8H|-*+FeSsPy-yL#4he{mg*(-{&J zgX-K(U7F&UDdo?2DkVv*qR)IXlb;=^dbj2q?bf`mQ~~sPa@(MofZG^db&s}o*n4+u z@wI7*OKEaQYGGXggEpLAi_sVVn$9&teLMC5&i9SDyV8vUI+XymYEnumS#200(z<50Qy zNV4I|Tb@+qN4dxI$?!bron{n4)%6x-Ae{L@u&ggKDq^aKA>~Y$Kq$0L&4C6Ubgh8UTIh86lfTjM@A3b7Te7p$ef zNyB8?zIOY4@8ob^5ooeLSjglSr_FzgM=o!bRF+Ao)f8rPhb|R5q~7S1>e%S-Cn8N6 zSI7NaApVuA{QaoA`&V3e&pxC&@}6a+pJYiM^#$(c2JFU9r?l_0>AUfGgxiVM z(jN|^G2@NbjxRS_#iJ1KSdK?+e%#7E;=8|i1{{jM{ij{&C(8i$H3z01Tcq<|g9A4r zl(W$!G}1A#f<3G1{HE_n%JQuI$D7;(%r6E7N}}0Q`ml zyCDI)eZZlKi^R?(Wob0sM=u(G$f0qHi?Z8E(Ai16b=c#dCWw-n+Y|WlF3osh=j@3{ zWrG4`?^E8pyf>~t!SRo~&Fg3LA}2`#>af@D-N^VgV7OL$BU?mchtsJx`}#A|Ic$t? z8<{sLKU(tJ8A@zCf2&(H{Ky10vEmq~|Gh$ZMr$;;mUx>{r#rm998wMbYT`lP2N7Lrsl%jC>>*?W_v!w;6R-gPFIlV<#q!MOU9C3*h zdPA_cMUuTURCbvpId+^o0!3Z7PW*j)ZR*NjI3*uEc(+F1Ck`iLsM@D#jGQh`O^4sM?YS1@3*I>pfh2oMc^ZMztM$={8Tl7K{Re8^5HRz>V=fd78hT?MP7k*g@z4cw` z9o!X+)|Ikr3yLQ6wY%<2?77DrjjFkkd&IlK)(lryMtsU!q^OTR8(3r%*Ve}C<+*GW zFkM~w3VjgzRCvD!JTyMuP1WN)E3ShThDtamxT)6djik`=f4^29^L?+VgbBBD8^c** zH1@$_Jz?3IsGT31oy6yL)D)E0)Mc;IPhO|{==b|7Ou?CEHsm{E+UpPAp*s59ElH~9 zv6~_<118H9aap~D-I;R#X>Iz6MBr{#0H>5FD`GPfvjZz&6n*_w;O=U`E@j}ZA28Cc zl}YSnO77pq`SK3$i`d13(*fv1w`WXnI&WURmOw6gno z$5h6qWRHy}@Xempu?sBlS+n3<;>T?B=BRm$w*=1=rJ6n@yl1}QO-^UN+=Yc5ZYnFU zcPbMSxvh4lNbGR0;3+tl;=#G3_mCaiJn1cC5IQ)Q;zvM*RvMQZ|MP@Vf_Gx3-8?O# zIs#N2=@x=z;ByOnbn3yU4t#3C$B3$~%D8nkvNAl&Xmr$L|HuT5M_;TYqhlrTxv~!D zL#q#m<_xjJ#FR-zbz|*TKWv-?LVqmgT`Ge+W{gmNQ7iOH*=YAlvThrEgBi|eXDm9k zaT*SHAPnbh-kTQx5t+ZAfTy5QSq3-%@uj3@M#+!Z zVijycL|1Mo1wv(#6LUct?FMTn7f)pAr`fxZTTTNq$}*Y{boI!n4w9m z$(G|a7l%dFMD+u8-gp9{c*g@;$q}$8WQ=V~N^O>VM_snRd5sZG4^+_&@6*Q3S$D}h zdj?1@x;4>$7dDUbNa1S#s7hvKavhiF9We&>>aE2i^Jnj_3CKYn&PuU+e5wi9fDc~E zYI`3ZPeniiD|5G(w7q)Q;k%11>;uUECIGL$P3vrlYqtt^ckKU)pc!gLT9oxe(W z`d!aUYQFC>X`0Sz^Hcl!K7s`C3#4y-E1AZgJ@cH7Y&4)YlEpFAS8mE(_^?ESNg@_+ zU6mdZKNG;FAAISwo5yJLAt<~k#U=d+OJypIlv7I(bERCivegrkQIwD(BV77?Neo3j z1DeQrm-)oqJSaQ-;0EKJ8=g{1;+|CzYI|JFse}Y+)+#qfB&htFJZ_GAEnr!1KsXJ{ z{ikQ;$QYuJz=g7^s~uNDeHwmR3tdE>Ujf~7LVVFyonzdu-Ud3%Hwrh;S`w)LK zf5$2^Y9KC(u*E=1HcAJkWus*J?tpFNEy zU?U2ec8Tv}e4Mxt7&OU2?K*@m!=m5z-aW_F=YV!t_!Dpb2rCWvDyqj(_fuvi3*5pm z5fxfxs+9L;oqZ|Kf@R0VR7*z0Q(F`r6-K)PfpqcxbTpf!Xw_nDZ{kZnT z*#7oK)+rxpVVch7al5qJxB91UQV;LLn2j*cirR1X3N;aCE)l`iJtr9y^AiZ8)5w=Y z*A(WLq90rC*%m&25GHWUW>g7f2)@5~HS~sgZyISfQ4^$KD@edS)zG!6A}U6`8p0;# zo7d+-ThM%Oal`vybM@Me%-f#hyN4B~Wj3cuFP1!ayY`7HBlda3Ou{}4QcD+$FjS45 zkdES4kGR`PR|=|p97~!ChK89ERMgwM)KfcU@lQ^r6t~S(UHq)FC_Ia2uD-M{v`zpi zm!N@|9`8y(!zxb6n`lyV{pCJLQ}i4=*}A{3+Qa?PoP5wDKrrfOW;zP*@Sl*z-?DG} zMz^;i+cnnX+yf|DUg$&;MzTu%g3eQEu z40-+Kb%fyl-IIbJ#@7~V=(}1v^xEOT8HN(=Cee6B)(>8S9rR+a#4q3P+1m|_ywe|o zbO$!4Km1G1=r!0v-cnWwKH@!FG#ks^ZYDCh=7JMkUrQwA6#G-flala5Q!f)mhaqKU82A9JT} zUZU=zk>Wk;Xejl*g$xW+ttUu}ZN^&Hirp5tS)2FpWtiD}liRUw!o zF9Zd=pe_|-N>^pv&%hU#_&W0Xx%j|^Map1its@a{FPbQOO8cUcg#2i`K{s{Zi+SxPqifg~TceIDVirUF zSDAP%rXyqgCng)-zCo3qx%Hh?BHu91=G3<`K85wyF$h$<&vvR!VRJt@>fK)-XDr)JXD_ zm>_GDiZrA3WgvmQ=}<|YCHpAl5wVW30VMSjZVe@4ARMD3-HVNU?{kvb;BjP*i)xQI zO;TWZk7y2P%{Jz&67g ztanMK?lN-FB-6o4FNwK1lx~-n9%rRBeOTm-QQp~jJ#1dQ(wFX}Po+7?G0Kkwhlly2 zH{JFGYOLJk){+>}lQ#4VH5}9_HoiW6YP`S}0-gBkUMA z#y4uaiT@$fpC=n0jHODFiNZ56^Mvs*p%L%hx20*OX%pJcRg>H*K}noP7v4#UEERuU z*5Xj=eM%j$f6}2KnwNCeFw+?Eb%4{4_pG1}_F&XZ<9Zt(1!rShK5lBnvw2}XjJi%{ zgWwmr8xq!)EC-$ao59cVbz6-sC{~?9@a5zz#qKswk%~pHwA%_vLUL()jyt03?G{a` z_xp0M*ZV%&T-~exU>MXJ?wc+VZnr2w9aMG~_e(uxP^BE~xjtu(jjv5wJKy&QNAC3z zyZxXJZ_E%y@rL%@ z3pSx6Y8;JgZ^)f@qDmvUa9LGQhr##Es;s2%o$PV5tIVw5P03@dfFZ^G-IsSC8+4fK zrM8plGKH8osl=h)W=SEE!?z?()o~_^w`Dqv>NHPuBwheN&L|$Ca!&kmNt*4Pc>7S{ zq5TfsgLAR#9vb43dPVWdvBdzrRQxp`y!(8jKSOL@aRC%?kiT zs><}I<_HP3xN4P3H8=(NVfPpV&CPYvG4pYQm-$sNmSIURR~pA$bE9#CpX&S5Wb=^a zOxz;RhFI$qU|J5Q$nua~wL$lc>NHEVAGC`4`dmMsCPD>5(P5^SNU|i!7HD3h5-aIR zYV*dE>t7mA_($XHF^vnKer%m`t8djQdf<~0-JJaxk^+| zv#HTXjJ=xNu*mX0j&M)6>(3pd?bjKUr(igncdvyRiI-&F3w!LVKu3n21|d`+-Ex1# z^aPH2ZZ@sKMA3oW4 zfAJn=`AAIGra{R{S)=JfY#dhuBNHx{0ygS-aZ`%?A9VFU zd7)Mt6b!h}3&ysfaG48Ps4pG*Hcd7WAuf=2<)m0+N6?$^yk0$wMlRT9r&{vO5@dBC zt-j<@K}iVrMXy}8FV;TfCF&~&lGYlSwNg7mbh7XD$!7Kybwd|4cAj!Kc^0k{MXB$Q z(E{;I$ry^D`^FeNVF(7bbh23MeAipByzJgp)4gdQrnolS8|r`tv6m-@OL=??C?uS$ zrn9cX8_;9fFw)}-5E016t)#=hQpdoZMrK*`Q9$z93Rz*zufh;ft^k#yF-XS{Fabh zk$kL6OW1Fjj|%->b?+DUP&Gbv<9Kwle+#w#@iD?kXRxc?+O0OiD7xaCm2P&rkcA9R zGDAhQOF7eFg^1jZZ&(Cus%m)6bDxXQ?; zGE~BR_b;YJUr&IsD#crfjIvd@ytQDw!Dj9*_jM{#hP?Vsd$Jz(`>}@P10~Zh+G%{> zR}bCO91o@SsZw6sNqBpH_K{er*lpjc@{*hA*wwrpty%wGLZq8OUli>rvwNihH%VQB zbH3Ny7?;d;`QQfyZ?li}V*JMAjN*sJTa4n&i2pM6wirz|hGSp98sNyAg>B_S{i2wM zO{P55vA4`&TG&2)cTS3eG(RyAs=j=FM^KxKXhthvK1fq0220?32viQ0KH({WV_TZ# z!^O&+Yx2#yIh$|9ylXbHy?WWQ2fO{4_R&TRIhJhhEt(RSof-F^f_QDLB}=+OPKtrgohvjDY!kucOHaL^z+vQ)v(B|PR!%soSx7H70p za-De{H0f+sQ91moR&t4imHgsR;)h$fUMbi44RTFYRYkMC&ADre#U(5tcS$6-qN*da z+uf-ZwyMe_v!}ZAKkQ5hzRaF#$Y=DaCU}|st|cR8M}|i$rp}j%Uf(j(RmRl+>ARGg z?}YD-Ek#)FOUf|5WQ0+T&fE5{kmbIbOBSif=!&tAtWuDXQxK4=(Q*F-zat|jBcdOZ zr;;0+{ffc9XF&aP58>X`C6;CIC8h#xKgeNJ%WOffNasH_;^7J_)Ck#&xIt5vLMpa; zoF0Y$VWea!4wADY6fp!v_xo9U_t0IwAP+cTW6;tkfwuohXJ=*@PyU}0+I_%Kp`^Xx52o2MiZ!g?ujmz+M&OeCj_Ia6w;4L!2oSU z3vEkz9W5ONZyXCe99qGwz>Cqqi%nJxKK zm~ihWVCDc$>Dictu5GfM+miRC{*=LF9u@TDui^<$0P5@?f3HReR(zXtxsk25-4?w~ z1rVA2qwBwM+lZuZOoq+?GOFu?J<f_Lxiu%{P|Q-k#)X4IfZT-sPZpa2vEL=O1p zDD>fsyaG=m{&-S8fM8iC@_7o3If$_I{;9I8eC0q^}atx9mUr|L43sgtHJ831Pe; zJ(xZY`#B;0K5;=uG|EyD%Y&-+xDNY7iv+D>Pvv&ZLl~_>ox?@s;5*fJrEto}yrn!} zDi!uojBRzatOk(hLZ3-eOy&cj%MW|o<148|oKjKIao+)YiswqjdqDXE_-aH?A#HNK9 zdayLI^Q0C|ss5KXEWeN-#td{GY#0AnggXWC^-T1ZHI7VI0;49gfppZv$4Nv32)eGgkP%u&OvR+n z{S-m2pO{0E>8qWCd6_c!&^j_~a;VBM=!Ay4;{pItNOBSnAeyJ<)VydAs8$yD-KKQ> zQE4-LDzFr4gE=X5s34>$k3>f#Y;ke-rsS8?|4MTKGSD=OZG995PBKJ|cVZ#9}KJut; zqITv>kw>pFs*m9JtLNOGNiz6Ae(=nAH#~tsbS>MqvL&aYgRE=P*sHYKWj;P-JwOrl zvo;%o=<|VMWkSxG{d3 z&W+5rb8=&pDJ)`O{h|Y~*Tam+?8Nz@=qr7sEAnBXs)!BB2mNZX_tn4Fq*YHk2RHI@s#Z+w_67 z3NI69OcIrm`4BRVqS z>Y@eSmj>GivTb%X`a?K^tW{79l3kjTuUE|7rrhI94G^KtO8hG50k$^m>N4MkkljH>Q(>7n6VVYVnMMj#=g;SL1_j^OE$q0nUl}_lu>gFKUAgl)jOo zf_J#bjd8i!GN%a;ov>WjTmVh_8bsy07E3F<_`);X>&7Cp%F4M-Sov(bCmspBWWqU%%S2R>2C*1asXm0%i4ZgdANg(R78^@QgNn_A0YdC$>Ao;vH^CkWG#cg zoXHXxotd{PG3N(q#UB^|dVVB98H}GU$%sFG#|t#c`LR6le2avfgR|d~U-;`B-aW`d zV7Z@=?pK05UuH=DJfuZil<|+jZe(_T;3EM-xVO&bU4S2-M4u0K00Qj&IwTR|KJr*v zO!Qzf@9AI@V*%KJ_H8b*$MHYFsq=66<~Jl}VkL!-ie&k>qciWgp!`#Ac!ymN%n@*m zjQt5!JrU3WMe*<+3OF8EmenZ{$+?zD@-OdtN`z6Ey zfro}XAZSA(!9;xKiyp^^P*6$8C9($={8ju2`vt(J+kXcI22%#KgOMf}w0m|}=MYe=Q$Mb~0ACP~X zod|S{m|nK%2RFe`g0*`-W&Qz5!C*tPn}2hO&?@E!2%4EJtv+1Wl~ z-qF;)%s3^0f*kvUI&35m`1FGVQg^;+(s2Eez?teOAlnYcw*LH^X)zk#xhDQwK&PiCZy@NepuauC#l1#b`*NQ^p)wMvPisYB4v#nxnR z%xDb6(Vmgu8pp0jgY2N%#2qA3Kum->K_H1%=r4E%*3>`1al?BAvi@J{K+asaye+`u zfelap*)^0-lBM%yT11-;7SF$^C}CuErC zrsGc(_NUE~iSzQvC5rLiwGnww_vZ+6ss1?_f8wovhim`SSp1Es)}QvD3+r!?ZDQmf zkga9i?LI3J7=1#BD#A|{q z%rGM310d4Lz?_Z9=dr_BWr6~JA zFK3kwkbTNr2$pM2V7+qFG)6he4vE?n?>qo>oSHNSfl*W}){4>(E`KI@MRh-HzLUH+ zE@xt4?fh7pckG@?Rf9Z7c%0T z@mfzQWz;#PkC-J3V@*nkIvyHWQ`I*Q4exxt!Erx+(&yE40K(L!W@^HVr7)0jQbY)q z1D@)^g`oraq9+4EVm1Jmc8&SDmh3M88ZOFWsH823#AZyCJ#cbYNSjILT}tI zUD0YHj{+ENJ`a!g*#Ja0oY2~Wd5yVQ_EVx{qcNf17a7Q%bY9gq6RgF#o2Uy_r{*?Dez< z?UP^DSUq04v7%I5O2K!38@Fo%8m`7@yaU5Ci%KS|c@;sS{TM?;O6YYp^b41$TOe)5 z@_lw0n6a$oyfqIx9!n#y0yA{9!>r%7|3&@*5!OzaTJj2U1gcO`v!d;5hN3U`0E+g06LqxwdDMz(~d zjJJp0Td92m9odFGHycWRc2TAI{vps)jw8;S6lTdxXx@bnv?`6sn3k8b@7pe;|{Afj;X-8c4e9-LXrXpDME4z=$)T;9*_83yhB_!p1gosna-yWadYd&D!N;b)Ng%w! z)RuwRAWsGo(Wf`)KZxNschxyUxnhw7EsUPy^TCi+cd?GD*(i>$0TU z{61$OWGCtTrT|z0%+0%FGD>11Y$gV2k32SKY`Z6?cwwBjVy>-13L#=n39?JTxe9T2 zbuTEJ3LVPElPt@B~-_fSf^LPx?Q|FDV{+BFLJ7@%<9X6qo{kZI#eeRsG{u zPcUM4C6zk}mbf&5Xi)x7{$DjfC4%oWMTrmV2pKKG3Gb?4^R-ILMLrXBNhap6Z{Q1l z-+fahg-H;B^K=i9$~{Vg2o7gld7JyOU2c-TQ^4?0OztuxfVqgXR3pynz#tHL zs(u_lcmMsC{swUBD60O;{b#az5#UY2580`is0gDiZEGma27v`Yt_-Pb-c+QnL01D} zXv_fQNM8i12Sw%%l6KHJfK+Y}{C42}-5@wecd6%R;ID)<1OSsw;Awl@MkaI$+-^^R zkNq5P|B>V!N8~cKWGVqaN8mStrE|3S2O2{~V3yzPj8YZgxiz>8%sN}qn~~1}9%%i; zq!9;hx1SC}X}=s?wB_rCNT7##p8N$+0x!%PDXCUXmnonk7lGG+^_%Izm1;Rm zm2XG#C;lLYO9slStD{tRWLfYcGbdey z1Yw`0#;QER-grEF=iFD0FqRBgcg#qbq5~KoXt020$V?lz`(1$cvJeqQL0zOZ=i?ML z6EiM5eHYPC*LK*VUhKv* zs4DQoc62%5hj~GS>biY3rPZ`HC;}{&bU|hvWX5!*ql!k7#Bwk)mhL~m0&u<*8b?W! zG}GOy!O_@Vr-AglioL-AM!?dMLXK5guyqX$0`=c80B&6GB&mUIrjEE!0QMFUi1fXBr4!D$!80S91a~hXt^!!j&29o%0AGT;m`|vYFcY;9Y79}wW;}cK0~LV! z{PfpcsCTxx>!AL^RH^Two}p@1cKxJRE+^~pRcqcge#==0H854nwXbL3P;alCbk_dzcYs)~K zw3!I*@jTReOaUCgq#5!Nhw%F(o3P>VAPtRX`UCiEF{34>8!E6r%t&B(MHakj4{+Hm zRLs(OG1fMtFeH;dimX_v0GQ0+9yqB2r$Db}UR$znhy>%6qek_zo|!}%66ldwK|#>k zz*a#KD4PjwOjbUkSxHeT413;vp|xxyqiB4dVE~pMy~M#0TgKa{@kxviz~})ZTlJvo z-ppB`k?nT3t8eHYapf)Y-}tz}F&m$68AynpxWN&|H3h?xy<(YKBN>&WjQwMoxg)W{ zltuX1;COZDoP}Lgm&hZ4jbUDk4X(h><6nQoB|dod ziL+Pp$SRaFf?x=*D4Cz^@LhVb#ljK7&BnR;O9>Boh%9+P|!Ah<(2qFE% zQi=mw$myZ(lP0GM-mphwU@Pust1wk}`wjzqaHu!+5){BCVYA^Y!qfg-fqq*snbLUl zdpOj<+9OKjhlu9cd|)12gy)0FtwT~b3N&V~$LuN~i2pNMp#tTjS>rVaCb7GwVx-)~ zo*}%LM*ByAhE)HhyLP*bRtd1mnVN1P84V53Siwf|)$EO86Xq!p zU`f^Fu>%G7F|gRQpKzDlcOIjOFxOVWr+lHAgcb@1v)l+=RlLlY2c+su+?=@(cn@K` zfhA}E1%DGOIke{9Uc;Ova|-t(%+#93{ppfn6dZALvk%}qgF#nxNbn~RtGqP?G?j@o zWv5F9jN>BWJQD5Z%tZr@ydiwf2>V!ucmG46xeAEiBpE^z~>U!H6(_YV|2$vXquUU@g{R<5b zH`bQcboTb}N+)cuPskn7cXF!XPt2vF@3a&rQ70KE8$NY*o@M*=LeT!zx>Fxh4k9Gu zkXT80C1pR=0{dbX;`WQWKQOoyP2@Cg1p&+KZXpA!c&w?MhPPlC7b+rWoUaKBF0rB`{xraWczg@Y@k7D5U_m- z8cffASBW5tK*VB@{2plj3t0v-u;1uHK93{|XWWuUrv|6n)*TcOc18sEGs|B00^EY& zk(q!n#+HqNF$Oq4e*{8INR9x)BShCtzk{$dq?=k1IHxqlV-SSUGijsO*bxHVP+jzy zasyEg?(e-D!oV24gMQ8D(=ya@5qy^?u*AsJ`Q+jmJA0NG5p_4G`NASEatP1un7K8R z9DYVzZE#a_MMRbu^|GXrDg%%qoW-7L5WA_iTwF(_AkZNF7?^p$j3Y7$IS>3hg|kdO zT;8LSjQVM9{a@{{z8c=v|3sGtq?f_qMKSS(UqFO{hgT36FIpb-B4hca$%xw+PAT;w zpS7O>V}=jh954x2F>yculz+QLz!#6es+Hf7A??=XVuU^P+m#7qY4Y679Hjeaya54N z{_bY(e{dxE$Atu%BBUepX993j8kJB2h{7NtDALnhmini&6F!SXhJS?Bkxb6buRqbx zPb~Dc{LWVh2sVK@g9;+T08IKYvDFt^70m{%=wE^XNa0mWlT5`VN$U`JSui z@E)X}KC$k_(JFj>D2cqC5$W@1s!;|cL31y|NSaPTRPzP+Vn3G ziNC>C&RS8ppqM4S8=2u_|oQQTV{5`G1d$O+E!u1||JPsNcg8Kkq3y z-RmT{zuYEBE04IrhX_g@r2kwafd)}mwDTs@K-Ir%LmB#Cek0$b3%{<7{f{tSi0>-i zUxFn69zCnT_($~2{+*^$G=jRyMGA4F^EDne%L8W+rKD{p;Tm~OLc58))k(#L&?lI` ze+lN4UQ|GAQ-2GL;V1re3q;-;O9d>DK9KVzBI8noUD9Hl8=RguzaW75sTN9QYEz$2 z$5H^nljPnh1Q~abSu3QI*IDhR(F{~`EkBRD7h?P3FqQ?=B5uS4p5KVk_!*MH07M_>tQA2>CM@3h>qCC%BlocrFGu&$wI@n>d(a`j zRS1JgS3Mr91CE`ZJmWy_1@!cf^xHEl*C$d_oFo{%1sBP&)vq5Ms)DReMH)Jf5_r}7fk}* zQft7FmVwck(J`9<L^n!evJ^%2iytZosVfoSUy1N9f}J?G<~=iE?FR z+a%6tzc%CIQ+m+53~-oCIG&Qn`#IXv*pr zLz{ox74x;LU!Ki4@#v!j-`RWXj3eDe+VNyq@~B+$NPY_5heCy(@MLP?^9Jgpc)!92 z*Ie~|+nS*GZkl`2;K!nc*Kfo~mmue&&r+QATWLg+;=T*&P+t?15d zdg{eR+kqINX?!+#3bo4_bSgt+_SCEYWG@QpPA_}dN}`WuH_r#{pgQXqAJX6dre_E(8jELNl7C=?FuADsqiImEI7)(eTnFsy;XAR_$|}x&cf@ zA4VQDPAn$4lh-kfBT9ij#-}#RWGx3)8@#_jL8`Xz#URx}pQRt36?r|>PVFhlC$x9H z?l&+~J#Oma57t~AJS@V9?aQR!UC=fxOqQ>`k3IJxsDxCeWI6G}$?^;@(2pTSZmz(dY4uA@`tH2Y1<&#Ven4b$l_dq`kkcdR1Chv-HZWR67li zJ_fl;5B)PG+N^Ox_NNgxa!(ca1B2x0tZs?8*}3ba6JAq{8d&?BF|O?|d!s0R;NepL zLoHAn0%}Jx#*O`%Z!Fs{zH`}nJ(^+T3+b=pw5u0Fe$~2l|7mg^tW7(Quo^}^>^fgh zxAM8W&(WA3UHscnIuzF6_2qjuW9Gde4qx4mzV6cEB~sK_(?M}>%){j*1cy7Rj`!CJ zg41vLFuo9z$$J5%y=v<;>pv`hQ2~8BW#wKl3E`HBp|ZUH_O`_=Lz^t$ozgZU#DAB; zf7Pz{n-#oYeQ_imcjsT*n7A_%^`%6#s44g@8mXJ|o!aXI+&zr4lohdBw09o~3OpYV zE)TlGqUodLoS-#TLt8y4!0n~{Lxn~s!$Bt?eEYI`?qN<+OP+&!49Z|yu zi`E4W3T$yJh6xyMhs-(r`E?!5Y&$)Qj_Ne@D_T>xV#$8=sA~9&4p&azvhf)t(nwbp zxOa2wgLfWaH$p3mVH!9cb+6~o&Pdi=dlb*hE8M{8={oaE4k zsfvYw(5=8BSs~)k=6e3^)D=T}iqg7!*sN+fs+vlZt`XVS?+eO)Cg!7JSPrEK=$P+q zL@(V}u7p15AKy$q-VGa~aYh|>KUU<67wwQy=>p%%r4r&Zlzh?y+vI`<<>8zKOR6b7 zJgmXdU@KY{kD26DbSr`C-dalM!Dz?pW>Q>fJicU<{Ux%r@MJ?u;e^RJX2ia}ikNn~jMn{9W zQrT8m=)$LbWXtK0OY`=jr_BX4k~?fW1bG-Q=Qb3Ra0H*UkZhaI(+cDT2f_(Szf%+? zI7U%wHB0VYh)6(+$d!Bxj!8ra;|D$g;uh{Wx^O4TaMGt_lv-M0A6Fh+!QbHNXqqvm&=vgnefnv$$aMoQQEzJ7nN6Gy%U$q!{B)lCqtrH; z3Ejcw(@^vnf+=e~K~Rxci>&m(eHZ1uuL!+3@YLZIJCzBYM>qI@O3~nNj8owEq)V%) zZ+*Y{0$zOUyWiGW#>sBF5BOuNLtTu~dNw;h@Hb(>=_je_CtuS~)+LWbz@L=cTEq%3 z?siMte~aMHpUH)Xj`$A6eo?Rb0Z+U7Y4!7qs@mO(M2xQE2QFL>YBajG+3N!c&uL{ZkT&Ij9v zPFhe;Bxxu@Z@dZM?Bw9a_P#^667Zx(Q~I?=xFU<2B6F-TQ$U znL8`D3Y@6ls6Ss7W^#_sQ4&N*aKnvaxC&o0()fS=@5`q(%+cq`>Olwz0Wz{_503szCT!V zjxom^b3Eg|$NfCxSzL2Hb#Mxf6?oU)>)%wJc93Rpd{zGR>U}x?%9ZkAD~c5%-kq-> z1gc-}1ZACo_x++BJ;>b8SP7wYzx<^Bi_hu?Rn2BJCLYcmayKyznpdeUiO@cG5H#|+86wUfXvK> zpg85D4}MY|f^iEmX_lv=j49XyqdHjYGi&I)J7Sp7PX}DD^IQfmeFALW#F`vKTTez^ zFA3Nu6hG?q-%kUtdh*{7edaA<4SpcN0c$cCyvXsQAtlD7@AYcm&IOAMuT$zepGDT7 zwP^H7@S^4KmGNFo_uZdIbv)Mi#Jk-!oN`Iev(_u!uh&1o@9AMCUM<&gfwvEBsXn9Y4(dvkSyVftII) zCwxQ5*QdkfmhH3bW%w5FF|mb9@`HjG_*Kb5q=pJ(ZF-*=~| z(Ya9(w;|>;b3e)CHI#LrGza2G+u%)|B4GuiajGg(kL~S+su8a4rm-~Q^`am;_^=lsM*Wd@0zzKsjcyV0@_SDLS zHZ{VugXVd}^l})!BAR!%kwdgxqe_Sd^{k5QcS&En>a>%_3uzN%bOzv6{;J&HCmA_t zK3j=RUty11j?w-q<~7aC^tEA6^n*-b@YM2Lxp(kgjSt^G-=d8EX1$pCS$uy!H7Okj zw&j$kk4voZ?vtS?QdnbHl5L93Z=dXyO-9ajgvW4Dbh~z)%6*E^)D37Gh zmtPm-_z;pja=Ig_D5(D47ZL2KtRcEB+54?fFWM`n&h;jA&MB3=;yK^emghAeoU~;U z!m25{?f2IK!vi3 z-Y^p<;_G64D8k0!Oa8GKpEi1(`{LfWUSkTTuT6a|e$$aO%M~pm_D=ONz5n3$(reK! zp%3fWvqREGE)y3d3M5YB(vI~`kH`A*KdSQFSwEyF!~jLK=b+g1HD`f?xnf{@Nz9Dr zlJBfC%MD-o+r>#@-;0u&zg^kP-{X&Q6>f7?k#M})kU{N)E7X_ojFas$;FMH{N@1lb zh&p&&NHzN*3~wbzr;0B{Ir*jeAGpkG%m@JIkgg$c%af# zPzoyaWRK^(-EU!2sP`t1xO}xmm)an zb^CqmgS0-I5O&F4qgl@CW921wDXXjn4ktpr(|i|#?6iUHLUBmW_I+ab7yTMmC*xOR7kZnqzw1ilo;=O=EqT%sYE-=7eyEr?+hM=*HsU z4{kojsH&!W)mx?~K5P?qgPY8W{C5Jc9hXn2rYk|cK{P3O^Uf^ zTt8|Z!?}#UKU9!jOk-3-RLsoG-?dO*jo)E8cRS%A|DsN7V8VV1=)^K^e8IHe^_kF4 zVvq_wx!GcxgfdD(`18E1VW*YaY`LC2J2*-OFr{q_=8{O@t?aWG4gh`v0H$o8tS4v# zM$1!`ELDmm)VMICRspyjwfikRZrLqqVA{CEy01#)yHEuo`GepBIKaSq?AMO5k48Gr zg}#RXAI3ERrnZ|vfE$GLNdUlEAi$ZV__G#NGY&d~?mj)xqsaPY*XeM=K_}-Z+(F2Z z?xS-PdGTdMyh4r>~SIQ*z>zl;=u(i^~E%7z-SE#V}zGkio9uZ_|0Lz zRiqB7P@-c5+yR64sv7&7q#%{9JmwwcEQTL&x*_%HrTHzJnUm!&Lw1D#yMkVLHY#1v z1^8w`_@)4Sp8!*PFX{n&<4DF^xb4AiB7#Z>S5@`yWE*SXNm0aJr3AF!WO70%rCUuNJbbfVv?FrmuQU9~bHL1iJAj$QCAGbDG0-$10Db|0zw!bQ zrJq5RuCDJE9tFF+5Ne1ueUddS)|+IjW^LK!BU!Y^XX1o3I%v!&1IqK4IMs+j8uLS_AaUBeZdu;#wS%H? zuy?5QzifrF{dZR=2abj1^?$xb8$UTqH3c2ce}4F0;*HO}2b-TGx2z0ZW_I4B~R3 zoCPuE-*mU4ma8iIm(!V3-#7 z8Sd!{P3*b3C6L1Yhd_axEPM2YVvw?7hhWLiBT~*OH{GGcu zd{@u$8ou5>$Lo*~r(wnQqhhf_{9{OZfrar}UY&|M&>GzcgNW*h>-MYk4c z$$21TjPV5^7DUNi0hv=lc;){&ZGDK$q*Hm@9KH z{BTc(kmIcY?&+L%#fv{;kAKJd2d5+K1upSHzeQMsPS$3R{|iBML7$gd+l_v&vZ#Sy zG1Ygl3IWBC{1gI&zK{d@5s++IA-u-yxMxKCG<;4@wSU*{Nwfbfx|#_~`1%h^o7)ZH z8L9kV44L_^c@#Vl%(w_MZ-ya%V*4#H{DT>LRmg$j2Pjxh4_f8|!7?`Fx;7+SiS$nK zbfBO_mbw8QEGQ(u_UCQn*y`n9%C(*Or**#>?SA+EkFfdc_x~3ivi08xCVu}FYC*B% z-ytPFx6#3rrd+?1i|2}F^L8V6*|DNWM_(DjV}Y@9ZZ3$fEIzYaC3tH~QT}nt`l^7U zMtypKfyjkh7$h0+CB7Kfk314R=JjBfTdfaRD{T8%%c_^*sg{3h*C-;B`4-rVTpR&= zkwcrmWeafX9B)t&wi*a>w-`|i8!}9U7^&x<|C1f@0=(qyR_O1-)h8AFY>v$dO{fo1 zb(_p8n-%Px&5J>4M++!b5~Nh>Zt00)(ROo6NL9CV`U#*{+l)^4(?kDKF6~py@9Mz4fYGe%M~6eXt*=eFU+b_=HQfXqOO z^ALe0B-DAI7E^{4!tD|Anx}Cwnm`Z+Y?f3pg=-GsC{Mw@R8m#g*GFnT1`zU70oZ(= z8|lj=_6I8HuLf>5Da;Uq;f}6j4hy1xK8Oul*>CzwvHKvECUs3$ULcmLg-peOk_714 zl2DT1B9tV!I7qlGpt+d4g>9G`uQ!zrjg!S?$aS3OeD<&NbQ0$w;%qKPsev+sRKdfzcP$Afpp-ZT`{B zJi#K0Tp`BDo4T`9kZF|QnaE#eQZ4brtU@&hocIzIaVbFdqn!eBStKFlx4Ph5jFHI= zJd8D-xRNTQl3I(oE6;5%F#S3g;GWNgNm;0*Vy6WGR2y>GAyDT=9)*OZ6FkOn9&#nV z{+ghf3`~b^S*r!rhRw?&*tv2Bvbw6efbi(ae?pUwooDEaI;adb#nLblBZ_+;9QW7yee)J6H+kJ!^|1i7rVdt~i*FIAYmYxm8 zOC_meGq<+C28;=BD!cbr6qgj2G}u|veVxLM@5I$zray{kf^#t>lJ2(=XeuF0xH`K< zUyMBGIK+f82lwc!-0(KY=;O;vcrr;4?|hgtSCm&#vSw4D>MB}u*!4jbT&7SH_RhXi zoEI&arFYoSkoDkDN>*z>vEFOnf^Gz6gBeS5nZm*wjwY!@s@bU&5LMZX8DLE`|BWF( zg5(l(1!a(GnaOPNmaMK(=PjN~LWl&IjmU_BnMMhGXD=s^pTYu>!joURc9N@&zyuwt zlf-XZp4{;U9ZG6-<~HeyC6Jg7Nym5Ot}IS5?G5pXD`DKCFx8l56Z7fu=5Gh|BJ%7J zrFF=Ukk1P`;p_mGq(s0fRoQqyhl@%=Mki}38tD{NDKpb&@pa^;i!MI2U0GZ8oLs@r zP%S%!eT6Qgji_WU``fOVsT3wPm)jR+hz?#&^tNEn^d>=f14A)Kl>injwt{(0fDJ#( zDdlLRO1&hA5yEUHBMz=Gi1S<)LZk=|sJ}~w;VSz@aakyl;()iujo2>!GHYC>AN_VU z#czfI6<}C+dzgj9jc0$##-PlprbQ-3yCkL>*zxu003M-?`wGnHHOvePyRa_EsabbTgt z`58d(nwH{q7m1o(H#f=k-O#AQ&#W#7pL^vB&4!3+xN(ILhg>*80FVecDnO3}b&#!l zOIeA88w0w6o-ID0-ih=BC`b5NW4I$r)S(p4v_U12MLy$G&^&*)Z8QG%Pjk#MU@C+c6b+kp9dnIg%TodPZAE{^V7nnU70~X zUoqzC^DatXQ>tHi@GE#n5Ow7$+%>wu)tT#Db^ML~s{u*80$7|K-ZJ%Y8U|$u(A5;J zpdR8j?^!KiEO;W9(xNjoe%F`Gd2}P-YJRlfQ)mG`1rP@0j*2b%K$jl_^9<`GL(wak%$(!VgaJ$LAb zu`19Y31LMaO0T~!i~xOwrD)l|!vU*R%fB#0e!=oYjiEDF77I1te!yP9jR56-MH3+gxnx*@xXb+qcr?7I_niPzj5jtIfPuO z1hQjZ^;tGXNajEq|Kfr;n4GG`*qB&CiiLQR6xG(E#?3?|#i-`QDE+!b-g44vk$HL1 zQ$+cO%{3P3YqwSDT{QQ!9=P3ZRAX)^@nnJb78g{Oyq^qI7<3!$qxL4nrH%tf#K>m?U*7`VDWkPB5Rmh#E2p zSl{SS7mWc>!pscw0@a8IHM=h!>L||4iW}Ki6Yl`QP_Ia8rhheP73SrA+!#jl=`A~I z=UgVAy1CbVa@;(c8CNueu3-o5p7W9fhq*FX&)%Gi4splLom%qVYU0 z)#;cQ3=5AGl#fhRY}&IwjcN7}=N42p&P_6O$6Y3-H4>#ldVpz|@~#1IBzS)K!koSI zDPlvVG(%x9a#XX2T=!<;l!2~rVm5b4L0o;6CrhJn^#c~^WNqQ#U7K5&u@3h{Q?>?% z#xhrMv4Y>B8Cyy!8u`jd@{s5qrnEx!^|+CNNuri4)w_FEOj6ugd8!l#onh~9Zr6}X zXwHMsRJOUv#K=CMc^kxyv_{jwjVQ%}{4T}8c91t@|B_0>QrgBd)>l40X-wOf3RPk` z90c&SQWTPj=F7anZ~t#<3!PF{0~h~)@I5GQOu&&xkiUn!um@aoUvTDq-j;r_Ko z*??YLb)I>RKB@jWWX20%2ER5y+8iM5Zn3Tv)>ryeVX<$u=<~+FWD$YEWWv(hy-Kl* zrXgT!^b_iDe&I+nmdcP7v;Uf*{!{;8=>9MPl*k4)j9>H4?*<#KC@&94ilm!&7$Uuf zDO~f$-hU6JF?zg80A>Jin*cY3W`>yI%4dycMu);Eue^M3SQqhub76!yGtOPx$?J*v z-N}*`eI)?54ZE}k1%LYpFTq4)AOveDfVJU;=PUCl2-b24Ryct50|YCD9)MLbZM&(y zecuX#5;L9z=Z5zQ92?*=+=YZl91&#Ar4g&Cbe)?RqW87z7l7VMf#3>epfyAFZV~Ow z!;g)!&DxUIVbBJ$JDLA;uTLr%aK6y?0@hJ>{~zYMpXSCoGz66IdL9X=&tFl?pfQ?u zWGIZeZ~>x%xt{^z>pa$IY{f`5$08snb|Q>v!9-E{C})TO82po|_ce9o2O?uR03Uw? zvLYE73t604qq%Zd>b)aaw8ABT>5_H@8m=~)Px+I_PccKN0cE)6pd~qM0tS4TG68XP z5La1-#W`%n3>9MUq67~SU~dt0B@6fMlO$2Mc`_WYuiay|mzDpf=7j1m_U^*}Fql1s zXIt5QAkol4j3LbHe6i+yFIgW7p~fv^XpY#PD;_*;$`aa6Ox^DwH=FOx;zG?6xmRT4 ztCdOvOctyF>};&KzsiE%0NY;B;<}~{_{;cCC2zTNbuUh^61rRo$ZG|W*9s!9^}5y} zcqdNg|0I;xRIA_I=*h@*OoY~^;p_h~OT*ajBX$0cTw zC#?eWMjAuvtRK=T0o0igqAx)`%4^PVlkz|hE34xAjdQjunzGF>@2Sl7dwIXe zI(B@9)fu0|MK$Let%th#r^IzUUmYtGfbWXGs^ z_}DNu(|;$l7ia#1{_IGSOmzKo1qx^UsX)>FQn4Jj+`@n~!JkQBT!s`m{?Y^HnRtGn z*BZmkDP}-pv^t+91mECYk5&K-|3hc_Wt!6!7T-Wp+76_2>dq@eE?J1iIuMPKz}s#> zdW2mD^e9i+V_M(zh?^=1s9{e;FwhpIU?A{4b~dC_nT=nBT5k~Kup ztrquaud<+lzx6cvOIKVSqml{+g8M9iejW%LqQ@VnZD)&eaR-4brBgmS?c zZYp4QE^35u4OSIQ3N0_1KI^KEkl9N3yzHq~_M(WqP!0mUC-K^xCJ4-MaFS4Rkm3K)O&rh&g<_S@3%o<>BA&3*%p*XQt3ka|>6ep@eabh8G zIcZRwSOUd~2A~Ih?VE`PQ}5Wd!pch?V6O~6zPubVjV@JF{Uy5%N+tbir2$k!G0vt4eUn-0Ww6JAYdom0s*_3A+Tc=3NSZnhC$dg zdh|k7^-13fc0-W#^oh=TPd-6cOm|mczu=0EK48J!k2swS3<^au?YPMKiKewGJzFh7LnAvq5IY*D-rc( z>~LwG>iVK;<9JE`Ucr@zBa~Gpj1dE zumz^9nq>MvQLCxV_8N5tnS3F{9sS~*(xaPod?1Ozd|?UwEQ_%r{eD%AK)#2TkOSrU zIVDPFC@IA(0{fYif?+vy-l6Hbr_tt%@)AuEd_KNA{Kb5PpiucQq~x&7<3RR71b^c%>j z{7hlYQT?8>`8&&jV-b|%dTI`{X#i=ASLz3^#>Mk?UD3ZKrrc;Q-T}!c5hzV_6Ubs;0Z7yQmB-k* zk^NZi&(xH?DpC{x zHaV2p zkOKL~;jX{wn!Qu>?-Ej#709!MR20Y%{){PzO@~0f?XQFsRTn?($2#q$3qgfeFdCGX zL(OI$PzPDvxvUM+c)hpjU=Pr=0;S_%76zM)H5$Kc>slrIE#(NXO#O*v^2`5KnN;;# z|IJLQr%GY=`fnutnSMN5m|H&==1GbYZu|wcV32$5jW%+gfzXc)G_36JPGdIHC^8XK zf^~r8saeEld_`!Rj|=kS$b}J*FI>5&sUTmd3}Y_%ExEX)S>lU4fi9m1>BNR-V1JEY z3{;BD7O0ezg%P~h%1B0n0%Stre$X=X-~=*af#iV}9%qQ9c$9-FB1Ij#B9Hr5e7I+U zDW&-=M~Ni9Q*w%zp(a)E*D`!L_?zDNMBE;H@bfDGh`Y&3MDh7)?l~j0nN$pyo?(OB zYtr@C^UV<4G*@1kXU6gaKK!Py6O2iu`_h>pt;`AkA+8ho32|y^rWz`L2#@ynlp{!c zFG5y{*X+U=wLrR@ANmp?1kucu+}|AWLI1x}O$MpPy~ z`3yPvcWKAt)Q{iZwr;%-nEQIE>pFUr7$Lc@sKBYS<8kE2hb#i8Uq629`}nP(b*my^ zZU(IjygJhOW^njmdF$5G)-BQ2t@Ev0S*=^J)~)Q1-=2K@mhkag#K(uyj$S5?O+o_A zYy#8d(*MpTrFzXCPtJPF1at8!0FM)Z`T9n?)uN&4VV-5 zpW8(362p%2y-NO_L122L+p}o{pInSez{kW~;pSnLE}FpJG*6wgNoBQ***7oZt^@)t5Et%DFtVeuCQ@L z)q|FCtM$h)C;Kb-{gnFaYy;Jcxr&Sta04L|%=IC3Guvd9O3oybBz8H4VGU(2P`&lm z1Vd0>k6zJ?;aXCs5+z$Cocs=H)mAN!<($0@vh)4W!)zzZtn38@8|jWBMixi4KRNvN z{Nytwgm%M3*h|ZyrhqjzvUDDS*HhnZ9fWFoZ}-!6-M@73_=anKxhDhfPP0**w2wim z3z7Yt*%LdWoM>$odDx+=<1tR_mX80NFLsmzPSk4(^6#7ir@D^EGp$>X7pu51-!ltL zmkE`Z>M4)V2k=U@!*+Ff$K|+Bh1S0WV%2I?-43Xk=c-(w8IK4JuEscxzMbpw1V1M|`gL>iDmT(V*i@#$P8MnGz8*rd zSxy0yoUWm@9BF*ej_I`y^Q3NfPNtKUw=-RT+!I-Ik%8kKc1rpkVdHHRP!R|!aNc1v zBwgg2xO??Ey04GLAm6}lb0phWqQgzw%5t9xNt=FEZCA}Sd3_9Y&SBynGhx@%krY|D zP@@EX13R}{(NnUhwUPUAUnZ^dWb4u&!cHt#3f)l0B3Yl8l9e(Dwv&y;7nWw!Gz1;? z@zp0rzV(P<{*ctcl69^>-_6vv;QmE<55|HU_X^`OCT-@F2?IpDcix%Yw<{;^-d{wG zjvp3XH|`kg>=lzxZA}}>eK4NN&C&WU{owM;MWp0&UaM2_SM#$6lvOGgbB(TV#>eyU zJCW=eoJkK>p1}BaNsMpJGRXO35=0%z82Y&1z8j$OUtV2@pAw`qLX7sqZzQB>`g z?cBU7S69ZmSFNsUmbwv#^3IvnZBFO;-HSHLXl3VJj|7-6THR+Xiyhs~V47uBW*nB$ ztzpTRp(nH>%qdWJq{!b_*BG#8pPp7)GcG}08nhfCaSA-D$GDr`XBsSOyXk^ji&-9~ zD$-KZw%DRqYw%dQws5_#9O34=zPH8f`l#lvVEE+cyBW8#=P$7zEq`mJuBLTC@qR*g z@)C76QtUofyZOOOR8wrvyBA?Kjb(l*unb$yE(ARfrxQAslD@=6{N#a_cBp8>&db?@ zN19Ui1eJk3%P&;X+ISW!@an8y(1cWF73$Kj`F3EDK~BDUslN7zpU+?XEX znBxsB3n&=CSm)ETd&Oq>P(ZviLC>qM+u~tG4&lUHk#Ol1u`Ih_QM#V-ES%e150)iU zA}9_A7|eo2=X*w|X*91BS$yVPW4dVaPF*HgSC)jrlET7OV2bHl&L;UC7GId>YW;$f zn=gj;^$I7%>&ZOws$hf(f4=S~^%r_`)A@A>mWKCMCm%If;ukMHN=~)p6lks*wlV5* zn7Dk^^Xo=CAujQ2`(?c7S82IzpD%aRq{-uk*$KtFGL`Qs4Q17#^2i6zx_B_GsCkk1 z(NTn{X2EkMw?1)95pq-!n0iZdVosrVVkj+QSH-96ZawddhtDI!f@`vom$_M|^}`gn zXpyB4;en_ndb;SH4LXb1+^m%E`p#Nl&=lq1w`PsJ3m$ z)$$3P5U2M&{Hi6mCHBhY#{P$J!8*>`S(7P4s=6bB2FE6B5{oV6A<+D8%A?M^DR;Ms z7N3GY?WVwbEAR)5%hOE&wF}x!()EN6>Kh~u>h>LXf3Nyc|V(|5Ts z%syo(I@=rP_J{Bp%bvnX8&xH>ziyO-zfvqto>wfcyi9jn7mJp;PNQG`MdXgncmQnH zD^)PSX2(V?Pf}F5ShvfI)Z2abTl>!QJmFEjk~}~OY38&i=7ZhJLs_Ih+uNl%Zxla4Qke%O#UVK%E$-9h+Sgs5u3I{;b-vLvwNX4zA_Xt%L&}q9;FEQ<_*} zv;6Gz$FnvXehk#(_MferkWhDj_4!99mrN`lmHis{?q%*d=uuxR{-M<(i7J>C@f42> zYCrpK-)Ptr2e$r)Zkwb;mD5XM!B1N`gYjAtn9<$bVzV?hgUqpZMU#haVH$h6T_hG6 zQsi0VdZ5L>8)dai3`p_fs{rr$bop)i+Y=*I0U{YTQU=pB=6}Vi*tF;*M1N5^I0gCj6oPqvFr+>8up#RYt z0^LOhpaWV{K+O5o$q&%~9{3N?BYuGXdn*WZXc8dMfAswW^ncQAlT@Ev)6&i4xT|0K z6bFa$Si+G?w~20OrAM42b{W#Wy0S_`P~RYQw>?1KlB4@oZF4Y-mt)8##i;bt-dBt> zQin9$%HcjpsLi#IBh*T6a>yA2~W|erVMgf{uaLj}`6jcTQ=C{p}q?z@Q>r zj*CTw>`{eEEGRAKWKM|5`r)|`dyL~&Zh~i7(RpYU*qj$+3BRd$>sVN3RB3m#W19X$ z&nMN}L}*6E=}2}kJXlwc*3NA0?GA9rSIw75#O~25vZRPF+MNnDyDn^!v>|nuS3Y>+ zYFH&Fx`g^Y#uc@NC%UN8geP}|v~CE44{0$#D3e!tk}!Qic7^;QAmJE^Jz7Lw5Py^` z&iDh0XLS0b$Wb-G1fGM!8eas^dC7|~XZb-4IO2>LO8J#%S_0Z!=8k^B1*$m!U(TxU zH6@(&2?)WJ2x-QTM|pGtUjq8M*$O@sgCUWCE?e3a{_5-pM?sr6NOCO(P2IC~{(EPZ zJH`Ld8jh~bpt%Bl=n6sV1_pda^s@o)>z!HY(WwdAT(#_k2Kce}A6o&6o|%R6>}TJh zQ*JW>8vnf8VvjjP`z1phlU1g*M-#{tH#_Cvgfq)t&b^2 zvBu-kakw71T?k)##N{VVs_FIy|4_yv7OB;5J1Eh=`l*#NpUa~oM+W#D`KNMibJw}( zo#_n>=EL<3GRBwWr2&s(2y4>GrY|qH($T9k5Kai8a`wzq*1MY{u#|f{!Bb_jUCV+uBKIaZMLg3Yp~(yKLu41r-(>7y1|I6- zO(0X%H3!3aWp6V@?_=E-$}P)FbyK=K?9Mp3Fg{h|%BUA3FNBOzBS;6~${WBm7WXkO zrec9%;HCV!hO$`ts$C29ZoP12LJjJYwy`_J=2pz&y8qUn1NfrhcRzd)C_=93&m!cS zCR2f+Or`=sc_{;bX$h8br)GYc?wJ>{vHRggCQ~U*7Gc_;`iu!@)se~2BOrBBx?6Qd z!n3|X_z^4xf0@sC2Xwab_vL$7iN8AgLB^N)vMKNYL^bb~W=P9^@9du8KeXoHZUqei zWvh9AP&&mNBI%K*1f?IG{)>n#jy3pGG9C% z$kc39;Qp^(FBVaZK!IV!&u14)sRJE+5NtZKHmOqKw9Mdg9dx z3EY$sqN1hTg(%GfBBf+Rt?{9jsMbmhBH8vGEKzkPqtL|Zvhj*aLevk&?E}WWG>^l+ zP5szHP3uK12!yheK_K+`{)$>nq4|m$W>R#x#=$OyWs-yiOXejb5Q5}y1M+}~1QbEc z`47cjHXekQC;(DR6aaZYk}I+Q2Zt7Za7gpuhirg0Z;)oCN()tII&oG_#&OmsBxDGy zA3TK~^>m91CzLBu6*$|({-HCSgDy{yvi$1ohiE3MMssqbB^G)8U1(+P{`M=zs)5-PPc7B`jAAwne=XgNr{{?a zOE(1Jml<2t3arir3EjMyI7)KO!h+YY=QCqyi}^-8Xw`cj>C7$*6LRVjr}fAoJ}ExT zBc5`#_jpNslV8B+?bC(Lwjv5^M)$GIx06w)_2q+vCm}9ln$x|Gw)>^6&xl{GeY9*e z-PI`8c-Q+`5$g-p`A5CPjLJ6R$Kf0F zOWmizigE}icUtrG#PXz!Pg84mST-Bfbkm7tM1Wi7a7 z(eKW9;%)PqR~lDG(UFK+I-;LA(~U01s%yA{+IVdG zd}aNUcFUSq-O$gYd>F-^_4LPTDJeoxzkHfBFqqmZB-A;v=jw%? zH$*WvS(@WHPMNBMSM#0!L}2|3nV6pNkXY3wO`Mm98B8b?k06#wEZ4tqK+o!v3JMoFdMf3%}v7OY`Q7N;~%b2%P%zE!vw5i>nIhRMv7}9VJUr^1k^Zhj_jDW(rqtbP#PQ�TB!Z$)!6YCzr5ic^g zMo&yTyHRgs#C@$Jgt%O$l{PxIe@)=L8TVdYvwA;{anDG>Kuycz1uZ+;y*qKyJJD_d zZuM=>%rC4j?kziF7Eme1Lu)DVvr_%tGjVu$b22JP|Z)h zh8;zruT=JO;Pz9c`(qj$cUO`L+qXf&cm=^4QWswI70Wf~dvoIC`SW*b0w;G!&yj!k zBrsSKid!mcH#|u1l6`Kn7@b?(v7E@$JAm_O07uzX_A2)Z%E-K&6%SP%8Txo0E+>!h zH*M=TrAH0hFw-_$Avz(m$t3RKfHTs;)*NGleT=2 z=Uf}}u8sm49ZIHwuXcp!!>`8AXDCi*)|dJHeLLabYy_ljC1y@T5X2w)u*q}H_6@!| z)%4|8q6E*Gx?(5xxn_}Wt#TfsVKgPxRfh)6kVVI%GR8|7Nwi@X1xM+Nw>7-b*0c4X zuR-$1A51tjtPNY7G@)L^)-_UO@a4tY7x}uWCzPtX=)3h0qXm;M*e6YqMKsga3|n9M zds&X}n5KNhGfG9_F>^nwTNZhR)A&{~#=bQ$k7V3?Y;0@{Eh&Rhxj7xX??q&%ZD~m9 z0=flv*J9@;FB@~3JTis7eYCA`moc^$K8_n#XW1#=PS|B${y@qE^P12{V#XLXmH8{7 zDBtL)u9=tTtG6G}^EmG;f1o+M^xcEY1g)NmgSNLaaMcj+YU-Gk4Ti(Rx3D5P?UtKY z$IXR0BbV`nIzz;bm#&IaxnWVx?wOyo?#*q^-4j_nx9Lrk^WM6c>Apx*_~2_b`LPu5YnIq=qI}Eu z;$BQ`xavo+=aNQ6ZX7vcyvk*3H zgJUa~_reB0er0+sJ_EZy>h_v-!#y-p$f4kK(VjqaY*3u5Q`+TedOl!#y8$KXMZ6B-F>q+|Z8|xV%iSBZ0l)uQ+G~ zE3T(-<}77(dem=h9yTaBRr|`IKAQEqj0N(*fOzROz9VH<$8sb6QtKO2SDu4v>VgWI z>Cu@kf5E4ZJN*wX%Hhyl{OYZL>C|<1Sipxkoa6c6UQ|LCs__@N&%JYMv$Z^YvH~hj zD@m)AmW7X=XR#BreM;;kPrtE7lyhl=#YJCmCh>}aV1J@ZQAF2wpL@r?#1Ud(`l1nA z!G0+!!`|I2GYrtwi}9zzI_`O?UgHj$IY5vZ%p?I$*Or zepeg0WR7`^Q9u{{3NpwC5g~+G%nKctx7EWR!u;=nk?TDkXc)PW%5}>Drbizx!XDng zOXJ&|qsiFGO}{_Lf%Xg(fnx+6uI}pgGfB)rGnh6k>y<3!e*= zzZJq6`p{xx$5hgr0VKGf2-`Yh3-aFyoa8ffWFs62 z4iyMD!lg5vGNvsvyq{B<9$kxv+kh+aa&P{U2_bg4{^h|a*YX(}p`cAy^pgu581%;` zY+7%^C1PrmqCQER)H{b}j(@sEkW(?lreA_$fOh4A#bq_g;u3KnmDoz6 zPh8)WDx@zX6z51MNo=_4m|;b2*6}wBd^+QWCY}e!;U%9Cw_{nK_tltbvV9Ll4hhaX2+Y83(#kwb+ViO=`a z7mM`jaBGy_3%wzKKu%rWAcAkf;kp|z?h}CwZBJ? zc}H7MR$_Fyq|~3V;I=(8-YDMf7WGo?N|y17i}Q;59Cn363%gk1A4^t-m)9S^E2bfF z+SJkPM@*;P?wD4@e)xGf1`V63C%!oBob9$(Ji{W&PHwU3Ca;GzO`J}cpmr7>Lr*3i z2kuK1Yg)az)jJQOG4+ZrCASCViC!&|E%Gp|k-)51H}_{f-;&DSTwJ3ezp~e&Lb6iymk$)&GL4JYW?_`c3MZ5&=WhnZV-de2T`lMSXkguPRG{fOX$X$wl2cY6 z%DbK?`@K9OTctEFJi077b&b^gq$8-ITbG5lc4gPJ{QM>>=1DU`?b?clUXvo`!x`h{ zugXCU4zLmLlB^9Qveo7(wXYdL4Vx+>iHliRuso0br3{K@3P@Z}!H|k(ltUZh48B)E zr*pEN2i{uQ?1y_-eD^Sr+bI2y`O6>KXP1v`2A$o*u&QukIL(FA@vxR2oKntY?>N1_ z2Gvq#JX4-+o0T%y4S$VD&dJ%qccT}4bPx0u$Z>a1k=1$A9v(f{V1&I&lw(oNA%28W z{$V&VkoEd3LQ>x&oi*Bhp{QKsZQ_o>0hJErGUAniMKe zfk!T@yW0X~xz{t+ukQ4L$xj@`bWE@15#MY{l+@{SSvX&wzJ{1GI55_s{EYC{_o!o4 zeXyXRL%D{KZP+#`7kQJ|Yv9qqy19fnYINq;VSlh7p(FYxQMS=Jl=WJ@Glvf4JR-PZ zn^Q*=k;te{vU%}ypN@Qgw)j)8#1TeC-ZA-phI0f`>;+e-e63(*_EbA&ST{aU+1OB? ztPLBmXIW5}rm*MFculXGhOKTrMx~pbeP=X(jViOHES@GV+ov~VvcSr8u+xe_r(SOk zOJhK(P0%Q+$q_Geg|^~~Ls{89m}gVV)Xl=233qYolF#EiE4}j{3}A-qZ?=`=yGm>+ zXH6P4LMj8X9eK;YPm@ zUKzXcqM<4&X?pdXu%XAZCpYz8RP^H%wBXDh+i)$lGI|Ltncdx{4Nx6$4oU2= zj%N*3$rr|%&q=eo#!NmnoU@hp>Qo~*Mid20q5+rZ5{baLNlWvEeNydV!3d81i0Alz z`VJzbCNS@q18>X>*%PXpPqfVf&gX1R+lfpY7Q`IY1t!JBSQ$mH?apDVTxGp}BU%{C zlE%QCajbM-?2!2D%4|FZS)&@i;L5`w*31nASy4pU=coD_ebhtF<9=(_ubuAG(e~3{ zHWLmXRr@SWExSfMWSJ=t@=CT_uKsn1i=1$~3hmIKG5)*Zi5fa;LzVQ1TY}+N<71s; zU0Fwi(?{a25@V+CmPGHZd?FyeSa>JNa80AEoGu)-jVqdH`Q;GDGZneVa_=!#LvOt+ zy5)JoNPb5PW$1PkuEAxww=L&qknwl;*km|dxVD^inl)k~(42a@xh2`?R~jOe&Y|WC zN;YLBVUHiy@a)%hTslIeP&?*45WK?iY$i4|G|e=oJEZBY;BJ~K$$n$HoV)9gA3-?m zO0RdF9FcI8#f!VJjuFugMlo zJ9yL*fs^UH+!aLZ9ZpwH{%$}@Ze#y7$*PaAdUE5U6g6J0$O#_7bS|+s$8t0AtNxx( z!TJY2I;WAWVz(^rRqdw=_B@HBqBl{egI{MPmZCqF{Koo4F{U|6$>ei_N`rzNbL zNh9l1)h&CIrI?{8^SkESAG7YW7kTd+h@Ow0D4R@XK0m5)JHpW0QV9)MN_1qD`NnMfk9rutbk5O(u%cnQ;#=T4y>Dp^f7NhrNK)*Z6939z z&2famSH*BDb6rP{cfME5s`#&`iJZS`Pa_yFV@WX;#m7h*+ghqX=5!sYSNwQM@8G@s zy8-jZoWy1Uk8(J%>qv-tbS-R&cyNcY7)U>G3P0s}u=N2IO@(GF?#Y%f=owFeN#gV!G0*>fTta<$ZRRr@S>6YA>kSExR}(6W(b@{F63S^e3Yl1LT5`XxWLHfHx@O&8P=PGJ7XC`gE|ATe-)PH*67>a7%S`1>?gvPac zGH`8IKb3}1eQM3f5GxE%x9@%xvo9-|!bx}AZrrNqow84-j4><27TAI++8a0~f0ue+ z^!;1xsudL{<*QB0N?W0Vzq3ZqN-s3*j zohC!?6Y^60y2-M^>(~ZUwWmQNd0L}lC%YKpIT{eRX(F$Pf7~QPa<)C%bF-M#EOm_v z8pgY}wl(|}wwW)smtzr74$j-V=dEt%y&&}y*Wa_jJ3a$(rb#X1VtnSTk||5w zLr5@dc7s#!&6`hy*<@2T+cN7HA?(OmDogGTseFmo4#C6en&4AsZtgp|WV*&6WbG;9 zlV0+W8>-p&qtc)(y;F)RPe^ENEIpj^GhHS*xfBiQfDIfJYqHfi{o*Z$C$*Uhn69?G z5fE=W`^<|g1TuN<<&s~9ftH0{|ywc`;zc_ZG~SQ3tTDOO#|L++yA zKCyjH5Z=kl+y#3rk-3oM(qog@wmqtY*aE!)#49a7=@V#|A6%y892fFX3zFG`c9jb* z)Q7Z&!cE>=%Hf0;90z;q&pzJZ0I3hDiWFn^h;ADhB~6IU#N0W*<3vl+JmWEQEA+8O z%trjBU!_SN(s|j3@<}W^H6><+PgvyVH(oaSI8zcn!n*f`m8l0l_Fb3eB44u%J{cgq zP07E7@hUSg&m5fC@q<1U3lySk_e2L$mVIg<_N@2OHC_-_n-CGBo*lRLY)uv!Bas0FE50h3Mz#YKt-8Yj@)W?%G~ui(-FHH^F$FZ5 zW-2qg%5{>n_2H4a`^z|!`HHc4^s-CeJFQ+GsO&dT6^)PV7#z2%NbXLR9uS%Wiq z-t8rx0Y3D@N_UK-1}329p)*DdJIYlh&cEJS*<=deo|@axI_1)v)#tHHL-8+$>L2#v?s8~vBd7{b=pB+lb|3B2D0-W zqPZQeEQts=vC>Klvrvb2#JsuVOu5bBvY!3-Caxxg>ucP4%1~1YMW{<3HKjHYhEE+c zH+ulA$LTCSM2M=P!rM_tw09dD}swjl&yfzUp&k~Ny;Ci&LB5%&F z71pfOxZTD{Y2o=oJ_Bn!i6zI+hz(UtCCw|+D+0uD2+cMals9l^g1*YAWzirYY~YmD zX2G%p_Il-=b%*TuZ8m7o&eX`PEw~b}*ZwCD8T;b`nw8<*2)ur9G$dSxcxjNNL=H+eS|A zjOrarSyb3?*TuoU8%`9Ha%RlN0ovXf1apIcEAd22YEy)iMnLo4-lvM09^3OniMM>r z2alL@3~KIzQcQzx5PCjzZA^++d2#w(G!(xGWfFKvSiC*jSa(n9$E#F6 z$;vO37q7gD-<@@8$s(z~8Ux?fQ!FR48Dmj3j%K{S|B$YTlNDa*chr|=7oqx&xGx9S zu#tZ{M&pyY_74Ol`l(ATkGDBE$ss*ITicE6)g4h|v}R<{C>MXczloyp2T}CFD4kigGaMY{-5QVWVgV@DP@cB3d>M|46Yb@UogZ+Cg;VUPG7q4&P8?Pd9KC9b z5{F?JtX?MlfGgu8i^bHWm_c4=Dk&zK@hs0XS__YXPiyQ_xO^ChE*7MNV_MQS$&8C$ z|DmBRtt-=|B2k{3X8td1?S^U2+w_hKByE%hxKMN_`o;X&g~!% zqS*~Q485!}+7!LF6a5!K0BHrr!I`un`ht4nqkRPToq2`{}0XO9M7yL@@> zJ$zK?Gb@F*Sj=u5M5__eo@?uG>jN?3*p6Po3$%T|X59#_>8agtqMJ6sgbXrtlMtK|h9Mh+^Y?FW1#(WDg*SOAZE76BPV zk6$hb%FY1sBA^r6PqJj&n2)f4^LIyhVWlzOi2`6SaKCsovYqaGaqKX9bJH#Jk!|-t z+)vE$eqI`HlKlW8X*7Vb@KBwJ7T;MQ??H3n0Dq92Y$=D0wVWYiUY>u+w|{u#zy66I zIhLQkR{f?YP5-ra%L*QotE9Yc7m@*jC&4~P$Jd~Rv*Ir?_FCxj7@ihJY5b{y8Sx~L z_HialV2|MhSfVSOIZf2e+&ylHwZelA_0}d?4%EeO#iFDiqDqgS1?C=ya@t^8jZ13R znjx(fygN*__wzYz28|F66)Rk%l^Ip|ni3*8mL1pbiGV6t1bhb(dc&Cv2494UF5XCf z;&V)gLTX;u=8w92VPREId6rFVbVWb<92wlL35xy*RUSn7JQ>@QnooE`gy z5gc=O7JIO*73l>lrviUix!1hPnarSx8bhg-+!EtEu8W5s3Q+2uG?q}5ZXn~)m{(vWZuwG7Hj(IN+{H|?? zN+tz^%}wfKC0I@LBmn;lxMD`siTp$^A~L$PN^vC$-{<=E+#0(s*z;9B$Op8$;=XKzdBbF0o34S$0}LDbZFL}jZ8@+CxorQ-RlNHgZ&fbjcvsy2Iajl+K^|p z%g4RxYb)iAXVBf#M#@PaCqK;&*4Gi$%(r2AXQM?a#}vZ&6o zyTLYUs%kVolTyCpKD&u-gyQNj6SZd3GT>cjL*IV6vagwIfho?f6I*JvCrZ-#c-=@X z&g9vw8tER-=0G%w#nr&swNpjNLM4cpFIoc#bVzU~=(#sL>=;VZ3KAx}>w~~CEpCC}r#g_#4m7RhJPYzr1(Ml>rs-3I zE~m{)FZ};%jBwnyD?#licgdbjP+l1wifPpmi`m6(xFx^Lyz+ZnSGsXV6YS-G(891$ zU($okal%l2JSGq2{nyU$h#HiHjXo)HHPMLqD=~btGyD%`35J6&pbTNqxJkC8WZRY2 z(H-r){pzvyUWJV4z0!d;!q&l}Ly0=j4>$WvhrTcFJOS;k=Snb!z>eP5%ck|$Ge7me zCpPn(FS)YHZg2K`l|SItoh`lT5{n2Q!?Z#46AI)=TGtEIE+u!{Vs{8jhW=E)jMbNY zwB_7k$@dxE3lxqez#vGAO+4uUDR-68&bGZ5XiK8aI}Mr*ob};fELPa5V{c-j_P`~k zccs=NUy3F7ZXEaSnhNk1?C?v|vU}JsU7XLdi`A#1oMLSE^4BC^T0WSFz`YSd*Z4tN zy(m}iS~zdsHQq!;r~L*`fhO;)j)TY85?rYHElMC5w<6;S{@me5JYl3e`Gt- z?WRvVxs5Q_-*shveBCMMDvGY>af^yzpl`D#Ms>dD336${lb+QGJScjtrk8`E);{oV zh>kyBLYF#i&Rkk=k2r5cV~`a9RzyT9s;gXJ+oZ(6FbEJE(s-Z0HD@tcYsUZK-lIx$ZMdTyNj`K-AuQBjAT!%@iCYpDBi7d*V5nM zPkSOqg$tQ|qmzo&w7!)*5DBD~rO&BnzHl&AL+Z+D!Kc71axCjQ_wh$|ZE~!uMu`y2uA80CjNlV25 z(ig0yl|0#A5_Tl~sr<0jFc7NE(2lz_prXg_OZ&Y&{1e4VOKisJBu!KW{RZ(K1LW13 z)UVl3NlHQ-rLFPfLk-gb1!A1aaisrjo>i1L3j7=gn)iq{U^=;>=bq>Jlc_K3H_4Rs zoA_{t4XgfYc!nrEyMLy=Qc?$s4pn1lQ>GhicPLtnbbdKz$UJzO{NXWx$Y4=>*4H!@ zW$Iujr`5yEi#2gM~Z}*G>6(gX+ z5OYlj7j{Z_i2&^VKRfF#R0+jOXU-RpgRhUP>fM7^q<$pZ`>3knLsIlhVFNG2Co{TF z;^ouJ5sRVU-I9JfBm5M3jUNU`>diXo%Ekf6e&OP*`Gi;6ocPN zu?+Xe5+>iD1t;P(4L2klOIe3y@kv@gBPjgG{JU|D=5-0%y^Oa#6i^nssMb0|L>-8> zGbB+GfaT4)?H^pvSjKok%6>vG25?2@uowKbDtW)xQn9wee*CN6<$+&DV&lM^J#@H% zJ=7OJKf3dXzTF(Td0(jrzIRnzyr$WR(@zI%Eei%n5r5q5bKtRjU!5CrGlTs$30WrW zO9jL0Z9QAl7R8H6MXPqDujw)k>s&m~N1GHSI}RL9yB2D8mM^Y};tZ}mV%sQR99X(k z-76}rv7`GWO&1Kila+UQ|K~))0C&!3hryv;zHl@1TXAsbc($7#T*CbJEx5A?>JK&T z=h+h13m@Sr3!9Yv%?TVBh-LCkEg)UE$6E03?F|_+&WuR8#C`V^;ju3MavY05hMp=; zJa6Lk#%r}OegwMlI*q8uL?u)2G6@@(hV-8_stfo-=^(~WlYxT5HoXV>A|%0MfALtIE&)X&XYa>OlLUwA)uXYDs=yvP5;0Z>H=OoW~8I2*pIAbt4su)`6>%@J5 zS1HT?Wt-zp#Z&L0$RMRLDyesjBjuhRpAt@C!;*8FF}$r%q+PozpC7&|B5Jp!-jkwd zW*+F|#r@7d9Vwo+=H7TMY6r|X)S*-qxg#K1%Ag?r5MUajSApFA(iEL`U-<+ zIZL(n^p8%bHnA3K@=IUu23Nt{)Khy+MW8zah_91s;157h07a<+-|X|AKb=RYPmH^A z%aeY@VOk+4WYO{r{R_Hkt*hr_*L#SaA@(eeKmK9le8qgfl{=M_M<^y{mw$V7FvlBZ ze^M^`$_OXy8a*C!%ulept;9pDkGA!6BbD?ZZ}QPsQ*q8%rd!l_2=e0HRc3L^Y~gb) z7lC}?f_AK-v+3dOmviM2;Xio3t|eF#m*IIfSEP?Vj%Ag_^*``yzQT zsOsmdDvNT>kVs1$%L*bL$ESWlWCcMK_Ff94MUEByRt5M+8iv}m8T9fwfO`|4kw~x+9qk{wUr~3D9iKy?(r9# z^T0!)l|d9SmGto)-*=`J<4xnu%hIuq_l1Ljcv@Dn%GPw6QvXJf<`H^T+_a1(bTkei zKjA_7^!DzWM8&SA;}G==Ch3F0FYPm;&ovB{|M3Vcx%X(uZ+~A)KBxVBIJRnLxfo}!TB2*zB71X)C`7kUeR+&Q13b}krBU> zX1|l>yX$1U>*ToWWVw49M%NjBA*LYzI?1j+>1?LU%Wu# zA5qyHZNxIliGYj85x?q<5S_%xu}l$f;SBXb$pW=_+px|xc5EAR^}#d;5aBtC;wsQ` zlm_y}P#svhR<)1l_<3nqnCjFGtKgY?toH*XyAdHks&0*ID-hu;t8%qizHd8`QLpa?kIis9_*_i=iP zsCqwt3{R1I8NHTQdFt*RCi8-XEhGLoSLU=#^n`fxld>vik7fZDPda|eOqXLb&P|n- zzwFa4W9=!^74~OZcK}!>^~p(G%)cRD+l_A|+-rm7%Y1aXWAN8ko8CU2F>BDIA+YHM zGDOy9Ol!-|mq57LpM558yplsD(D-l7;WRLfIN0tCyF6MTqHFWfa1}ecn^wE;k`N|K zm8xVBeS+0b*|vR_D238)JNHC&FW?Amu2;NX?e(kErX$5W^q(8e+M8|>wu)LEtEJY8 zs7#Lx)a3T|X~^~v4lu4O#}7RH;a;jr5ARiGAA+N; zr>2-0`*6^WvWarCYp6n-fn}-gc+Rxas(nvrt_|TMy?2bXA3A{5ZQwyn`@bj?r1Cw0 zZn5Ymr$5YS7Q<(Qf0lopI8DWwb7E(ikAK`z*PHzqJ=sqDjN}>ElkfGUj{}ll_{w+J z?dFA5(|gtC**Q?kH5I@6E*_(UYnR%Mqq6j&cSYPidWE@xFVbA;SrTnHvA{E!{@FHA z#a(J>%KD{Rd2klO4xvsnaxr9X41S>%f$BsWt(obBX;Hl1^^r)OP4&faRwQz}Zna9Z z&W5)sC>`xctd=#Yp|^!-J^(7liaqs_u8(>6(tX7b!p4NbGzzyL?=kzP_Qq*{zx2n3Vb!=6Lz2l5)ag zed_Tr+C1t#MHE`td^g)7)-(=INA;v=*qPxf7dqPNqS3rbLeD3CU-(VDFw$MNjj$xF z#iZs!CyR!TiYoZ-lO^l6;|S&2r(jaGE~HDWwIY-EcELuKr)+< zBc5P$ozv$14WiNT?qJ@NWL?VaE=3^vuxGYaCy4!Sz}P>?qMq}3R!?N`)Lmgsh3eqg ztx7^@PKv)u19w28S9oPSyS>em5OWL@_rDYqd zn!x@3p?0&_KHLOVM2mU1|6$Wg7dx(7W(&)2tIer6nOWe%-q!uytN(+dp`YFRDHnD% zo!*7S0VGVJo>NkvmD}tCUU;z-MG{*+Co6{yARY$&4y+vytl=;rPep_Ua6XnwWSt6h z`WNdij>c_u1UJ_1 zFM%^Lui1E6#wl|G-u*1yn3H6&S=zmuA6GDoY$H3BICTFAO8YMm2e~t#{V#~;C76C2 ziVi8%c5qSAg8Qh0%;;PWltg@_y?q*HO^Q=u z(yPAx*h|mK^BsRVl$~8wlGdu}o)95fLVt(;bur9YG0f-oKTmv<4nzIarT&-q@sG|m zIJT|J-KP~t-9Id+3iPS$S!nJtP0<3o&jNWvb1wTd7Q*-&k|6Yps?HOhWgbupVmN^! z$=cy;WDwKZ&YtpdYMcTUyzK&BjJa0&1*DFuXf0l=A7VJV&?3k5_8RM5^-a56%7>7BTcOYRcYy{QZ?h1kI4M_1bAeS9#P{#@I1vS5tp4dwg0>H$jdaOarj;iN zE-!KftRBAtp&vCPJB12nyeO`n?`f@9u@gQhg?c4) zIghKDf%TS1+eCv!YFfEo7ovc}Pm$t_saNrLq@%mo^w*xe>hO2Hlmf?7TJu_{%{aAz zx*qrV;JD$lh}f-v5@>@%b!6XM-E+Lgj5C*%Fd+WIc*AlO>o__ly4!Hbh;^MR9Jb!2 zj58r_?!Sr~;W%V%LM<4Buj8U-=LVo2Okuw{S}?xlQ)YN4IqfKl9?1lVV?np>qw=X? z=jOZA*!D=YsXrrjE!_zEIDk-aos;HB{!{Mwey+sGY|xFv^>{J3gI?GkX16%bx6UX4 zD2JWAIotb=4zs#NC&adk69V374a;9)qu@UDrc81Mqicd2H3SzT@03Oy+kU_3pe)tJ zPTKXU@KV+6=Yq3&mr;sRI*_jqx#Ns!Y2X=VUIn2&e(rq9V zy7IMJo09cVcSzx+>Odp}RV{GCq3XVKy(Ts&i)kkBWCP;EI0qciva- z4P_$L!4AhX2?%4m>hl2e1LMe(RQHnZ;KYxOpiA7WrQAz5nF!kLL614NRX>uh4ss+r z_M=^*oX_*oFJjTr-LhB(z;1OVRlMZO`oZQyVvb9wju*{n)6)lj7&nDO`b6Yo;0>C; z5lSug4ETZcEkB9stH^N``xpmX;}A-fj9(eXR&S~w8g)68&oYnW%5GsqGdzmZ!^nWxMWK5Pjku&} z7g35NqP#7e<1#)B*TFYnqfIOk`92%EjXw$aVXm&WUgvhUA@5v6NkX2T{^tQ+&Oj14 z;?FCH`?YC5Nh{`#C*SF7y0Q}qVTed!uTDhB{j`j<<+pLt;~)8Ne`3Gst!#J7w3qof zy(CtaH2r;UBGsyYGwGLJS13>g?8o;~W5#e~M~X$4l4?sbJg#Xj#VN?R3=(a#p=gmn zc>ll9Q0po)B&)HXfk}NpPlAl}iW6`5$^)f&!p*_-`%p26R& zB$LisPI?=zsMsTM?krx!QQtCva5(9y_~ZL^khW*WL(_9Pn4AZPvRp+%3^~I|vM=zX zPnkJcn2tJ76MfT(`U>>&-}&x#HsgE{>sz!W&QKu19{JMZdnkTWsvd+R)#% zStqpl%MOlls@4lA>ciyM?%!D>e^O7^IF!4bd@Hww>nb`R&RM3(P~Gcrrk2Bx`a~>c zEY-)jW!0~RJg;%2C6*nxNYl>U&(NhGBE9b;mD3y5|8lZ-cO;q5i++uFz2nUh>_NQk zO&0;7)N2V6C%jPgFV2`8x2Ia)X^ThjUiiqOf+d7G&vMxiK|fJhHbZ3jKMggB?e@|0 z_&mdmr$czHQ66J{%+5FDyK<+#qfVmgvyroCR^FJjwhv7~DCP&F2d*Zats0xOD+{Mnjh}B>cW|2YoUm>KZ*WM2mdGWJK>Fg6c{! zlo}frfJO51?8rez%1-dAdGXef(B|*x#vM94fepvP=%BMrzxIorw_0)ncWAd#rE1Rx zmr{PVJ>Cgu3;hvZ@778OWeR)UBSL23e5`c>OVNbQgPOTWcNkMxwUh8u*oYNQU7?T! z{;(8h$l(5gDQ6Ud4|DP1-`;QdZIDua4Qk(s}Xc3l3 ziViu?estK8wjxJ=QOpXk(}=}mXIXhUgiWa2^G!OEfZ<(jgimTzb8DcDW0c24&e|0 z-U?P!*nS-gfh}z$$DGIoC)7cqgO66D=9wjVKk?Ix*^2%vP?-STyj`L|ZzTJSLxW7L z1kc$=i7tc078Di#0)hjZB6-~8msb;vxT2OP+XN?x13h+yu?ufVYwTgBi5>;l`w{Hb z5rdzj{iXayWl`~^gGovlXdpAkzo<+J^s0`6y_2R<@qHNVt7zKp1`sM*I-xMAgvG4_ zmz{$9(;Mt@^k1}O_4UumfGO*qik{sfD-{*XrZ7vA8Rihz*JII?toT4 zdF@@lvPkOFv(a)4MlB*QUzX?toNPhwTRkzs>qAK6+tU%ezL+hcNA0|ZZhm;qB494- zr|0o|C5q7?FwRhFl{Kb4EZuq!oH&Yk^KxKDbNQM(5p&MjN2$&C$^g6 zqlh{E(z~lqlP9kC*OYE(T&tAkvD{J4da!?TX`Y~%DI!-XT1sb3-p=@16ls7&RF;H2 z)LP0=ZoNOyEcGtIDh~aqrMDaAO8f@J2v>k^?S0ifUu>HQad~56SkTl-s2>@U?44pz zg@^jxJjbfCmOP~=NENn`DYl^f9Yp|`eRpqAi?&5xAU4Xs$~=)sq5P73Vx8wpt0zQ; zVY!-fhdo=I-e1Yd1fdUEt#?AYhJ62>bzwd-kk5r#PwhcVCX|GVvlkz=+#leun>pA` zH|%B*cEgKfIU0)5-+F$N0c?tj+OGUBG2Q8dZul)j)W#&zu1n3N9euK*cz8O5-NXQ8 z{0Q!rLGOZ)DfO5{L!I0oR>LPx@R5n^%$-e6?;U#1e1VfzbhI1Pb7E{ak7hkS`_kVM z2WcX=DKUpOu=sQ&#cX*u|cDi?wEi_H~I&cimhVls#|G%~pH&uc>0ndiCC*Zk^H?U#P#J zdBCqMlB=kBdLw=+vxTO$rs`lq?HCQcSuTE|Rf=jLlX_(qt3O%hhPl=>%Gb*aywx6T z57eOA5N<}*dv$ccxQ%Sw& zEeI-QK_ee-!Ir3@ZCz`tqf0f2d^m%7!sgrs;?O;@hX)j}{2m#^aPXF7goK)h^`5uq zWl8ViOo|u-;cMz=Ao=gxT;(6Wxp{***>U<|%5iuj5fJ@5+-U$=~wg6mh*!uCX*V8+6mx-aPjj|`va{fKpL86ahQ zk9v2F4@uSBb)&U2r>pW_Ume4(zXMQ<|5zLTb*&Kl>XIvajovmikk)hT8ZOz!w>6P_ zwvk+V;r8}yS197RfV_>JBwtUyvqB**gI8GuJ?B#b0h@gA`}LH6Y`=c=xUUZRBs61Z zyVQNf5?7E(eA^zUkNx!6xwU~BIsN$`Bjb74tJiHbY8)DDtW$qgMLUijbGoOLC(lXd z!(&UUZ+jSTM*MA7T5J(#PRXE;iZ7V-D9xLxrbpMST*!w*K*PmeYe7EC+C4gD@j=9I z7|lKgXvw-qmSP$qT)ohwb_|GipV{o*;X;PACmZcR=3b}*l3G2D(2qXC5x8IX{-}|+ z5fas?GygP*BN*dRe!_RK^jyjdd@A4T|ISknufx{Q6gRSrz4j1BK!>iwq_JW67S{A!4aYYfU`MnMp$?i+QlGFKMydz zl3h01{u=L8+o-#X z1n~R|*%U=KS3oc;g7A$})Z@4zg4MJ+`?B)_oSR$}Sl2A@I<}5n^j)6{>-OKCaKAmW ztuDgfZN2xc7iw%A3t>V)s^_&V*X3z)h*EUTrNr)3J=_Y%f??vQ7iTRJux5+i1{E4F zne`8jaa9XHR-_aE?w3DI))uX;ptY}_!K3B>(a{KT?5(LaN@0?4x4q{Hx#78EE>hR| zSz5MxpPH|~Nt^ntM`Ai6>s-j8w_P`!tb0+Ln14coKY)09v$EYqU4p@)e$gxn;HjZb zXb4bH8gE{Uv3&h=E?k>Q_)-t6dCVE}DFf%Gt5te3--LX#+iHgRhKKyrO$-)vh1+2s z&vd(qJcfuTQ&n~Qwft>yP;&mq>z7>W@-%N-$pdTuX^Fk>%=!RS{$=2u68jp>&Q3hL zxLUSD0&v#K&G;}^0XQ4L>2F6NvO)@6O$SQ z8KY~BYzH$y0C~?j4yC`Di^z>o_5mqy>nL2Z!SyI-O@MyFAK|C3)qqR~A(W&1gpAy*uH<{a=Mh6M4|fl&A<4gu4tW%Iu)i6|n`}G& zO|q`kl@nHaLBvyb7triuB8PUo5@S|I?>~S$V0oj!pocPat}6y3EJv;&1*}Rh*%Uko zwak=Iyaxj&zP7Q3+k^oKssV)z0MS|*fC?BOO$Nxz0$6)V_Jn3n@YKo%&k2jcgoOTu z@sPljzWWGACqbz04a$;`c}#WaNX7k>(F4#e%0CwVBnig#agB8EC9O3svd}HP|HzC$ z#)jlQBMS+mm?Mk_8Z|)XD(ODuEd1yYXvq@hADZ5&NTb2*Vs*SreDa-|$cWHCzwJwk zO-kESsZ0|$i#eu<%^trun(B)Ije$T! zloFX8cpc)vmvbhz6fM@4u&=)B_qxU(n+*}`MBfo~;@+W*1V?$u+A@B(!EifnLjUU+ z7io;8ByGlb@E=LN88>l>ev}x9C*2{5_tQ@HzvJqumct-Zj~4ttn%^q0Yk7vwtNaS7 z+AtSXTk4bm!HRLhpH(qw%f(W9QImS9&5X$_~bvl0d##wc@hDhCi!&#KCnlhSkxx% z7BT8hW0}=ShFF>Yi3hg!dqQ0qI?q$cADCDt0Gqq}uk9a=Z|u{))*b!O6i@n7a!n)}hnYI9Sv# z$i1F))CIlZtU4|xcD7kR+Kb%~fhe**=*wy}h=SC1tYAWK!opDW*6n-Ud+9fsVGpiT z5E2GAc6khNrRd3HHPjKj`uF@M@ze@4+Ug5ahyBSl>QeIRNG$vZ&KfC-xAsB_D9%9u zo*gLQudGtI`JE;DJ|Aaq065`%;v-sYviWcV*eibLe#QTX@7olUKpAa!?yAPr?@oD@ zG}fxA-?~u{7KaU}BHoHUF;`mJg5s|?(ma)Vu`#uABHTEKXBmm?UD*ET%`b{B8MTY+ zr)*3hw9$NsDP3H_t-7S1t#5H|?0*jZEtp#IuRr66(&t#BJQop&;w!qsI!DvLM$@gX zuWh9!S7V}eJF1A3#x)1!I-|@NW@m2)^OJO6*N1P&0Hl#3b&yHkVrrmO8-79okWs^2 z9a1)ls8NDrlb>3k!fL#`fB%KznDcNw2@s@vNZR}`n4m#klbXOW-$jPBzgr1}u`}pm zsL51bu@V=5MFK?*<4C*yhRem^sAVSG@v{NobS#~uJC_G=oQKu@fjpc>by*$2j2@0n z;U>99MzgT-ZR)QQ0wD~o%%P>5g2RL@%JqTk99oM(QDIZ8RU zPd{n4szwhIA7*!m!mH@7^xb^7gm@wJk!h#j<(E~=A=`U>=q~Wm`8GE*LfZbqx_6V( znEtrMH;e_8@~qc#Y_lvG+C_5SN4tYL$-P7SqqQuuBQ5G7-X)zqYa9tOlQ{NXsE?*k z74{{B%N>#K{>!xDkPu#)#j17=vkb(DjYzSHoOHL`Q~#L!1XDqME#t`vV;CpR&WQbm z1vzAo1Ty2=85hd)e5|(o0N6bJ5m2@LhpzHt)p4WO9VKWuPIOqpv4F8!0-_~me_;h0 z#*`R78OI0^Tz+tfIlPUo`8fVuhFrxUz1LwHq8%>ue_NU+e~`@oHhO)%)D<24G0J@G zzj+6!qTi}w20S^nwn%<*p$BjNVNM?>MJ%54u@+=oUGFzlrcNdD8-RF6O{q@Pb z9yZAWsGh9^xQGdO>iWHCu!-SQJUjy=gHCM6eaIuEzBTNLf4P%06e{8mjSQpB|K>lu z9mM9$1!Gqb?(|`<9#k#205qrozIouObAA@k-vS+W4(O)w2Katqn`Noe!@tg@jcf)3ir$cq zGWk~$gugue5FBzKW&@Ho0E&v_L8Z%3`IFj;Kca?N0Y%vXrzUiOdgrW>ZZH?X5fk7# z4ID0wEyw9L0fw*m06eQUuaVa=0HGzQ=?eH^mOxQvz^MjZIPLqJdz@}N6u?gcj`_;@ z>dDgB_Nj_s$+L2kM!F?K%y82;wXuW{zym+vdow||FEs!U1n|QE5X}8IK2ocN5&`nc z{~MFR1b7n)fcgJ7roSmVEC?7r`@bJf#Od}!1yCXYJ34)ae=e&4|4YVdKOCBe@{_=Y2@?0QV;G0wtpdM9m*IcFTef_ z$@iAzx%#Z(#@UBpAt|WGI8u{++QvEeS((q8Pr>2Ye_>>3TDF7}Y7}!^CL32=CkwWK zI|B)gr@#c-GZmpW=+?-24u}H~zp2({FaRPgoBH(x#Ad($ZEybxg=(AGg3L>)2Lt6w z3Cv7=qI%3&Sx$bFJMW>_hIFMi&XtimKaQ~pR>KX{r~hDnsW2REd{aqM`=~zfrxobz zHr?Rco?83QGHLivj{eho+Zqb3U%%eB=Ik3pHp(!u1@47vb^j@zsfTa;!j#9(>nwGgA_B#z+iOP88DpG2hhQkhu3Y#1<*hNI355vXn#40xm%*c z8qi9p>PB)z!q0jtHx+)pxxKDv!`}Q5pcB4tAdlB_pM*2`w0gSgWZ*>wngqGLzU`s_lGvrBFFT zKcP_VuHPN&%#nu;jA$&I`m<^eJ%re-nxB6R-&Mx4`V6({295s6{06iL&ZIRP7L&$* z-_n%F#%e00FG&{ zlL1o0*cwlVa6iT)&6UEb^PeD+9CVgKXQs{W1K(cMKQ1621{3BN=-Tq4aV+lA)x7~+ zJ_gB_&A(_r|H>|ut^QqH)9s@fbJ!gow)p-Ae5ac=0>dW{@1wQ568jK1i0EhV^So_u zg&O|58X*$Q&trdfPaM;4oXXu&hSzm?a7z!oTa+y)$v-<*2SC20YP6qQ#-M4HFi4|d z(RHA;5gH)~e70J-SH7?(Iwm$Ma#={T7KMqDBO5f?{;BWYgs{f=BjG)KJi>oHfRA{x z*Z@Jml$bcJg3LdsU(0$HJ+EavDN~3u@M<7MUGiFTDvpxtuvNzJssTqQoc<1ez;6ShP)ns7 zY~bde8CIAMocDzC&9Mt7O8RMalwa?Dr3m`OJo!XcRhI4Lk5A-mokc|;!!RT_VH$`9p?%?}e`nnMqU|0P)S@_($3Za+`O+0Z}@K2e9=4_@^euFv@5 zq9~IUW4NEZ;iM=0H;uEFgyPNcv&1>193UFsW#4_jkJCMh3J5C(qzBw2?#G>IkarfK z23x7YNaIdQJ_Q+H7{nZ-1KZq8r|1N|5TVAUL2lv4LloX|&7~J*^DmL67~sJW0OQ3C zk77sRzb~1g^w$Phvm^Zt8n-C+wmKD5bgzlSF^vH0bO8T!0zhLPRreElxF0$)^Bf@5 zGd~#>iI?lt|KX+Vg1;>RtrgH;1prf|1IXZO4Mq$Z0M_XNqWYNDz8D0OohYYGfNmvm zfW%vXyAwLB9utw?u20T;5^SCHLtBpPQv##UKA9Z)-+F zNY^Xu;p>CMIS8IIC-xRW05<|aumYyF%0a-39zU=f>S;ZBxClDn$pW*R=Ie;)X%a?XmL25Xg zyyW3vbbv3iXN0A5xF}D!6c?Z#3vg788or)1O|$ZH&Ix#Afz*ls>*6QC5prY)04y9W z+@47N!!7p__2sTLK2w8^yF2>UKVZDVJ-UYhIx=Ck_^3mR9SLKvdvjV{F*wf$Y zXYBy6_6pgj$gDI-FZ?(Fc|Xju)Qr3&X!_atM7;Y8NB&jjM?4e~v@@8pr=%bW3nox5 zlLRdl6{to+rbJAvJ0=#Ar%OyWt&JC4mB;^(h)tZQfESOA8jnr>^sU+N?Y-;QO2@a? zR|HGjt+}oFMcv}1U*EG%|@H6wQXTrEYZ$M(o0Kn;E2m8jpp2T z++d`1D0A*kcR*2)*7VO?FgC&O#^wPo`Q7c?RVAIcJ1ubI2k4$UfiOM@jtD#A`Tbrb0UzQQXz95^ebL9 zJ_ev>^hl+ObNiX_PChpm(r%_UN#kTx>Xr=yAOD)XmV*K-@ADi+L^bdmmg!19z0Y&I z{`&v;`pT#{nqW~}g1bY2#ogU?@!&4O-Gj@b!C@05IKkcBmJlGgOVGtFxJ#0^`R=`c z-#L3~d#0#UXYi#l?g3U|Kms5MDOlwRC`!JfBiKL=em7u?;-N_Pw@S#C>_^%!OPpf9qOSp zKl;JbdlrD}o%=4F>-yEbF!-l0PimovX(V^4IM*hw*HWNY)F-t+0(4we)--!zO`{j^ zPYN&$`ZSVd70DkoI$K@(qRG6U(_Irj!E|RARDFeNlM;s6obow4MBGs0kOyz`I=zLi z)SxLKBhz0W``eB64oi~<^>vNw4Lj2ne*|oaR9xZPq+I@_OTH~bKADWa8+|@q`vL8= zhTt?W{p%`~2y)I+o!dzQGvinrqZfP6X;7zt2t z8jL^9BI6&bF0Md5A(wx+CXPX%X&j(tcrACgoKrLE z-F?%n=2+f!&O^r~{j5u~Rg8G)0^YFEe2Y)Yje1@#muaYA&-B-r$vRxSzpp)czp1TD zuSEm48#ec6_Xh(rM+yPr)d4oyQ5Rnwp}naDM{=*Wtz3I1Bvf?Or&O;0K zyO)7JP14t&7>f&yQg3qB6pnuy5YS%97;*DWh`Em0N_V<#y?$j6+>N|;%JE{+3Kg{a zEF%3V^g&4QguOjZty>%=JnJ3Y>mRB>9-HpzCWjy^;;g%KVz@X8c=#4H%2KGoSMFweRq-+)aM7ZOQ-Nc^3JzV z9<<+ossglz>e|zb*#?I4Cmr9oCzI{xQp7jQ#Y~=SAK^wW%+ZK#i)en(r%5j_{~!o& ze%-L{9WRCOHRlRXc##ousGlHh5QY@hy+c1U&gju7Uzj3=K!>?Y&kbSJidI~>hDe7Y z`G@cRzCF;&f$)J*W0+DP%sCJ?d{FZzCCeUlXc#^SeUzSih;c@Rj$0rAX{s5$2<2 zvmD47K1lsPtY8;{4t2WAI4Oj0+UG#xz^M0(DUb_65E}zR7_8JU2&%;g$&J!$wWRr;ZK~3FV8UEF z#rZ-0yEID(<#V`3^Jzfu0pJ~d7Dw1{LC`CuJ=#uzdsjB^8SL(N0wYwv zmhRufBefwQWyK@S6-TgNMM6@q-v$pcYQc<>4KoVbw{}=x84xZW z|DgqMF>1jqQuJR1hahMSA5{C#jA*d-lQYqN-6~1f5DaI=`~5^>1tNWTp?|xCzDs`@ zsV*(9OCNKm6o&L&)G8S1{wI>C3iZlG3LF)lANAunIy3Y2YS4U;}mJS zYw0fa!d7{x%|Ay+C0{|JPDA7WAJyRSx1)=*<2h{qhh~xk@rsiU`VUQX4T&0z=5zJW zLkr8*p)zsO?*COh!zxnIa?k%!KyY&)zwtp<|G92r3;H?}9_iVCtjg4(=5f*)Fmv=- z1tX8zTps)k!Mc)zSmdOprN-$ zGAZyE>!al7Q8r1o>mIY8y0BG`Dwib|)*SKYh`dZ!{8t3xgzs#l42`pljq-F?`d+{u z`%jO$bXTEXK$kY44_Mg+$caABcvT_)Udam>?D*-3DQzX)6o2a{AU|FCHPsZqe+3W& z1l%)Zey0(PlfjSc2dnPDlIP*GSSvpM{BxAjBbcj1)=c_^0Ary(?H!vnb&e^1sVhF0 z3Sf*e8p)z=F2zc}1^Bt7pF@+f{_Vh4!a<qz}`-ZJ~5kLZ%Pt27^|mhjMXktSvK1-J?>JSK^x)zqSP5Emm6pL!tjP~ z+3YK~_r`yPfW4=O4Mii&F~++(1(@LHW=CzR!ehRf9-mJ+x0#pCB8u3sVE@JPAG>}v z)tP74Z);q-9H0M+S*&0Xz^A%)SXf?Kkg2WguN^I(wR8e>t?ASrvvx)yP{& zDqG7HC!Y)$+tHP&Jx1t$r{G&m?#!XSznCPdL(ju*wwTnV4g04~63xo!ymwMRx9G=L z_t7Wp2%7}fDV|#}fveMAmOtz{?ftnU?IiCE!&3Nn`XTk-Y1TcbTkp30i8&|x4=Yvz ztmSU}i*5`08xEk2iq@{#mPq}I+$uNzPvmi0o{lzoQap_poKGf>Gsz*gZY>mQHeF~i?2CfVnk?|%}JTf2UyIl zILxiN`o?y&pBrMWBqQ3#0;^1w)bWqe>*Sv@C5mq3&fBQX8?uLcaH|fR$Y*cllfuhDW}B zcRKT4SE4`kMOi|KIq9`6U|Q6+`8ys?fV_I8I>7t`?9d!Ez^ z&ymUk#ksA{EvJyZ#BPD^M@n1(iD7LDrKTZIM(iP68pduuJl)?RAw=-Zny^>jQ1W3= z#7(ey{#87b{XrnaZIgQoDTdtHS;OmMdeF#?*JFL#dsqxp+-k^>ddhD*T8qcBF=0qL z_+}%XrSG1oRW(2N3Omv>Q#>uxzGo2fzg_9%J|}y7Z4u1(7QHu#{?O!?e;*Uw^v$Hn zpZ&%pLf#3JtMHQdo}*3^!~NfPz#hIX@6`Kqhn;`DvSH4lU%GK6=sUM63{py$cNc!B zEPr4c;jI5~T`v&u<66}`qxQa$T8xC#qSU=kaKb)31@|P#-7%>NI%qNNPV6IKn{}}# zmLSVdN3+L-agF$w^dQ5MJ#hBHkmA4+8s@;V#_bMojwrsgT=Q`Vxw$YwU~po}p7?Xj z$Dz!pNrc%a*U)BZ(^tZLWF?T5q~g|$y8AB5rUEIzB9*4&m1p8N4C$~O@o6f;jI4+& zR%K1#dSoMJrMs`h%5ubQM zlzjOQs3NQtAHg4f#JOTQ`)nBbw7IRuvG!@k6hjolN%kU#twY(Mby-sMjdltq@Fmq$E7eh=dfMsh;s(}b-2Va=-@=qNXH ziyfB)<3D){E1)7x!u>|LSc5&HTSk|ZojgzMHR&SjxOSTB=R*TdRNahT7A1=oU#<_? z9!Z3lF}))*^{P2cY9p(+R*a3`s{Y#b8#LTO#X>ZCuNg`>p`E&DFitP-WOGc?pYc2x zq%hV*-^a0At{IHIZDH)mKe03U82r^xug&d$roEPCSzF9(Pzcs)2g*#*?rYQBgl*R@;JWC z8a7o(MLdDDrHdwdr-;lq;Kv@3{R7kP+tyiXR`DC6>#lx|_8b3*QKw;`;`-paOntEd zqj+bhFjn%_9iYqi1*=?AyMJR`K!4Y8Cn4|U$coH@*7-PGW~`-vY5uL4haYL=&i0?| zzVomjhjLBrBjfptOOwUV6>Y3LHvz>Oz>305;>(I!cYWR8rwHO1nCNz24bcebBfbb9ovvHo zGs?|)1XrL+enU!zWkvS=b4#VZp|c0oLJ5Ao64Zx|FoD|iFR=3#B=io5;i9u zNK3;S_>N>+t^~dsnfgSWEH*h^A`nU$J2Y_9Xa8y_iBEsvO(_rmf*kxe4AL4p0!lFI z;z-1Uj@o5CNz#}P2OFOGNn$GDs1QXxz0KOf+Tuddco4(>N)mL1^y2|ie=Wu(5$@SB ze<7Tk?8$75J1oOZgSq90v~>3m$%Gr>0X!qf1hr{SI-WuO)1AFRO1ERsXifgb+g zzc0XC11VJ2CF0ukk#geMguhlu`S6n4&Xnv_ErcJ9U8@XuECuW$>mjaOa0Lk0XE-4N z*2mCRrSt8YJu*O$jfV_A*3MU3PjiYE6=PA<9rI|IHeFrNhQ{Lh6Npb8B-g-fG-~k- z=kxKF8SgW3pTk?62=DW)>h5`M1d>FiEhbdmcBfo!o2*)GDc*k=;*q9d7{Y&Wbmmsw zMm^%=lDmdOKa3_X-0F4tfe662phVQ|h*rAGa^|M?we?FQvJi(lHt3ASTg*> zGf4I zL$Ef4Aq@OOU|pTFk7Ia-aZ&llh53j5O3m)~MPNWbLQr^(hLUEE&HgDvbXJU;e?N%24%%Hxk4WkzdgG zh<``?gZ4;#cy{blx6WUUj~a!=vf{X{(}*VIvl_GT|AWWNNK)0L=fR$#+yqv`J3IgJ z`T8H!3swJ&CPBQ6`j3MfEWPf8;X2hnqK>WlIN73+owWbZb_dj=$P&u`YjgTVU?2rO zcKjU|@;@SToPR_;1QSvHjSZY9kIxY&2OKb^xAhF)iEXCRbHe?88W+uGzY;#ju^ug0 z1E{W-BMz{8x<^RKskIZszO<7*(pu(w_-TX0JNFJ!-H*z{L1l=_6j?e*A)fMF+3z*( z+92y6`ALqfhI|v+p@rfcBjm5o9I5CZ87WKVg1c#1poNkXEwsY$d0=T9tL?^?yNwVs z9~z!1Qtjxn8vDdOmI~`cFsZFPH^G2ZqAX4j5}#*mJr2Af_Cc;g*3D}+Zh+*3Av@Z? zj<93@rvuUn`I$!OVX^yzbHwy%44Du6%J(Kq#)xF(9DnYA3U2&XkIs4WO~lC;rc=s0 zHEx1GltgR?m$yrbTon2y8m)~fH{=IFeUb~#RO$gy1f%u=_CVIEOUJ(#y=q2D8=?wV z7uC*<(-$*xew6e5K}Idc-6p2e#8}Ph!rjp(L4-d-e=XtIx4ZOwsE%z8lI{609@U^a zsKaF59UC0d1&LReyz?@|y5V&e_<&Pg9qh}0zxh5iP*+^0>-%_xuk-{n6Cz%J%;>C5 zmUvstXuhD@dAk*M`I+Ui;`)no??>SvE`lqeBo3;KZg*^Jty_`Y0kJ_+!gDP9%S~>S zA<`JW4)H`8!F?fzl4i`22^Fp&{k8Rhm&p22M=C0bf?9MtM@sW}&))ht+~z3zgUvT~ zaTcB150q3n@HTPl?W5zL7ljY{vRDGK#XXjPY`Sp;Wl*gDI&KF3;*HteDa@p;cGQpy zBp@C*!Z)22q-L_NcGaMu9Y#NmXXsT6Ncq$s;4-^km-fOM^s{+&cuPP~Z*Bau-|}m8 zp}=a7ymJ;u?}U-MwZ!fxwL%Eg$hRsJtNh`6+=PX86X~@u*})g{n3}T2sYfvmlaVW< zIqqhdkvj)0zKuJ+SK%g*2^uJtPxs7q!eZ6DPIu&4ccFkzx@+RHsp8IHu|wlHL&Q?C{>Hbuh1e$u(~ zi#=b!i7L!#*0Rdw)6Ti@Ym0gGSO}sT_qZlX(uy4Zj*h1;@-LP0(kj}8!Q8A4%;7}W zhmFLyebGWrf&Y0Ztxb|m%<}|Wh(=^A`HI)(E+-aX$Na;_il7u0u)zrBkSg2>dFV>1 z`NF?>fP4$_#N!*B-ObhU_{Hql$mWcbUllZOPlE!#ey9wh>cKRb^o_ytR{zMdwm9BG z8S!=clWf)z6)e67nk(XJxFPlEjll$EByzB^XFdThRQ=<;dX{-2Z0u0uVtf`DuqPeF zoKL76P(wOHKAbcPRYx+qo*-+vfsJy9%%|Wlr=;g2XXc7E!@0(f1Y9vsl1sIlNPWMm zUz>dzWR@D(>#+`eb|TFWYRW`j%BN?v3p|P%zKwre6fVbmzMt~Y_>M4f(j({)pja|( z-}BE2e(&ZV=Q&U@snuZEeY5yAbRtN2M$zM9=xV*;PmOr1`w?~(f@wvpoVK^0J>nNv zw$er5&xX~57ON~P(VMhPT6_C%Su5ldNMTIrn-;_Zcoqf7d{@$F1l=%^A6$(^g08wP z2wr6c2lq&_k$o4W2GlOs<|4A0*Qa)$M^Ct8>y8wl2958g6Hs9`>2>^k>S^)$jdvh0 zA``iq!SCBvH>Acb!C~@J+fAVLGX-OR1>4bE*rY9D3xRk+z8p5H^uM3rR(cW`a7QRc zZIHXAgSlp?x`CFG`WrjCM==PdcM+`pD$c<@12g2aA+ROmqlB@Hx+%qk{4WNx+f_7i z?S3$~L=cPmp6J?KGlm6K-s~++DCP|(zoBy2)f)CiS^uMHc7BKmEw+&wg?R7&&=Hp2 ziaiDB0znEvqkigI1QHElh&uj>aqO=ukr~T*GT(vzf$U&)mj?hb2zN)=LJY-RM_EUI zC4WTp;JUjZDxjMW9!Z6NoXS3o;BLhJ1ibL8u|~;gR8kpkmN2=o5$!&HF=U zCeXBtjcLM!67>o{_Mq^ldcW=aR_T#fYLA9D7G)Ev?q8&(;SK@X31E(rNwEtj;X-&s zAS45Fk8K<5Jf!fYC)FD@>nvt01OnF5r~aYnbnI5-%r(c#dHH>(Yp&H`~JF z=mHW3+ePlAa=J)ZKlaX$*bv=6T~3{>H(ODdA901)zgJG(s5kpuxP{B(?WKNfwpXA) zv4;br2mYm7Z1#1afVany0!$MxR8GnB)t$t#o0vTWk00gKk^Ka`m^Y)ea#P;+VEQv$ zzHMNRmY&k8If6+6s7&k#h+COq`8iMt?nZd(es=+19vhwlUw~vMvrhX(&lUIZza|$?RPBeR=B5wlL%jdeTYAPute(Hw z+vCBDwJV%CMf!z7iIOXy#TQW0UHw^%H$nG6{KpIpp(mwJ)1N{`>_Fdj9I$h|^~`QH z2Y{AMr2k#BomGdD$LVGs_7Jxv7$X za-;sDPVHP$EZYqkt{q`qbb)`SnhYdI0+$JoA-2HpV=%ZFRl$6u&xu_NSM#O@oj)8a zoGRQ5)C)okmkZYcC4htwmf?kP4{m+v{Y0;Qy08gE4|a3kkax4C_O7YzG$O2}Q@Rz^ z`!LyM5*&00eF1N{@mB0 z=;vUSYgO+{xoR4Agf4t*H&S#Pp_jL)A$)5NEADV_6b*VDslbXd9vemTo=4=cVgT7j zk*dd0bF$nq(0rrlVQ1zJR(yxoF1PQ~h`-xKY}kSls}8gb_?9apJ6uPeEIp|WJ=AKZ=ol%g={5fn*BQwA`3~tGaf)%`=cLZ<*c7Cw7*roI zZvs)rwxe24A7z4^B}a;O(Ez50fepQ6`WH(3-!J@yCW6p9^HhgZ2{g%fO0h3Bn2oTB z2S1W>t@((2KV5#oktHY>AEfL7x0=hY{gA_Ohzz3T!cY2cZy~rtAHxM-fK07NiZiB$tIq}ZPA4R0 z9_c%l2j5&Tr}m)rU_BB9q@0n7261(-eVW#*m)oUYsm2KEertr&LnKVO>w|2*d~Ln( z!7T6WE^uwU@Hgs89pajW%(WDh1Mq{}x@ z>-$E)!~_l({aX5Ma?a` z?v;4#66mTlGA}AnI%rl!>5{0b>TQNbOes;rEp3YwN)M*6xKm}`)ZBics({royx}w4 zssS7cf@X^GNnvw~mV4zJ_6=m!3%QqKh$^V}Yli44yV=)vUFrl~v2B}nWcv7PIcflyZR07h#kcKRF@PdLP7rFQzylN)ytQKR7K6tG`)*f4S|w1OW}*W4UI${DE>s3;}wgu zqSbyUwfWf9^H!~*HN=rgnjlStdZbXiR#AQXJHg(?%?43Cea-O2FxsC8s?{LX@B*dU z-AGa?&&3ayR%^o&u}dFUdo33;@)h3E53I_zP|84Wy> zbg1{j9D82ryYmoM7KJ6XBMvOppvGS06}%NfLkvSaLrmfH)E7~M5zAyT#HXq|zzS>! z5#nld@#^7mi$&q`CUGDe!r!QSs&{8MzN<`~&Y8|J21Qr8mMAlD`Y6PxlBz%Yj0>Cn z^1CITsyfJ@Jt;5!9E3m{;kDtupvCag@T8egOjo{?aUb!r(*Vk-&Fh&LineydZUhsA zQ@CmbRRoH!zkjw&iW8su=T;wH5#44)ZvI}`MEQl_0xwQxh-`?k0(Yh~FL=|TJyKlu z5}aOtTyANe5x!YqxoZuT2RA2G`wGT%KIpYI(wx#TSnb+7Y+=5B*>l+y%}YW*7kn&2 zy@EzsNn$41TJ0LRxFegx|H5>^Rzs!65hwPS@*t#b?tC{=R<&6@2wjwcSPwYjxCE27 zdL~RMmIbBklLe#lXMpZQR{junkosd>8q1!~pNR(9cdoIq?5|zT7{0j=9k^$LU_%%o z{Fca;@1V-Eb{?6@GR}VWV^3||Q`zp&Uxw&wImPd~)XICK&0nNQYe|ZF>00_J7DEd( zg7|vxw~9hIS`5PPmy1_v798Dcmj7V1)W_WK7XBWpxR3Q+r8sI0!#PWl4GM4w6RZk} z)(A4|y*HZ)m1@b1yk{z2H8^ge2s|s0dC8kGCtjsVaVQbk!JF_kP-B)p6 z?z7t6F)iGgVGN)2yRaA6X(*F6fclY;cDlyiC!_0>qZcJf&azUN-8FX48VY;BF@4N_ zH)ryUNv<@Y9bov31uh8RlIN(MH#(_qza`<UIpR9aKKBr|`KSJK7k9p~>SeXNkB+H>jUHHO!PaDT6G zNk@~kwE_ee92Zm<3>QQfEEnW;tXJ|5j7OqNRVWE$8YBjqhR)p(BMk~b#z8lrX3!zR z2x37kBzOtV03j3c0(ld?hs>Yj@{J)6hy(N!LIp{NOe2AV=9?4VZOu0aWMf!dv*d-0 zwkr;u&EC3Xl-l1k83eXg%mgqRZ^}<^5{XG~crAWtlgp{%GD^;1jnSdx(8IKUw)F2y5hQtWX#Sy;QytvW zxv7+6GOL(eDyF<6m_1I(aiP;_r9Bw>SE$bWXAhn*t01n6Tfa|4>W09v7a4ud~od*+$9-8T3Av9uM;bj z@!L1`d|PVj9KOV4L{JoXY_u$TI#k!sEE&#~jb(5Iw>TcIy|-6V)jL1icBssLDHk!W zqH|3xE>$`7*y2zUGp_29*qqh24QaZ_;G5C@j#zN9v13)YpeQhQ6JpXcG3&(xT>r(H z{wuy}ur*uEmz(C?f3J+}-M)qSxfDkF)ECG$#0pXbp@j@U_`-*@ys5s~E?7V;KvD?( z@S*Vhp!Q<~&3#g%T@Afc$vVu2H9S(TKhuUCnF zSQVdn)w8Nz7~Qp$!QO=K-J!TpX;ptu#UEXfF{9s$WcUVmeyG@fx?$Jq9q%f9;w^`2 zu})eQE2^j?UU5AD+!2D&;l;EpiDzN>#tafAM~%%YfoijJor?D*UrU=+jH?}vP3d0> zzS?#O@akFQI@_?uObPH34Hnxc{Sq4@6&>1NGSn3UL-gqH_9wJx6h}qSJB?z^oJp)eR!-(tF-&l zqgd8;fDL2RbW{Vb)BRr6qsX{)VY>W-8nT_QH;1$!Mx=G&BcHxNtT$);V_Y^r`pj#E z6DJpK)N_SX^^BlB<1-1YCYnS?56oJZlGs|Jn+{k)*46`GMxLyvSAk(A?`UQ{hS7&Y zJ%MpNErtz=i93z2JsF?l0?RQ^^4u!Gyjlzr=mF?IMy$F4ZL07ia@yo;Lm0v7E#z(< zQ<1vqpR2&%pZHoc0I#XWs-jI79i>a)+-Z}4(0+I{**-fN%6go!U8jfNkE7E=Fwf1W zb-|A+h$x6G2=9jKhUkVI`eq%0GMuR-5O)0#gEN6=Dq0;wN=Cp~hl#G-+xK$Jpp zLurExMOar@#c$X5r7_(}#5kntjV0p9^Sjk&F&3?EC@1_^&ev(d^i$GYBsrv#AcB1x zG+V!~ON&Q5@cbyGmXscL@~>uZ^=rR&V78IoTAgQNnuSRkwGR4c2Vsy$Je6WMuk zzDmwba(-3m|AGJPq~|*2)314GT76RC5U{r~tMkuLRsRgNIjb|t8&J#I2;hr{{2Te6lrKZWGl{o zhjoN1p4me`*#f3Ewvh{%+R}@ihQ-)MEQmx0^^&XlgxQpcZ>)Q5iRi_TR`AKWY{%h&-tJCKx^c2kKVyb7L(1U+D%4|yHRn}+ z#b-gxzK}8dqj4pde(`41tNen@e1jRm8WX&|G&tt01;K*BmbC{Kueyz?Rp4CT(;><8 zK91|z16CT>x`)}}CzLu^5Kj3|5i#hYc-1ji+R1kiF{BF~#)GR$y%m6qbDdvHME$5F z*i`O_E?8k0XYXLcS^C~Y)RZ501s#VTLnSNAAw`Go&8iW@(9`j^&0@sNKWB4g(VYgG2tS71GI&qb~LXUaJBxb z)$vngI#)02#7N@MIQ_)U0~UK#_Cm0@)y4b|q~wbQE;A2r1SoOct@oTH=d*5ptJSM) z5m~O%<;Zi^gSl8M>tdpCU{wQEJlS^5H|^=k5uNBty0c5OYVAqh&NpIe1vv$wZO%lD zQok2))2Ct~w1(AHk*vqs$_Lh1rxGqgaBc{FI4(%9a2*0gt#b-*&ug4>HRG%6y7Dxo zIP{NvmQ+~tri_8DUKIc5N1~fXY?Y+c5-```jA_R~pD&N!AR|C>F{&~-4 zWqCpFY&W%L)RR$=pXBe7`1XZ)%}V?4Ly4-rCK7rKPoo?G1`L&6y5BBNlW0zj8AjPu zytOXa<$If(*~32FXu-w=TIkS<7zGE{z&!%gRGD+=9%q3U7FU?Ke)(j%Y$~i4q6VKi zb&uGETeY1OfWg@;vQlnaU1YFsn@Ncoe16>u(NWk?p_y)~zcSm13VH~SH>uLcKMY&+ z4Rul&?fj~1ll!8kCc$zJyAbCSPA9mZ>ex0%EvtM%yHh<{(6KiO{IHGOGVp-6Z+wif zAZAdw`9z-njs!{rdB5Kg*o7H1tu(H%(nl$b10GsAH-b8s-VojV`B9gy>kO{!cAqk4 z@qOTc{qD};1E^?Q>pmMBfOlo|ItinE85RX*w0k(r>vqfDVcBFY7VMmcAM1Pa(;HJ> zDJg#+QGU64Avk+krd>JHq>EKBJjTFAAgoazg+xOh>;Xt=N^dCaBfMAGo= z$7NPQX8v1dKf;N`DfxYfl@kfG+1g@xo~Iu}zXxZD`_$6))&{Cj`b760+e6d?pPQfq zV++oMCI7g4vDts$odtJG7y4m)rqtxzt4j>HZhU{WaqZJ`+xMN6we=lCRoa^b{n1Z@ z-9pE`Ye=E4n6J3`K@^W~Jg6@FA$pM5@V~UW<<-UCyK5bpO*xD9R$Ni~1(Drk6Z?>Z zi8`ns**&m47#id&Kl@D%NwjXdBJ~R*amF5=|L^SH1Kp!&kB`!}LFN23lYx2jRZ9FTB z4x1L5FM*b!j)jNXgUxDaV?*>8ZHwNHV0KQ4JJUcw!Z@x(X6Wb+xbl#f(a6;9q;q04 zGFygF6mbJ1k4|^*vflKlhRcaWbcv(VeIxV0T8Y9A!xY}^hH=PBJ~E?<_DpaK{@f;y!+gy!zG3)jz-2K$E~gZZdye8kQ1zu}r-wzb&} zv&!*IcU2F^Mr0fhj-h)!2pgzB{qR!clU=&i67ptD#&Q6Pg4G+@Kl{=?oBh|#NDb8{ z=h;T=nugn-iZlCjKu|#si1o49sDe%-t1wU6LNrb6|@r6lY zb1RBVLfJb@1IFpA`j_t;z@LD_=o+WVOXn?)Flp5%%xyK&ppcfQL8Y89*bUTN(whBY4^}rV|1F^p_ctl4=@KE{CR1i|&)u2I}Cm_C5Rqn+jb#W!+uhAsgAME@9f zC`xYR{BnQ;AEhwO^}q`C8&fo(H>C;cqm*(!%DqxfC*&t&79t5ThsZ$YK_m!VaLGvd z$iLu!p@?G%WBGG>@WK|4kf8ohVJPW0T@=<2;cWKp*UT5ZTFCJ_LJ3otC1Y%rn1q~& zSX%y)7rd#=b)ucHWmSco^s3FYgzC5uQ=RnJ_%v5#?K7UzHTW=4i4?v%m!+7c95fE% z1(AjHOK^RTpS^`zJZRfsXw$!o{+o70P4Lo$SOy&iq$6@~=7F{k^frw$vD z1tW4u6Z_61xAl+B>2QYEEYB)@_7ggEc;FaO3fbCV6NUjYsBA|!Q5yxKGvb;KQT5b! z)7H!S7r4jHkK>FxBBu(AaKF4-USj}_7)6wS9?`6Kk5hDJUd}E@D2{%QLk`398rumP z=o=8ZVFTPQlaDddoaKYGSI(kiBNG_Q`^o>la?n%HG4&}EBef1K(F(%AETm`|MCn0s zX$=j62!SZVVf$QA>JT_lHBdJ(dIBv} z(+{4XFn>5FDzb(p{~Cn5i##%vo5ubDoD`A#n_8J0Cvz7a9^LG97+`SjxG=%cWP4sa z(2Iid)mdgIzvyriecc%pR1oE55JLK`d@Jav69@hw43DoEe{3Uc;$y(pO2~Vk66k?N zz6{;>t z#6A@vW`4?qQ-KdrbyN`Fz$Aq`auYcN!Ar;9;g9ClI!C;q>Ra-^vngs4N2}PTb<27^x{v1g4_v z+{3YM$zknk#MW&_zS?oW22Qs?XdbxE9zsmxwTR6 zFxJc+qDQUyu6am(K}_8RC_L;}L{N2*Pq;Ai=KUn;3bI!Rn^^;{qf@^P*zC5cqsdjy^IY$}cD!f9G7_qn` zH;~@VobgXFw>Igep$Q+ZFTC>X9exT-ZZ7g<;@4Pm{#C#1kH>!=K0FYZRDUAC2^yX* z@~kx9#qAxAn|UPT&#zn-u51$w{1aa10;QInWM|JQTYN;|Y%!ME4P0Gvc)bDC4wn}- zGVs?eI=^byH%cug-umG2*DX1(mxNv05eeH#@5=oC;#{$rl2g=3#UEOAxMEa)CE?(L zkwkMOu(a$<`9`V@G~9ki?sxpV1CP=~&YCCitvrFm_$?(&t;sVTn$w|l~ zIOoD7A`cZuhM@~k&%9h=c(~vsmEMKEs!TTme0UwP+D8aHDl_iA%RikQu=ewHRxs=pw?S*oRi3%TjutQfJ^>Ov&`8-pS~Q$;cqA|tTc-fIDNOTqqtAJj z>Q#vPGm>z8WJ}xfo+_>;>CZKoY8=xWcT#Pz2Y&h#eH2&uPd&<(0xmcn$9mBS{28=o z4Q#?w3SkABM}Gedpm_U}1!x|%#|HGm0Bk9PDQKT$z^169)8IWP<QnCT{<+N46HIXH`03&3e0EMzJxk?J2WZO7$%4fZffT@NN{uS z1HPb+9)oRo0g()&?8-5!V6xQ2&j1}-GJ2o}LctZ-MtC)s9>^%`RR^eyDYjDvUperZ zfD^;_5P)+iN|TuY4%$v#Fn{cx7jO;<%*FteQ?5$`+`a9T0q0_la)3(&0e;HhI?G2I z;2a|O1pstcrUnDbWv(j#WN@RbKyB)_{Lg@N+NXLzrBtf-H&Ni6FSlyOV&Y^T!*8Q& zzsRh;I$Cxk4{f#U8Lf;&^#ouBmNjtMm+$6mS4%-HEFteUvWGdg15w3l_8Wix}8 z5zGceNwygkQ>;iP7luD0mzoxi$NS`^u5hnXgPt zHBc3-(QC+S0G=qRujZTh;%lvRSIG2))m*t?hriJ$IFX_L-t|vCg(?aVJn6t^4t4?E zr7%e7j9k96Ur0C(Kc_fW?4YiHpGn9s#ZSoiDzoquD9JAnz#)3;20cC(riyi zTzmI1ioKE~Y39KBxWaSW(o`%)^%L2JYT4BV~gFDZ_Z(UvD4Fc)^} z_0c6>{hYS?DuZ)Nalj3nYYoKi zaIT?gtL*Rv?3EU04nw2dTb}|OQD?7<04#FVlQBN1%Bdf$5g^k0D-qGw7@D^ zZAGwd#GWv4jsU#N08B^u)#wD?<1p?PoFHHrjZR$v0}5ukYOM0vf#kER^;S!nfc>|Q z?7;i&iUaVTY-EZP1MpsEP6ONn2UG$!;et8AHgDb+#{!=C^8_B!057!1T)9;^H zo>k0r0ODH_Rd5f=r~{Cf5bO!I@p5gGlmUNMwH~#BNng7`dcY67CITD+!4EvU2P$Bz z8Sf&12oYEn07O*g`~LgIbYzWz*1Dr#3$Ex3uqr%mQ3`? z@*StRrD4!-&=b%#(|@8rlrNiKs!{&*1M&m<19Nr6go94Paf@>GpzYP~;fL^Zkm(+# zlf|b- zP?2iWe9%M2R^$S+hA7aE9YWRsgX=N;)qE! zhGwhh=VH|lu`5b^Qs{Nk2+fif2L0fV;TxH^_(k?-L~=*)%puJBT7fa3Q6zXi@s%&F zEqiurL14~s^n3v@$47-Io~|~&u_ia=bzv?<-sO1fjzYBzm`mrMV9cSz?V3+bF6UGm z-t^@EPNFzGca&HX7Fl{OP}G0$7Ow1*35CL(@|KGzIPyI!RUZ0J?m4l9Jy{c_6FGvD z=(}+x--HR+D=KLA@79u!Mok^4mAu?|QtxeL48f6SPigO3fm-|H z16#c0J&n>bQqz1!o6@J`v^P>wdDy!wd)DUm$-8lS#CP6wcRtC^(LMK}6-?Bs4go;ONAdO6vfD@3zM|&^@?;8UZ8op*Y#JG0^ka;0f1Sd_*Gm5NT}Oy_jF8z7v*s+}2XHH;wTB3JOF3+LX%XgGPwfCR*ExBV>#wfo|IVqsm zkcn<29wkR6tfsE{*KxN+vVSt=#dCAk2)l8N{$#=Ud$)gNR?;&bI_(iZ<=q~jF;V*W za4%b-r7882vst1|aHQ%@(???aeaw^mtmnx}#9Zvbv(%v}CeEwMB~<4@uke^+Nb zcRg*+lZ}wkQ=|%P_ZmyaNfbt|g(T4G=+M=Zb2TNIj~w5-e3Scr9C?HZRX*79r2D-U zkMNbp)q53JL7P}4VVdFW?Y^uR<=J-Uw^BQABuxsZPh@%Uj`F$oa3sX~5^UPiQs9Qu za_t|4Y#+jFOq!$DrW9|d=z4oNQ1yxt$aOo7NN!WVF3Jw=VFoQto`D>sZE3qb;`f@- z4|6;L+iX}<;$M`jas#q;ls!A*s&OO_ZD?yc^xf@I3C;4A5LHTq>Rey6vUmSJ%>i{g zDS1UA-^JE`duy*nw4ladcoY3;sJk$BaV07?eI9idvJ+v4&_rlacioxICCAH* z6F1&TOvq2^;>t21Y=6a)%136AZKKuES{PP)^fx!tyP2Lu3wM$>Q zc#JI-A7A-0*C&0?rL(^*fz^s4eNWvuzMo1T|Ljo%xA8sfjD7)3cKUni@R`q+`X~$u zxU<#0#kvvv)svU{kx)$9fMDVNkC$n3CQI`)Hw^$3od3$S5YQwx)VDS#C&&6zWXSkb zr?T|p%9yE)S-gK%#9$*~8e1yn4LV!YT(gL19#2pPyZGFeMEk^2uYBtlzomi&$W<|Pljb zdEV^7tU^COzEAP+|0C-gqjZUSHT$$}+qP|=wr$(iY1_7K+c<5%ZJxGmOn>*TnLD%Q zM}E{^Rke0fN!C+IcEX~FCNqXASCob6Tw#!jnKRlD)XtW$e{vmk$dG&7RL(T^cyYor zkRfAlWtjdgVO=o6bymPpb!2Vp$K}Tle&YQxYooU|{g@r}7 zL938o^e2CTzE}7b^1Azd)2`{JV*T{=oiKK0v4wk0>6=J(!%o6nn1Tw5YpjF82B*Li z#d7BIc++^mZg|n*Fp$}-{)%uWb8~Y!;d@#EH(*P)RJ6|=^4=8r3NBG15bY#4jPjT} z&meHU(Fluf+8nfK_J;iBYjZ-5bBP6674qqd$Z|Ruk69xqbWE1XEV{)i&KOFlI}*)} z12cTcU+;iF6JgH|8wo$GcO`nY@_|>fOvXG`ByEXaN)u=FQUG7$2CCX zu0-+Q#}lbvKtKb)KtS+6t3q~$HumO9E;e$8wx-V02|aSaiijbz-7&?%Oq?-BpO*aKTXoa^#kZ9z?_O-PI4es_=AK4i!EbdRHBqhuC zr)1jz2`@vrvqcjx!+hQPA{S>8n!Xv zBb|%6*x4|Zumi-Lp4~_d_?xwEEl}*ihOcq?bYYq)tFA5hswul@esv9J*hvDyqX%kR zHpc2)pl#94Ms(^;H7ri#oyC(Nsj4;=gHWoa?wSxXUggBT#BZRh9NCxd4Iw#gtXT#` zW##Mzz|F`Dl3X9LSGP_ErY>MKgspLDMLI}bAVnt{sVH;2EYH3E0Rq39+r&S6?Y5YW z0Y4ur9;$JzqQ#%=fOIBBV&X4wNY6wP_9;BNFT!DEOKSpC6M>6A?pqJ{}uYK zEJY{|C=k#;6d)j&AE7H)+Wl{dU#9KI;iw~yjzRSbt5~8w7DiG_w1=02?L86@DiwoD zs)%YUY(0?+x!BevpfN&bQ}&*VNs-J;j;=Qy zPx&0r&iJr6dpJ7FynW9oZOzy8EtGL%zH4thR7||D3s7vA=@n?EwpNEzge}C|S}yR! zYZiMqPTC`kSJOsBZQmb8+DWQvHCMV0v>hTi9w7BGe~P%^JDX#*%&`mFPCh3Jx&58u zGvSe>>Ai6Gm)O!(@1g-antsI3X`z)LXtO}*-v&FJUrEtDh+H+$xm~HAz+P|tn6Q#R zIgLciI6jt6=!sHF%%+vj_2qri%+Z)N^!- zTe$lyGJ?}>8dt0tU%6SMUaK>l6o*N3-ldO}b?dnkKU<7=#`Z%}Qt3GN2E-|)WWswi z8yz0yP@nIlP#@%RdFh`I#ObA_g!N9G>szm z2|j3Zwa~TUt>Hd@+QvL$+X^)5RNN-@_-MUqwnG%6s?imD5YI0A#@{NMZf}z)i5|VM zsxg|^VgWmLH2}X>=PY(7Aku)ru2WfXQzb)9wKb=d>DKlj`f=xsqnjzY;3+b3?udlf z;lIL0CQM9?$(UUB@jIB_waUR-AavZg*0w)X^Fx44*(r^$l>z) zF8&Gr{35h`Eb(lah)))^%|CV$_Z#GYe2CJIE|$hJ zmPSs7PL`(5m+7-|D2k|~vy{3-;<7HLs6&!6pi1jhH4!!eArUypF*w2|X53^kki}w2 zS2{1K{DE=%I46jn5c|+5``2BI>?HM67Q%xMrS3C)$6s8|*IYYX?)*ChO&`~*IL-K< zw0IRvPui}hk{>#smiu#Ah8K;GHY3RAS{v-PIF-iE4(=CxrVumPbeiG3jy{ie+BV)x ziJ=eIYoV$(F?Z0NQM85^xHWvmwqCaD(XxZ*%uW#7=$n6Ux7*QLI?c430~`Z1@4qx1{Xi8>| z4cHLKLSQ!_2Th!su=c+yPv2G?yU`b*KcEv!W4`aCB~~`O*QlqyL!M6HRof56{?s`9 z4k>FAmfFU8gGV&pG=&w&SF=fIn53GR`DMj>t^j9(hHH$@TP56vspwh++zz)n!W9q( z0z3VUQ!_u^7fzAJlKU`CHe8z=tn$K<-4SkOgg!XQ&1`5fv}c4S?VD07x+Kk!$xD+y ztLf1Z_!w=+Sk~iPZUqwpjH>j7S~SHg=0>B6Q%)R@pvWjhrg)}Wg#Iz6;TKISu6j3f z4!_>R!@Iqqot8!Qd$O?CotMe+ZzV`YpEksTP|_Xw4Ls&f~V(GF@mk|TI$d`H&DL9mdhO31sv`+^c68iI$E#w1M&-08U~Oc zQ6qhFnZm04m=LExkB~2M;rl5aMJFHPVUw;v&w223w!(M|CDEY-`ue_J;zvv>A#vY$ zP*R(ZDdMYkZytX@(w@2a`>6=$_wRclRSDE97B^Yj zsaaP01XH`I4)S?>rmk*$(s?F*oQ7IM5jZkUv3t2OIiF$-Ka?-=g|^AHu8pDpQ4^(ID|!3|%erDvH#bmx~WO zK|V^wikWL|;9ev_8wj1c2yzu93F~n|qU-eJQRwg9(yyE5UgEiscmT0MsF#kPc82^J zBNa_@%mRREu8_NZLLcW9UoQ)(MCvN-e0mU7-6tLPjNa@YbN##zW$zu&s$hNM(u;lI z%1h0p?HnvTr{!6KpR8@TfTRLKn7gjO!K{v5a(Q~U5r?q_>kcff;Mw8x_nLgAlleHk zq#fkr*X?oJu*S(b%dUAa3mFW4=>VKC2T&71pcQWY{X&VF^-poxh__){fP?z>ePean zU*1NvxI=a(Ie-n?LoI?R0&+M6{A* zx-LYQyMMSG2{E5at!LQ{ok$TqUUG~5snni*yN!M( z2chq-$o<$^W)YmAaBcI$=>0xf^zBp2o7de%S^s->dT!UO{=EQFM0uNjYWhlesCHXd z^@`5>@(X(Ru{P_q>{)#_p%z4Tuhy~C!}5~if6P|>N1yOUMn(Ge37|BZREvefDx7-=$%EDte?}7pJycl*LcuI_-+aLhdG^}O zClLX5F>Y5pQM-dLfA1XEXa2f(La_*Bgot-;^XrxsJ@3oMb?fW<$2fsa>2JHZ*E;#H z`Bd!>j~9q;`;L*vgU_6?Z9MJyl2gD&O$NZ}TaDMuhDVFS z_0s8_rsf~DV}q-%x|tTtn~p-wii)x3j{Y2vDcrUV{E^}o7X{>oUF)b_8}}BSmHKpF zd0Yvg@QXs*Th*Wr$Zn;s1h596_sz12Id`t>l?|y(w?mt5soBsPqu)4GDI?NEZ>6ZWREqlT=&!b9um}?Ce5VZ`+P+z z7wjqSqIvUI{a%}+i@bpaT6B%WHcFqdeA%6say;~457}GZ>TIQLekvahQo~$EoJ{m&Pq57Z?`W#1)P2rpJ?Zj9RnOw4=U!3 zGY_K|G1y?{CX$T6vNn^5@tuE_u9amNG-9V}Emd<@trT7{9=e;#+($%P(o44LU|8!e zf5!mOeCv$zGvHQ+gfP$S37f7%;&Cv;2BKGPB7{aW!L1LDP7*#M)3aov3#f15E1HefV%JBl$?sIJdQP85A#6R8KLKUF*KBnr`6hv4T}Gk`%V zQywBBJjz|xY)FiY#{TyL1I^scs_}JYXcmUKm|>M8Z#(1P*&u39F){+*2XE?AIb&L` zDrS9{dVIeZ2x(B5gwKu%Us9U3@>EPsBPt2g(73Icp@9-DWc1$!6vGA% zEOix||Kj}9uD+aqNrzcToVyq#oEP2&f6S-{jkzhRq6Y~?uDG%5Op-ihuR4c`PwBIF ztsFt+F0Udt{5f@x>T!=mnW71^e=?PR@x`K|FOQPTb#sGTptXRkTS?4c906m+>Y}Iu zlT!TGWSEYz{Lk5|;LY56_Z6ti`%b)Ez8^-O%b?|we3LTL*eI(n?pxc0e(NBvG0uMl z*xKj#@dm-Ke0787yTeD9L%Svkq3v7S5tz65+)}i5@e@k-7OMYQI;n3lq>N`P58$1S zgLSseM-nG-;?@1&i`M7u2z@N8)xFXXN%)8G>EDj){t+eQCx3EJ>lSV7g0~BWfV4_2 z=n{%D{vT46FvR{79aOT|sN?W_9TZvgks9N+o$s&fsPk56Vxt<3uVPWPj)z4}%VZcG z8B+5KS5hB8)k7p2zfh>o(pu(+oo# zL@@oC&O0#}1+A(A;&xV!cV7SPd;;>mvg|8lJ0S>Bvq7vNbRWE6^zQqJ0!9nrlLzvU zv~yQrM(P)=eiv_4g7$sXp?f&aDMJU|I>x+KclvAKvN33S$lS`l8*BJU=+m66Ay5|i zNEY#E|AJrU18v7>+WWHx^wUoO%pv)YB{g_Oxb8&IDogdy)VXODn~RrNYT~CNyFo=M z!8}>26gtQjN~;Z;wu2wAq3A@l!HgB~A(V7QG_ZfZ@F!4d(UO{GQ9sfzHW#5YS6_dT zRJg{uFTtUf*su#g{E@viJ1`RT)N76Zj0rFm za6oZK->l_F+u9wSLDYB4SDe}2?5h!^!SjdlO)u~0OM~3nx#B?b4`5a_R zIG+_;3+A9^o3j=|$$W)F$+wMIJ;q?d+a7B~Zf>FUR4hSjK>ZUa;Ue}@pt@zqNh)h< z+W{~I+bg`$PZ+&Z!yV+(Z7ETy`}Q0M3JW+W#B+JlPSgwbuMOWAwXv4h~(oj2$XaS>T>M6TU`Mi#R;vdg9SGxnfDPO3Ywl3Ctt zseeX@D*Z8T)kw>DwlBZ4`bnH;WKR#qeV?*K#cgasZLnSnz5)#3I<>+mQLk>iA-k%% zG$zPd;n-J=WI2hD**eMc<{?X|yQ1J*`dIz71N+S*3jCog!`{v3H^ezBeXa|5cR4pd z;aL-&%BZq!h?qKu(g!uHSyCsNiVV z%vc)`3j;A@|FlT~Q7y_!B0)0=v-V8c!j+l&a8OtaBZ07(q=U6>2$rW6y$_@uG`f>! zw+)Ivckve?)7|bM4gAcg>NXyLkZQdo$AqL@CuYoEW3bbmilAsPVce%oq!ADja|vDJ zDNAhZOlRn#(Ps~V32|~5qskg134y~GYyE=hOf4fp89V*^jNO_G-S%|a6I)|{zU|e( z2CLg+)v~973oU^@n-KF$ROODkiStU{&!YT7BniZXHn+YmkRJGo8{_4~gjh<0|~ZD zF9YkQ>df*cn)Od{9-Cr|`7-*%yBAGX5MW!hS~X@T_}`dlI<|_Jxt5nb?Pmk9`0s-2 zQ27NIWCX9zx>555Z6JS_t$@3(Zr+#csFEmFVim_)i6T%6wRkVv{Kh%X=n>D9Tol=@ zSxR|^e3#BVnGB!}@;e#o^qXKg$p<7QPTKx3F24R51M z-lw3AYnMDZ9t4cxnc2#yVYvmU``}27lFN5h8i5jolSp~X-WACWkv(*PR!*~TU8XjJ zC67mj(~y)dC0>bLtPimYOjLln<{5us)hkh9BZ4BlLWtoXM<208ghk+ABm0t5>%8m- zn1?Jcv0#Q0;v0$S7sB;MD*{P%t0^dkwc5!b-&Dry&U=o3)s$6e$REQ|H0L{@YH>9R zv6^7lHO>vz%p$<0_*ysxOeLXVcyNX}nhT*nhqAKkn=N^{mcIfF#PRaHtpYn$&heDZb(lD)F+te9&Ro$`iY73=@D1TB*?HP_zWw(=|N$N!fP)4 zLzeifCklU>WU?c7O1}c>kYE*|Vm6->&B=T~nhDxaLr)mz<9T5aFaDYgZP2hZYnWk-<07@p)e%v_GGE|65!xkI>na~mf7qORycHCL2ztZGIJEN}( zV}HiK4do6=ycVdZO^^Dh(OirUjijL#3WUP;ah7OMQYAv`*Jus~IlK_ejP%b!Tn@%} z>Ti-(j?v|@gA{m@Sz_LaKO;H?_YTc#@#3fY9)RGiXC~mIS8#_Ae_0XKYR)$I1Q6QLhOo6JAdeno8VfYnnwh;4HUIc?3(upM zv^S8691)_3nq)r^2zsp}X46D|2#ktkrwlYug}!H(Dzqw`2)sH=8zB2Q>J1*PgRMV`s;=W zAC6oYqGNgp`VVoV77r9bL32_#WBoCID%=96K`uhDxLmMY?l~b0Ipe0P>H_T7d+X?K zad~W{sV%Zim6u>77cjClywyC6`h)`1)W$F8$xWi~@K2{gZt>bjLp8^-q_;8#liV5e zm|5$+69>#EoxV|3M|K4kdxc>{^Ng@%{7okfzcjeYh9@c_<0tVyZq8VjN?^O8FrHey z!cY*|$_R^CqHzUKON^1cSg>ss0A+r6n_u_AK(EbRrSl}QWTJ}v=@6bn&?->)y+j)K ze{@wJq9bd~*ygd4fec(lHtftw@mF~(6r0%ijL>X9sYhvrXBivh$`^lQ|F+@Q9&Y&T zE9ST9I<5RBGOPE>qUBjTICl{sL{}TM_h{oJH09YMfK%t!==|DqI3(HQYm_Q`pLaMM z^Ij37BaU!=(Y%U()G`uZR{6ygHWbRmh0zJxr{C9xcj_X5$Ni`+JahYrFv?Z$(d-!0 zbHs9fpoIp&Waw_)a=H7K7dmtK=IoY$DO&tYayax)tNqS%IP@+#-$79SYIgaY9YiBW zsQ_C%{pxc#oc+Dxk6Bw^pT_X5(0-S@@JP2e;XUL%!G8^-zFp@YbN;?$ii@5NbL}zV zt~hz{dL>eMbr^QY!|;vr>rWo+HC3J;ug+`5%~#0WntbrJK+W^u%@N5SfA`|qL}X;@ z5x@+0QziP?bi4;!d3_n%&`@S1xcrz)%3kHXdK3{XGG4a}B8He0Ug4>L4hu@eIKQu3 z^+ZfF5&FTiLh;GS=pA;z?d*(dfSI6Ti^Z)dXV=}KS|+Qr^im!jMH%>8Mi31og0-*> z>`3aAEgHQUQIN?(Az$IJ!Uh^424j2G!h?t$EcYXT%P>GFWY5+S-iVAGHFOjR!HyMH zhL8aQD^YWD2yU=8A&VKSFS3+e!fs+|lsa>e2{$I7G(v42RqvmLY=)Dzm~wk^5u527 z^U4wuljU}V2V4)` zdKSq3%%Z|#9jWD3DPNhN^gtzY+rv??l95pMA`>(vV1ZeWNx<6Io!pKI^N>#cmH5cA zgE@laZ~GB1k`{-2@b(7dzp(tqU|ejVXTp?0PljM2Wufen7H^_Mv&|1rF`E*zJHRDU zg!c^1!1M4VwlIooC(IwA>CTv@{6$^pmFZ5|x;%uHsKMsN9>iJKZ|M@p)(Yj|s*3a{dV zI%_~Qq(H+w1W?*YlctN>cZx~WL(QBY7NAu9OX7i}4vvR{?qVnOFJtGRNS4SapJa$!9k?j%tB`!NWgz!v_J8IEGArrk&Pl%BXqnw_&|+Q1sc zWhgD;aE2nJ&6c2C%S9d#V5~wbzIgslqq!pstvS5pbtqzS>TY|>`mWP)h7G(OI{W%j zsYexUvNqu)^Un%^;T6EGYnB@7TU9TKB-at>F(14yCL~wKr{$tIbkua3-P-}=CY3Wn zB>8g;Oe7NLSJ|j8T%!Zqs8U(iHc@zC7xkAFMbR4Ig~$Mr36kZvVSSJKh8^t#MNC0YfLgy?)_y&dS-)7yvvc)$T_Qal%qfy!sZ2h4oGh-%BTsSB>}Q>r7? z6_AXK4q%!#M!voGKb$)=>`s}3Kv89K4kRwcbOi`NJ2fHD&)mf=x<<}MjEEQ(PQ_8= z%6&y@W-GN88C81sx?BJ+7R+RNXKz~DXtbT7tiT2Z-+ z<^^w|?&au?)K;VHfU4u9;|y&O{CowJJ{Yz|K77Hu z98M=69|oyCZU-g1k-Usx?&pOEnESEAIFx5uD_!92*(9J_PSM4__}9E0m(h>8Rr>~U zo)=uz*)bObe*^1kL-Z$NJw|VLxCxKD`qh-_<;jN*e3HNj>@{iT=eD>AB=^hWb`A^q z<5rirZ2%{!@f7rWqb)uU*K0_hw{#a$V}h%S4&sO<7zK9NyGiSE*Xq~CPH&9v2l-g~ zf%M7l#l!#1m=7Tn-=|tLI6c&iK`Ilcl4DIZXp<1@AuEGD6L!{ z$d3rA38zO{=c&aBQz7t=zxPiv4SOUDpWbUe#u7RTC4n4#44&eyRv}H(q;B;~Bo~39 z#y)6hndOZl2|nbHwhHW`VY58l+G$B0FIsz2?nww-CpaQkvAQlisu}~ys43VEg9I3dL<>Jubp_zPgpRznX> zZT$dA*DX^$d90;fa&mH~wncI$*@)(`8|0Lh{gWUsfNz7I`IWLk0LUPc<_VgNyf+W# zxPz=E<&3!MsB|qg@&<*}oLIv)XmP$d0z;6%O3ToFQuT!Z`QFjj1TQk9GBAf2Ta1(3 zS+xt^XG=GpeY#=Z%)Q(QHEz;>ys;~osPB)1 zMd{`Bx>;>_I_-fxFvPY#%JfcQL0@oq`jISeDJ+bdcguo#u0+2B)#!_dH(}<@q6shl z68Uwy#MVmyf$CE=nENM4O9SubU61Y7p#shF-Lo2~h?*6{q>D7BVyI7_5|;T@2*!C# z7gLVc5-NW=AAVaL@>Qag{(9}eEzxCIM-O&tM8cgLWASR&>-RQkfc6@hQ=QG$&k0=P zArKP(EqCoHE<1q}x)p2^DpXCK5SI7_JoJ`v!d%oV3QhBk_je?>qnc80c16bjSBYOK z7RMt^!r+8|SSq`{=FMI@G@xH2#7Js}H{Dujbj=5+)TTG&A?=oK9nyD%X#6R;gOel{ zLRYmrYw)i>gYZMk+Q=quI9=)Uef$T;XS7$h-b&XckZZTytFy;-Mc-CKUEJ1Q&6WJk z=vR7Wy}*JZ{>&F6<@4ZI_$RiBx`WBWZ)kLf-Fr(hL-+~#X1Gwr->7^a79$=!k9iK7 z+t7|wNqt%f1J{g6JISpTS=Rh0;3-ZXk9qIQGui8g1cTDQyz#GrOwWEV4L$NGIt?2X zmboxe$XIo{RM7vcKNGMl$<;dLb0BYMZva-a!tvASRr4=a1by13J=)BcpINxoYy`8fNk2Wm}z9IpfT z!!s~V@|v=n``3}%c2F`w1*ZD8I`OoEAWJj1g(eljzw1dWUGwlNhPWn2;AYs5mGz+( zpK5*b*slo;5Hj%O;M}YCeC*1U+x!Y18|qdiu8jM6sCN zL^SopY*l^RAkA;=-xTa99t)=jF;%P_evcqG+vq`dIA#-iY2N2P&NVJ8sun`p-&~*d zrjh{D4@|gFrQvN_HHfmIABm`qpigKdPoi&Ne&AG6EFxDW4Zs_=G;#v! z5*>;rP1Nq*UPHF^md)3wGpggK#kOk)yQG;Xn!XD9JJ;OsCJ(yg~-({)^k`zx)ok$QkMXN@BNBeDscfmFV^ zsD!e_jq8~0(3Sie;xYW>hocTZ51ymzRS$UTV@8Q@&?s*CiAU9~&w1^8Y>)jb!i|cHELCfhO!?1ywQ`_sGveYZPuD^PZB&s6-8x zH-_hLp`>|$a@M%&XUqccVeEWw8rgkLV?G{&7H7%)VCTUvwpF&@Z=b2nog>%h*F4el z0tu*(HFr9iCtCVu59mGMpQf@S;A8ZUfZxnM8Nt#OZ3I2XUv#Uz~y}xFwTwyeV zQtUE+sxXc>3QU!jOJ{?!w`=R-px?3Ey(;~9eu>~MvzHEwV{}~qp;L(Sy@hA1Vl5WIK?j^*ZbqbrJ!ecb@S3er zR>7ItE>+hxEbj#XFft!o_KqWFs}+we`!u;P-mvA{vG}+Zjy}wMYc%y~tP1v}GcxyOnr>KB`%bjrOtt+AtzD_P4urX!^qIOiA) zo?63tMG)7hxSuE$iE*x5(;f}x!T>QhiR-wP69R@@tNjrY z4_A+hTJOq>QF1+>7RInlkK1KEP(uy#4^|P5c&F6b3!T zV&c3cW?b7UX`yS2CNKW+o+u3O=GF)_b<<*%nB84#QHq~U3H1&wb-u%k<<=%TBWMAn zNNNa7Eb-j05cHsMY0J)}^NTW1(q^+DQCf;fQKvaAS4nS@wTQ)b=f~|VL=3_Go8KyAbD7?A{64B z3D$&X|2W;YI|#rn%;suAw|Ghe<19mZtlh(Z7&yk_9S}0Xzc&u?_Mpm7a@Lp*E1z}a zU7n5^JmAwNxQ$@*mivstaMv)q%dk;AmxxJRKHkQ!Pb7&Me}ll|3g-gz*R~RwlA+`7 z$nCj^AjFx*YCn^ZVSt!=QFJE6j6 z4+e>I<_^IBiIQL&Zjv!icA8++Sj9Tha8m@dvz6b=`P z7jMa_$dRMG>%;jFfn`evJD95!x+u0c1;Rvs;*KY7Y5jz|d?v!$-bUzwODsho8B#+3 zS;{fxGShT8=N5}Lf$uasziUFY;Q$;!#H+~i5dxy9J__!rl`Ok^aJ-A1>gHG1leM}3 zZnT^KkrXd$HxToLikU}FPVr}I)VVxTrg$fsd9Hh;z20(G;j5Ax+BOV)K!CFHi>DS?yKsJ(CK1`TH0m!bl_dyz?B_A+50o-Pi(S4{2&X9Kh@$ne= zUXvmx8DC;J5?PL!qVMr;rkLWNj-@znJsD2MkQO4(;}}LwXycKDz1E!YGNrUU9bF$% zcBR~D3JZ%QqdXKikNQ#qOCMn$P>7Lp@{GHR<~>Q3*f=(|he0O$zMEjL8HrX?8E=&` z`;rsqeey5??G$CJ5t^wJ@7ssefGPdY6}pVI>47TK#FVr$Wr`ZBhW!~@@p`e6O*fYs zBM(GAT~|S)4hGesKGjXHZ`>pc-^{d{SWOS&)r)qzpj5(ayF+x+-cR3_xlAA+-67K z=<74{Kld`8>@Vm&h8|M|m=v#&4x;V0iX?d9#mB783CrFkRp7G|F5(7htc~33RL^B zWmSAgo|GC>qlt%SYa<)w))`&>ZBtzKd)6@4(31BNVqDqYW_lE0qxL7>zi5mtjBBtg zAr&F9`QR2X;+k(9Y1NzWI&WFCLI88Rb})peia%)CBOYN7KO2va-RwmW-c27|aLrl89=P?~CV++XLigw|eF+N~@V*TC{+p?A25@62| z{0Rn&FX0rM#X;xtBH;a?C+~jq3*BJh>oN3EW4x6@rzbeVR58(ayGgWr$!Y6{H zjf+_)9{F&Kq1E`oWR-(kdb2tm1@#`dVWrk?*QS4*ry!Xu*skk2@h<*@(`_e6 zcBW?AdJdpz`68bCKEUNU`{avA*6Yd? zn0PewxZ$s~J(~-_bql*m#s^wkwPerCGL6%264vIwF4ZikNws*+VzqWteBrdixBPic z>Y-+n@cDiIi)WuyvsqbC-0o3=1qvD$#f8nP%y|=vJ5|~U{?S_X>>F)V-g8#5jhn*D zsx7`$2ZN~T{hH^~NxkPKwDv}Z`gwvQY%dN8K`32r1PdC>g+yYxIgv!XhdK0)qnbG&>6ALPt`n^q9WeT={ag&va zubn~6;cN{DPQJ(TWST(3u==|V1kXJ)fI;M$24TMmuavYQ>yZv;Z+agsxoN|*QtjvA zuJ<2u23(0xh+4?e=x7$A|f0?ERHXLndF>`4?k5;p$4Z|j>$)lxsEA1vOwqbMOuluj{lzN8jkgZ{CtfA>d z|D|kfCAxWbrCK>>^aZUM;q{dZ-_>BIfuj!ii!|vK&P-*IyVi{F&K;X(zjs2TJfj(9 zZJoJlh@IK zpTwdfACggrtE0i|Jag|g#d+Kb%Pg85vCAk*mWWy^U0=J110#dzw9+QhrU{7N0*YB> zV>8Eum3Y&=2_27oeCqT}66ZHZSo4pnbF|7>`y4L6Pu#Fmysf@ZYxA zv%S}WAoyX=eSvqSzz5#zM-7_@zkk%Q$qXYTZs#b$?8g8!bAR@Ju?QnS z1?sp+*R%h^fX>|i+%&)MYuZT1H8yZpo~e0jL)d1UXZ`?Mvji5bTJV4J-SPb8F7%ky zlg#=X(kMEJb1-k+1?oJTM7}qb(sa$}k1FG=Wdz{i$S3_z@4; z!{0Lic%)c}9@_JX!rH~F62Pm&b13YabIm1nh~?W_biCY~8#qSxPi4vG97P;vCncml z3|$UY3rB%aCNv$l_630_Wf7CYvZFa*>B3DoC9UrrO!?{;kDo9I`^LVMa1=4IjOEmm z**TkU7yfpgRs97{^-ryfy32K;UYd)q5ChJ(+qtZ-HWY|F{gP9An1GYQtU7PytTQ%k zKGQB$5Dv)Pgy|Mv&0QI*Uh#{^cdl5)a#1Bk{KMh+%8LM?7!e*7_4{ zi5du9E*gjdI@*1y53@QTre>hL2t*s)cdzl>d{y?~Fl_)xSF&X1!52c>ic|)cYXv&S z4nzZQPf{r7BD!n~q8+Z*b*`z^r$EMiuZF8YLQiWolueS~9$A~tQ|^Rk{FtOFxtw6! zy`{u*W0QlgohKf2IMvkDgHAAKC@w*%O_jfD>VBIs4sU2ncqN<9{qZ2{hKPe)j#QWDrMBakQtb~{DO5XO*)Vgg4RfCzNBBl5*Zt1K&* zf>BpB;otRD%VWoa4)>`B21K_%=8GDOYXvouYQO|GD$~CCOcXvMl2;PRz#fI#%p9{$84X=&IXQpkz61T|n#A9}eVniupKC%gaE)nWHLJG^$^KM3We4<5eBK$6oCeQCgu9u|sXDukPJDx7F97)uet zyxi090qVXGenz2bXg7H6KPy~D4uiNALCTp_s}*8YKEmo|!`I*p6e06XzoF!hO)Su= zHTm8jB-v;eb=dBWt-|}Pd;QoBZy$!VuCZ8C0F1lznPek^hlXseV8TZ;x zBUSZ5UCV`ZK^BQk#!BV&EqM{8V;;KUn%#CkR33ws4!x5(qG1e6zcXIpzItq;;ETQH{#qT?_BpwvNu zmy+69`{qT<1vP${o8smoyXGU!%;(?9HQZ6$wtgf5+X}edT6L7_H<-VS+!{$7v|BXM z7(A8cmpyl@eI@Y^H^EnWgx!7Wh=y@gSDhTf*`Z0ObkuQ@gi*hmm%VM+=S`G%YlSEd z6&X8 z=u;pteU8vdlTH8n%mkd59}HIKW7obSTYi4H=jXt`#kpz=>(cC{2}QWywR~WdTzz*e z*@ua;q+Jzv=gob&{;Q7RPNT()6!TDBcMj9@aLqE7oBzEciY_33?3t2!vrvJK=a<7g z%A3GlF-*NdTfr}<9fkQc!~h2~3qE-v9Pe`IM%+!g!iiuR-=n_lRU}db--5SLq~Yc1 z+rG`6JXNF1cba@>gw`fTFV#ZfM|d7hPKS@W<*Css%_3jO)|UGM)_gju2VI*?r z(Amqi>F}$8ZKW3D&n`^4wN4i~{1h||k&$+u(XGrLD?oha@B4L4O!V(;yagJVk4yni z(SKvi84TlRM^Tj;cy1c7^srIk)%+by57mK)Y0E?`G2vnoa~pPkf^(n`lW+B6*&M)f zt;o)5Xbh8eTJt$a@z3=M;^S3xev3u(Es2aOo+9%~1aa9>2Y=W9` zYi{>UDIOnP8+QD|or~#uab|V49Ntml-zsSne;dU)D^@hwMxlvmJVZfkTQ&g2cUZrA zb0J1*C9yON63CRfJ*fH(Q|5$2S_OB{BV2pRNn}s>e`yx!bRr^%{FGPW{su_*X>JTf z=pZb9g!=V3R$ESBxP7jd2jP0%N!C$d@i-f{sodF-sa!{#d-rw7;f+&BZ6?q+OjraZ z&4wdxG`fuYiI-Z$!F-2-S7*X#9))$%LX4OeCuL5g9lo_a2Ck&DlOx$c<(-;j(A+3= zx#cc7rjudQTC@(m3vzJM-jr29?+ypcQP9)uOR#zF{Y3n7p?0J!{tm^QLbpoeH-KLS znq^OOpg=bZIe{$hXop9dwAj9U6!S5I>g*?wC|uFp5!QC+T_~<4F=;r=h;-0W()R<# z?fq-A?*5@%nz_^FRk^1 z0-j>Zaa0hw_EhM7zt#V;bJCZ*1YXIWC;9p%b^1|1WLi#GE#|Ysj_2C6cMT2E`kw2- z#j*Xbw$3^#ineXw3rP2p3kth*cQ@>UA}ig9NOvzSNVkAUNOyOabV?%)k|GMyN{aBU z&-U zB_)0e^79to=LBc!{F!I^G;tGybq1NIRIZ{C4A$0dRtPM?LT0S{QJ`<5%=zdj14KkFcNYLb=C{Z7;<; zFlEMmMIf+%5%h5nI6daDrobXptz?5Pt7wzO-1n4-TzAH}I!jHu(Df^C5z7lfL|7~L}nz@j%R zU*0~ossY40S~x+0hwQ6W9mPnNOi|P~nS5M&j#&rO1~`Qzt|ddgomIoGx!Db>iLQ}* zkLpgp6~Bo|`Z7Y77#-G8+ClGZvRTi1pCx=L;uo7zlXs~Fow)Sepdc8$vg4;T(3tkQ zgmVoPHJ``1w&TabDqRb@jr2b&GP8N>ncJd4GX6!p7z#?We*3FX>0%6iazcY5r=W@> zy6Vtg{J{$pP=dlcWZO9NFi*TLrseC=WnxS=vl?A27i7Yv^`!nu9Okb zy?PYEK8P9a+%bTvT43M80{6hj?0GDa+LpU-eV{-xBoUf23z0pupTc4 znxpw^JTUW9q_x8nTH;;n-jYvjF4v(~5k6Y*Ug0TIQKe8r=OVO))Kz9r|CY_j;>SGl zC|@>3r0r(poAZ_^txWUmM0lxUlTuAbPe@whP_Djxn`xq#+YYa#UiN;t0cpiJud20- zoj)6;K4!x4{n_ufyPW>0HImpwTPv0b!0z-?F`=zkO$gmOJ(FTBoR(kvc;1dY9p z5Wjh-y7`Mz!#~LSo+&OCvEth^UdC57m`6mFZgV)Cg+6#;JKXyZDTXi^Iw2!xe9r0d z_})abUrRK0@g(AanowvxQBl1xOA{g|=1b%~k0;2Nhyq8LEP-F~eIG(|4l<`Cx!;U) zGF*Ipx?NXJMdt~Vlh05~O84TAg%I?aIDggrs+v2VvyPBI^fvpsFJ)$Gm)DN1vy~x?sbCh27C#J z8C2Mi7a+g*Kn68v#qQSampkyI|FtD;V;+IXB^A#YimeXwK>|3a)(Jiv;$aVn&?Sf zNvaW+)=JrEj=si`<1|O!14Q+9kN5BpwQpp*FlKW$tyUT2@{cswwej0WGlq7^U!=10 zx&N#gT-`@>mY8hV4!qJd)(Rc!s||Nt4NmqCV^Mp`#`LaLa)+XpNAP4-V_=$+T!Fd98;OtOcCV9w! zmRXoCj;&MhKry-1uK*caR32_yht4JSu*hGfHZihX0+?-mIgHFC+Rw(FI0OeK9asgB zMQYm3@p*cZA5r_y%nZTkj1N+YbL2vT@dI!wUmDx1;*R2LE`0S(RQ2J|!{h4Png3*{ zz#N}}%B=)TZUwMIZc4FCVP6dK-z)~9n(WendE=Az$cHl3O!u&iW2lT@-DV6CYZtZQ z@uR#UHvTpaf8+ydrJ7w#Ac!R}d><#lfXzEk_pR`yJjC+DfJAj!X|_^L9zUmD?F~@>lt9ngGa-CNaX1Cc_Od<4ywrY*JcGG01t0}HpLa4F6|D*CZzVeG9p|^&JJj|v zaAOnl^|wZahvIHLt%y>$W-L3paa;V{T3;#!bASm4d1BDFKxJ6Cos;Aj>S9_w&=0pT zlxH145s%j7xF=4O{5R1H;cuYIRzu)+t>WY;vR^V3*9EGAsia!_+M4pUrrHGzEIMSR zlM(p(4HB=HP{BVm&~lI;#2=W(Yhy6(E#9ysV)$Q<```T7E#-6HpVca8LcZ?Mx*C3} z_>;|qpDrn`70UPJvBNGn9mfP^SMPWtJWe|WbEmvpa#VEWXz6R z80sh%Vlh0LaMW4y_~K>ZX-N*dduwvPaBwK*SmG+IF7%N_s34sfFLk{5? z{He?QJ$Oz2CWYwE@5NWrt!0=O@*=*4q}1~#PPS=M2WNpkVg*XrZ^c>7)k&Mslk2_T z(WF9=cYdy=ViCOR9MA|&-4^uavcmdOP+FU!g-}v?)P8v^#by#ukfYLdkC69jzZGAy z;+Aqei~Ecwq&d(3ZR|-WzTvfp_XNh|`u${8`Ff_*7%sVNOLjCLJG944-;;GU9Bsl#)V+ z6W(G7&7MrNv}lLg!RF0!N{6CZ*T-wea6FQxxwJ}o3%d_Cz^T#_M08kttWdMFR!xYg zot*opD6v5YD{Qopg9rErsvj^gGTFK+zF@sc{V8|JN0Q&iOHg}~1**9=qN%yQAs&$y zHn~KDCQ}G2aJs8nQfW^l@#T1v9k&xUX41CP?OtZzgxOD#{>Xt0>x|#Oa2C^yp_;fT z)IK7EiR^xXg)`Td23|DMvpLJ=@>tf4)*A8yAH4Y{`3VRA7@;m5Zr}YrUI@+HK(-oQ z%4V{Dgv_V4*cr{S5+G9H+s~{2uAFh?>3vHH=yu2~G@T84Z;(QVkhmtziowb3M**&D z{oDok^R9rnrTZ_vQiC$=KyMa-fiAzwN!|8vAeC2vV9d<~D<@fZsYPzaFxInrnAi#g zgV0{Ti%iS69Ah5ht#XPAqcT=3S@$I!lhqYzmIy~!%Rrm!83mFevvHGKkL`ni~z83SnH$?_i!zWDIFRkAqiz0VC$u2F6e_xq{g&&a%oq4c;s@D5sqZ{_4k)@>joCOlTF>3E?`J-#rV}&_eojr- znQMjBVrnVCwFR!`vuv@pq5NszgaxDHZE=XYYB0>&I;`m(biZ}xTGP|o+M!;SKVNB` zu%^#1+}CPHZ&!(rJ)X=e^5Q1MzDP3Q0DdOnoK(rQGH%6b&)qd}sL^Gs56_JYeEwdK zcT8kcik(!%ChlU~t70R1Wz_<#_0zFzgO0QGgE0ZNGkNMOmqAnxf<{B2YwbN>L;m29 zm}DK4SAE7Vr=1*psK(lg97$a-)b?MicI*0!$6%MK{x~g72cGn`Xu}IrG$n!7d-B*q zV|7Jl4G{vCf_6S3Uk-Tod{EJN%13NW*)E7CJ~q8INd1so+`+Qj^DY`eW;idbxTEzx zM){oDwBQ}r@lKPQ8$!xnB#Kmcvu+|n$5-me%VWE*42xxpNwlxc{GIYw!Gia7k}nK^ zX%OM_T^bkH#|0v3);=t4Dr@5ym#r+ky{`7-dOftYCp8|Ihl~i6_j6F&5W^xL*%F?_ z>)D-Wcuib8lKG@eoY{25RT5EKk--F(eW&r*ofT-mxaQe(%!=P;#GzFq=H~%Aw3(*IL_!C?in9wJ zO8a{CXWvre=WT@-?gf5UEc{yZnXgyx^2V#9N3n)3LC2TKxmMja5m zhbhAD6?U*%dHCt-BT4YMGVgRk2j@MM;F?BoH$uDftYn7fS8e+}TwQ~}WIWEwX-OSJS#j2h# z`Ta~~(5HPeTw|8_r6vu#dc(bXq%Horgg}HBL6nKoTjG}{ilZWx-ah@X>J1uSicj`! z5h|+ip#k~PpV(i8&Pf#JT@zDIFttBZ2{6sK3$=Xw(R_~KyKdwucpz5HTR(YjHh#i( zD1A{~S-4keeHK<0U-3vps6R7q)BKZqZ~1wuo}tCB$}JrxN$0ZjN2o3g_kpB4EgU5x zp!_d-?-g@7S**X)l506M9URQLjT_Oe_E_7BD*26Y*6j>FO6ksJP&K)X?DwS+=_H36 zi-)_HkQQ)nJPK<1OkQq%5Okg&+WYEd(@K7=m{< z5z9}RQBoA6Mia9*OHJ@f{puVy&AbJ5UWpB%BR_PB&!ZWKSCoIVx=UqQTjfM*?;iQm z*M_cLDm4&Tt%!Epp>K&s#0f=AGI9`zyvXueRRs&^4g~uztWj|KrJk%5`$vXh|4{Bp z$JGLwohJ{;#`=#bP`gAfQDH@k;_@~?=do^yIa+hOe{r+A*as+ikT2@_?4g?z0lWe? z7$tmE=?3P;7Tt&W9z4tdT&m|YYJZL@9Z{4NuvgJGyMN8`n5K(49JVsp5P~_rEit!h zwXVZUMHIS!3+<{VF(jcZ|0Lrg)ckQs#8|%%vSOpn^U9Xec8$!3(Jrvg-fEu+O`&wVfNo+&E}{3z4`_x#M4`b{0# z*|cVX{G6I?xohb(mhkufBH*?15imw7LVK@&=jK3_s4s}8bB(tE5Ah;)B z;SU0lXX(1q?P$;`#;j}rUSQ$8j^3bY+DHG+fGGQM;W6j{mAGAYuY=8>@6c2QS2n8d z6Wfae1KyT=E+d_UtZp=AAA(G!ff62JSn7_=Cht>tfC+nuwI`OiXw`dgj6y&{or>G|r5f_bBe&$S!Jxe*t~ia{fjYh!<{zRf z-kajBi&Q~$gAC-nyw`gXxhK!-WNk(et(~MSOj`82$L&n8(~*t2s;`C?S_R!VQ@onJ zS9bOfB<(gVSSWde4ld7hSZML5?u*JdgA*<|(z5d3Z-qqn~C1;&@sK7{o(CP>a8W2biC^49v9HE<1d{zdXfG5T8l+PLIu{nBc zn8kk}{~tTK!*?c{4UZRnf+?Qc<2f8@o&ak(m&avW2+-FOC1B{%4YC0V7^7PNRlbGJ zcT0amiOcSl8eSySe#Zx63YzWtpv#vZ?L;6kjq|_>lCEGfcXbwhs2jE`Ythg7vqmWz zm`M%j*PUA>)@8NDfLXaYc0jhNir^Ok=*$Iv(e2iS@W^t`rxz<;kC?FGrAEZ&aoW+< z8imstB>UdI!|yVHkrEh_TQU!uTw(qiaJS7)!x32$n$g6Jo;=KWKi5@-VOFC9l(9gJ zec+^q+-oR>6GO_p){1h0CI*%*e}7C-Js~EWyFl3VGSy-KQ)b?!H5}i*`zmj$_k7YZ zKwvrIB7s!T;_asOgPM#}@3-O(Q&MTJ@uf0@*6gS~#ypYom`qiRmf)K?Tk$n9V{GSa(B7(lg%Fu$o(mi zE;RCgaZNEud8xX}V|l2ik+!V5qO7K(it<02rMqpIO3Y4WA^Ae&NPoN3{zP^x03fHL zq6t@#g~`gn<^KyOLmq2+#p+WtbO3-1@*hp@AKc+JQd$dtXr!*9rTO1149Pb9kP#R| zcDsYrBx3&oky|%O0Dz(c!raBh%?a`Mh<{_=byV$BNQ{dLaA$f?QUL(i6WP0fF06tJ zgaH8PBaMv6q5c(HnMiq@oujGkUBvG~ZkHH|h#(QXzW@LLT=4%0%+cQ1+QIcMxdGgj zEy4l-xaIDS&YLAnySjbGUoRjd~k11`7M_O zBbR??%)Mr$a?;w)+SJiO-Q4|dXMc0Q1-E}f9Ld3~Emf_IUCm*3UU$LDYTRl@2pV9N z9&~5YU4Mgeu)l%-o8_O6Ea56iWT_P3PxZ}5M)H~)YxYiM^(oOj{7 zR>42;>?YcOI|lFKcWrrpaEYyd;s0^x{f+-GIocmQdK(S!Z&jMA5;`(B0mxGhDZm-2 LD|X>Vt^ofB?4R+M diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.svd b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.svd index 0a10251..c14defd 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.svd +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.svd @@ -6,161 +6,6 @@ 8 32 - - SCSI_Parity_Error - No description available - 0x40006464 - - 0 - 0x0 - registers - - - - SCSI_Parity_Error_STATUS_REG - No description available - 0x0 - 8 - read-write - 0 - 0 - - - SCSI_Parity_Error_MASK_REG - No description available - 0x20 - 8 - read-write - 0 - 0 - - - SCSI_Parity_Error_STATUS_AUX_CTL_REG - No description available - 0x30 - 8 - read-write - 0 - 0 - - - FIFO0 - FIFO0 clear - 5 - 5 - read-write - - - ENABLED - Enable counter - 1 - - - DISABLED - Disable counter - 0 - - - - - INTRENBL - Enables or disables the Interrupt - 4 - 4 - read-write - - - ENABLED - Interrupt enabled - 1 - - - DISABLED - Interrupt disabled - 0 - - - - - FIFO1LEVEL - FIFO level - 3 - 3 - read-write - - - ENABLED - FIFO LVL: input mode: FIFO at least 1/2 empty; output mode: FIFO at least 1/2 full - 1 - - - DISABLED - FIFO LVL: input mode: FIFO not full; output mode: FIFO not empty - 0 - - - - - FIFO0LEVEL - FIFO level - 2 - 2 - read-write - - - ENABLED - FIFO LVL: input mode: FIFO at least 1/2 empty; output mode: FIFO at least 1/2 full - 1 - - - DISABLED - FIFO LVL: input mode: FIFO not full; output mode: FIFO not empty - 0 - - - - - FIFO1CLEAR - FIFO clear - 1 - 1 - read-write - - - ENABLED - Clear FIFO state - 1 - - - DISABLED - Normal FIFO operation - 0 - - - - - FIFO0CLEAR - FIFO clear - 0 - 0 - read-write - - - ENABLED - Clear FIFO state - 1 - - - DISABLED - Normal FIFO operation - 0 - - - - - - - Debug_Timer No description available @@ -453,10 +298,52 @@ + + SCSI_Out_Ctl + No description available + 0x4000647E + + 0 + 0x0 + registers + + + + SCSI_Out_Ctl_CONTROL_REG + No description available + 0x0 + 8 + read-write + 0 + 0 + + + + + SCSI_Glitch_Ctl + No description available + 0x40006474 + + 0 + 0x0 + registers + + + + SCSI_Glitch_Ctl_CONTROL_REG + No description available + 0x0 + 8 + read-write + 0 + 0 + + + SCSI_Filtered No description available - 0x40006461 + 0x40006462 0 0x0 @@ -609,9 +496,9 @@ - SCSI_Glitch_Ctl + SCSI_Parity_Error No description available - 0x4000647A + 0x40006469 0 0x0 @@ -619,7 +506,7 @@ - SCSI_Glitch_Ctl_CONTROL_REG + SCSI_Parity_Error_STATUS_REG No description available 0x0 8 @@ -627,12 +514,146 @@ 0 0 + + SCSI_Parity_Error_MASK_REG + No description available + 0x20 + 8 + read-write + 0 + 0 + + + SCSI_Parity_Error_STATUS_AUX_CTL_REG + No description available + 0x30 + 8 + read-write + 0 + 0 + + + FIFO0 + FIFO0 clear + 5 + 5 + read-write + + + ENABLED + Enable counter + 1 + + + DISABLED + Disable counter + 0 + + + + + INTRENBL + Enables or disables the Interrupt + 4 + 4 + read-write + + + ENABLED + Interrupt enabled + 1 + + + DISABLED + Interrupt disabled + 0 + + + + + FIFO1LEVEL + FIFO level + 3 + 3 + read-write + + + ENABLED + FIFO LVL: input mode: FIFO at least 1/2 empty; output mode: FIFO at least 1/2 full + 1 + + + DISABLED + FIFO LVL: input mode: FIFO not full; output mode: FIFO not empty + 0 + + + + + FIFO0LEVEL + FIFO level + 2 + 2 + read-write + + + ENABLED + FIFO LVL: input mode: FIFO at least 1/2 empty; output mode: FIFO at least 1/2 full + 1 + + + DISABLED + FIFO LVL: input mode: FIFO not full; output mode: FIFO not empty + 0 + + + + + FIFO1CLEAR + FIFO clear + 1 + 1 + read-write + + + ENABLED + Clear FIFO state + 1 + + + DISABLED + Normal FIFO operation + 0 + + + + + FIFO0CLEAR + FIFO clear + 0 + 0 + read-write + + + ENABLED + Clear FIFO state + 1 + + + DISABLED + Normal FIFO operation + 0 + + + + + SCSI_CTL_PHASE No description available - 0x4000647B + 0x40006475 0 0x0 @@ -1134,31 +1155,10 @@ - - SCSI_Out_Ctl - No description available - 0x40006475 - - 0 - 0x0 - registers - - - - SCSI_Out_Ctl_CONTROL_REG - No description available - 0x0 - 8 - read-write - 0 - 0 - - - SCSI_Out_Bits No description available - 0x40006575 + 0x4000647A 0 0x0 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/TopDesign/TopDesign.cysch b/software/SCSI2SD/v3/SCSI2SD.cydsn/TopDesign/TopDesign.cysch index 8583daeae4711f6231799c533baf7e22d9e0c49f..cbbce223fe4ca3e548e967bc78a78a0aeec04509 100755 GIT binary patch literal 247906 zcmeFa37lO=b?5(DSj5VD@W6xwLI%PzSxhoRAaMvoNU+ToGEQI^!WeD`C;2-YGno%@2uTF7|G#gYTkqE0 z-n;j9tGgw=?$4`tU)`!xr%s(Zb?VfqsyDyjlur2f_>lkgl5fsG%8ygNM>zi|@j-ax zjK_wqQPld*;m(H6c;`@OPiJ>$pPmkO7AbarXRrSED`rt=U1z)AkGuH8owZ7_vvZ5m z-=VncT@G@Sc4V?M^mr$V=L@n5xI$mcI-{MXoyDCc0PY!HqR=5S|=a0`DO>RheLj|t1$I#(#%?w${Ji2=3=F;)u5DkT_I#UR2$ zReoG#SmlVZLf?xWF;)x2P8YXK=~n4^l?XJh9FS(G5#vb)_nG>F7&~2?9q3%69D9{^ z=Y!i3h_K;y z$9y!#aS9^yDwqN;gEwJ#JN@y(ZSr|4PG5mzt4~Z1bc?Z$8Q)J#R_P$xQdX4_; zK&c|$de>FxVCQ#+1^KX=dZ`+3hx?rslo#vGBKKLW?`0}|u}igH9rHHfbh}`UcPBLB7qU*reZ3YL7~|N^cK$=zh2AX`f3^{@Xe?>OX0+og)8Y5r3J&6)FfGN30@g zOaQ~}$d^$y)j|PUs%PXA{biX;vr0vt{+%Hcz+JLmre>KQf+eV~P+>b%G(vBwC3JS;96bzHQ?wLP4$SFO9nwcj44+U;6!ulNFCebBXHId?2mJ~+cZ@Y7T|V~6Op zQg|*`*~>+>C8F9=QI$SPzr9hoO^yGdNS4lXsvp4fs2#A(cKt7OOtU0mE+qR{!dy!n zbB($5D@B}L$~7vojf!X}BI*YWV`n_p?Fn@?sVdP?Bwf-YP#4C9D8mF2l#U7_pbEn1 zMhg``u6TOHxKLcB53M||w<}#wS(@~S#>2d@@ahGl?9R?6wJ?Kywg+vRq9E9ifxShT zPk8CIOcj6#E5)WOTwBqK+r_XDU{rCal-pfJ5fiKQdzJoCILGw7T;GiGCK47T1IaCV zcUyOuN%bMLoov7mwa`bXAzu+; zpt?&HLd?;((Lt<7qrp%moBu3VJTWMeh(oK0pA`C;>~{6=Y+wG`pf7j(^3?d#Yz0tw zZVd5-KHeRfnY2t-T@$ulA(lf^Mep417!x)`fw2C^pkr*i(#0`PLis?gU|?cEgY}JV zhlL<>n?us;nR=tgkIBfgPyd(7!U2)J5PDvc_70^=?H&wY^yp9oU0Ac)S)KNB;jl-r zl8Q2pGFL`xqCeU=Yfn^vv5RA}w^*sDJeCcr$#~3c0`+n=6;0=^PZ4IOKg~b-gYH?q zk`kw?Lv2yBAj0;lbky>lT_eD_6#y%s@zQ3<#2re)3>B@IDG(LI&_Xpq-oy}+lj=bN zstTnOBf#kf_vQMc1(|0YQZ8m^$XIK`{X)hNVxABPq$qx|GDh*R!ra^{iW#1w_@(X@ z#PNoPd>IVF*gXQcN_}Q4K{ws=VUOEf5fLSX7Oggj##0dxzLo+7gE6gQkYx~NWLqef z!nA3F^AfQRGjN-Y!)i7ZGY@BMTjB)8N`)pIvI=|3TIdBfpwjsf6FGg6_#kwK{sYj$x4s8F?@L3<~Bo2b@=eLOqv~g4RV0l z8jC;+)#wO%vw-e&`UjGNSsrh(-Z6Awq{8IEREnhxlN3)&Bn1+os3m-*l|;Z)WtP-| z-P6_sy#_E>7&T|^u&GcK-z8><;=>A!IlN4OIc%DN>Yt9;S(z|5mRM5k<_fWg9X+Re zWhAXgXvhRA5W@N0L&f*21hovYMT` z;rxFpAOVd&h!F}Shgon~nnzD$3WBK^V+e*2GlsCJ&kD+z-mnJ7#05)`8gBkkY&e2T zi!l)~Yak1n<1$&@B_o#Ul-?`Id!qQxYThOA`OxF%obxbk4LC0w(${%c7H_LQASBUe z{DfGRua|x!fN($q+Jv*Ic|&?W1rjZ5_V-A7EYcKPm2!7ZMSff;*J-V+eXY#Gl3i3e zUe=0PRv8uzx`r#~YUCR@9WS~z$tb>8$)otgE)&h|?e%yfvAAg7F0P^SvKA>|TxVU! z>|>>Z!$ic$Bw2G4*?{Z=ZuP_t@e3aZ;XIAJqX?oPWhEz@}bn1F=^skg}jI&f$QXMoD>>Sv}&9RNC zskf6;86+q64NPV{VHrp2vR;KyLZZ60Yc1IcZNXinFGLPx!BdEpDsyHVa}HRhSMbjJ zdUyvcFFUZzLi<#9n1fdSy>A;6QBY9Dd!EHe?*XL#TOI_?IEOoT3bBJKEUQP~FGzLR zC@VNl%t_brEBbO%tc;%}8XOA%u%PD=&xC#fhr#QxOSLw`s;Nuc)O)5cA?b~nk+2!C zShGaEip5s!ie{L`0|?!Q{%00SR=Ln`yz_;5wQkQ%9@C1zqT%XCAo(v4&qwiMg*Nl_ z(~mT>7l_P7cT374zf=DohGV)+lGB*JXPiTHjBNHE*F5;&NaTCP*g+P~fDzPr- zW+mKam1R8!4uHIudGu)g*GKisy8!vt zVxe%0+VgdSHT3wYr~b20_qyNSL`&EsL}1CJt)uu$s&!b`o2R|`;L3x}jr=yZ7<|xE zfu2i~@xhguIR{Dtioi0((tJ?C&sX?1=P4|TsP(LBu1dNfuD3KF<0br)*M8086szH` zq<@9gMp>B(*Iq4LC}EGvPI#0`(QGs#UfOUWKB|6+1kN=B2h~P+(Y%N%R@taN`P}>@ z)gG4GY8sx!y3rCs0gZc95JsG`cTC%`HNM~(_j+6?D4s)Ferex+NY z6HzfVCzLrRX31(Zb4H;)tS?#)6$GCFrdo6!Wi)2;FiynM+5A_&O0`D&1$3Fx=-m4${y3$3Y%`VkAdG!ei*v#Ic^h zVi8XWceHEs8ADF62gg}tXrz3@Q4gC{S;IBxK2?<8;TRsGzW5 zf1qj@I&A^f`-3tITB_>Nk(R3B2*;(0!|b_IX_E;AT<8V3+b2Z5^!LQ@BT@Wzy)A}A z&(-@pIdJ+2$q~g{0zT$Binl6sh7x^u3r%&q>lIt4{riuQ=V=I|J9~R!!pP`sECs@t zj2g7MwY$k3vtuy>GqUc`H}AW4We-88ZA<^S&`jMSzY!Jbaai(l8 zlA?jsLWlAyGm8to^6(y8PU?eov1sPK_4y{n)IIiT1HHv>sE#bQt&ry7%LdT$(U_~Tv=*K{U0|7gi^2Rr>IC+JDAv~07TLr2PS62%K zQwh-jXcCqOC?nx%hIgz2SYb`3VR@yK!_#I8!GMVm1;W5QxNreCTLPjPL8594BE}z> zF`W+BJRjB>nM{lg=jzARqQ*|)!uGQLDr`axyG6w?`0@l3u#+q0K1kZ-zQ5FuUYwNO z9kSNxn{30}E5PvNam9%#4e0V+8vJ!58iY-Mndo7**W;89SRotG!E0TMoTfJ6c6A+g zdoGxgp)DV$bU@N~>G0=`_+hK-U~EPKxTAOHJPeQ_rYq~6(SyMp%K?)3 zoQKKnq&st>-EJNa?1YY6lxK?!#oP3`R^heUvAeaP-I!al0Ch*uv7nWBj^c6OO8TbJ zDAq65enLO8LLWnew`=$X*txk4P@Fe12?5Uj`lZC4(s!ni(??EE3LUY>1~C0)`kjBET%Ku33#<8>Y-1+FSI_b6fFQ z=jkr#GbaRjPF7%T8+0)engI<((kh6W$XpZj*|6U2 z>*=AYUUx0Cir%KeuM=hy!hv=4w5umv36-&=EO!4W>Dcp_zM!sSu!M;)ci~~cN+(J@ zUi0kN#Ht75dH>aA4J(_UyZAwDOyo$Xe(OYbTYXN}=;krkRRuil?K}b|A-2mqq~RJR zZQk`ZsYr%`iPXH!q>jlq`;xJD(8*W;^={%Up%RB>2~!SolnEh5@pOSEZ*|(M!7tdA z7{yl!-zeUw&}Kl)qv0PGGEpeJ1^DGjJUj>g;1XyPAkGy4f806>6p7-iB{!n@8iiJX z*IQCRuU2NxmGN`?G=*nb-+eGYP7Nu&y|nM`QkIYXf7S9E}mMjHWHM9I5Fx{qbR<` zb>*{H9@2QNZKrHevv-h+(}$rDj*5;);4$Vdd`2dX zu(^&J#d{pJ?{wkY6{=kk8Cztj9Tt65h4?1pIf`#qDD1nvJC(Nd?kiL#v&mZ|th_w-tZ)6Foe5)lo7ihdCIRrr z51q1h>CzuwtNKLxN#BDT&8cdewmf16wHEU-{hWspaYG1^D^)$)|KqeWdK?Fwu$SAM zgs|_|p(U7JEpW`|h81#P4!WAB+&e_MkF0z9gFpX@DE@Db8Xs}tZz@dW!x9zDk`7+f zD12$JP#RI~EEn2Ta7fQl{5HMtB<4Aa?@{PTOaj66f|8XuoIgUDag&lvPshm1jGXbG zJ%$W+tXpjtT^Y)3TZlK5GUTDUiZ##cWb zOxIZcuaKxi?(EE{%Fiml&cabq`5AsX-^4^UH)r=J{2@QA5-^d&qo(gB7a$#)CZ6tmsp zPXoEaa}yN8)kRw~B#L7t;{mBMh?O}V-3aH?-i>qu~NO8zSokq{mMHaA$iLWhuC+$b)o zBgo1oX=U{lNTy~?uWTy}j*&K+(6<>)Fk#`y#?;J?nZu%hF~_V5Y`4MZ3%3;46X5oI z4|u-fd=Jp$ktqJ2M6&MzJXh2?il1;VnVEF;rYQcOimXtQzBR2~W1u9l*Q1Pe=1Nzo z&?_=*6UDJ-n2||%u_&WiKg@FI)5UV0LwT-LVKPP8)HI6!&J`%lB#Qr!drVZ38}y@2 z566jmnj4`WO= zFIo2a+H#Z`T$vZ*Xlf_5q0eJx#r|J1FU*KE@sG3DV+k6W%n$7^%n42*_sv!uhjRlK zoNG1X!&h%0^X9J1^W)-jjf)94vY7$ban_@3A#{Zr6cZdeB;LE|keEe{L+wx>O#7^> zFH>4oxN?Sy*iH}bs85~{(Qe-u8B;+F-3 zKF?A7a)teIQfBoH;E5c3+0nw-jlH#EpLp6YOy&{cXoXPw5RlVqcA_%TW#T@Z*!Z*H zk52>Nja@}-OUiKUO~7q~$q5?(ZGwWe7ly<9#mX|KGh;$L@7e9Z+7sJVY)e5R{*ZBJ zJl5R_=2s11WERbKs}(s6-7kFlWj$E=klGl3*#k=Hm(=Z+iZm7O4*mv;%sRgO>b+*F zHuuG1Z$T8oy5WTjp5;^XoI_}268=$s7~jsFzFig(^R`v=E=6zEn#Xu&xXXdV0=`SX zSqmXP%cmAk-Fai^KnK=$$U%LE6CO{CS|r6o#lilDg}-zKlN{{ts}8Oh%i+`XU**fj*da}C=x_t|prhO~KY=?juCFIFwY;svpadyREF05a*Ld7UMtyoz0I(S2s zI#nsyz_d@U_%%?bmU&SyED$+S@S>r58eB6`&|D|9MHy_udmMXtZD@AQZ(IxE$D=!( z$iB{tY|$-wrM6k8A5*Wh0AVq~jfcYvIJX+>y1D)M^Q!HL5rhwd34w%do-vLXQjO}F zRL-XHWC4ug9~IV#P2)A`yh}ClAS|L%`Rk%Pk2qi!i)2N=5nFh|fEBwF@{EN;NQtr;r zob|NleC11T>53p**QN@KR4F@X#{5ju8+-Ed(-udaL?^o!d>fPOc9uk%-HE^`-sezF z6rnoBA_K!FY*?(LU=+VEES5zoKSuaw^qN;VLIg#T#n+~lvUv`}2AkfgUgdETiYV<-{UsYlW?gDawPHOkH>*shH3fy1R& zYATA!YGPr=>%>23Lr7(O5Nt~rr}=D?gyp}>En(^AnCms!Y$XK=+f(WXHY_=u<=cRf zLe%h3DC)yC13eT}{FfH^_EN=oxyW-AGc9%#k0Cus%6hW`Dg}0oz_D0w=gztN;r&4e z=7<|g6c0OU=1Yo&LnaleyCX1h6opz#x zeRGx_s-c@-cFPfie&+v1Cb9DMbO=68YL8l*j-J<`*zKQpM)nTEmpstvT=Kw2E`Lea zK^VO_iW3K6Y*VbM>{jDP@n`gEWU}A{4yJr?0zX}76bHt0^ft>LuX5>aVC1==czNk_ zoqKt`LdPIt6s7Iq%JJ92q)s2o4wol~E>?#w)v{&o-F21I(Mnk+qqf0^MeaOTv03hX zvAEbnoDL|_z~VP5#&a>xQGAoa!XWH6-;q1fBy66ahHO~1|K3kNmH$o^2>Q(Yqpa-s zK4|W)_q)NyMhKpx_yL6uLH5xI9|lkML15=Kr*Bq);1F+L^TvjrCh{u;);86#t1yaR z+5OG#Bb^%Le}jvPoenK6yGc8tEt^?cc4S4IZNKJ6X$Sti#}Uj3rP91>JULmQrP8(srQyx<*z`0Q;O%$kJ_FX+tr@&p&#e3l&&%9f{&s z1;Uc&DBi3v7_Ga@5vr%(8N}XMJ8@F05cAkV5XEDT&>VzL1CLBnlv#iL<|VX}C}s>c%ajnSohs?-`iDlO7}srzaOs{{0{68Y=3}u6hB4vk78EX zqjKGB{Lk;m= zNZucBL*DlYkd9RsHK#`2b;{3qF-&SX+7LtQ0g;Pc;OtrFAZxz@#18h|`o(#;oFmCP zk*yllCaN5pJDts*sJHhbDyx92D~W3RT8(sNME!|2M18M_F=e7gG1aP1k^3*{bUs;= zBCG*cv6)fC>Rm>WH@Bh4Z%qe966s}w7EH!+5DPZg=vgRSD|vQR1Cl)?u6@*#>@B^S zh)I2Kk{Mxa+t$crxJzYZl2r)r4?QxOI*!g`ycyC5VZvu*(pCes;a)>ZcClesGT7|a zxA-eviizUa782i(y>)5LcG{o!6?}aa&=L&W~xMdfK4hyTu(7CGq|ozB?s$;G1I3JJizoSTh75#P;c3 z@p_-KIBz^)%d&6O|5{nckLXRVQ9oLl__vCQ@2gDw@nYgnR3`qU-l#D@BV;_zpQ=p! z2YMr%Q{GY=g^P4JBVhw!gyV@2-lolsbOUJkr%2sTcsMA?6bb|^UBO8+@WIVaL7T(zP32yQOm7b2~lDC zErsq9fhhjJ?$I2&pQdMThq1*uGd9_fchqMZnWRYQVn&91f8r1jyZx!_a6VN-6uJXj zAkvAuuiq(}E{V2Rs7x->z$Ahz>MlKTTo^}6*oGl9KCyJjlA-y|b9$ROta3XuC*85R zBd{!PS1pYOHcy~xp&eyplH>PBCQnoN#1l^hs+P6Q62)iR=52!Lapzel(kKjy{1PSj zOL0`Q&H7zOHLE(K+io7nZ}d$_hON$SOl0GLUt`7V7mFS@k(#$EN7?aYH+^v<2D_!% zp~YHla_|h5O;3x2+t2YQy8pF)WMxSHMEC6PQH|k?^ud+$7F8c^#FpL*2JiDHBh1i>U6zN*DGCAG3Q|FA zhhQS#1(vB@nB-cG2)mRRHOC)P#}qb9!xV5r|4d1>L7-5qKc>kSo60>a8j-4^vDgC^ zUtzAqW{|K1$QdQ@`_240=)SL5B06DE0XAkCN^~vzl|4Ag7uxwd-NgC1q#V-dgsVwULC6{(&7^{M%{v! zZ0K}unx2AD2AkFR9n(|rlbRHKWVvd5-#TTPI;ojw^r-Qt_4Ul@^>Ta7b4-SknPF>PH=Iyvk6z)d{`5vS!;+hyrYU+ssX1K;RVUIVD6z~x1Aj|&1T=+{X(?E{G-~|G2JsVjvB?3 zU!0Nsdo@9~S{$Rq8MlNsfuMqRCazsGY%?-WYqv_{R>0(fE6o{NmtsRWpil{0i$aH~f?FI;6Sh+A(=iJS=)Svkn7;SCAMebbYOR z1v7e80ksEhc|!DdM4pG^OlgAAY=U8>W58xq$9Lyeb>OwKk+XmM8nq|`wlg9L7z3mY z?*6<}JVlTrdg+xCzqcvPoSuX_RVlMY42A^quvIKlh8rs}bByT~i??v%YCX~QxIYdP z30^zwQH4+KT+K1@G+sXJz{4Wo?zSA6M5Yu?A=uHe1B^@t8p#uOW8cllX8o}wxn0kR z)`9A=xvP#w@lKa)c&*k2^duh|g*KF+R~%Ur#lP<2^WhL?s#K3=%$tvhOTVFbud{J_GTN}YPnb6kzALPYBbD48YcKuA z$Rve_Bno|Oiq9PcTETH}h#nXY;cD zuzMy1%XEjLu`Q-9?sPB=1IlN;oO=aMsQbvjH@?pUXMC;*o%A!1oyKpAou=7*L#=HTs zrrh}pMCSmM`ZPFAE%$8C8$TqC#d{4u%{>z~<_%zezdJJUYtee4iO%cQ0M1M2^5s@S zqK_b^TQqJ9kehN_cz3*-9kX+u>o!9sh^B$lGb4F?FghOujFH z`u%kYKN~rW(yJYT2piLw`yrw6bB`&FpVfxOEDKBnjUiEO8Xq}JeB7mR$j6sC0uk1x zv8U>aV@g{lyN&r5HGigQ+gT*qE-RA}n;n4&YtuH7z(-!@V21K|8G~V{DA~=BHHN|{ zKAgc zlU{pwTymQl|B8bg#dkPB)31pQx1sS%W`o9Wt)lVIIMNW-rm<&elM_)q;XoWK8ZT%= zXYYY+ZM zo~D8k$mGdu9r?k(+HlyZSna825A0kL#UFQ#kq_OaX^ls0txy~}Uxa*f8K?e^ zs~KTaPIWMESfB8%`}Ll@Ub|9DcT4Ii6b%{p*Xmd&=7Uk6oJ+Hg0p~GVhUe`kVvtc^9@C0rGt*r25dpHZ)!|9W<6g%)HYZ zDOsyrqQgp7YPacPcj|Rbk$L1)5$Zxu{BJrs*#Pkk_e|KB`12i1KTu#*y--bYtQrA% z+gP~Ki)WhAokDVtr*$|C|DB^XVPjgu=x-Et|F;8itY~b02@Qn_ZVWR{V|eeTG8%u^ z5s0uhjidOlTyj$w3trWzV9~v@;D*e$+7;YTgk7jiGtO*c3{|>>*%mlvBW%oUXE>Oi z^^B|qX5+f0TFh1?eNQ#%hue_;qUj)gPEA_vT)JAQNfP{>&b$1uvfQ;)@)JH7=Zf zA&bX+43qd^v+G^6SU213o(UVbH89`rr~k=N7R3gSzJx)4}`xUJ|Wzt)ndhlD0 z*8l40lJu*P*4rJe2^-TIqu~3cBj4yiOo7Hd#}hP>#x%ZkI%u35Pt0u8Vmxtc<8Sng ze$Y|N7=536Cag_-ohaxs{o^s60$$dJ=$B6i(W4l_I6gJuDLW69q)FeA#6-z{H!sT9$PCCdvhA|@zv8o;*k+i?RR}kd?ILx``j~O)0S{B@AWO=etlk@!hp9DIXy|gsSW90bxcUV zTI*l+B@m{d-}j{dLO}Y@xM#w~qzC4Ex}@jVUx@SueELbhxee*JOb6+$PPJ*>!BMp< z?L%6B#nGCuDXlw<1-|!uYtlszK|8p%vSi5nvK3qV)Pfcterf=(iaqVlG!*|>>VePi|iw1a0 zJnFZvxDw7)n;Y;NZQjtd@#;3Df6a7|-s)7J*0|$5>b?6zT0cn}nWLDnDXlx-6c0Zt z@BSxTa~&%h|5zIu+sz*SP<&O5Jzh=k9B4}83q<2{%lP?BM`Oa;G?oR!C4YwSwyl}R zl86aBZx1sU& z>7a2zOxxuFb;UIP6kU=pa%46!{c`tA*p%dM#{N)OOjE*{64M5J`boc|4e57I2kEU& zwZ(J?YtchqOoy~y?PyKdl-5p6KP2{E?m!$X8jrQ1@%U`en2kbRwIihQWsX3EwP_s1 zD_rtaOdm@=-qnW2yQhc7bou&x%*MYL_@U6Gg@s!jjR_mj7{Tm?HISD{RvaraeM=h} z@0kr6f5uTFu`Gu)zS+^3ur`f7b=j^3b#HVajunkx+lI!s&IXO4M|UemNaMYZK!mkv ztUF#@a+4K6X0yPtqVa8QXuNkeXpEaj=~j%_IT{l-pz)mnjScdYXxwv!WM3N^@1G4C zzg{#>rhn1~+0vK4dx=BQUn6s~c}sh%%iXRC2x~Wi7bSPNBKI}Sr;BF+D^2f?a=MhLtB`!zRq_;6>UG}2t-($wq9&-jw>dn z50=x|`im+n$QLMNgS|h_cPY`j2rIp%vggv%9ZKEU1ahYK@ z_e|KB_*`NLx&P3Cs7>^S>l3eYM6YS|kr86r7N35iO>4h?I$FD_UoGQgqKS%8IAx<; zOIoV^WL`u1rfW39#_djVmvuWGzwQwiOkp7DDd67Fq8WyMa(bHKQAeJ-yay$^$C{DV z)B5k-GhuC7`$78eT-E|@qZs)7O?d$McMeFyHP$1_Gz~WNX7uGak?y9ukiP%wh(y?c zzTa}mZBomG>z?%-$y-CoY$(6p=;&LQhu$Ii^=*CXHEd7q_Dv2*vxXh|DP@{+!%7Ho zOcfUwa&LHcidJ7?;7t0vy)o`-I2u8ex?PDhwh#Z8jG1YYB0^+%sWqvPW^`lBaSG;upwn z#`ev1RrjJ=2cy)sRiy?g3D%bM(^mp0YuZ1kdMwIjPV!E*OZ*q{lRX?p?W z%uD&9CWx3=FBZoY&fHt9`cA*RyQdBD|Kn^B|63x|7k$qP ziGRH#K4D|x;|TFZiM7oR#1LG%WZ(H!6663Pp+*YaGR|pDtRwuQy7$zQLgaRfo4V zq{T1wF8I@WeZ)R=N@mAZjnJ@DzTXwxuv30OnP$9GLha9&b;{p%oszI|rv&Ex-A-xk zS<)%Fa_(5@;~#88{143r@fl-F^_K@7@d+Cf-?-S1xUA{lm!I+4?Wn~euOXBFmlkv$ z`sL}Mb5CQ#Xd`0-!~e%T|9{ny-IVq(yJy1MP2iWD|5%xF>W#J$D3ZS-*&7PAUvWSx zN0yv4<;0!8(Wk87hVA{U4oE|x_G`*C<3i0j4*5n6=U{)~8kMj?qh8{YKLZ-N1B@PR z-=BKgrtcN}#@+-UR;DS_H|bBQi`8=JOQV5nSN(MZ_NR6C3_a$^Z4L1M+%sWq(t9Ds zx^j1amY(=kGM<2P%kiXVyZm~acKMCzXqTqOH3;=>zY`$j`^O#e32PHSivQImH@*il z+g-lr0JXC3^rZ2BZA0V#HXAg)*HNOxgZlK-=!t~2Y3v#N%?Wi+bs&xvjX%J!5&Wg@nXmz=7X+k6^C%^C6jKg=d0j}S(^Sqm8!9Wm?Z7uwR_;@# zDG#Pig*LmoyC-mj%)ZRE0AcMG@B#&xcXwxC{Q8^xSt!f2&R)wX()jY1-)TeokIx3_ z-|NU!BFoo1(i7GueH5>8$xY7S)N;4v%q0#`jq$SKB;a=)jq7%D%?YRL{MS#kY25!l z8;yInnqa8x{MW6nO$ci@uJ2dKw4n|D7rp{R8%i|MRXPWzr6$^Qbopc(;{V=k5FgTf z-w!LHP}}K-pO9b2 z&Ku#gZD{?u*`PIaIi*Y<|FWYsVQpGR@dsR{FFJ0nEh8Fgk)o1Ns;foDs-Nxzad|FK zIEB@615&r(-&MhK2%L_B=QXM^XFE?*!B1*j@D0;bV)lU#Q>@ zO;5p?LF(6-fn5)I2(7yNe^MVo25dn>;E{?6V@hu6#tD&ZgwRgzf*IEzjc5zv)^f< zF+*}=8lO1}GPaqw&+*(3pKKGtS32)|c|} ze2Kv*Cag_kFEkJ#=_au6x?eJ((NF#QGa@9n1#I|{X<=_VQDgRg=4_B2!bl$~viCC` z=?QC-K8nwD$xVa+nKNyl?f^}{2zgc;8nb0_#@QQTTIzoF5=R=s1~h(AKx0HmBN{iH zxSi!_Tyy?(giGHe7t9vvOFQ(Xj`W1BNZ;Li<4AvBnaW#O@R=6UzpxGIIjL*P zT%Y4Ee03L#cr`_i_9q24-5VVBO%LAco(Y?_gmzT{)9Vr3@y1zr2E4{F)=G z>|CX{hdWyZ?N&VjafiE0XS=Qf-KozC$%oZa+L!4qCpfH@6ZZMXE#{om^EEYih7+PV z_B=2$2`>#llIO5higmoz<**}8&>4sETmsj4O`GBz^pTf-BZ~izdp!H>uZsnT*62rb z_S&Ro+@r>Xr3NhI8K3W&Vg4IMb-KW?y2oLC_Nv2J_a`pjJ(sF$@}Y|^b3^TPV7bqA z@!Q14l5+6o@FV=|e7n+?QRYV3rYLO6 zC~Jx+zC~iE?9IqzL&cra>x-|`r9VI9I%*WZUFDBVo}>K3kBm&7>%PzadRNc;qoI>~ zAg-f?Z0PLJ5Jt)^8qmUDd!((R=bCQGpm<0r#*}{M2gDmSG)M8vpOn$?{6ye7*E_FQ zsBu$G-Z_$dMke$6-fF!G^*!yG zymo)F(kAoASGdPy{usp>e#>*%g^t6Ji}R1dZBhI`oS?QD1<&>P*tm3L5_sZLR_xNj za)tEH)tv=@X4B>QM_G$G=V6LaQaZwPHR5_V*JNJHY*oOo5b#~%{pI?sa^bGdLWzYH z`n^m~%k;clDHf`C>~uY3p-Z`4I`RtPyj<^>=?yv5Tj%{-r<14P537iK$~F>8h)k3~;0Rr{65rhl{-ys`o7xlk># z^|W@p6U)dqUCimNC9|y<=1hO3ER(SY!iPm`;Kc>*d`ZBaJQu8irA2uaqRK$HRl`V~ zCdk^K2P!5s+Y*elbA$cnnAs2}9)4sn3+}FH)ahyAytg+B1NkAWdKR2Xp4am@)5t)~KMJ?$$mU=q<+*Ye zoPq0S!N4<(G+)vst|SGfS02$KNl;P_n8sa!VfghEL*~^?3~d5u<}RDzp#+aiUgC0~ z3d<)?fW2E|<+Oa2GMWB-i>u|+9X5$#Y*8r2Ba^0rj7+BTdLFg(y_WBh$&pE`IT<}N z24qhiWL9>O`SBt$7S#e7GJ0eT$iX_utmq>1x*{?b)dCqZdSnd9Pt-wXc^8?VDk5W1 zEs!CjN5+7>xehYRy2!k(h>S(GK!%JS83S@p9b`tk$ozZ}8H;Lx3>iH#2IO6Jkm1rM z>rKB@M8=|8AVWrvi~)In9b|B)GGuS(GK!%JS83S@}5t-20dWDiH#2IP}H)%}p6FQp8;HjhlnphfyA4q6)mJb8}db^Y;V zE!Ok)Re3z4*rHkxjf|dX24rI$WK!PVT13X8S|CG4kBkAit`0IOZ{Ju%#-dsvLq?B` z0lBFTGAVEGDk5W1Es!CjN5+8cu7gaf>g+EfV^J-TA)`mefE=iUOgf<7RYb<3S|CG4 zkBk91TnCwSK!0Nq8H;Lx3>iH#2INh3kVyyhw-%AHs20eO(IaC({zDO&P&r<$wcC_q z-dRM&qFSIrMvsaC`MG|mED5yH_ZCsHs1~S@(W7EO-q#AtEK!uDR6$A3C z{ZQeyGCvG_q=cXQ%R4l3mDrEGi7?8i}k4ma6{CyD>i)w)i89gcn z5ob}20guqibb_Rg^V5*1M)-tQAtJI^NOfgR0~wd=ut5s z&+mr{+Y3G0jucU`s1~S@(W7EOqW-9)a^?IYDi+lO6*78M49LR%sHAdbc@Y(hYJmzF zJt_udMSoP%k$FuK6^m+t3K=~r2ILh*RI=9A#acy38TaxcG8WYW88Uig49FGzky#$F z?xrF#7S#e7GJ0eT$gAogla9|nT13X8S|CG4kBk9%bsc2Vp0uNgj77CThKwE=1G2LY zGHGABwTO&GwLpf99vK62TODN5-ZW7}#-dsvLq?B`0lB>nGO5b&h9WW+)dCqZdSnd9 zPu4*uRT_S#h>S(GK!%JS83S^69b{6q;q66aEUE=EWc0`wkayHUCRH4Mp@@t{wLpf9 z9vK7jo+2`e>G&nTm6EqS&7ss$=!^r#q+-{_4B z3+<^6^V>yKEUE=6Wb~*Qko)?hl1koB6;ZLM7O0TXqhdgQzdtIe-2Ge;6^m+t3K=~r z2ITYoQAyeMPl~8mR0~wd=ut5sU+RZSIHmvJMN}-R1uA6ps2Grki>PGPDK=T9-16r| zWGt!$GGz3~7?8i{kIbmh@iT$HDyJv>hn`(T#iClELPn2@0XeHbD(M{H#YI#sss$=!^r#q+ z;r^(kL*ltbR4l3mDrEGi7?770QIThdJau zRqbi+dc?l?&QrmBQjc6RdVCGYsH=hb>z)_9ZO+zQL(5NsF2a4Vn8nMk4mbqUt2`QqFSIr zMvsaC+0+{q#*UOKHxyB^s1~S@(W7EOezb^6et-`>jkXt&v8WcvkkKP!Kz0<72^p77 z-KlVTZ4niVYJmzFJt_v|*8ZrZ!s$>E6^m+t3K=~r24tc)Dl|{ZxUVmwVo@znA)`md zfV`nUDkev8WcP zkkO-JKz^Y=Drpb;KoJ#-YJmzFJt_v|gZ)uSm7Wh5QL(5NsF2a4VnBYqKPssz_*+F( zEUE=6Wb~*Qkl*f&$|_B!QU&?TayGJoowneol+u&XzvRfHZ{8xptFA2)#Ij5LfREx3=u9a;-{=QH) zw?6xN+YQi}dfRpNeaoJw`teCwi;SM;2IQYyE5KUvEPb}Vylr%e`aT!czee+Q?rFY6 z@1pqMlst;blx?U_2MF_=BbG(=MYzZ$2G`0qARWntY}s5o=80t&kY$KvQ7y_gxK_3S zIkiysF9r4HIahCsYEibqwXzM!nT4{q1ZDG_E8C)4lx=XWYyYt!x9bs!;aFg0gwem3^;^YEd>By=*ZcmlVprJ1CpyT-o1L_pdR| z7G(<=ec1-&ibC1f2W9h|E8C)4)Z5@1%?-$=LfLDAvU$#xz1&5$D4UGF-Uj4`LfITv z@B5e?T%VDt7S*C`gKPCRAU7AvUKG@u=Ulxlszuob*UB~^w-m~LRZwr9b7fmpi?R)_ zm2E%{6v|!~l+AOlY>R49w!yWs4ai-EvY#H5&2z47i)vA}!L_mt$dN+XToLAZ&8`c} z@R~)nDBIv#*#_h-g|g2Ic#Y>=y)CLm*#_6jHX!dPl>IQ7y_gxK_3S`9PuU&jx@KrmHW|HKGa&aC%DyQmo9A5F7S*C` zgKPCRARjH1{rsS8o^xeeREx3=u9a;-K2a$9$wApX=gPLI7G)b;E8BqFUnu+2Ivm~i zZ=Q2yTU3j(4X%}KK>n~>_V6Pe{f$h%OkosXq6zNEq)s#Ka6Z&L4r@mp@PGNURo3c7 z_O!kU#rVTyc#h&>h3*VQ0QpyUxmSnXD?#9uzl9^YBjJw}HI*}VusLwSMtJzv?43fV z6A4Eqp_V^(D~dBDfB^n4PvmuaktOm^D#lZi=L#af$-Vk1h3-Ja5+Z+9@1{cJEuC9r z;29U0IU;hO{^t<6p<7Ds3^96u9lW~a{A#KyK)&vr7=93nq-Ov#@iPA~FrP_``je^xP`{5)5X|6T6YyA@WEf2tzo zM?_`}nsbQAP%9;Jh6KHce5tZ!iTqy0cp~#$dCF==Y}Ghr^)H1-9iGn~Wm$E+@!*tI ze;B_X-{!^`2)39FmtwPDM_39EGF zxy5>+G@b*OGQq%^(^Ws;N&pTxZSHYe<%yITA;-AOB}9CRC#znr*l^_4T2i|U00#Gq zlwC?Qw?va4wytu+R>i5R8RqfBR`sc>ndJGY;uVg&G_;Aw;51F>gVB@cdOU0#Ix-2o zWVLgPw74x2{o9=Q-=>Rz)^+X*j_=3wa{kdPU8q3MDow?Kuct!>ce|P+il3(h{;<_y zJ=-lEcHzL7rtljz*A4BRAgP>Gm?S^^m|NB~+u+^q`? z_PE@-@H2?NMOlEZOkC6WB_((H6_S0ce%H}ntB!~E{Fv8U1sd9p3hf>81jBQHyEeHB z#V%yv(uSS-U#+-RdS0SuE^T06GpTpD9IF+Bf1NWgKzqT3o;x*4Z|fXz)51e~xBa;wq_>m}niiB7cpXS2Dj%!YnDM-L^ z>|@fuUBJf$62E=g;OwvKrM~^2F8>l2MlqR_x>6sO%6GWha0SJN>9*X zT+a)Ya=ZSqF0fH}hr6tTt1HUyjd-O>4&`bT-=U&Cbxrc7|u>e_PJ1Ath7S>TmLQIWFzwM7&^9xekexo}Nwsn+$MqV{u@-iEzY=|9`5?N40o z=Xm7>FKR#NL~Tyj;nHoik|-`HHX4reTFgerC8ou|F;V;rfh^~_pv6#tQcB>HS!~69 zUb{4EY|~g09{GoR0Fq}O>0s0glMk+6N!}MIYQ4L{=rSogTlJsa8zS(dN^-NV%)Z=7 zQ$|FtKbz=Ijkik=VX*RY^W4tMl)hWSaJPi3C1PKxOOn`oa=j}DCF@#cR4M0XO1WB6 z^|h{?tn}^y`%1y7344z)rVc$}Lsg>;7d>4q*x)QoPt%KC!tXW>q~mEl&+ew*qRXH* z>7RUC^w0HBn^eJKh2*EvWyygP_X*V?S0+SF6JM?79#hgnGW5AC z^m0PYbf;)?pqS)EE{VUvo&vcZ;gC3@0wx)t(t1((Ry8=Q6>@PBRX|xHDAk`X6xx?gyhUudR^RJYg>;iz6z>Q-jjwATJcy)n6R(XWinzKkR|i`?)ft4hg_UyF$ zfv;Mjlm*>VEK4fnHmVWe62_$*`{TkL%G^rm$e}P{P1@w)SJH^FlevtwirR$f=|V|| zZmZD7_I9mmf-IyLx%OM5SD0duNPHtx_#REZmnPrKl5e<{_bZZbHuEHHkKDIXwu+mW z-*?AAww~Jd(hM$I{N~SNoBL@!T>w2u^$n(Nu07?;tZ|oQen|8848;Y zb*H2pZUl@zw#H5O@vGcX{AVGjAd`GHL~Y7qX@(5DB9n?4Y9`(+a!drMWFQX*kW=Ah zBV7f2B$7ua%u+jfPlV9aOq0A^4Yc2l1%)nPi5P|NRQpwor!|H&pb z+T|e9W7A||o8%J0N974i3NM42Egnl?(p6F`s}=9BV36IRe4kVXLtn8A_Z;9GvyNq%R-S5SE_9nbB zJzE>r&oM}2^qXJE^D(f9J=Fe(t@%R zJ1#Bh3KZ&t1vH!F^H1(G_lx4+5q+ch<6S8e#h-97|2>HLJs0z-Am$HTOezqf_<=&q zXI#u@gIu3?F<%H`zUX4od4jG6cQJn+r1|4QnlBY%{%0ZPe-&c>)WxJDZxlaVNb`+C z%w!?vkwVO0x|j>aHr5_d{AeM~U%Qx)Vt-qR`Bow3{}y8YUm@n(g_y?_BL;?vdg*Lz z;AC{urY#5Jy9#~Gzqpux4Pw6MV!{gin~Mn>?+F(Zl6c5<#B>mg;wQP7FxUJ-njdg6 zVOdWpq&eNiJXN8``DrfZ2ZNZW>sf@jMwRqJm#GZ@s15C(e38#|MLsJi@+=n<^6zt9 zOjw!c7h+ymhFD}H47GjnaVpbGl zRs}K1Fu-`RMcNUf-_|0wC7O*50%}222V3IVDM@JaHNVI+s&>pQ@_g;hYmc1!JL`^| z`@QAk1tCV6?mj4nu%ZJF_7hB#4kxyM={xzks54Q`J_7j+9J=wmA)n=!Y_({FJB=z3==1&^=+B%UV<1bU7eu^QhuiHEy!jL_LC5!aw4pm zyPL3HFD|Uq(qC|)o-#OHr(Osnudo z1o^nePu94$YI=XUrqb8y!I8Gs7;#3xQL`#cQ9@H;8pUTh#F$Oy-9~THn<)Nl zx!7dIv6t8+b0Rj!B>3zf6&TyWc8Sql5{+haMo1z`w@XkWK*uCDu{P|G&|KzpT5Jt{ z>o1yYIP)0*))VJZzn%Km&kuK$}mlnEFvztwFt6Ho0 zOA{d~3CH3pp3mkphQ$yo4IT!VDDRNOV-YKB(cS#zf46Sai~exk2QK=t2RDED#SfNS zbbr~&-_tY=Q!yIuRc@-bvJl70f4K}c*L?saGMJ-{SL&ZTn9Qa-t|t^)lfgLen+#qm ziMvqJ8Skz!eO9R^E2ZR)DO{rQKzg={eWgP00^VKs(@4?btA$d3B#kqASE9ktdY(K02n^GkaqTCPNP-fE9Ttc}|F zusss3R3baiHc158QY&2)+qt{JOIw6D$E(-tNW#pRrgrY|2?!5gtdjw7LXGU#{M8=V zCb7H%4%4N7)inU6`IdBF%JL%UB}qp6Ct2D*$+G@QmiJGxqJNT={gYfTzQ>-4sWY7@ zB!n|7BIHY*O+%yZOj^T)5~YV(mf zJQRhW-DT8E_yIszVN7N5ia9%bu*`5eCb5P?1HupGk|*Z%yQHWRhnQTdDxDBUNPg6hxw3WaQ*VpAeRVb5VNKlM=A zFszx;m#LAL$!5M-2g}-~^6qds7mVq7-xcAGTsXhv-`hjXq2<|1=3~sl9>$nMOBiDg zEn!T3hn6sxIkbc^IJ5}umkaG`r|!JNw?`cVP?EXYmpkw0?K7LLs1s1aCOsi`v*0GP zOO(J(=2$r{r;t4%s@!eT)xRjNGW3VUdcv9G(s4J6=}A!>rZGc*7-Ms*Fs91TAEqfc z^oti3iF_t|&zHWiMMK^BQtme>WarDP^v&*`u!ndu*t$SiNY%PPm}chJ1;Wy8Di+3+ zyVHm;x49lRbzh^peoCIKGiolg;l__;rWsX@W|w^MY3n=Z?$9v(sRybxnN5-pQT*do zqZy0$y+$*#i}(z+Xd}BM1HsNaZ!)j4i^!5`3Q7wuo4l~|3X>P*`I!cuqLQqcD~n+wXg7QH zgvM0RZr$pcjCr2jh}AP0Gd;VlDoN(+#*55kvb=6g0x#}gH>QDhVN$LbUSy`o<;BpH zcFR#NKQHW<&Ysm=tz>qpWiCH2&{;Ej=j`h%ViG3Gmx-6dZHiG`?gyacXDhjRMrJht zAD0gLUfLWl?gH0}B|Oe^!PXj%_2v42nu8gUonZmPRVxLdv9MezNZPiZ#^>o|xq8-WnUf;P&-<$P&tG-Rg zNYi6o+^BeTjx;vZJ$$UMmgybmOI7kQ<$6cZA5y;0E4Ti)2Q~BRN!UL1EyZE38PymN z&FdwTE>~+^s(*G!U#nI%twA)$FcQA-e!ls`T;B2gJu-^CL!UDre8=-&QehbRJ(U;5 zyS0Htpvw#+)zjmT%_A!Slof@7gKF&f8rIpE6falY_C%q;^uc=EYIRu*Ca4xnpP4K( zabBwTSd$s=9~86-tFYdYVKA{!WG!t{R(glQ_^rs6UkvNbDa+0JRpU^@rGRO(FicJPpfRW_zDO}ULJOZmEn zpKPvyF#WW6*bn?A`?s@eK3k!-cLeI~5_pr7)VjoP*{WO1t%i%$dTO`LLW zZ%>grPrS^>uL4E!&k1cE$*U@O%Dv0Qyf$wP$Zxlf;`g|O>E70vby)e3N*C!cJws`a z{jWHrepR85x!1*nGq~SyF(J47Hy0DGQ2%Bj=3|9iCLw<#kdXHk(tNxS^9dIdlI@c& zCbYEwzKaRv#-|H04-|5JrjX`yg_tk6n8H?BJJ>1X_yynkX!Cc?db@Ty_pjBSS#^&W ztm||xsc`!FQ`be-Kc%#bpgL6Vp0zFlfTW9<$&6D7Fq~o6T&3*3i(o9X#ZwGt?4D(x z0hUnWv3j!e0Ml8cE~2tEg_D^qJ?+Z&vfl<;C}{q=X?BXS?F?&@JT!7@3;Z^? znJ{m#Pyih-v;S{*xp*$@x6V?vd;v8)PGKr*r`fWq7DdB0ZLhGZTd@Y8Uj+4woPH71 z7DfF?Yld5J46@In&IM%9CbBtqQDMrsCS#irYiBXo)kkylF=nAI0z3vDQ>vn03@XUVx`~FTM5WZa+U3sfC*88GnF}l1%H(&{`0vwm|rN0 zbyX1t^DBj~9n=LA~kJ^_|WFeLnPH=hW9$EPG$4TskbdY6iviBfU8T010QXc+Cb=yy@`tXB5Xv z&Spy}gKUdp*?YB8*!&9KL78OUHLiH}`u9{1si0M|OI`Ffj#{7lMMz6U$)CtvIub`4JAKxC&}&r($2J zu&^gEFCe{p!J)j_U@oY-;Y@!Tds_IOXBzK! z)cHMzC(@}Fb=JGWRvwsW!>(9jqV=u=>)k*xCZ@Sk(no@ASYMn|&b=g8>m?_CW1R3# zcN|(7S{Ro8$Wx?w*lxs!ertDy_VGn0bU#|Y9LDiP<+fW}4kL3Sa#u-sVne`Qz^OpC z;I&Eu)@-+0n7WFbC zDe9Vy<@g_TU!jE@(ti~HiAL>iv;jle->+An>%NNO``xC^^t?`?>0&%13bI%1LuQ>hbDR)kb1p|`(ynaj9&y>RC$KjOQBX)@m z?WkirbO51|9=5G4^tR1X`@`FOwutjyCjfdqPp@%YPE6unuiYcSc2ul8l@@+mCsAH5 z;1T#+-22O1cR=)aAyWRZ94HmHzVN>3=o}T{pyq;^c^SfE8Ynr@qc2KRK z{R$PEw3zwRU9HSp)GU6^{W`ZgVAD}ac&)^oxwi9zA6(aYQLl6Jo+qkA@qOi^UbUOK zje2AjPN2rFdpi@4)k&N{5b4ag8TIhBV-AiZIjLBVVXDDCZ06joTw=xPvu~|9(Xey# z7@smj!SOQD2({yxx2cEPs9hNlE_y!VR+z#V8y%k8?MHLNrhc+`DQ7MECyudPTR+`F z6ATM8d_>Q4~uXBAim!&$}8ydOCtq(h zQx0aY@SKZLiM?t^J2U10Ad#_b$zjie9sbPBV!M-|HnYGR1OtzGju6MDNJq;&%8+tHG*u|X%s?j3VXo22wR1N1# z0h0SAdCPLUU2wBPzh2QG?x4&n?U4#Zunq< zgEgFiw@vUE8(` z<3`nGr}B+U(zE2jc!A{i_retE7}n_`4R>WY`t{Q_dV8rZB)wAo`%;ZI7xYaZLeD-^ z7FY2xp5bV|&Li&=X_eK?jk&P~h1+T?jM#Y$a?G zG)Au59egmw3hLqJ-)13%zb;Z+RAXx+JOc~2i!9QI@tRV4U1H*?6c=TFCsBnVgWy-wp{Gs8h@6(&q4}+ z<)Lm-JMIt%_l8|e6~fFu=Swh(qHocLw^d+YOqGQi{-Ojp=PtDKab|OTh9|2el2?&!pJ`7A+A;bvW1OQ zMqI7l;Y$qPXCe7t@MAiTm+2CuyvW&}#{?Pb_`JpM`ZJ&2ddKP>dWSFdq5yC7k5wi5 zN7%|`V|!8mFetO3f28&5r-#_xJ>25V-94K%i{u_3ZtkHq`{nlKRDZ)|SuMm%nQUw| z1pkJfe5Xd6s=nsAwqGg_uloBUm9tglpzYn3!yaoil&MZp4cEU(B}Z!5H=WD+gh@>= zG0M|;Sk>Q@QcbO}pL&g;Uh3)+{To`U8dlm)z0!DC)!)=_ulND!|yyf5I3MpTn%E#7~lxg}hxl}e&EAo`-oV{l? zz8t>u5)+GKan4pO$Bv6;Rzcq?!?!3$J?z<(q`vO(L>boU%x`cfHSf>!xPTkaUnZWPx=q8Eoc}KJep_v#2pPShD6i7d zs{CypPXFK3p;%5hi#83TU#k8k`@{y>Q?3;cBCAb* z?VZO%Z~hHFS#4xxQerl?Ib@#$orSH^JETR9XMD-lIQzAo3QR4l(|K6Uzq1nM4yVhm z(ag}x(6lf6cg@T2IVda7v1=v0$D~6u+X-z<{nB}u&A-8-Y9{5!tE%_SOY=FHiTgQL z(OSF4`7Y4Ly;FH;&Az85O?_FRRBfV9`}}+x7t_{RPXRAm+epjlv97UG@>omjDJ$Ac0!tF_QB4@$R07LMunT^iNBExhWzhtd2S zNl^ury>Mm?s!r3qM4yBDvZi9K=k>X4oKM^Q8|c`{Zg+Y*mVbUh&$Ohf_svW5IVja; z3T6E+9C3Q5^6;8}gF#u@UZYu}`ujD~{wbT6?{mOcWq4%u7;a#+aj1V<54qX*U^Fr> zx8C-gI%_>ubRWzevf733X=|i?4(1N|IYt#1^^Zrg73T-DId|Yx4AVLW^M|roM*lV~ zOL5{#Y-;A`9_RvPwJ(n&XW0npq1A=e9E0g7x&E9f?caV~7f-id9*}u@6-T4N`Wl{~ zYqY*FSYNB(Pkhg6+E07+(z-ssoUPN`I#A^N!TQ=@eJw8^tAA(pD=&X%YJIIJ`E7=5 z+oMwpNWXN|^{aZ5&e`)?9DUzgrmNTgs^C_~ms7g9V&}EXVx5a=t4phTQ;tP(#jYBQ zV%n;*s^bT%dww5UuNgXalIA0Vr+RT@C|lhd*o!&t0^4gkgZC6{{!Q=7+PY@aUhJh- zm1VbieSD7I_F~TAVa7197khg3{!Yi9GQDwNFFu&-Lj!y9!L9|N?p!A1!1^=s-lNgL z*CeEBy=VG*SuamVn}NN!=#x^9wq>cZ`mOgTnLT^4_x8xoGV(qtWp$|+M~$m|Nqp&5 z@9ULNmTKT@0#&BNPMW9gbV=(~$9(RP=jk#zccOWn45ammEUj60@Uy5qcMl{s>j`;j zRqr=)vi?r4DwuQ8JCK^ST{SpfSNH1Ix$XGazl5fct0C5fM7n7@(TPJf5ieYRj5xJp zi`L2skYk3^!((-P4=2rrNv~EC&f_Xg%oQ5r&Vex9ta7!I3J%Al@yH>d?9^q?{m%4^ zsq&mx`<$1`7v9c=-Y4HW_9AxAE8|pI+!r@E@Y~(C(*1Ir$E>zTiYZ4$q+9%R=YCqT zcPS}*9QUb=or29FsF{;%IXn)R$TXQhAuX4%2=5L@j$AV6Z}46z+&I=EbqW-%IKO$Z zD$=bZC!3m~G&HT1sXOkBwby^HBh7Gd##)hNMQe5_)5}Je>CuMqXf2lQ$C# z8@xw<9UcqeUL?#I{Pv31y+=DT1s|#5?#dN0Sa8?6i>0=yPuo+qWTCHfRx7Oa}0Fn^(lKmYCBcmz&9_GX_LYfuASbD(PuR)N~B0@c~^KaiFulCYm8CAbu3=FG#Sh+V?`(L@UelZ|YNxrgCr&djl?;radA3WToxnn|(>S zPCHMyfe)EGu+TN%d9rG-^Capha;#42D*E7bnCvNb$K!bigB9AM zJR7Xgmdi72*T)j5kq;U=Wki4WhIXv8p-tB|C9mYDT zC+E!E?JzTE?an1ngu!X$Q#-AE^}xfvs~?^$7Mx@6VZTXsjyOC_%QTA;P?sX`;scdl8?%=H5{kmIiT)NX$3J-Vu zZGP)Yz0tf4x0l5R*^;+^4eonP?>asHyu^;Zo_XEi4l(t@)XFedc0CPr&8g~|gL@qY zy5`^xv2m^54RprGr0!R z(%SH3SpgcH8dAQ;ey+&0!KoorIW=UpI}3ZD|D8kA60|*MSwAd3i_D$c+ry2i}0XhYhbG&oAZQ$D6bq>^$-9c(Q1ggB9BH^^Cy^t?voh@8eCP zjSlp`WQ;mlB-&twwp^kOR%o-5Ec|u6NwvYQ4c~evi&Pt|&=yxT1}n6_7i7PWH)%H5 zMd91;PR?`Ip~Q5YijKS)>}^Q->g4bT z@n?b!?ve2=-}^f}%k$)vH+aNN@4XJy5P6gLGzv8-?_ol^t(}ANIT`P4>)ho$+mL75 zN^{$76k(n|1WBQa;IYWNH5-%lao--apBT{z+E$PqHeXLwgs@mdL?d#!{}zgEIR8&u&~Xo;$Xh4!dQSZI}$sgdks zLA!h*>|tp#>pT^_pC&`jRd$gDYUM5Jifjc&j8@9#9}?3HWb=Xyv3kpAKVo?K&v*SX zU}I;kw2RB-pTD7pZ&H3oV%ZH%Ve`J)jd1%*o0(D7MqV2s$7FRmAc@Jidy80PLccDQ zesMwPPWQh^;ZlvqaO-ZxqGv2t`U^TYXsF*PO6^p>aVL2fI`(3`-R{Qi@g8+DI`x}% zY|*&BS=50>jC}plhpmu(#`e5Xc*6b@!g!7Lj~K*kY&KW;>mpGiY%lhpEEaA4|Mt!V z$d2N?tJ+2#shRK&pwN4Sv|1Ga;0g*X^{77Gdp5QoFDjRQ^~|KHbd=JmYSJtJ`}!a=He z`?ja&KRw;w{e3+<$#9y_`Byf+bI0m8>X+&N3~OWUfUG}1H=Zu}J32i<`kA2TEvpRP zR9X#*qW5Vuqfy>Nxv5mTTr}SJYh|qD4DnE}KIf>N?=!Trz4rZBeS%-S#;2L$ceu!x zGzaOo={gRVT$nFZH`Zd0B7*-LXdlFt#F6W@fyFY?7Z%}dVH#mQbKt$KiYzDxg~@D$t}j2w8%X`PxeCv7Fxx(I zxa&xoA>Q!}b?uc*?9c@}sAv1gZ|QTvqQyO1*DmVWwzlK&f<@a6w~vs4Xxosa+igLN zdVBjEv3T{#x=iCC2s&TxexIrPUJ2SRy?VHPgrM<0KT-$%%>E_mnk|6=KxcR&q8*d< z2n#j*LHT0i^{r32f-ZgI{S(mPc>O*_t>h$z)VIs7=+w7C{obkH`UE;GwDyrB^x3vy z3*EYXWJ^*o{{0J$#Lqd}NAh?$F-D%_ltNmA(2+*VOiYjl$a`;}_pbL~20JyUovO>4 z;`bzo=x~dv5upOYZUbh)!`{QLAh>_BV)#pRHMyasI{5R8e(*g>Z?zQDZFz7rNpH87 zJG_>=tmSU6BqtUd(aqK1i1+B^1v+_v{(nkN zC*h6W76QaL!|YzE#lr;nlxCX17k^T6g~DBv)Y2^ndbX}TROhDaC&kN?)jrQ|JgD2@ z!dBhG4KiOW#!VD$#pXV4H%K?`EPa^z>BBZX$tf))(X9y`&_v?fEEj=1YKvBv86Vaq zSs0q|t2sTp@C<%L^EJsWp*uLOMW|zI3S7KGRdH?W^P9wYA#I>%!7uYuznL zzb;88ifEM%)5N|p>9EM80|2^|u_FP7BoVOR2K95i{SMzF9f%F`txum*)CbuyS^cMo zU^s)monq3WR64-e9G)P6VclOjU8=kMsW3jKpU3IBzOW4)5iA;_-)%yn+Zw+c)9*5M z3p6UyWIp19nyDNS5#kmB8egIt-Y%qq+}ciEII`i6rU1wYaw|PjKIXkOm^mVxr3zA= zB+`VsvIiv_;(|_^F0HQCF(d64cY#h`_30F;*Gi{Z*{7q_B)#rK+`OT*j$Qvzk>oWx zb{sx-{az8JHO|O#O`I&J3N);=99aTTC(Aw~sxE!@OVm#^vc$n?kR@%XG!)o)>ocft z6Ge_Fw}ra0Pv1+)k_U7Tna$WY^x#SQw^CYJ%RgGngfYdJJ;(R{V(F$lY$x0dPW5(yTU&-R%BekoA7 zfNC5KDu2MRAJk{|^xtY}`A$pA!!0e}YiU{E((*`4%MV&w9<>%HXORa<`k1ve^5Ub* znNQf=eTD97Pm-Khlk_R;Q{XwB4e;D_t=1AvG`hiYT$1i%Kp}(hXq`#YZ-;q{YzFpg z8E*kl=dCHm9UUgr7m9zzi&qfmefl<8l!UN{qfiSd1PU4u^wZSNpLNAd98zm3Z(((D zG^oq*)$pgx3iYVhd#dVvsLWm%0f> zmqs&Y6opS+qDo(1J$?N5q^oYxF>CmuD;7oc)k@>3U8d5tPhcVS*9K{wB{=K)ryRwu(<3TO@ES(&BbUXpk@= zyoOBiP}_p23z|r{?rVd@O`K}z)zTBC>#v=tn>lOhi>6haY0p&D4n9{kN>}&P=6rd$ zR=a9#*sWeGB1zIq&m0GGVf#@bRh;>g5dlKzbzJV}w88N**{EqDD+lO)|;mvJ;Mz1%v! zTc-6jcJ~HZ%ObXx>-CvE$_*`jZnTz$Kvz)2T6~w`rk0j9Emt`qabGSZlJu6AKDV{B z+-@!YjNNH1cj+@5^F7w`A+1u@a$if!x|XZ%Z|U~%~xW4<09Z?d;>t8ZzhTh-~&R=`2GZ@;UR3M z$@o83{@s@D=Ch5!swQ_Xmz%3ZoKq5(}t#pbbTSVPk z0TN*>tDUMVAIgIU^%I20IPnj;r%^v~QvJkkx}rcPtx=3oHK4In7s(Fp)%XTAbc!RE z%l7Hj&xZ4cw@@g&XA4vKveQH?)C@KGCKz?@0%sGm(I|7CFzH(F=j&W2q;}Tg=brq& z0&IktecHt_IZ9MKS9QoUWDw5KMb2nz7E#JFb{}}x*a{If#fWyBIMHIzmJuxgb)rRm zpkz=%i-PrP(~Pwlh4B~oaXE$9!#d0Lf_6pBY>u81j4M-c5m%qzBlb8nPrwJFI1_r>Q1dODq2$srH=z8-(HWe#YrR z!npn~dum;?O9*4gZv0rBFyii+BMboQgt1UWLBN}^T)(Lz{+ctK45t&A!?=WeCaVuQ zQTQ6-p!$HUD1`MU31cTMdXX@`(n1(Vzc&cOci3WtG3XNp8jT|RqQuxVrSO?#_X?%3 z%1Gq^&0$c6;2^}5f+H0G>ZC$yrAtzP{0H*E*{H;OAr(9kR6&*&cM=A|LaGqL;btg~ zQW+9c3N7+sb5IJOwFQkTg~k&5yuWEn{6BB(RiCMQzGL3-WGL_m@((vnlfV!fcm1smuPX8ilrq2M{dTMb%VyC@G_YfcXq1vro|b7 z#1l5r1Icv%F}drJq)zu=+#=!+*qoe=x2F4VG;%pivR#wEuZeiKqw1}Q`MWplT_FP= zG;;Y!oLu7J3Foi^P$!o@kq2fXy4?jOkP0qYhubj6gt0Mu!KfIHHBKtf~CRv9~y zV1?5I1Sk+0geP18tKU|hP(OQ+QTqftO7(y=R-x!f_eP@O)@SqLUR^MMyUa`scHyN3 z=jB2r*oE}L+2vh`r>)4lWQo@^6h?6tY7iH@_vHMdU>EG2q4F*SX^$)K!Yc|E_+8)& zLK8%ClJrRb6hV*TB(~N-b?bscd`D^K8b=mEN>XZ|^hEfpkm_P-fD=WwnY~Uylun}n zNoKJ}e7PbjE>X$2vW~7!s;1mu*5c}<{1#Uy<+r#xDZjCDVpa)^71Cka*m9{d`Z&hOhiu2nI%d8!&?5(Yxz%W*~e>n z(OUNOT3k^^LpCJo&#ceRUZ0;^%MM=4FRf)uujN<>kCZ^A%_3$@NO% zwhbG>%1P=oiYsUITSlKv{e0o*v+1s$K-$qr+ZP+ZYb}2EbZ2XE8O=Jkk!3toDR-1T z@6GV}t&n9z<;Od^%B`ZxU$xiWVoB<@CJ)#CBv!XI-Nv*b#*(B9%UKeDoF&QBPtnN)Njc8Pt*k9N$_H*VG}Gz=(A;W43!lenU>ML(Juok zQqPDvNq?QIdtph-B}GI6udN z^0V~YXeK-BJl;+OKP7Gfewg77wIVq^s9@s zY?!I8XxbSK=V+4RY_2BAKX)$Cj z4v8(c^{}(h86%h-7$f9Jpe+LC#5hSkg$WQCqjHaqRJxfkhf^QI^T4vwh-f(;(RoMsez5r9YQx7O5yb z&D>!3%`aWltmyJW$D?%7y!(`d)2v@^sGAfLQ!W-u3cx8c|n{qtK4t^GePfpo33dgk26)@u;HetjgUUtkdPi$AHwqxed|!4 zZdpKNcDiLQO_7;2Q*tqzRx0rXjl}PX4Q_&I|K^zFuWS&5WLK4mXyTdYMZCa z4%gWv4V6Efea^Rr&pv0kC(d{4jqk3B@f{XLxjg|ueWtKx*=$$t6dx16M5VLC zpM~wTYuNm?qrceCXbjoFziQ+0rPr0#a+TNT8m~`8tFnrYAkeBwdc9p9B8WE(?8Z1jR9SWa z*F`W7*iKKmSDxhMkW1$AnUoSwE>KvWjQ;O3y=63t&WO!vBgf?vz3W^b&> zUVM|JV`R@H=?APREF{eS$X507*s6AE(#wq!0PdVVhnrjB4Db*fnXRFF^W<4EY)5E;sqb+!*VLPPHG+9MiJ4G*IYp8l zEc|TVceUv}UM&Uk(Dc3QZ=v{@M;>i9%(1rWj;;d)L)gAy>H5Ju%;e@B?|!XV^4VdJ+I#io!?*ep z{!3mTw-5dtuf^?yKhtY*``}OYT3lLZk=NqF&o9VKD-fi{9Y~fY>E{2!2;apVO~djD zTWbIAF6wxU$5u~SAEa*EhV~I@3ODJ{Hoj8!4{6XoB2Umx*S2_FWcNA!mZazCbBA9P zI=7Fga>ncUCF^*8sN*4pj+a`;%NiYz(^ro6k+DA+^BM!%`qzApd2P0RGORJLjnSue zPU^FL#0{+gH0Cv((J3xz_Jl4mhF&d%07DbbsA6aU!qAr+^fPhjD&qoxF!Waq`oCh( z?oWV}h4BFhLtkysA5oA!I3c*%<;oL)F!Xf>y?-3KD)|6F7<#oq&x}K36P8T~0Ac8_ z8}z&wbeCwP92$Ty^csV{I1CNxRn0X3Vdz^8`l>i|)m#G*hQ7m~e;kI!?TZ(}yDR_z zVd#4d`uP|%Z(u5)YXHK~>kRspICT7#hHlgVgrOfW=xd~}0xUx`D?Zn5n<4;V=x-bJ z&2i{B@i}M!!qDF}=pDjoY^;nb@dQ8^`dP%S0SH5X(4bEWLlZ!+T1EiE&^sCQcf)fH zbk(Q<2t)5;(0?8qb@hwm00=|xZqVn&p{phYfH3r)27Or^x@tlI2t$uG=xbxpBnr!k z4}dWAID@_=4joruj`#owL;rz6-yesrGRy!7LytG;N8-?N1?EN#Kp1+0LH~A`t}!O7 zmJxt3bf-c8A~tHaX_hY|0Ac892ECQSvjKCG;7`?r01$@$V}sr?4qe4E0ED5t4SK&g zbd^vAAPhajpr^&5tCkUfF!bRDJu?nnrDXsJL(ewo`7!7+BiPY30Ac8(4f^;vbd?MN zAPoI+gI*eku96`DgrPra&}YV>t5^nrF!XT-y=NS{ie&%@L-!fD+G!9+G z*Z_o~mmBnT;koXTlC7d+0K(9pHs~+Jq1n`Qx^;3C!3ANcmDcOf*aVbC4jeQ9Vd%3A z`j|L$6-xjRhCbV%`{U46S_FVF^j{eCsd4BkItCyN{Y8U*Dy*@|30BcD0Ac9!4f?Fu zsHtoQ>``-ZwL*Hc3Yr=EQd-h3N`$Cfo!cc1r>asW#bn8QLK^W?0gL*Rlz*Q6g zKp6U;47xK8T_tV+2t(gx&@aZJt5^bnF!X%}{dyd_inss>L*H-EJA}il#J8$qMF51M zA2R3<$Dyl)BmiOPe>UiGap)>L0)Q~|_YHb-9J)$F0}zJ(SA(7&hpy7l0ED4`XwVDd z&{cE|Ko~kT=>9l#6tx|ujcQINgr~J7f4E2Kb`m;C`_OK7d1!1U{4C>PO16Q#G0Ac8#8}zkt X=qi=~APoI0gT6PWkgES45&-`PEI*Ki literal 247571 zcmeF43%uP|Rp-wQ4c3-odCNn%^!{lBG-=+C+Lq?g7fsvHq!ocSO>%G2K$FxYeS`rG zh=K@16%Y}WhY0mC=s5KO;|MM42vbEEWeVpG)fomyaAp`pbON^X`|fqt`S1Vhod5rS zZ<3qj-1|BIv(NtTz4qE`uf6u#Yp=cc8=iGqC;oe6%zr)i%kz)$5W>IHdXPe$nh4@3AwMwz0bF0$d zskj?M4sw%rV!AW-NGIve7i1N1rM{MTmUfnP7I&5i$PR@Tc2+91U9k)GZiU{gQE0nj zSA@7JrCHY58J^qpJ*n6!J(5EVb`$T>1>1+)M><_3@_}C-!=N}OU`Xe2mJP~rF zfCx7#Ssfy55RMS$P-nAni+>&HT%*cQ3d`F%SL(Sfg!lJ}0k%D2tP+sbO0ZNFg9r;% z`6-cMbs)w{eJ>8gSR)WSLfmqtTdnYF5ok&|Ak7XV#$ycbll28Lc7!&&y>qQ{>`~gW zN6tFyn=1GF`|tPb%|X4Pb?*?t4jRdnWb^DD@EN_xEkM_^*(G99yB~%=p zwL$_k4vAW4p82h)6-a69vRhE0?_@vCQ+v?pg?Fnm*Qv}`3N9(c+9@+XHW1O68BC0z z4Tu=CZ#6SJ5uc(W1l)S_cJ<_`Kt{;2Pz(!!U}e~NO<-F{2s1BKiWT}_qhCu!{Hf5} zmn$|9@o@&%tG!(W+o$}mDzNa`N@y(ZEDRe?7=FU+i6TXF-a&Nj5SjOhy>C&iUatQ- zP^yTxK6Dj2*!i7tK|Z9WUZ%#|9)4#9<;8llD0~*{d$~$q98#@U$GlBA-62?0or}d% zQwkppkZ%hqHt9E%+N~0<*4slJy5Fl5+8ff7|F+Ie`tLQ_4v~Mch`(IVl`04xN30@g zOaQ~}$d{#Rs)YiyOkv~`{bhMbvsy)-`K>V%z>-XB`AoI92)ADm$y_-Uq`v0Zdp zB|KNC>=mNg5>aiLs7jxt-`*tLX2$f5jec_N5Yv?-%Md77$TBzNm*~kmk>QS$qe?)AZ^f=*wW-{Bv z&{GxM5Hv5Pe^w#=<}e4^DH^~vu{yFvY3mFqRnh>+hEukTo=jLF=4GygNJ4K|sNdVw z8R!T+8LXL6nLdUh%ZO?6pRp8Kf=sb4;r$yzOzHoi)YDzL3T>Q)3dOK{6Q>(OX1RLSN)D-=%*iX`IDD&mtupUG}h56|}HFO2$fzc0^>Kh0JE zh3Cc)U+Clgk(o)$Y}K{LwkySQXsYO)+X7?4hA0r${}^v zvF*4JWNvdndOcHb^!P~`S@!DxGFdnvvJ0VS^wQp`RH@yA;fo#}i=Yc@Ry(WHULhQI z3s$e9jHAqz(VFOwHqKgz>Mss)O!gKl6_v-bK{XkVnN6TxuA!po-1U2fndwjSk9=PU zt5;IuOm(QuY8FJ;9+i$-zN2phn6d(31vFmT44JrHNtmIc6*C2*Vi;PeCdiu@LUK|) zNI+Ggbk7KIronxIzGy+_83&Y$*%>m{+HjwcF@%^WL;@-4K1Ugo?zo=0xmD6-cuKm@ z3$GxK8yfOuFbHG!h~O&q*{uZKY|n=sx49xBN(e1lZ4ixTA|Sk$0tJIHtzwX65N2ds zD3-#sX@m0;u?{nEn~lS2HWV`tXKY&%1jQ;nO*mu~_LQ~I3v588^CK#<4DgIO!2m@p z=Qb?SP1oFhf=^+ZVIa!K7L5dg2^T;J?6&n;keChhM4K_;kE2FJN6pn z0JAj~fflOK5%gvO-4XN;Bn7iP-eSFD=)g#Y$%CmBOBp699^aD`NQ9!6@cC8}0aKM( zQb%@ATMu*%V6HG~&fH;Bp`?4am?7yN($kp3Wdh7$(+pJqbj;4mgt@WAl43Vkh&>+Y zIom5EX+3*#0qZUczw~lUZm5;W3R^{IJ&r-ZmNQLOup)wS6nii^c^9T)g6PJqeVVCE zO8cG6E#r?pa@uL%Q955iIWS1wOu9cBIIDybN%!^P)sF`vF^}=JzJron6}n2&eN#2O zw}e-3?Za~kcUN&BOS(T>4d>^=t9KckiD}IFov~Xd!bB89QgKN;oxw_MY6kn5@YJKX zgl*F~?`(Cab_JIP{4DlsEuAUjHcjczJ@<>y?Ln0@lUYi-Hu>_f(sR7mCnbU=rXk_E zUv!dXro4g1&{m&`>2v<8(aF}i&wEI8fhaRwc5p|IjiU6SK=%WHWg3U^aY{W5Rf>gC z#$hyYw+S%nqI9wP$XnDgOdTwqve3$y+*7~i{Y&hJ@;y}Q$Z6rJM~``WrOvE{S%YLX zJ9WeP?<$}N8hsEW6h;oS;IK50p2!pgQ!&O63?XI=VNstIlu5l|4UCBkmLN6U{3Ff41R z`ixJAW%+vEmm&zaYd|{~Y-(;u&!<45WzGJMq+^k$*rJrXaw_s8Lb*_);B>s`+a#0jy-J>Re>G&Hx!qomClZT`=Is(1Dlco10>*XL zb<93iDmY9;OiYty{NeK+(pP5;yf%nASx_+l3YA}sOuDCw7gJ#aV+w)^o0sZGoff}B zm6_^Xp|bAQ=(<~7#=XXz<6fvvf*p^Q7PH(68-&hcWm=>DiSye^{ldY)tw@*&u*Wjz zLnIMmX?SN4OL&E$AmF1E);hC7CrHyH<~5{pQlV4VgJXE5yfL1pvU=4)L&460ZQLB& zn3}qsoXQ|Mv2S29BZOrfsmpp5LJ5f))~>Z=C$t53k-iW)kOfa6R;tXIZ8A7unO?y= z_w{fGESDWvW}$s5JIp~V|K8iiL=+TM@y@dt=^a4ozvUos#yQlvONi}PVOc%;lY&%- zjk1E{2N1dq{m(3vta72>xbua1wQkEz9@C1zqVeiSB>688&nMl*dfLp> zrypr%7l_P7cS+#(=#X^tS&Ia`HgvN+!k%@q%8WkSP0Pg1#M=p>Fd(yc`#VD&k?Cag zMs@GRSNA%+DK;60P39N#kC^Uly{1Qq zn%j^$d@WSN@6f+Z9%*EBzcmuLj64{X%uN8p6Ph2>$es^PAMrDUxC!EYLZDAOl~|W^ zvl8xlm1R8!4uHIuJNh&Zyo%atYAU`>tjeLff-*`heyL_rXRKkK%xu}L>r3^^U4Xo` zSSZ}A_I$NqjXiSu>Hj3uUH9A5(-L+I5m>U<)=BrXs&!n~TV}oa;L82Mjr_K-7`)%9 zK+mPg_~6RSoC75RMPRvNX+Egn^A*0$c?ydnYCWr(t9xA#*ISy8@e=;YYrp1kiq&vu zuYbkWMp>B&*IpxBC}FqC?(ryDE8R0Y#L{7Sb( zC!%6#PAGFs%zCTM%o&CHxV~sPR1kaym}=2^kk9$y#?u-Xzbbgi->J0w)q2=|P*B{r zz-8QiLAguzk1St!cc;AF#w`=m5VkXB6Sy&cAd5q98Gm>zZ3WRo^tW|?as(Cqu*r5O zT1L*hdu343O~~w5O_^21Tv%7oRLRbDs*6GG@gPmYDhrO2sI5%;5ijOz$m)x&-Qu;x z^q=NMc{OQ@!42<+rM)iZBr}(e81Z!?!c4l)CSkbOI}Xy%{Kr8aeqtm?Lc(L{)Wor# zz+w?0gge@``HUea*n<-+GBi@Y;i!krs;uD}be}59Zw}mtxjAhE=Y)5r-G^xb1{D+* z>^V zk5wKzGo9FmTaUS`5Q9dMO~Xza#Y{|7bn$O|?N~Z*N9>=+ynRgc_=0|9CmI_>Fl3x5 zn~S7qAhpn;TxDi)VNf34W6Mc>ur3zO+*_Y-Qq0_ApH{$32O~2yVvu9UoNdie>y%CN zO}~Uh)?btEE7TIspoEj|O?n0f#RMxNxuG8e2@VA8T*@2YTyb)RfFV4VYFh-V4p&zT z1yc#o|7a4H2PmV*(+uxe1+c=JOvCa@Cx@ra6oLU09}0wld2rzZZngwOGlE3b7DS9c zFk?C$uz5bLGcnyWHZ0JOYebD5!iDW+`&8ILHSA^;!{AE@CSWI5%6*Wu&wc+*KL&A9 zc6Z3ypl`AbbB_SSlP44>rZk|-_i6CeMl^_<{&La7Y_BIM9k4<+po42&i-M+hFzo6& z6!u&&B|}?2LFs^`@6+M08}Y-I(81V@Z1VbqqCkG!MC@e8%|DkUcG*l=DvF*#Hp4s; zRX!=jz+o#bE*wl`^+dQ^Mq=@t_8&{y8;RZia;qd-8IxJ!Uh!@?@tJT@MrF`&8>q?h zwAm~Xd@wN$70!DIynC|-W-t2{1%6|Q;Klku`I%Wo((UNoc@F_(jOohyVDw-x$8vxq zKJOuN2kFk7XjhoW1G`7Z&C0V`hT^UIT&L%C+OfN(pxu~TvHEWahQLXrI(5wN=A09^?7`fKHL^4=SbK9|$M;WhPq*u0iosn>Ya| z4k6C{Vvl?Z^Oq;q6BHK{OR29^R2`iwJCpET>b}1`yJdcXwIiT0tY8sFcR~+EzrrMA ztKi%9ZI(CNL5j%+O9oReG&5!(SR{hY*bq-O1Pn1@M1WadR;^+J$NI=!wRqE|Q-DTY{wa2(1Wwk16SGV=5^92G8HvgVZXSBFZtKdMi z_4HU(ue+96MQ>B#*9o(O!hv=4w5#{H5-MX!SseaR(y`|;eL-EvUl}?m+ zyyn@jiB%8AbN|)l4J(_UyZ9hBDRQJ!zjY$Jtv>hG=;krkRRx^(b{+wf5ZmP))9?}{ zZQk`ZsYr%`gQTcpJp%RB>2~!SolnEh5@pOSEZ*|(E!7tjC zm~^iezDakZo;Cww9u5DnkcmR!7T_23;^8^?2bVyb0CBDW_~X`5ph(iaMsg$RUaO}S z;Cf36=w-^xxiUVtPg6L{diRY9eUT7qPH2bJUq!&m#WoCf!Lur0-5&;pq%1yQV1}T~ z85f3h7?LpRVG%(J;$3T%JGW0Ilqmde(A`at!Y}D|Qm3oWIAJ)GOQsU_EYjW_EFu|= zbb8CgG*X3E@?{u*cw!p$Fh(BI#AX}_Ja@jqJ8VqCsK;oAbg*SzJhfnLBq#%M&!|g{a-5WO zcZc43S9rcnPZdnMYor*XBxBm(6cPqNZ|QsP?{q%8?rjgev%qW|5R+}~tpe$-O*rY^ zqNn;!pV`vR53^kb6 z$9#OYY6l)xxg9cQrJQiyL-e>Yc={@JMca-NlmxhDiPB@}$F~+WAn87!*gSWGd$zu? zl-IYKy-Ic02bFbpn922AtMKtUdPa>rF%5-q?F_w&Ude2a&%|`ny)`gLMzobOBtmwx zY}@0a50kjb5W-l?B9poJ@auq}nlQRWEPBiRpZdpJUzBv;7ufFo;rRhQ#Um8Si7IR! zH?JfX6q4>edY!hheyDYy7M?a0qykCzEqdW?OgQPjRnNfFBoKTTl&r+z{1MBHo0VjC znmI2sa>jr57&6$gZnaI-WGJ(3A#NyT$U}9<>BE+b&@Ir(81Tr$uo&7ADs(zeRsE9g zlS2Jz#L%)~ejZ-iBPAfzn12MDa<-G8S~vj-SM1u>%3yf8SkFLRitM!>8jHDCZBegg zX)TPeJ{?TgSpHr})FF3vW>n>8m0xG!sHpr5Kb>!4qMDnt`;-2VA65yN$l>u|x}8n; zY{rTsjID+-(O{K;lXX~E!LNk1dQxykQgmF1b8Lnw#g0CJ+1{Bu1SrFj6Vr5n@rU!> zf;Gi#xA?0_t`JVTUkGv~cg#?sTPP9TVu8L)kw+G85FY6e$TB}l4ARpkm*yz#`V(*1 z0f>6k+iCDJfozGIPT)Hr* z&;^*!@SpDFf2V!RI$PP(i0jECKno68QT`qHe91gECtzp5t^;v>N325VR7 z5R!`<#U*tFS=l75tiA%t)QstsZH2)x(nb?hZAKGJSU9pVHM3*puqa^6F{=XGZSeWR zEhY2>xINzko~bzR0SX>Yy5EsV_8vgEqRvV8(eM&IyRSDT-OnkqLP`4Ctago&lEhw* zGS-=$goY)?K;CuOv8&s8O{1(mP4N)mU9jzT&cojiZWY3(*3(opfr=D`}Gj) zsUk1ck2*a#tHX~}k-5u)b4(F|k;fnQEvORktiX>rZt!XFb;rxOA@q8qHa2C(YnD)0 znZ!SgG1Um$v^DaxM25pGXThJC2ELp6irD6q;n~pYS1`%J{$6!(#aIrX zrUxsqKF9hb&dJtk?@-9@GU)d$Xqxt|7_;pHW|WX8o3Y8|QN`I6gSoJN)e04(?6hKW z+3Vm9RqAx5U<1=$x#HJAnOf#W!MH%=M8UJi>S=JzL_u?%&=zH|3GWW<<=W8kHNRmD z^zrDBr3LEI?RHaO2_d0?w_*x^8YieqOa5F@o?xFd>kz%`?Uk zL#m|;lPcIW9xH%J_lJdb&!+M6G`MwQJcn30O(os!A=uv|{IB#g>Apr!fzI>R zb5)SZxcNuM6;@?lrms3wspc({kj@j~8$@9Zfmkg!t`ic4U4`eU2C)Q4x-_bjGSk4>#rN;|o`2T4 zLdxCw$)`R3`#=BLH}yr3ITBEXMXHn?G-G}y>5V;k`Du%zL86o01>eSAb~{TV%^pNx z(%lUb6|WG7FVbgF3Wna; zZ|8tgr`{j@LJ>)q$jZG$`rI(C$~b+p<336U{)9`^BOC$X&*0*gwl8ieNH#2PS^MIa6^e@$lJvGG=bZ{N#u8zjdL%tFx*{r9qwIWw z?aJsLI9$3?Q&CJ-6N@w6ApSucLMr1yVq3yE&1b75EdPCOiAy)fT-Ri?l@ugwPpKc+ zxa4q_ZzD#EQNu%_s2_vcX#$ADQ_)^Kp*L9}d9h+#ND?lrD9k@%C)^EOK4@nxJ2iYP zvXe(V%?<`t20d`D`i5|*S8j=5{*laj0Gw3hFNUrxe|nPOZn5>C2Ii^IfYOaVz*H!Gl0V8@6Yi}iNy zoVy?2A9VX1aYISEY@M!NHLqeA&DK{Ngyvv8mdYALf@{av#Rt z;^$w^I#J@jc|bLs)I6Kv=s0))K{sD^%MpWq=6@5@SosF}uAd>bN3Bgq&udWZ_Ro8N zyQA=>_jfv%-v7}np4)d6MlVjfJx5_|Q>>}%QsXDxPw7>OOEZ}A!KL|3p-~(d&(qs1 zd%Ps1w}FvxLGg0wb3=G}qn?35#3)KTz?I{x!lX_g$_|&OhAvizF4eMS?%j2j)6q&< zCZo2&hehsOpx7*TK1W>a&&rhFhShfGbAy4JssRBWt znSX?p9q)tY?)u3v*w_d`IO*Q6XF!mROTvf26Nhi?ynAI1VLu_^+xqr3H#Q73kzXmW zwyBO?g-Q3t{om}R(WycH8(dWEY-nlOP1-%$vYC}-M^?ny_G^BWcHo~aS`x?o1)PQi zz1fAvI~fSgLFhE_#56^j z^~X0cp_L?EwlWFSxL*4?7UL4EFhJFQsGq;T(|N-BZ!dUnU(EqXU(N9yZ|v{KD+a#< zI|SPg&`!GFtNJHhR@js7u25x^qs~~;eYN7obkbk(;Iueq%(&}Ul~|NsJ7%XVCEdSN zgdGK9=XxaFv4GmV@a%-=W5V+^Jymb~dCiW3F^;4ASVd+jf(z1b4^P%?In!iZ&CmPW zdn{n9u~2GUjIvR>-5Dyp<}nwC&)m@IJhXo7a5(1TRiUZT7KIb$z;yPpRSe4i#59D> zALn4(%$$hxp@#S_Chw25A@92dNXM#+nlmHsI_2lQ7$&tGZHS@uc9Dx+;OtrFAZuR% zVh8&!{o*`a&XHuD$X1PN6IG7QozC@6)H?`XEgBOibHq zfHvG~NXafX?CcFTyYwyoN|$1i?kfw4Z|f!Aqi@OBPlN=NmUM3q;pc`h?i;%5Mo+}a z+x0CGdyZcH;zu5Q|NlJm^Sy;l4J9E{aivP50hzKT{BlSfmjJSq@GEHv-~W_X|KK&B zNlW-hWeLAZCbjTgum@uPZ^Yt-MWO#Iu$#P?Mu{@r5Y->*#k zalKJveoDwV&VNvu_z(3)IH$a&Hi{SNa7Mxg!pbL}ItL3Du=54L6<7ri3jmjB)S2&9 zO}TB&)ZHS=z41Hp-SQBz8YY3$YVv zTz2LPh}{p;0(ytoB;B8poa)yhiRyswA^v-Ndg_X8(mbdUXi6DOF6N3NyVqrNAvqXD(@}dyT+yes7%s;XyJV`(Q2>c?$zJPiG zgiF}Ym}+90%nY*nx>hX0HD_087#V-~$tuoPsV1g}tgrlxGV_Vq*U;gRgP477amG>0 zty&3DVfr;a!zBVq_wPf{9J(K`u-jp5an6iQHsl@gOcT=-30=&{khi-m)*HM1sqb(; zQ$rNG16v@{iM!YDG)kW)7>| z&df=7Z0?9Gi`!I7qk+v6s9J1CnV9DI{fX%_^nCQuM+>qHto zqar^`34SS#YIePT*HO)?&gizA2l5+zdnChFXE!FYalqGD@%qK0$4#W>t;$h$gzTm- zZp2`>G&{6dtL+^;LuJ#`BH{M){6zP!>PJ?Ff=Qk;CpU{8*Ci_i601JWNhe z2-sGT3Sv716ZtN%Ozq+%*J(u9sl=!`ekveS*f^RUhnMY@#S?(> zl@A6GUXDsdU%}jUunaY{Js@%dncYm`hrnMJX1Bh*%}=>S{Sa)|(Ab@kIav{YF*QVi zN4Z6n_6aJdohW}$KT$c)dkE@{K_MLWsmY{d5x+C3&wB{^gmgGh8)XDw?RgJT&#*eS zK9Iv+X{SzLP!Jt|SPwDMnSo97PjMu;lCaK!<AYJX@?4-N*-h`9n9i$XRYh9- z7+LEVyktYCbMx#Jj51iiU}}8N>=gW%CIuf}p&H+}PFZG7YUUXOYWxYkK5QQjr9VTp zy?l0RES4KounO}(6@2)~s_~-If>Q+!=^6%WI^ahAsH2Tn8A`VVp|?lYY&!~3Ag>fi z?gO*?{8M8Q!`wXL8 z&y~Ul9HgeL9H&c}{ozmL-=aFtq@~tM<{`k%0y!zEUo5XzP0ppDW!N#fG*kqCRlBE) z85XAo(0c6pV~$0eK^SIUv?MovaqzmTnRIddit8~q{FCuIq`BwXF?mUMT=WWN9h2^& zoruUlq3dhIE11z$1=Jq2<%6PkAo4sMXG#++%_bOEItFY;b$oYTr4GDSHgfiFU#k{n zzz#+v0b_u)!QIb0#Zv@1qL;3e_}->8b9xf$Or^|bF&GlaVXIiA3^!I{=9ttg7H{Fi zH44%7xIYdP30^zwQH4+KT+K=GG+sXJz{4UC?zWtmMy3=^A=uHe158Xu8p)$}W8W>v zX8o}wxlQ4o)`9AAeP10-x;sL$@wM`@Q7GwtR8Jd9&?^pKl5~G9#OI%5n5j}dnlX3& zk>%lO2Lq!KX|W-IGd3Ztg;+gtvh^S-2b*V)*Jh&F8Q6Xwl>?+WYU zNTqPc+Vj3NF-_qyiDDm{Vz`5VOFbA=XVdE&d)=TUx}A|L)!2SmSKE4y2E^0Wj~xzv zGoJ|LF)!<14Pl-siRp3kkE{q!JrvgCaMJxbrSho?;X0i(yVZA=9O{cwYYsWoL$}nS zJ|nRptpBwNlBllgbZAEk#&Y zKoXZ3u`rn6t|QL>A&?>e3{%g>4V?8{oIM%J zhT)nr^zbEWl}lZQ{!6Hw$u`zEztQmLy0?|1D zr9KVLP|H2ldE<%FSlny)@gdB!F>e6#yZw=YUyIfYO>|yY12`|8%a>aTi6MfRZqc|c zKyJou;qG`fJ7(vFq1%j^Ahs@P&u0hX^K4A~=LMMFF%ig@YFK_|0N6qx525uF+Rz#+ z?lGq|bUDWvJ*M^ffiyf@(fYgVlJ0^4;%L#Bp|vqTBX4Jc#?-04F!`UY=m_}R!| zlwK1E#IrGtxgQc5KmDlEm|YZ&Y0R>~EYKJd)u!>`r-_gIG>-ZB@<1S-wQ1~BU3pY# z%Vf7PZBg@&HElbKMBC+MGUEC`AfB~p+mpbDpC4ex@_0FeVXP?G&5$*Q!lZksHxnx= zEDbd!mcVK=UFSh{!Z+wcp1h{iuwae@uU{~Qez2n8hAJ9sW{uhK2bAfUv*G(frAyR; zR|YoZ*^~`CKNny+8~#f8RbWGG#5LHkXlomyB`_avO#0^>57NK2iu8v9>3KFLz436; zJs3dT8J@GRiH*0R@pET~#y1DD)YN)|M7-XmELnZZ@slCUvo?*j#WW-j=7#|Jc4@l? zboOa{P8%9e%nps4X$eozsB^p1IMx#09%#(7HjQ0q{Db-i)V(Kws7>RB<3kc?T$7hN z7$IkAG5&c?3O@XJ6^xvQj`;q>`-<1sH(Ls ze(d=HNW(Rr7bw%QZu4OGcz0Qwe=@W=&&F+zp8ak~r{51CJ{F#>Mu2=@im5*K!ZtKM ze>P|=WsNzJ8_-xsTcS(ZR%s{X;&38!O_6!{bP?)8C;sOGoos;kvk>OlnE3MpOdlw) z_+6-`I9iRcuuUT@nvF(iXy6M9$y=S)@i06cXw9=Rtzq=niMpQ&AdVJ|8PggMAV|t% zEldu>dpDQS`0oONc-E$I(tRi-H+2%oP`Wma8;%s_mr%Fg&J#9&Q!!FBEZAHj>K2So zT2;Y$RcFXVkmQZIiV^Ktb5-X_q0%LD@J9ky@vO~NE*H-ZFkLM%vgYRC>|I%QRMZmI zbL-n(9$nu#48xHJQ4lYUHDor{f9n@4x>pw5klDECvoW)+R;FXlY+?*mx`f%DA{U&b z%d;`FofTj@>ls-K%*ItfEe$>c)uc6TNPppMkUpm-tqJZ%Ez~3l{*H`hy*618+QA0! zB!qc3Zj%cGOm7p6a6gxyml(oFqfLIWO`BXa8*S21Ym)95kv`U%mIu=FY)ty)0j3-= z1BfNzSz~(8P}Xq{`T$w?3B5jSAH2+&_x*`J)|JSV<%4KI zp}jw7pn<0IdGBUdg=Vo9zbu4#Hg0QRzR#!slpx`nbPafoHg8D!OI4f3!^~P`nuTG8 zIt}2r9IgLfpi57r#kAfMXw9=RtuYF|Pdf6Z0AdC-9yp%hq|=zj!ffU=&W$H#Hfk}R zgmv53IipVnY8j(%3t^tMiJx@;A!wmr4bR%slOkgeBs%I}W1?R+8${P(20_2BIYS9n z;Cr05u}NcZpe@hZwDr*$%krE1LWEz1vD$1fM`NtMybbBEI3A>znkh2%WJ*l>*9Ow_ zY)pD&%9|xq?hYVc9iFY^_CWS#143i=zG`-8O#iPfw}Ta_Z!V2R$D0Fbcs8anKERku zkFFKz#cgPO^=#0%Ah%b^RN6vrcb+9ez1JE1J%QjRx8D`QJR1`qqyKv|vgN(`c>w6H zYCAW}??j2MGGWJHW4^y;mf9qCds!`8M*~&y@Pw%Ld)^Yi9<{_rLYQaMmIyHK^_B>~ z{zRR^fVUDk14(~vo9=MkQ6c>rt$)>*K$wF5gOmQ_5$S(7gn2e5Juu(hCq2LZMx;03 zvrqa>ZAkx;*&w~usWz=UI8pYceN5{=4z%Xkl-3d(X3}?Ta1CWNZ-z~~C3sW%sdFnSVZdkwW9m!mQY&7{oXc?Zh8`nIFTwew9eQM&` z{zVNN_l7{@x{dpGVKYlxD{gGlxIZ)-jhi!Va2F~UHP)m-@IpA-+xqLFeXXtkHiUWB zZtGqv2bf>+trg+d0=2mTpM9bE(l(_3;n^U))u}$MamV?Jd!EO%{&t`>&!)8Qd|5pF z6?vY2Gk`c+G=5nd8o&H_(D(w;ctIIIKSmpwlP=HNG?oP;G|7`x5!*I+H2L@yDx(r9F<@G(0m)(30R(b}bQa~m3O z4J4f*jSFJh4z{l=rtzofll-}X%qFIPAcT1~C3%>!KiC)3lyJ7hv;m)eF?~xL(r=p$ z(p#PCvp3eF2VG3Zv_3!3nrBm52QmF1o3H|iqebKGZD_pXc+i+lEPb^jrtz9UAfB~p zoOGWblBZ(&X!7x78yZi|4vp#Z_4$}hY0vVZ(4>VuFAp^4*?`6fW*62#UM^X2w8Zqz zHZK#87ZIi~UEKx3Y@Y3$TxD-qP)6hIs;8sFN6#=DOPjiE<>D@IJ?TLOW2 z)~0dNy(uI&Spj4=3mh#Pzp@RDUv)fajGIU4R*XG?#ylI)_>~cj4RQk-H=Od{7HC{k zkJ7l#25V(ebuQ2|b{Shnv~CnhulpLfG_xlFX=u;ct4yCnkv6p6e>`Y?dljwU8%V>m zHm#HHyF;d*3eTfO+XF3VJ9cn(XbUsemoYD`qV2tbKs;;H*2M;gn_}Dg`EnXte^F%x zdAKYexaM_73p(qtu33=f1);cD-mVP{xWRzkKQiQFfl?+wekX)^HYU7{lHLqo31g;q z7zBVd1W3aX{H{R!x+6Ga$s2^xEVTOF0Z79U{E#voml6D4H%Y`Q@Slb@;n}#6jga2R z{CaR*fg6|T9K@O{{0&?E)q(hRTOE#^rFFP})uz>7b39s|k-Mjqt6?|{qg-!Wrmc0Z zvvmVQ@NCQw6nD9=b$9snR?UrOFtiO6xvy=}3}Zh!JI(Mnfjo724@&e;A0w;N`fDM~ zvo@_=ZTM=)TA*#x1wMZ>9@2g+0BI=P4lC0v2)AbR02?2lTn3-qnaL-$C2eWUiMHBjGveT#-2`|;UmSP3Cclj43suJ_JP8tbcy z93B5gw@aR>!$rM6eNPDUtli!|e6btjt$o>rGWu4W)w1(VRIA*+HQjNb;`po6;Rd$L z8v>Aq9quQTX%;$MGkXB!={G>EO*}0$0MEt^0L<5DxOh?k@#OHV(dmjdmw|)EPqv`( z*c)eq#$qN;1kCb&qdo2N7VZz{S_ldhGU6v8%(FJxwWbx4r*aPB7szhq2=7|#J&^Qo z3P75%_t;M<(~Q}BpzgE0$*}^`raGOCE_zl5vRe}@4Pl-Qnt-{#3!q@|$`3V3mooS( zN|%P}@|y#Y%Hie{dVSbFY*@Np^{l!Yq+yr)=}>U9cJI7JnP%MXHZ0U1SMVR~Un?Jr zwGE+hdDd=RZ&qOTU2lVj;-`z_3P}0X`OWcI(X;3GGskkw0(OU+P>p>(Dr80_VO~?zB&+y zXKmWLptcb&=`yiCIupKk2Kv?=fuE&1f)iD_K+kxgvEk7FbAt=kaPNN%{miaVbIJ;C z*jwKffHWNXe_ol6`Or_LUs=XcZwnm7voS{j^X9%}G_n?^lqx4VnCxThMvzL$g8W zfyRcVjf@Qp|Nqwc|8s%trnLWA2=lDn1ilFV8D+|;H#&zvk^B|O-cYFhN&r$hvgD*G zhY$U&K4k?rZ0`>TAPt4uN0jN93pLhBzv7J=&%wSN8kJ{*M!h&Be-bndXE}YPeSaEg zo4!}@8}BXoRb`qneS7^Wb^2N%eQ9arY*ydC!&bfi_No69$ZZYq^$_M+oAfTkSXb_E zRa1yxCF2Pww;WFfw#%=zX_sH0jdp2jT!T>GDDy!0P9Q$d+Qd(~-ww%*?}5zDpMMU3 zTG@97()c&p(D>gR4;tSaC{f}}e)<{oM4q*2>av zsnBMFd;c(!nAw+v7T{UC1zey2^Nsx(7{C5De=N*$t+UrMiZs5X<#*bU{&$ZD>E9d3 zR3ghS4W#E;oAgQd2Saj`GdQ)pQF7+u0I0@z*>Do@dx6GvJGthBvvm~i@3(2(j~$Q3 zeWRLStn4VvweB7nFlJZHaWiCRLfZqMopCmk6d@?4ePX)_K zVm1n%*QmxE{W(hoKc;cPFP)tlqh!=?V-A6R&FmCBzfr+|qk=y;I|ZW})~_)Ie{^;V z)|zUAf?3*@cSy)H!|tRd#s&rd2Nf(Y?%60dtVA-BaYur%R zJ~IGmsB1q?nU1-xjqoaUs(g1CO?WmQmc9{Sx=w(wcw=7I=7Ok#@6oJ~o|9x6+YBFn zJV*~=`VQo=MsRN+J~#wMnY9*v*ShQ|2%9&-_bvtcP8KM-ilvo?)gXdpsT$M|oD zUz%3XPu(Vu2+6s{k3T#s>`f4}q(A*5<07&^*k=v~PDCXRkd=gl6GdqFE7|&ul|_ z?hQXKq%ZBz^Q5*XU7oE--}grjq`$9Bk(W5#8F8Gyv8urP{fLe_3L~18S0=nuQ%(`3@7u( zI5i1<_y<(*TW6=>X0|a*&X>F|H!KF)I(uC4kz97 z^kQPVGxmu33*n^uLcKWiTVwN&K#a4#dDb`Q9|1eLG$~^2k+aVFX43tvBE}w>u#D;_ zf1#Y~JBKMosKG}YPPS)|x~ojv;Br3Sc~-Qpi=Kvu3eq;JL8ID6W~6@-KN;>A@0Ti zgH5qOzgSMei`0MpL$39mJCy#Qp8J*dVx^i=7|7ccPl`?Yy*K=#m*`p3)-JiI8_gto~$%ihwJPft7f#u%N#cvZEOUl8U;}7$% z6Xnj7alYy~cA8Sw9_fP}=C9U^N0n#b$mgA=2`bIj5I6<&%SQ{9W~&OI@|6lyG8X3w zstjBjwRMJuHfYueW~>!9_4mLV_si=`tQcE^Eacf(4YXEvHSm9h5+o+EvAY4O!~$K; zpfhd?TL5X;6q*4Z`=($&Y^k5{?V%}nHf{=o88^jOm>XrAqTr}n))YziR*9XmHxtth z6?aOnFTT!{{`_F*s7d#2Dt}`7JmnvMcw%}%_&)cGeLe3pW2g2&Tt^An(AlmbjFg)- zpvAv-OItEtQ+gDN8KqegIV$PDr4A3@0zTCDi&>1>s3 zGL`*WeeY4hp#k-t#W>#Y$kb=-k@L=bXbj>-Aa?e2@Dzj^e%?wYO1iICy9PtTJj6@{ zmwEfHUm78G*{Z9U3~)>J zPrq5L5BI(-RPS3XCMU%FbD0RUQ0%`-?+InQoWQu7xDTXV%904x=%d6 zSDk$9k@@qFOia@Qb(f}O)p-xmJI2n@!~`_F!FtMRy*FwW#pSJ=5%Yx z@m36TroU2_$yfv7!=g3t;sSSmIpR*j1#4hwQLaK%83?y%7^%|)S^M)y#e`;?qmg!Q zu-_ar8^Xln507TS{S}QmJuRO17H7e8OY5kZ1!u-b)KmWyXTg```zf-}kUdLHLI7>M~t;5O~ojz&_#m9yXsT%QF4&ot6}NtcF_ z^f0~haMA^US2zbuN&`qa$NL&Q;7%WLEW&SyV*E zqFNwBMn}egTu=v@m3?GZ6_K&17RZp%kue~v>makDkIbb-WGt!$GGugQ49MC#$Sm(8 zb5#);i)w)k866n|^5QzkEbSw6eGwUpYJm(H9T@|%xehX1V`9DOAtEK!uEsiUHYML?vs9#E~Rr z-#d%QSX2vS$mqxzkh|(2v#j4pKUPG>qFNwBMn}eg94;c0Z=@8JHx*H_s1~S@(NQrV zKUGAf*hpzl`k6d3QvaTz(Iz!7n|IL5WlZwh+*;uIjtEb}N%v=qcxFkqO6Dx*>-Xfb zOu81;0u?ek$qdMQi>PEthD9yq>kk!?v8WcvkkOGbAipvUndPEOip;+$B4bf4kRhWZ zV?aJy2bq+!f47K?MYTYNjE;-}`Mo;GqyyF`ipW@03uMUX$QY1M)KG8WYW88SLD2IPO$K_=DG|FMXSMYTYNjE;-}`Svhm zRs^p)=b1GX0RmYQX(tUI|o~*?>o~MUQnMt2TwICW99T@}iy^0w) z>Ej-Ol((NyM8=|8AVWq+#(+Gr4l*fkKck3@MYTYNjE;-}d1f7CQr?~@B4bf4kRhWZ zV?dHR$fT;y`9)+bss%D+bYu+3!aB&L1Nw?0G8WYW88SLD24rO&WYPisk|Ht|)dCqZ zIx+_2MRkx#2lOk7$XHYhWXR~q7?3NA$i&L=8m--?9J8s2ibb_Rg^Z4h0eQ(VRF*{A z=nofBv8WcPkkL^wATJw^O4>l%i>O#s3slJHs2GqP!%*P{7as;*RYb+2TA)HkN5z2L zHW-zaVWWqma-kslxE{MN}-R1uA58R1CAtEK!uEsiUE0O7%FTpbhiELA}SWu0u?ekDhA|lhNF_omA@;Z zVo@znA)}*WK)yB{l~k^LvxtgCwLpc8j*0>KhvBHCBlCBPs901BRLJP47?AH4QOR0c z7i$$EW!%%G`0BKic;VEdS|CG4N5+86SIodU^kQi&DeIn9M8=|8AVWq+#(+G&4l?QZ z{L~^c7S#e7GCDE_@AbX+aSgi)w)k866n|^87l; zq`hfL5gChWfeaZP83VGk4l=3AaA6S{i)w)k866n|@`H7dNtK56MPw|h1u|rGWDLlL zI>@AI!^R>q7S#e7GCDE_950MPw|h1u|rGWDLlSMPwAyU-DZe>6^0e%|%o! zss$=!bW{w;*5Rn6%)6_Iibb_Rg^Z4h0l9TBDlD|81HtV@R4l3mDr9t249JfRMO#s3slJHsPupgMO#s3slJH zs2GsH9F9sl2beCRVo@znA)}*WK>q7+RMH{we-u%%s1~S@(NQrVUoE1dwOD!T?g-wx zS9SI(gZbnAZzUbDRqY1t8o5lH*Gjgkof)o-8j9~c70f5~$R(rWYe4=_sDb(GE{NU| zPP)7uf7oF3oQDC8Hy1K)w@DF$d!cR8qb4F*?^Q zhl)kDK!uEsiUB!IF}cZjo+_!n{-AtEK!uEsiUHX;43*exXG;+ki)w)i866b^a>H;`(jIhE5fzJSfeINN6$5hf za8y#IXJ-)=i)w)i866b^vTHahsVcayh>AtEK!uEsiUGNOFeXR5syU*%sBJY=diM8<2l0l>K!b_URpyaIS2N zYEibqwXzM!qlL0R9+gcvSGGm9DBIv#*#_i$GzMh*_pe7~6V8=wQ7y_gxK_3S`MyHg zABxH*oGbf1A*w~$WOTV^K%Q18`_)m|gmYzoPA8PrP&kTmjf`Hl0eMcL?5m=(3FpeT zs224$xJGjWa$ceAI|F_uQwitFwx||m8(b^ffLu^0dr4Gp!nv{+gs2u}lhJ8zKvosX z=K2Ef-*%NjX5h7`7G)b;tG5ApQK9VTM)f9~tG7k9DBIv#*#_i_LfMax>PvJI}4Z9sMv%046FHNrWXTU3j(4X%}KK=u{N{?Uln2TN*YRw(vJI}4Z9v{tDEqNd*@SatTU3j(4X%}KK;Bm< z`x81Y-}^V=T-g@YqHKd}WgC!>^vfQ9xTC*`>F4X2bT5^aVPab6+jclHY#zt=ql)-n z{n1pcU;eKDkgyZ)!pIMq3}u&c;)x9^lr`gjG|_8>Jo+@u3U+a zV>^E566%b~iD{_i2eBpH3<)5B|K*9iPA{@V{!zs^B?(s$`Ssz|kLwwZ$Sfi9U+UdV zh`hOTtE_BOA~T1E?$!SsA~$qP$(k=jir&qP z+&con_vk!VE*{#U6IU^G?orszs+vROhmI-vGsGE0{te2OCI8PV#>r2(g8V-hUcF1t zD)P@%r2LS`j8%CK5gBTwM9z?45Rorawk(m~s~9IT;mUJ&Gh(a8xx4>Oc+}zf?BS+W z2VRfP-Sz7ke0-Z5hn`j*gqvZP%$d9JVDZe|%L|0c-HO}Ir*kFw02iQ zjBcDMyQXMvi6$SmUJ!<@igR`|%;UpW^*Otlz(~|CUqS2FZJsvjQ zaAF#Gy;a`L(&9Et^luH~f2*#BTGzQdy3he%-1$eY3Qs*0R%t2@e4P#%-0iZKr27md z@Z)#K6}Ed@><+ng1#A?5tFi!HnYg&~vq~QF>q+)4`dvqRtvWE=`7y7z3N*A`DzvxDHxQ2r zZeQhc9J``}Ydm)7e~sc+E4)NuuJPc~4pMIqIo2o!kIP_QfcAn5J$Go7-rBi6ObZVv zbfK5Po;_k~yR~=hk)9puJ|)Ut-@8u4#lgrGkGXk$uPQ3SpVO=2?Wz_R1Mg8?FxO=7 zFCBYi-n@TN>hWIc14?HK#9wYAWYJ|Mto#tz;JeEw&y~5vC<0Z zC#GpBmWqAas&elW>(uFG**W6LqatPbYqKbRB3ut$as{8-QmyNsqV{vO-p0LD>Hnxz z+n>DJ&xy(lE@}@4QJd3sxP~3AB2 zi>Lm+VvK=RBZ9gKQm?}N)*FYmJywLV;Gb-9$CE&9*y783ZSN^*-X zQNJQcQ$|EC#5>rZ8gG*x!eHfcb3y0%O5ZPGykEjK60tAtTo-mD-5AP2$+}J%Rmy@) zDc4AaS}A8=Qsd8G5l(_}!*~bSkaqx&8E; zbv@W7{gZFA{<$!0lWMwEB)m*mCf#2OZQN^uC-*ZJh;pf3rKE=_`@@~~7{vEV&vTMv ztv#rQ8CW4y0Bns1q|e+YP=|!p0co}<1gh5Qdc8&P?}#f!+h_Bj<=q}e$}4p*nlFV+ zi330io%Dbz_#|<3p97W`fLs>Gew7-W)r##3PxZ5i+Vprd z)E*Bcy*xDIZl%91LY^@aMXdyVcrI-ansTT9StdDkax`t89Cf;e0jO(FyfA1WcJCA5uZ+>fYSF{=@{W z*Pm>g-W}4_H>p1%lX_{`KN1an*NMkc!o+=*E|U?{fF!whmVzu94++4ly5}d|--)?6 z&0?}J^wO`cP8*xl3n^hME*eQ+uh_FrKl=Tv4XjPX9 zi+;&a*mS77B;{};VDzyyZo1EwP|6;);2*@Cf=u#kh}x9J(hM1PMJ5$9)J%N6$Z;@2 zB?Eapf}9F38|f|H&pb+T|e9W7A}Do8%J0N974i3NM42Egnl?udAe1Rx94^tP|5%_mb`p2mX&t zcyHRXw)6S_ysp!^_x{cwy}rVP_Y5_3(%oHd!h`aIOn77#b|ASzVr8dneoNFY+hmkm z7=ABS*iCqo3SpF7qI8I`#QbuU>sLa|hohK#LrgkP&=q$f<`Yqx-zcQ{Xd&je3NgQ3h`BGsq$6+A z{k=k(j}>D6eIe!#3o-YHn5T0sO9b)3f`*MhhN&MF#CLP3*?td%f z`br_qS3^u(*8eP|`C5qix}J{n{|YhxJBs;+!Xm`As-z2DrZW7aHnb0Uk^c~i{8m)t zw?jxg46bsm-``tp!BZZhwkZCcTd4-tMLQLEwk1fQU9%AA~I-`*0tPm5I z^?ikyClq3yRET*>A?9gOOm7%qyx1)52+?nA5nFqjjST{7K~x7@;@KfdX!A8+&gzo!4S-!}#E8y`~siFdp^h7i9MIHlLK1TdoiRz?Fc4edn z+f@Ei&F$GG&n*QDHR^LOy)E-_vfy^bvFu~x2I)x8!VhD`c8w3qm8a<#Aq+&5lp3f! z%rk8tO;2rwaFfzqc8R)^A{>sCOog@v4TqTvWA>qQ?7Jg<_H!xRxU z*o}Uo#OX?c6+bl`9m}yr2%sIAb;o=bCsoItK^glIT8b%#?HTV;=9~fPx2hU@MW=)0 zWN79fhF(5qfKc>KeGZ5c`#nvh&@EM(cp8cEY*&D1MxC@BOzE*nTr3h)?jixXJP;YH z8Xzc=D(=^>DIo|L8k0@~A~}Wh1p@$Fs~YaFy<6^!UZJJ`s%o2bf2v#tFVPTP zrKg(=CcD}Y#I~zZmj!8x&b?UjmLsf}OVXmJPw71&7TQ;chzs>=h1!+HcJ2yU5@hhC z-VBt%=_+5J!I65l=(1ROxe+8IN-xxFJ}yd+rASP=rf$cBc$K;xD-0)!x_xiItIQE~ zTXQY(;39ooJ4CJS3Gyk8pR93h(e(ZbO{K5XhczKqtISe_vHM!Ry;koXfN9}xifTF& zEj(`JDlI%tQ>BH+X{xmFI1O5OED4itmD(KVIx*B{H7_#2W)!}ZlXQ=%{>;ee`tw?G z80W547*7z(Px!#P&cr1H#PUA|vHTMzmJN8S8gtswAjO!>J)z7bYn4PRUR_Hid>1N= zR~KGim`RpN_@Wq3Di*aFfsMDM z=*3TBn0sBh*kr|Vkk}-1Pi#&~@Yz2qFt&qj5~DjM8qMa6kVKSjlb}R^PD*TIZP+fM zxjg8!*cyh|6Y{#Oi%rh%9*NCkBsMQnqr0j%5}UE|XC~n|W~7Y9)TpxI9yhUxX(L_A zTQ4niqh>do)wCSD;~K1FP`&2xkdNKgZw>1(=ZhyDXnr-wUvc9R{qOnu(|F7peKVl?0S{{xs}Uo zx>E|F(3%X!dEaF4GD+NplFoQ{P3p5+HCZJkcT&$KgY5~JQuw%apojJjQ3P)`qYRrE zlJ3{T`6WFIBz4OtTB<~L*vEiG%aq6te;tqrL&kG8r|H``%8FaAM0TR>07xs8$WGuL zkchR>Ejq_-U~#LI=;}BTWJ|4dNq1fTr7gmn;}xewXT~(ObB9kvc=%$S41fpK$iC*U z_P{oY+ce*j{!3Y21id85(&0NtOsc#;*vldK$`WYzE_H;V7EXJYD1 zCkj2nnH3T8rOu|YQTJF{!-Nt(4438B)R8+y|2(&4zdmk8Si!93vCSS2lfTj&sK0Xz zL3o{d|77sxz)$s{q#a(k1_?g^2rG=KEM75ZXAhPcPA4VSaA-jIpkbLN z#d;1=Kp&%m_(XjWrP@5BGm;Ffap1Z;kF`D2$_{+o zvNNdV$=+*u+LS?#1x*}Y7}%IfV7tMXZaeniiB;k4YNcNm+8;L;4Cvd!P}s;~qq1RG zGovq8BQKZDd~xTd-lp=to6KA=rssWEggbKK{E~l@|L)N8kAVST*Q9F}_Bh5ITH+XU zXo+L$JG8{P%%LTY!J$QHzd)!|J9XzBzP&OSfO?s$eYx{~-k$mXU@Lk!qJ&L)V(gBC zo6Js80ymkX<+z+ec0!m*%7zVN2{TEhtA9zi%FrJZ>&tA0J8&D|zWV}|}X#^zRW zOx4^fPE&5^7cVRl`AqhnFMVONhPv~m+;7m6oi8uZH@kb{9^z!Mb%D5$s&#=l&9Pq> zh)XwjnmDF>Dkj3*7JAsseU0k+DLGk>skzLC8z0L|GpZWRF8#pc*LN0d*D(DD_g8B& z7f7Hc-5;qM%~-r2G@6lJ#Am2Q8`&u#$3$#OdJ8KI>@PQ+WtHMsC^mN-#=2!;wBz?m z2?UI8?A=~1Y_wRSVqdJM@8j){N}5}A__%hVvKbMI&YR4u>}tA5X~AWa7j|A@??rij zrh(icXU$w$3==`S>un%3rh;}4+rVVZ^X!hafytQZ+5Kg`WGH0RjTf28WO?0~1ll!S z1B+oA_?UR6=f&_MGes^hhNk4Mt3C-mKQHW<&Vl8xQ8GK#GFMGrptEN5&f~AIh)I|z zUoKvbw<#vwaz6kiKUc}kGcv0Icw9Q@yR9uU~7%X`f`0h&B2Vw&ai;t zs+EG+G+3?_^xC$b#^-dZauRq=M9V7OAx=}NJG@f0GTmXTOf1{9DdT+E3%5uWJ72T8 z&DxR7@AaLl^?kj5Z_&5u7-@Q}iyIY>&XLB(x`)SlwM_3gQQrFpKty!mwTSMTSk$4^f~*1 zd!G5+3d6`RsJx_`Xak8rml;N?r^olqBP#%u6@`L>YV7zL*4da8uTb2!oarM2P%W4~Gg)ThyiD)0CNtg-P$*PR_{)qXbHRr3?$GOdHVnoUcLUtqYrBfgIX)%dA71M?8_)tdn#v4Cvb>mOZ`TbR}XNyeW5FBbjN<TBF5;y1Z5Od`$xJrmd^9ktjhb^|xIa}qQp6B2zs-8x zBfi?y6kr-pJkiW zC38>e;&zSHv<;GMhs33tp$@5wJ2rzNc45v!!=j9QwqbOo-rG_s6U708V$ogYqJaJ$ z(d2{_t+8}GQKnEwMlw}BuzCk$+@@92qBu?Yv?%K-U3adM&2^%P(wG{@RFs;Q$%K(3 zoj5k2%4pd^nEt=_4H2g6p8{jtQ7%lYO_nB1$=p|AaeL-=Qx@4UR3a=_^i)`kk+$^8 z5-cG+07-8if_R|Vv68KX>6=EvG&Kp##AP~WYgWv%o%8+>vrdifHiMCZ8dL0O`~JoH zTe;yU+g>AK`b*+i=hc$^+u1ds^|HpLy6LUK$`&2p!RGA$`<``&|L;4c?p1Bh{^=l2 zcWP=WxtKTFAn%xLmg}eH$_Id+NX01+0g8xZtF`SjVf6?7l(%fuwv{1^TccQfT1ao= zlyiFriqv^h4EXpeP|`ghw3Dvgq5*ug#H5EGN_ z-61Bnw7)mR#M0)M3Ni05M2t39*o z9?x3W>0Daj^mAY6BA0$|X%|6tsNOwmT?7EVE@CD#P9eZ>hFx>DvU?Z7SZ0f-7|z%| z%RU1vp~PeLWaj~y|im#Mh6w&M1X&06BjEN|LT zM#%F0GC`DYdXcZu+SmQZ&phzD|M*8z1FAITXJ|B(;i24HiqUzno=o<>Bu5+GA(fBa z*C+v4XIDyYBgv8DraPOFfrIeylj4R84nRWsFIM{PgOz~1Bv;w41RNA4I8&J;SnyX# zy1zIV2lJNR%9V7tSIwZfeq=Cb0HDViEMBw0 z6mL2_{TapalC#+o${^dKSoU6{6gIztcTgsocTFjtz5WB$Ln>&M>{A!Ljbm2v`w%Lz zO1MJcqCdaC z!B5kQVZ1utvIPwGD{;znIw$N^>Y%nZ`1zvymEhxXPHMeBm*A>6f#w}LR0zJYttOW# z1e8ruj{0>lO86FuC+GF1x!_)4eBSF6;JY#O72h#a;au?GO%jgx3^)mNYv>5$Vl7eI zEETD90c%rL-N3v*$lmJ)SY|OLU~%QB3T(58N81y~Rv1F~g`nUPVi}CN6=!xaKf<9D zS3ynfRP2lOEVz^A1*E$dEY6z^=7Opl&-7=(hky~@I5z3d1s{T&)%K3hTrknb3Z7}a zC+n#ebxgFe;b~-|jk{uriB>B+8b&wBZGeGdQfz&dVkFqc!wb8Y`d(=swj1%m-`-WBecT&_?w<1HFpeiGx82%u7@2z_ceR8kHU#VioC;(MUaKWw z&33y~@#bcSxeCn#(QJC_N`13<#!YGiMXmSab!)HNH+ z@jvLlLJK*d|D^lV8nye;1`K6?pI*Jb|0?OeFIX|s^E#962SUuDej4F|mJuren2uc2 z)K24AsiC9;A{6IACf(cfP+~nJ3+2xRC1Xf=rXcZ&XaXMWkd9As^zG2GJDE^ME#~k* zJEt{OkX^Blnf2J2{RXTsAD^H0HN_v-vF&F^tS7z_Q$vRY!>IeS^)HVo?hd)+%t*0Ub|a>?WovrDlPoB zPE!k`H@*(Bfamw-@c#199T5F}h?KvjqI~VOiMbjUu@hla-nO2e{&rr^HQM?8tkgA4 z-Z(p`*3W*WitV+S`O{sa%$wCLKIbl6Iv^*I&i8#_UFX?@&dvLOVbuGP@=>qa&D=&k zG7BeAW7oZ%iO1?BP9TVMX55T=_}VcC$B~>=EXOd_U>`PfZdNX_;tbihR-9=mDL zu~cHO+R@I8IRNO%ShnP_XTc7CW@fQ1NKl(u;0=O-$2>=fV{sg7_)5uKEZuDJVdu!e znFXUXpGb?w5XeM;oVz4y`vWfO!<{OSv%#?!p~2srm&Hq!h))D?TtB(97`1#~Pr2P8 z9bMT4^Q8dE{gS+8 zx!o?fS*c$y>Rh697fC}vi=I;0;Bv*g1jny)96K6I{*p}~az8hGa87_Vo`JVj z@EGM#!?_g#jXhr}F!2A2O4FddLCSIYBTY%QrPP#EX}KvB&v8I`F6iTBxLq)?49Dgo z<#Vdktwo&Yl9VHutgxw(PZL|98G&7%#1e>e-`ABk2fOH&NAfU+Z)K#%t&K~vt(1sgB6-WS z9a}`c7G)SA-(y+rP{@0$ARQE>3njxY&|Yl*7wN;H_6#Ju6l;c&B?`S%)5ndf%MRt6 zlB8$JgYg2%@AtwK=@{4PA`N$CIQshOC3<_AE+oB5{rfVFHWv&{A4AWEDT}N47|(FD zxkWor4h$i0p*|&%xjb7zR9;*$+M*!eVB zDDf{KZVt4y%aCIe3RJ-_aCr}Eb-Ea0HthW8A`+7&29g76%f$h%@n_llETs5X9_nVb z<92cIVA#b}G0bc@UxHB-eTz1{tpfXEsw~v_7bUi)9xE$NGxy4ZlgHy#Y zvtc-WGTco}?ujlls#CzP{({a2^mmuXu!S*~U$Vem4Tl}s+^BJsntQnwpc&Qgfc%y%;F3~^YRxTUc zi~5H_IUf2)TCZVxh~3@8EzaECbG>Gf+~dQ|J;t?VHBGe`FQ@t&F3V~mE@iT@)e!s* zJ^3z;HdTGixpr78hgbc5k;>Vka?ti}%VCc-8p>3ssD|rrQpu4T_D$!qK4DVRB}RD~ zhgJPeDb>`9`>AUL^-@=t=x=DLYFKGI4NBv%s=uk<9`P%hJw_o6Oe`!SRnVYZ2d+v} z4cXsNf@=YOJ^Cer%qA`C9mThvxBR=Fg!H_1C1skvthbiU)QUW1I_Kb7jhDlBUSeW# zEY8`A<=Ao2%qr+xW%w55sKY*4S8Gr2}meURM6Q$oWfa zaef)bWxQ_C<^r#K&4=?mF5t%Vmy73TZqx9R^WR0@Z>vodA)_}G#7HKVxQA>nKZ+hd2Cpd0mSZ0heG!x)jq6 zFEyW{91EL6mf04HSQOLd%NYI}t*8h&<*%}L!=c70+R0#t&{h_w`O73+bD7L4+@N`( zmsj>(l+DXaWOJUk%kVCf%m!;NNoJ=399~w7R4d{3i4C%+TqhnxR-67hIFCbb{tZ4^ zZDdui#B6MH$esh8g{{)trA1C#8m|9k+b6Cy4vl8Xbpvzv;w~@z^+ut=W z!*ftpo@3WadQVD+X0~JV9c#~F=^SSBZ?LGEN%?qH^}cy&o`adV&#{Wu+Dn4(0yQ6; z%Aqy;25vk{ZkF3q$5OS4KJEGWHZG>Evz`JjTiZy>*|Dy%Q}Sp_>nkO(Ht4@t|C3Ed zTTQJem(@3w<}r6P3l}BxY-!Mr2PIsu)UZJ4t5!&oAhimQ?k=d1;=5Qf;PC#)t8U zGdPvQYyJ%eWo3IGSfcv-8tL$q&CB;3@KqTeSv`gu7~$+XX&rL2Z=jDxqjP#5mt`%2 z1+>PZixqbBC;U;XUmVX`iFHLw=4?#YMy8k!dHPoWMp9+9JC}~x*lGy*H>c|Q8fWV? zw+cKv^(&XZ$7+48D3NW3Y}=z#Z@^*cs_R$vhI)@y_q@G}D|Rl$ zi>t)g*t{AhL0_n{5)xWG=*d_-`n7e|J&)xD9unBy+6y|&SQoYwwb)P|0wz1V#c zsw}&XW%KXB_F~TAVa71B7uTa~I`)*!?nd_F{ka*%$X>j^Z$YR#=Z`tD{!D!EXf*OQ ziK$vIOkXeS<>_cMvKJS9QtHvREEV)lb=Ko=FLrC~$W~nDky6%z!{g93QhQ$;iAWi9 z(N=7DmB|aY`PSV*&WVRdd+w0u=`y@eHm{SBv_6@ob-HtZ_)6e#IaQT{F>18BS4Exb z__-*to3E{T{bpm04Oq=Fm}$xNOKe-x@DiFru7+3_66t2?L?;f_WbowjW5lT)TeMbA zfE+WN-dv{RdpKz}PI`@!a2{7_Vy@7b3J!$nW|eD{RB$*ZjUb1BvQw8m_dC)vrpj|( z<2f&rFT5Qax>vq+>_zOKSH`KbxG!!9;J1ZsrTgSKk6CTE#2iOOq+9%R=YCqTcPlA- z9QUe>9fHjvsF{;%IXn)R$TXRskd{kWgm-5kM=lxkH+U}=ZX9coIt7YWoZq}y73tTJ zlTFP~8k^S2)SYm~+H3x}qh2{WW35QCqDC6a^fFC;c5D}Tv=+-wC2=~Ns5(!nSeLTq zFKWAXHq-Ig1vA|8V0GFmPhk4@b^4y^P8Z6|uKT-9IveYhFMh)hwx9MAow0y0Loy(% z#(Q0GT<1%TYyM|~4$J+xj+(q&J=WH&()>l}9)mqQi&1K0%*K0;>^EaU$}?qrOZs8F z?J#GH$LsiW=2wNv&h9Ak`c*;n?kTlo6?y(dILV`o_qn2reYw{Jooblf&RehgyVx82 zbelRn7Q?+rm@)Y65m&iKJ2C|ysqy~G6){+F*M^IwwyIBCs9N&HWe_fMqMgihNoPT( z$1Mz;z!^UD&&kg71I6+Z(evg@DgPaFW>t?W%Xv}o_U8msZVa=t{0{|cvEzxJ%5H%2 z_hszUd0*As81KGHQuy5?vVsNE`1ogM!pX?Ze9OYTj} zuLEtARr3njs@7}0eq->n;{=1NX*Ost zgzL2;1c&6oyB--)z zrXKBlN#*u1U+9x#=gSiH-Qw;SB-j@1d*RtcE6ek5>QjxTvVRVH11_JXJt4znrS0Q8 z{*rQ?cAjtpA2N4jp-cCy)b*uaJ=%HF^KLnHe5pq(w4)W;k+f{HLc3bFmEn5CXs&!R z&6P(hwB_D_b7eQ($oe-E>)-0pZje(>{~N8)mP@qJ3awj>ir**Jc?zpXyEaZasWw`n zEuMEXTA?jUGP7*D&&L7vlM4pk!iQxsZx4>=jz|A=nAO3uJ*XV3Q@V;iIvwUj-$lWC zM$t|;TA^J&D=WdH724x1(MCHRd}KOxPHXQnHsHsV)98LiKH z|H^-#XfxT-4hnC1$V>-&R@_BJ@*kL1k;?LM998G9pnKRi`rb#dh`mDR(?ZP#In`f$1rX_M?6yQO*B6?k*? zzMW%Z>z*B%jPBZet{U${A4$rYO3IOUecJa=m3^C|dmYMdDjS`(J34E3pKMA~(w(l> z^H8u%p+&4KwX4}0%y8WzZ~q$I_n6*wIy!6Dcao%f<_)7e#MBE@E5lsb^)%8oXR2$C z?sXXHnxi|!ICp-eYmRiyrn)Ap5oPPXE>rj(>6$0gKKP?=(;VrV+1tuTx@Ig*;_!*( z!@EXja*d>=wcn|-0yH``q z+UejNdg|zBqkA2e$l7vjRvhNaIqU0m`q;tFfzdhZqC)c>6zG1VdmTBXG&&9Ou;_UICVy9I7{|2W;9irJy!4k&i7j1qe~N_v`-F4Yf%rif=N;u__e)TbO3 zCHl}_J0dN)OkckUF}{Q_(!ta1L(lFlO4hT9~jRM-xUa{%1i*%eOwyF>DRXJ?^O zp)bBDc=TP=r$_b%iuJc>_!-}XS{T$0Bt$v(X}s3Zpz+L?#(SS8q`Mwx_@ zPS=mi#Y@Zd>6h|kMeY};Z58kBS1HbqxspJ}l1&E2-73yVx`&>a!#A5`>F^}Wh9_A* zJjsgTNmdR|vTAse)%heMK%XwRR}oKb+eY&3t0r(X?6P`t_m?G-Bi%HcN?HAsfc_yfNq#yVMbGmDXVEEBV-LuK1VTnv}K| zdrRs#;UQSb9 zEyHNUqt2t~xW@Nc-gRmhzc11_uvfjt?ryuJ!}-{ksSj<{^IF9dzD$x~YY4woPvB?s z6rp+yy(pG6m+04eeXf$;wpmaO*K7*UTl@yv|8MV1fb1yFJN^JE2n;rqP4Gn!?3gG- zkkz>o5`LH@t*d-Gnu_qt~gu~))DTl4nmo1Xvlbboh$J^KmWn|Hh& zDi4vG2L9(L%`=@>LZQ_=UzW;hQs0%O-=w~u_%<|tt6coHWyvF5g6x%wdJne9clrMl znL?TiOVpZY;Y`d*6s za?o_U~)Xlb)UHsSHC-f~*sTlR zqn2I6yXkY`(o=dz)h_QHQ`=)`;nH1(x`tVS82faV@3I3q>Rs*geywI?`FLHX{*VM+ zpm4v>%>5!M+8(`nxNDfC@d5v#2Krt6%ks;2abW)(*$ym zo_dP$;X(TUR8d)z7}VqT=*)o54d{wN{hq9ISw1ARuHmEfIrixtbnC9+9a(}2@83cs zf6mc0+{lNMW8^tbSIE^|)*yAH-ZBysL~gX+wb8oXf)SjeF`c5itf^s3l8BDmx-=qG zK-g`-JO|hxwkt^PpQarCGF{Eu&~hF8`Nc5#p5<5C64Tm7ax=@XGRoBfKZZ^u-8&|2n4AByGXi$3M6l1z$)j9G#I(eB+9;}~FSy0?725VGghjPu#AqxU z&C~DIV&}TPK#-Rki6tkr16&zuhg$9Py|vmn9fxhK)n3>X*A723?XXUoOdQdv9i~fs zQ`%vvX$JswDSbx+3`!&5zb(=4gY5svJ=%fXAm94*IaO`Y9h235su+ed*xRY5ElRZm zg3S>q0tD9o%IR|5K3Y3ER%(Z-_}Sq z5K$pM3Gnzb-S7?}wdk!~)P$qx?no+tf+2qzGUWd1%+X?;U`j^F%;V#%9z>@jrw=6w@JYm{ao z%h#vba+*NnM$6d}fI3_D8B_J>vtO!yqOm0bMw2a}VbU;Q2U(jX`ZiJQh;du2EBo}l zlr4Ecf5>9Q*66{r{1Y--1?8(onHx~9Fv`q;veqbv1eB|cG9jQ`W0Y|L$JoyqbC;O^lh>@31yE&VHPk56f~gdr;E;?b;V5_6tz^g@VYpf+~u(PMXvi047;X+QOOp&<9 zjT#gkiNl%dHi=@32|q~S;&Pc9qlf2c%9L~L7xf4(9qJAKAU=URS0vOZF3$4X8;q_- zI6(wDMOd5VH-)EVUCfs7CyYd`jUXc3h;t#CI=r2&uf~U#Vg~5b!4HYnLQvR zFZHo@)Qva_b(rO=^x#>J-Yf+EOrxxRUz;ZVkS^nBUb@;EZY$UNJiB|7t>qCL<*)Tw zJj$0l+FWdurb5s1ON|nS43~9KF7LQ%lPQTC8cHI|ujpvAwu5q&QNj_s#wcIYXVK^D zjPicXQbD<)gR-vUs+&97tnZ-QY81bFT`)*o7j<*(>4BESwH`FtF!ZA&I5TRsCv_aQ zsaD&#F)laXH@P_>B{!Y{FOwSp>T)wtQh*$gawhgCF^vr2GfmdFDJCtbwSK9pgCto4 zYE9~jlrV7#^oqEjgf&OO{JJ+{bdE#^SE|M3P~cJHDB6x}#OaLrJ!8!JB;!ZKon-#d zeY;gFG~!33G{c#FX77*9`1td`H&ZjaF|84wvY9`9%*- z(riu8Co?-mKWM~0%_WD&pKt-Gdln%k=%7%sR3o;}Y?dFO2Y27HWm?B*6gjr#wEm|} z>s<9B%e$?c6Kt016Rqz-+F)Vrc)4@4WD#em)AeXb02W1&%Arn>T#(>r=NySTJO>bd)|j7M*qyk)xPI-CLa(#>(2~bme`Gq(S`z=`l{6 z+K4pjCsx!??5ZnT*n|uQM{$Rdlz#OMPj#vM;6>`+5_O&8h*k1^7U_30cq3Y9DZFP3 zQ~a_s>NS;Zu?bF{U*JA58;y$K374+(exc3XWY+UV^e7RdAo|zgwOc+{rgp@sJ-OHWcijf)8f#^jgK=e02*pKj`_gIV1iitfK@%! z2w`^`qnQtXS+lR)238Z2k(thk2tmk?-i>MAJE_wj!Q&E z$pz6gQ;0jiLp^2r%f=Bh9)iFNM+-gk{8xHwUs_b6h5pzk^sACO&5GZkx%BN0Kx#to zloii%0O}J;Muz+fOH`~VuwsB$;aaF$->^e0eNWICbQJn$K$FfAIT{J?ojp1w6WXqY zBwO|fx9AayvD?uoiyL|;%SRdIO|{u>6i$}!Zk=lCk}Q9pQJRU2EZ@^8o$`O6=#5NX zlQBZsFPxDmW88X4Z>?uu31iH*8?Q|>Mmjum#sHws7>mUeB)mz>^=rD3YFrQkH6$OF z!5P6o6^l>Pj5(-2U{w^xdYg>#Xa{4Q(7_n*ZTCZU%{H?{X~qZxwiIK`3K;{7)~PYJ z&M167`%r~ZSY)j7s}!pcAe8G60P3v5(n^oC0P7#<2lr9Q_rfYfBA9|=S===YjD=Pq zg~QD-9HlxWWfVH}!}eelK4TM_^jn)#9LoOIP4Vk*?p-m(XWA4$pPu4$4TNh00P0gb zU~*2vo_sh#XODCOw%zSM3(1%Xj08anZyt8dK^=rIoxvh+Yl=H0eS4VVGs>s9H8gpv zw*RETn|jZ^=ATPc{3SN2yUPpL%yim*4{OStIRNUDid<4S?$#|4mFex1 z{1RtycAB+@;!Ys>gsqG~3fq5VBlO5}xBbU?XcVva$5auw`?j;O4XOsV+}R@feNzN~23Uw-o!65Vg#2NOs{6K{-rAZC^1S!x?Y?d2#3Jtf#sf3rJb?3-wgb)s z0MvPagge@t%GV_}%lL^TE8Gr{pg?7yDm;PJZ!=G-pB*lkeUcrec7S-uVM61S zr;2ThK#qbaoyGvNnANAgtkNpDFI3X6qM_GGJsrr9*GYvGuagQXUMCe&yiO{lc%4*8 z@v5jWBpbP9-Xc?QU*kzNJecmzTy)z?CynN5Ygu zjb451i7eKKi>UJf>tQn;aUlA|iW(;m9hlTFujr~Q|5;qWc-A@KW; z0p;KPF$2mYM)^rV@uG~!C4&XdW^41qpv_}Oc{rdvZj=WD$`eMpH=sOely5dD8n3iC zPp(%AkA3(a(5 zG@=)#1QgvJVOWw2mv6M)%Tv#rW z05n9BmHLVLVap4S9cdoa1|qpc2l0Ye6chRZK0)mUt^Gs|0FeYQh8oh-CN{@VS;0|c za&>R9y6$nJ(2BD6R~zPD#aLEEy1pR~g(`m zYMD8m7Pv}Jj?qCFJzeTeGysyBP}x&-P&YJ4*O{youb}*OiFbLD;LXZ z$B97)#G*^}6fOKqS-%qY#P=S+RhDu_S%^!8I&l#~pPej)EPF zvXM};d)df&?}V}u4IRRT^IovW$k&d{Y_MWcI}+;oRP9Kpdw-L)BXw?Ko8U|h3n|QL z`iA*Z8f-RhE51FbFU_pqH zfQB@o_SxgeNH8LtMT+p&7$x$6M0c$2y)C(FYV9m{xw`nBAXiy_Lcr7`FH$RlyL&m- zwiKx>KPBjomt&n8P$I0avtk+YA-+4uqf>&!rqL09jxA~CnUPi}iFNU2QC7+-t<~ML z{Ks+WK@8Z(TqZpL)TM_6X^$j;EC)Gq_6U<2Wn~NrDb~eV3-=-(a$!gg#T|ttRX9oQ zxiQ_FVve(PJyqK{LoNP(yMMC{e3e;pF^K-zJbbn^=BnBe(lV>n+fcD@GGFD&2CwMB z=zB8cSZw=-eP5zg*Et%{3|Xv zD=($Gw|x;__r}Gs(in|d!%Kun3DEToVwTU<$YuGpde{~QizMo(o}Qt!4~d_%Ba<}J zK68(P%gBMP2Tf+O0Z@hNCG%BcCJN z5-?t*=&juZHzS(6Y+CNN%VQDEo*%)`d_6sFRf#JA>eDt!7Ltmo z5O`%wJj(|-QoPv17ZRvqEEX4Vvq&A0RAO;~*H97eQiIRV)l!B?nK&l97$G-tcf4!j znnRK@aeovr-#aqIC01D>$B&StvSRT@oS!2(*hXMa$ zqkyVxQG?rqg*ztTJAX+`OOVN|7Vjx4UU!z|&)Qpues1rjiCMQno7JDBX0=CyUhb3t z(3n-qvizkJEY9GOquzX_lsp8*TU3njLJGElQL#Z>N&ODx+r*p|{yGoAQTQ6VH&0${ zf#{A+FjbvC!ELR%S91wRCvg*6UrChZe=7WfJzloq{In=7?4jY?=I);2xea0!PDar=8t!Xh8uEVOyeZN2OE-M8e;LgyQ6&C^(EU^~+hJ}G6%EC6&V z1{<4)#|ty{o5G-Uiz-2w3=0aA5h!9AaiK_gvGD+VN=nP!r&5IhA8{0{rMDy4lHNez zCi&Y>P7Emi_LKPm#ovAclN4;@Z$CLQXyb1`IV_;~+fRA|iogBj;DF+b`5y}?H(3vF zSK6b6Aw`Xp$YlBU|C*pM2{)3CW$NuG=LKRlWP^>?9s6|GFsVBmbabYLHi$mDpNxM#G!v+(0>r$#>VT|CIP^0HeMK6&YODc> zLqBWKkHnz~`_kK=JS7i69QqdqJ)DB(y?fgN{_W~P6r?k{gOeSo`y~{pF;x>hkn(d-$)GzzD4<10}zLP-Jq|Ddr7SPr}^5q z!~hV7uBqrN%QvK<(_`(>0K}n38T37A==4}SGyrkvF$O)9hEDUfLjw?p-p!zYn1)WL z@*Em~IP@L{{Ztw{Eiw)bKpgr52K{0hI{n5ohXx=H{Xv7?F(op1+bOjnG@v#NEs@DL-p?eK_MXJ};Zyp684n4=9zmSHm z8V~^D&_@~c#cAlO0RbQmeY8Pem4c?+uAKP*h(pge=x?N<)3(q>27oy9Ck^`EG<4b) zIy3-r=)W}R@1~*Cw$Pygh(mwIpx+zkYy8rxX#^k+z1X1tE7fcE`Ik>40CDI+gMK9q zT{R#8#GyZH&^sxK9C3O{QB{cy0CDIQ2E9)jx=N`65QkoA(Bsq4RnrJS9QrJSo}PwI z`yI}F0K}osG3Yrd=rVuWp#g|Pf6<^9q@k;H2mo>D^9}l>G<1~?0U!>2kwGs{Lsy9m z0CDJx4SJ6>bd|^e5Qo0Zpr^-m2un8XRlL+1`LuCC9O`mw^>DnGR1Q_~F#vJsD-HUU z6g1_Rn_|hBcIOXaM5S*BSKQY3M4Q0YDsjok3@5=&G>>AP&9Wps$OM zb&sa8N<;vNLvJwXFQ%c{aC@sYa%SU#IMnUdYF26h%JLfy4L}_F?+toE8oEl_0Ek0> z%b-t6LsuCn0OHX18uW@ZbQK>15Qo0cpr43aY*sF-_!xjV^mh#UoK&x?GrIu9p@$6m zzf;UckXbI+0K}ocXV7^Xn!V)@StDmQE{H=tY^~O$2cSxj0fZ_OyfH?Hy2K|qz0r47w(yVzB`bmR+Fb!Sh4FM4E^{_!dl7g<@jsrj( z`Wb`X5Fcy)1`Lbqq0;1nIMh!K>asKxd>cY>K^*E?gL*3cz*QUoKpgrP20bwiU8QaS zh(kYT(7#GUSBV4wap)He`n5E46>|X)hknVR$HWtpWZ|kZS^&hMUp45x($G~(5`Z}L z>ju4l8oJ7l03Z%s+lPevgfw)Og$5uFJ<6aDOG8 M5SV+{H)($H0W4L}@vH-kPY K4PC|80Q@fsqlZ=i diff --git a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h index 69da813..5d43610 100755 --- a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h +++ b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h @@ -426,34 +426,34 @@ #define EXTLED__SLW CYREG_PRT0_SLW /* SDCard_BSPIM */ -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG CYREG_B1_UDB06_07_ACTL -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG CYREG_B1_UDB06_07_CTL -#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG CYREG_B1_UDB06_07_CTL -#define SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG CYREG_B1_UDB06_07_CTL -#define SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG CYREG_B1_UDB06_07_CTL -#define SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG CYREG_B1_UDB06_07_MSK -#define SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG CYREG_B1_UDB06_07_MSK -#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG CYREG_B1_UDB06_07_MSK -#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG CYREG_B1_UDB06_07_MSK -#define SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG CYREG_B1_UDB06_ACTL -#define SDCard_BSPIM_BitCounter__CONTROL_REG CYREG_B1_UDB06_CTL -#define SDCard_BSPIM_BitCounter__CONTROL_ST_REG CYREG_B1_UDB06_ST_CTL -#define SDCard_BSPIM_BitCounter__COUNT_REG CYREG_B1_UDB06_CTL -#define SDCard_BSPIM_BitCounter__COUNT_ST_REG CYREG_B1_UDB06_ST_CTL -#define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B1_UDB06_MSK_ACTL -#define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B1_UDB06_MSK_ACTL -#define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B1_UDB06_MSK -#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB06_07_ACTL -#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG CYREG_B1_UDB06_07_ST -#define SDCard_BSPIM_BitCounter_ST__MASK_REG CYREG_B1_UDB06_MSK -#define SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG CYREG_B1_UDB06_MSK_ACTL -#define SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG CYREG_B1_UDB06_MSK_ACTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG CYREG_B1_UDB06_ACTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG CYREG_B1_UDB06_ST_CTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG CYREG_B1_UDB06_ST_CTL -#define SDCard_BSPIM_BitCounter_ST__STATUS_REG CYREG_B1_UDB06_ST -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB07_08_ACTL -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B0_UDB07_08_ST +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG CYREG_B1_UDB04_05_ACTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG CYREG_B1_UDB04_05_CTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG CYREG_B1_UDB04_05_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG CYREG_B1_UDB04_05_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG CYREG_B1_UDB04_05_CTL +#define SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG CYREG_B1_UDB04_05_MSK +#define SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG CYREG_B1_UDB04_05_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG CYREG_B1_UDB04_05_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG CYREG_B1_UDB04_05_MSK +#define SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG CYREG_B1_UDB04_ACTL +#define SDCard_BSPIM_BitCounter__CONTROL_REG CYREG_B1_UDB04_CTL +#define SDCard_BSPIM_BitCounter__CONTROL_ST_REG CYREG_B1_UDB04_ST_CTL +#define SDCard_BSPIM_BitCounter__COUNT_REG CYREG_B1_UDB04_CTL +#define SDCard_BSPIM_BitCounter__COUNT_ST_REG CYREG_B1_UDB04_ST_CTL +#define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B1_UDB04_MSK_ACTL +#define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B1_UDB04_MSK_ACTL +#define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B1_UDB04_MSK +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB04_05_ACTL +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG CYREG_B1_UDB04_05_ST +#define SDCard_BSPIM_BitCounter_ST__MASK_REG CYREG_B1_UDB04_MSK +#define SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG CYREG_B1_UDB04_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG CYREG_B1_UDB04_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG CYREG_B1_UDB04_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG CYREG_B1_UDB04_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG CYREG_B1_UDB04_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_REG CYREG_B1_UDB04_ST +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B1_UDB07_08_ST #define SDCard_BSPIM_RxStsReg__4__MASK 0x10u #define SDCard_BSPIM_RxStsReg__4__POS 4 #define SDCard_BSPIM_RxStsReg__5__MASK 0x20u @@ -461,9 +461,9 @@ #define SDCard_BSPIM_RxStsReg__6__MASK 0x40u #define SDCard_BSPIM_RxStsReg__6__POS 6 #define SDCard_BSPIM_RxStsReg__MASK 0x70u -#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B0_UDB07_MSK -#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB07_ACTL -#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B0_UDB07_ST +#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B1_UDB07_MSK +#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB07_ACTL +#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B1_UDB07_ST #define SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG CYREG_B1_UDB04_05_A0 #define SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG CYREG_B1_UDB04_05_A1 #define SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG CYREG_B1_UDB04_05_D0 @@ -481,12 +481,14 @@ #define SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG CYREG_B1_UDB04_F0_F1 #define SDCard_BSPIM_sR8_Dp_u0__F0_REG CYREG_B1_UDB04_F0 #define SDCard_BSPIM_sR8_Dp_u0__F1_REG CYREG_B1_UDB04_F1 +#define SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG CYREG_B1_UDB04_MSK_ACTL +#define SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG CYREG_B1_UDB04_MSK_ACTL #define SDCard_BSPIM_TxStsReg__0__MASK 0x01u #define SDCard_BSPIM_TxStsReg__0__POS 0 #define SDCard_BSPIM_TxStsReg__1__MASK 0x02u #define SDCard_BSPIM_TxStsReg__1__POS 1 -#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL -#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG CYREG_B0_UDB04_05_ST +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB07_08_ACTL +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG CYREG_B0_UDB07_08_ST #define SDCard_BSPIM_TxStsReg__2__MASK 0x04u #define SDCard_BSPIM_TxStsReg__2__POS 2 #define SDCard_BSPIM_TxStsReg__3__MASK 0x08u @@ -494,9 +496,9 @@ #define SDCard_BSPIM_TxStsReg__4__MASK 0x10u #define SDCard_BSPIM_TxStsReg__4__POS 4 #define SDCard_BSPIM_TxStsReg__MASK 0x1Fu -#define SDCard_BSPIM_TxStsReg__MASK_REG CYREG_B0_UDB04_MSK -#define SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB04_ACTL -#define SDCard_BSPIM_TxStsReg__STATUS_REG CYREG_B0_UDB04_ST +#define SDCard_BSPIM_TxStsReg__MASK_REG CYREG_B0_UDB07_MSK +#define SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB07_ACTL +#define SDCard_BSPIM_TxStsReg__STATUS_REG CYREG_B0_UDB07_ST /* SD_SCK */ #define SD_SCK__0__INTTYPE CYREG_PICU3_INTTYPE2 @@ -1906,15 +1908,15 @@ #define SCSI_Out_Bits_Sync_ctrl_reg__0__POS 0 #define SCSI_Out_Bits_Sync_ctrl_reg__1__MASK 0x02u #define SCSI_Out_Bits_Sync_ctrl_reg__1__POS 1 -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB02_03_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB02_03_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB02_03_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB02_03_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB02_03_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB02_03_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB02_03_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB02_03_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB02_03_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB03_04_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB03_04_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB03_04_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB03_04_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB03_04_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB03_04_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB03_04_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB03_04_MSK #define SCSI_Out_Bits_Sync_ctrl_reg__2__MASK 0x04u #define SCSI_Out_Bits_Sync_ctrl_reg__2__POS 2 #define SCSI_Out_Bits_Sync_ctrl_reg__3__MASK 0x08u @@ -1927,37 +1929,37 @@ #define SCSI_Out_Bits_Sync_ctrl_reg__6__POS 6 #define SCSI_Out_Bits_Sync_ctrl_reg__7__MASK 0x80u #define SCSI_Out_Bits_Sync_ctrl_reg__7__POS 7 -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB02_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB02_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB02_ST_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB02_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB02_ST_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB03_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB03_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB03_ST_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB03_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB03_ST_CTL #define SCSI_Out_Bits_Sync_ctrl_reg__MASK 0xFFu -#define SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB02_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB03_MSK /* SCSI_Out_Ctl */ #define SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_Out_Ctl_Sync_ctrl_reg__0__POS 0 -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB01_02_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB01_02_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB01_02_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB01_02_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB01_02_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB01_02_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB01_02_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB01_02_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB01_02_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB01_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB01_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB01_ST_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB01_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB01_ST_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB04_05_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB04_05_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB04_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB04_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB04_ST_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB04_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB04_ST_CTL #define SCSI_Out_Ctl_Sync_ctrl_reg__MASK 0x01u -#define SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB01_MSK_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB01_MSK_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB01_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB04_MSK /* SCSI_Out_DBx */ #define SCSI_Out_DBx__0__AG CYREG_PRT5_AG @@ -2785,8 +2787,8 @@ #define scsiTarget_StatusReg__0__POS 0 #define scsiTarget_StatusReg__1__MASK 0x02u #define scsiTarget_StatusReg__1__POS 1 -#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL -#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB03_04_ST +#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL +#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB04_05_ST #define scsiTarget_StatusReg__2__MASK 0x04u #define scsiTarget_StatusReg__2__POS 2 #define scsiTarget_StatusReg__3__MASK 0x08u @@ -2794,9 +2796,13 @@ #define scsiTarget_StatusReg__4__MASK 0x10u #define scsiTarget_StatusReg__4__POS 4 #define scsiTarget_StatusReg__MASK 0x1Fu -#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB03_MSK -#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB03_ACTL -#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB03_ST +#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB04_MSK +#define scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_StatusReg__PER_ST_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB04_ACTL +#define scsiTarget_StatusReg__STATUS_CNT_REG CYREG_B0_UDB04_ST_CTL +#define scsiTarget_StatusReg__STATUS_CONTROL_REG CYREG_B0_UDB04_ST_CTL +#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB04_ST /* Debug_Timer_Interrupt */ #define Debug_Timer_Interrupt__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 @@ -2917,8 +2923,8 @@ #define SCSI_Filtered_sts_sts_reg__0__POS 0 #define SCSI_Filtered_sts_sts_reg__1__MASK 0x02u #define SCSI_Filtered_sts_sts_reg__1__POS 1 -#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB02_03_ACTL -#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB02_03_ST +#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB08_09_ACTL +#define SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB08_09_ST #define SCSI_Filtered_sts_sts_reg__2__MASK 0x04u #define SCSI_Filtered_sts_sts_reg__2__POS 2 #define SCSI_Filtered_sts_sts_reg__3__MASK 0x08u @@ -2926,13 +2932,9 @@ #define SCSI_Filtered_sts_sts_reg__4__MASK 0x10u #define SCSI_Filtered_sts_sts_reg__4__POS 4 #define SCSI_Filtered_sts_sts_reg__MASK 0x1Fu -#define SCSI_Filtered_sts_sts_reg__MASK_REG CYREG_B0_UDB02_MSK -#define SCSI_Filtered_sts_sts_reg__MASK_ST_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SCSI_Filtered_sts_sts_reg__PER_ST_AUX_CTL_REG CYREG_B0_UDB02_MSK_ACTL -#define SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB02_ACTL -#define SCSI_Filtered_sts_sts_reg__STATUS_CNT_REG CYREG_B0_UDB02_ST_CTL -#define SCSI_Filtered_sts_sts_reg__STATUS_CONTROL_REG CYREG_B0_UDB02_ST_CTL -#define SCSI_Filtered_sts_sts_reg__STATUS_REG CYREG_B0_UDB02_ST +#define SCSI_Filtered_sts_sts_reg__MASK_REG CYREG_B0_UDB08_MSK +#define SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB08_ACTL +#define SCSI_Filtered_sts_sts_reg__STATUS_REG CYREG_B0_UDB08_ST /* SCSI_CTL_PHASE */ #define SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK 0x01u @@ -2985,12 +2987,12 @@ /* SCSI_Parity_Error */ #define SCSI_Parity_Error_sts_sts_reg__0__MASK 0x01u #define SCSI_Parity_Error_sts_sts_reg__0__POS 0 -#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB11_12_ACTL -#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB11_12_ST +#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB05_06_ACTL +#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB05_06_ST #define SCSI_Parity_Error_sts_sts_reg__MASK 0x01u -#define SCSI_Parity_Error_sts_sts_reg__MASK_REG CYREG_B0_UDB11_MSK -#define SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB11_ACTL -#define SCSI_Parity_Error_sts_sts_reg__STATUS_REG CYREG_B0_UDB11_ST +#define SCSI_Parity_Error_sts_sts_reg__MASK_REG CYREG_B0_UDB05_MSK +#define SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB05_ACTL +#define SCSI_Parity_Error_sts_sts_reg__STATUS_REG CYREG_B0_UDB05_ST /* Miscellaneous */ #define BCLK__BUS_CLK__HZ 50000000U diff --git a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c index 73329e6..78e948b 100755 --- a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c +++ b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c @@ -122,7 +122,7 @@ static void CyClockStartupError(uint8 errorCode) } #endif -#define CY_CFG_BASE_ADDR_COUNT 41u +#define CY_CFG_BASE_ADDR_COUNT 42u CYPACKED typedef struct { uint8 offset; @@ -384,41 +384,42 @@ void cyfitter_cfg(void) 0x4000520Eu, /* Base address: 0x40005200 Count: 14 */ 0x40006401u, /* Base address: 0x40006400 Count: 1 */ 0x40006501u, /* Base address: 0x40006500 Count: 1 */ - 0x40010042u, /* Base address: 0x40010000 Count: 66 */ - 0x40010143u, /* Base address: 0x40010100 Count: 67 */ - 0x4001023Fu, /* Base address: 0x40010200 Count: 63 */ - 0x40010351u, /* Base address: 0x40010300 Count: 81 */ - 0x40010448u, /* Base address: 0x40010400 Count: 72 */ - 0x40010550u, /* Base address: 0x40010500 Count: 80 */ - 0x40010653u, /* Base address: 0x40010600 Count: 83 */ - 0x40010751u, /* Base address: 0x40010700 Count: 81 */ - 0x40010911u, /* Base address: 0x40010900 Count: 17 */ - 0x40010A4Du, /* Base address: 0x40010A00 Count: 77 */ - 0x40010B50u, /* Base address: 0x40010B00 Count: 80 */ - 0x40010C4Cu, /* Base address: 0x40010C00 Count: 76 */ - 0x40010D57u, /* Base address: 0x40010D00 Count: 87 */ - 0x40010E50u, /* Base address: 0x40010E00 Count: 80 */ - 0x40010F3Du, /* Base address: 0x40010F00 Count: 61 */ - 0x40011420u, /* Base address: 0x40011400 Count: 32 */ - 0x40011545u, /* Base address: 0x40011500 Count: 69 */ - 0x40011652u, /* Base address: 0x40011600 Count: 82 */ - 0x40011748u, /* Base address: 0x40011700 Count: 72 */ + 0x4001003Fu, /* Base address: 0x40010000 Count: 63 */ + 0x4001013Fu, /* Base address: 0x40010100 Count: 63 */ + 0x40010247u, /* Base address: 0x40010200 Count: 71 */ + 0x40010358u, /* Base address: 0x40010300 Count: 88 */ + 0x40010451u, /* Base address: 0x40010400 Count: 81 */ + 0x40010555u, /* Base address: 0x40010500 Count: 85 */ + 0x4001064Cu, /* Base address: 0x40010600 Count: 76 */ + 0x4001074Cu, /* Base address: 0x40010700 Count: 76 */ + 0x4001084Bu, /* Base address: 0x40010800 Count: 75 */ + 0x4001094Bu, /* Base address: 0x40010900 Count: 75 */ + 0x40010A50u, /* Base address: 0x40010A00 Count: 80 */ + 0x40010B56u, /* Base address: 0x40010B00 Count: 86 */ + 0x40010C47u, /* Base address: 0x40010C00 Count: 71 */ + 0x40010D51u, /* Base address: 0x40010D00 Count: 81 */ + 0x40010E4Fu, /* Base address: 0x40010E00 Count: 79 */ + 0x40010F45u, /* Base address: 0x40010F00 Count: 69 */ + 0x4001140Eu, /* Base address: 0x40011400 Count: 14 */ + 0x40011547u, /* Base address: 0x40011500 Count: 71 */ + 0x4001164Eu, /* Base address: 0x40011600 Count: 78 */ + 0x40011743u, /* Base address: 0x40011700 Count: 67 */ 0x40011804u, /* Base address: 0x40011800 Count: 4 */ - 0x40011908u, /* Base address: 0x40011900 Count: 8 */ - 0x40011B04u, /* Base address: 0x40011B00 Count: 4 */ - 0x4001401Au, /* Base address: 0x40014000 Count: 26 */ + 0x40011910u, /* Base address: 0x40011900 Count: 16 */ + 0x40011B09u, /* Base address: 0x40011B00 Count: 9 */ + 0x40014018u, /* Base address: 0x40014000 Count: 24 */ 0x40014117u, /* Base address: 0x40014100 Count: 23 */ - 0x4001420Eu, /* Base address: 0x40014200 Count: 14 */ - 0x40014307u, /* Base address: 0x40014300 Count: 7 */ + 0x40014210u, /* Base address: 0x40014200 Count: 16 */ + 0x4001430Bu, /* Base address: 0x40014300 Count: 11 */ 0x4001440Eu, /* Base address: 0x40014400 Count: 14 */ - 0x4001451Bu, /* Base address: 0x40014500 Count: 27 */ + 0x40014519u, /* Base address: 0x40014500 Count: 25 */ 0x40014612u, /* Base address: 0x40014600 Count: 18 */ - 0x4001470Eu, /* Base address: 0x40014700 Count: 14 */ - 0x40014807u, /* Base address: 0x40014800 Count: 7 */ - 0x40014909u, /* Base address: 0x40014900 Count: 9 */ - 0x40014C05u, /* Base address: 0x40014C00 Count: 5 */ - 0x40014D0Eu, /* Base address: 0x40014D00 Count: 14 */ - 0x40015002u, /* Base address: 0x40015000 Count: 2 */ + 0x40014712u, /* Base address: 0x40014700 Count: 18 */ + 0x40014805u, /* Base address: 0x40014800 Count: 5 */ + 0x4001490Du, /* Base address: 0x40014900 Count: 13 */ + 0x40014C04u, /* Base address: 0x40014C00 Count: 4 */ + 0x40014D0Bu, /* Base address: 0x40014D00 Count: 11 */ + 0x40015004u, /* Base address: 0x40015000 Count: 4 */ 0x40015104u, /* Base address: 0x40015100 Count: 4 */ }; @@ -426,53 +427,54 @@ void cyfitter_cfg(void) {0x7Eu, 0x02u}, {0x01u, 0x20u}, {0x0Au, 0x36u}, - {0x00u, 0x04u}, - {0x01u, 0x41u}, + {0x00u, 0x48u}, + {0x01u, 0x04u}, {0x04u, 0x31u}, - {0x10u, 0x0Cu}, + {0x10u, 0xC8u}, {0x11u, 0x44u}, + {0x18u, 0x08u}, {0x19u, 0x04u}, {0x1Cu, 0x30u}, {0x20u, 0x10u}, {0x24u, 0x44u}, - {0x28u, 0x01u}, - {0x29u, 0x03u}, + {0x29u, 0x01u}, {0x30u, 0x20u}, {0x31u, 0x30u}, {0x7Cu, 0x40u}, {0x20u, 0x01u}, - {0x86u, 0x0Fu}, - {0x01u, 0x01u}, - {0x03u, 0x02u}, - {0x06u, 0x08u}, - {0x09u, 0x24u}, + {0x84u, 0x0Fu}, + {0x03u, 0x70u}, + {0x06u, 0xFFu}, + {0x07u, 0x80u}, + {0x08u, 0xFFu}, {0x0Bu, 0x08u}, - {0x0Cu, 0x99u}, - {0x0Eu, 0x22u}, - {0x0Fu, 0x1Du}, - {0x12u, 0x07u}, - {0x13u, 0x20u}, - {0x14u, 0xAAu}, - {0x15u, 0x10u}, - {0x16u, 0x55u}, - {0x17u, 0x20u}, - {0x1Au, 0x70u}, - {0x1Fu, 0x02u}, - {0x26u, 0x80u}, - {0x28u, 0x44u}, - {0x29u, 0x28u}, - {0x2Au, 0x88u}, - {0x2Bu, 0x14u}, - {0x30u, 0x0Fu}, - {0x31u, 0x3Cu}, - {0x34u, 0xF0u}, - {0x35u, 0x03u}, - {0x3Fu, 0x10u}, - {0x40u, 0x63u}, - {0x41u, 0x05u}, + {0x0Eu, 0xFFu}, + {0x10u, 0xFFu}, + {0x17u, 0x07u}, + {0x1Au, 0xFFu}, + {0x1Cu, 0x69u}, + {0x1Eu, 0x96u}, + {0x20u, 0x55u}, + {0x22u, 0xAAu}, + {0x25u, 0x44u}, + {0x27u, 0x88u}, + {0x28u, 0x33u}, + {0x29u, 0xAAu}, + {0x2Au, 0xCCu}, + {0x2Bu, 0x55u}, + {0x2Cu, 0x0Fu}, + {0x2Du, 0x99u}, + {0x2Eu, 0xF0u}, + {0x2Fu, 0x22u}, + {0x30u, 0xFFu}, + {0x31u, 0xF0u}, + {0x33u, 0x0Fu}, + {0x3Au, 0x02u}, + {0x40u, 0x36u}, + {0x41u, 0x04u}, {0x42u, 0x10u}, - {0x45u, 0xC2u}, - {0x46u, 0xEDu}, + {0x45u, 0x2Cu}, + {0x46u, 0xDEu}, {0x47u, 0x0Fu}, {0x48u, 0x1Fu}, {0x49u, 0xFFu}, @@ -493,460 +495,1329 @@ void cyfitter_cfg(void) {0x68u, 0x40u}, {0x69u, 0x40u}, {0x6Eu, 0x08u}, + {0x8Du, 0x01u}, + {0x8Fu, 0x02u}, + {0x9Fu, 0x01u}, + {0xA7u, 0x02u}, + {0xAEu, 0x01u}, + {0xB2u, 0x01u}, + {0xB7u, 0x03u}, + {0xBFu, 0x40u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDFu, 0x01u}, + {0x01u, 0x28u}, + {0x03u, 0x02u}, + {0x04u, 0x80u}, + {0x06u, 0x01u}, + {0x08u, 0x02u}, + {0x09u, 0x50u}, + {0x10u, 0x08u}, + {0x12u, 0x41u}, + {0x15u, 0x80u}, + {0x18u, 0x80u}, + {0x1Fu, 0x08u}, + {0x21u, 0x01u}, + {0x22u, 0x04u}, + {0x23u, 0x80u}, + {0x26u, 0x40u}, + {0x29u, 0x20u}, + {0x2Bu, 0x12u}, + {0x2Cu, 0x20u}, + {0x30u, 0x04u}, + {0x32u, 0x04u}, + {0x37u, 0x80u}, + {0x39u, 0x16u}, + {0x3Bu, 0x02u}, + {0x3Du, 0x40u}, + {0x40u, 0x10u}, + {0x43u, 0x82u}, + {0x48u, 0x10u}, + {0x49u, 0x26u}, + {0x50u, 0x10u}, + {0x52u, 0x18u}, + {0x53u, 0x60u}, + {0x58u, 0x18u}, + {0x59u, 0x01u}, + {0x5Bu, 0x40u}, + {0x60u, 0x40u}, + {0x61u, 0x08u}, + {0x62u, 0xA0u}, + {0x69u, 0x14u}, + {0x6Au, 0x82u}, + {0x70u, 0x01u}, + {0x72u, 0x20u}, + {0x73u, 0x06u}, + {0x80u, 0x40u}, + {0x81u, 0x01u}, + {0x82u, 0x20u}, + {0x84u, 0x18u}, + {0x85u, 0x40u}, + {0x88u, 0x08u}, + {0x8Bu, 0x02u}, + {0x8Cu, 0x11u}, + {0xC0u, 0x07u}, + {0xC2u, 0x0Bu}, + {0xC4u, 0x8Bu}, + {0xCAu, 0x4Eu}, + {0xCCu, 0x12u}, + {0xCEu, 0x17u}, + {0xD0u, 0x0Bu}, + {0xD2u, 0x04u}, + {0xD6u, 0x0Fu}, + {0xD8u, 0x0Fu}, + {0xE2u, 0x06u}, + {0xE4u, 0x80u}, + {0xE6u, 0x4Fu}, + {0x04u, 0x09u}, + {0x05u, 0x01u}, + {0x06u, 0x06u}, + {0x08u, 0x50u}, + {0x09u, 0x0Fu}, + {0x0Au, 0xA0u}, + {0x0Bu, 0x10u}, + {0x0Cu, 0xFFu}, + {0x10u, 0x90u}, + {0x12u, 0x60u}, + {0x13u, 0x02u}, + {0x14u, 0x05u}, + {0x16u, 0x0Au}, + {0x17u, 0x07u}, + {0x18u, 0x30u}, + {0x19u, 0x07u}, + {0x1Au, 0xC0u}, + {0x1Bu, 0x18u}, + {0x20u, 0x03u}, + {0x22u, 0x0Cu}, + {0x24u, 0xFFu}, + {0x25u, 0x05u}, + {0x29u, 0x0Au}, + {0x2Au, 0xFFu}, + {0x2Bu, 0x15u}, + {0x2Cu, 0x0Fu}, + {0x2Du, 0x08u}, + {0x2Eu, 0xF0u}, + {0x2Fu, 0x16u}, + {0x33u, 0x1Fu}, + {0x34u, 0xFFu}, + {0x3Bu, 0x08u}, + {0x3Eu, 0x10u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Cu, 0x10u}, + {0x5Fu, 0x01u}, + {0x82u, 0x04u}, + {0x84u, 0x08u}, + {0x88u, 0x34u}, + {0x8Au, 0x09u}, + {0x8Bu, 0x08u}, + {0x8Eu, 0x20u}, {0x90u, 0x02u}, - {0x92u, 0x01u}, - {0x94u, 0x01u}, - {0x96u, 0x02u}, - {0x99u, 0x01u}, - {0xA0u, 0x02u}, + {0x96u, 0x1Fu}, + {0x97u, 0x70u}, + {0x98u, 0x29u}, + {0x9Au, 0x12u}, + {0x9Bu, 0x07u}, + {0x9Fu, 0x80u}, {0xA2u, 0x01u}, - {0xB2u, 0x03u}, - {0xB3u, 0x01u}, - {0xBAu, 0x08u}, + {0xA5u, 0x44u}, + {0xA7u, 0x88u}, + {0xA8u, 0x21u}, + {0xA9u, 0xAAu}, + {0xAAu, 0x06u}, + {0xABu, 0x55u}, + {0xADu, 0x99u}, + {0xAFu, 0x22u}, + {0xB0u, 0x20u}, + {0xB2u, 0x1Fu}, + {0xB3u, 0xF0u}, + {0xB5u, 0x0Fu}, + {0xBEu, 0x01u}, + {0xD6u, 0x08u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, {0xDBu, 0x04u}, - {0xDCu, 0x99u}, + {0xDCu, 0x11u}, + {0xDDu, 0x90u}, {0xDFu, 0x01u}, - {0x02u, 0x02u}, - {0x03u, 0x10u}, - {0x09u, 0x04u}, - {0x0Au, 0x44u}, - {0x0Cu, 0x01u}, - {0x0Eu, 0x20u}, - {0x10u, 0x08u}, - {0x13u, 0x08u}, - {0x17u, 0x01u}, - {0x19u, 0x22u}, - {0x1Au, 0x44u}, - {0x1Eu, 0x20u}, - {0x1Fu, 0x08u}, - {0x20u, 0x40u}, - {0x21u, 0x20u}, - {0x27u, 0x20u}, - {0x2Au, 0x20u}, - {0x30u, 0x08u}, - {0x32u, 0x01u}, - {0x33u, 0x40u}, - {0x36u, 0x20u}, - {0x38u, 0x42u}, - {0x3Au, 0x50u}, - {0x41u, 0x40u}, - {0x42u, 0x20u}, - {0x44u, 0x10u}, - {0x45u, 0x08u}, - {0x48u, 0x08u}, - {0x49u, 0x04u}, - {0x4Au, 0xC2u}, - {0x4Bu, 0x04u}, - {0x51u, 0x08u}, - {0x53u, 0x50u}, - {0x58u, 0x04u}, - {0x59u, 0x01u}, - {0x5Au, 0x10u}, - {0x5Bu, 0x80u}, - {0x5Du, 0x03u}, - {0x60u, 0x0Au}, - {0x61u, 0x02u}, - {0x63u, 0x20u}, - {0x69u, 0x40u}, - {0x6Au, 0x02u}, - {0x6Bu, 0x18u}, - {0x6Cu, 0x01u}, - {0x70u, 0x20u}, + {0x01u, 0xA2u}, + {0x02u, 0x10u}, + {0x04u, 0x40u}, + {0x07u, 0x24u}, + {0x08u, 0x02u}, + {0x09u, 0x10u}, + {0x0Au, 0x20u}, + {0x0Cu, 0x24u}, + {0x0Eu, 0x40u}, + {0x13u, 0x12u}, + {0x15u, 0x42u}, + {0x16u, 0x08u}, + {0x17u, 0x08u}, + {0x18u, 0x10u}, + {0x1Bu, 0x05u}, + {0x1Cu, 0x04u}, + {0x20u, 0x20u}, + {0x21u, 0x28u}, + {0x23u, 0x20u}, + {0x27u, 0x10u}, + {0x29u, 0x20u}, + {0x2Bu, 0x12u}, + {0x2Du, 0x20u}, + {0x2Fu, 0x22u}, + {0x31u, 0x28u}, + {0x32u, 0x40u}, + {0x35u, 0x0Au}, + {0x37u, 0x10u}, + {0x3Au, 0x20u}, + {0x3Cu, 0x10u}, + {0x3Du, 0x20u}, + {0x3Eu, 0x08u}, + {0x3Fu, 0x20u}, + {0x49u, 0x10u}, + {0x4Au, 0x08u}, + {0x58u, 0x40u}, + {0x62u, 0x40u}, + {0x68u, 0x24u}, + {0x69u, 0x01u}, + {0x6Au, 0x44u}, + {0x6Bu, 0x05u}, + {0x70u, 0x18u}, + {0x71u, 0x80u}, {0x72u, 0x80u}, - {0x73u, 0x12u}, - {0x80u, 0x08u}, - {0x81u, 0x01u}, - {0x84u, 0x02u}, - {0x86u, 0x12u}, - {0x8Au, 0xC0u}, - {0x8Fu, 0x20u}, - {0xC0u, 0x05u}, - {0xC2u, 0x3Eu}, - {0xC4u, 0x16u}, - {0xCAu, 0x04u}, - {0xCCu, 0x2Bu}, - {0xCEu, 0x0Du}, - {0xD0u, 0x0Au}, - {0xD2u, 0x0Cu}, - {0xD6u, 0x0Fu}, - {0xD8u, 0x0Fu}, - {0xE0u, 0x50u}, - {0xE2u, 0x2Eu}, - {0xE6u, 0x16u}, - {0x00u, 0x0Fu}, - {0x01u, 0x03u}, - {0x02u, 0xF0u}, - {0x03u, 0x0Cu}, - {0x04u, 0xFFu}, - {0x05u, 0xFFu}, - {0x08u, 0x05u}, - {0x09u, 0x06u}, - {0x0Au, 0x0Au}, - {0x0Bu, 0x09u}, - {0x0Cu, 0x90u}, - {0x0Eu, 0x60u}, - {0x10u, 0xFFu}, - {0x11u, 0x0Fu}, - {0x13u, 0xF0u}, - {0x15u, 0x50u}, - {0x17u, 0xA0u}, - {0x18u, 0x09u}, - {0x19u, 0x05u}, - {0x1Au, 0x06u}, - {0x1Bu, 0x0Au}, - {0x1Eu, 0xFFu}, - {0x1Fu, 0xFFu}, - {0x20u, 0x03u}, - {0x21u, 0x60u}, - {0x22u, 0x0Cu}, - {0x23u, 0x90u}, - {0x24u, 0x50u}, - {0x25u, 0x30u}, - {0x26u, 0xA0u}, - {0x27u, 0xC0u}, - {0x28u, 0x30u}, - {0x2Au, 0xC0u}, - {0x2Fu, 0xFFu}, + {0x81u, 0x08u}, + {0x82u, 0x10u}, + {0x89u, 0x81u}, + {0x8Fu, 0x10u}, + {0x90u, 0x80u}, + {0x91u, 0x02u}, + {0x92u, 0x80u}, + {0x93u, 0x86u}, + {0x94u, 0x10u}, + {0x95u, 0xC0u}, + {0x98u, 0x04u}, + {0x99u, 0xA6u}, + {0x9Au, 0x30u}, + {0x9Cu, 0x02u}, + {0x9Du, 0x08u}, + {0x9Eu, 0x40u}, + {0x9Fu, 0x40u}, + {0xA2u, 0x08u}, + {0xA3u, 0x28u}, + {0xA4u, 0x20u}, + {0xA5u, 0x10u}, + {0xA6u, 0x80u}, + {0xA7u, 0x92u}, + {0xA9u, 0x20u}, + {0xACu, 0x10u}, + {0xADu, 0x80u}, + {0xAEu, 0x40u}, + {0xB2u, 0x01u}, + {0xB4u, 0x10u}, + {0xB5u, 0x08u}, + {0xC0u, 0xEFu}, + {0xC2u, 0x7Eu}, + {0xC4u, 0xFAu}, + {0xCAu, 0x7Eu}, + {0xCCu, 0xEEu}, + {0xCEu, 0x64u}, + {0xD6u, 0x08u}, + {0xD8u, 0x08u}, + {0xE2u, 0x08u}, + {0xE6u, 0x64u}, + {0xE8u, 0x08u}, + {0xEAu, 0x04u}, + {0xECu, 0x02u}, + {0xEEu, 0x01u}, + {0x00u, 0xFFu}, + {0x04u, 0x50u}, + {0x05u, 0x05u}, + {0x06u, 0xA0u}, + {0x07u, 0x0Au}, + {0x08u, 0x06u}, + {0x09u, 0x0Fu}, + {0x0Au, 0x09u}, + {0x0Cu, 0x0Fu}, + {0x0Du, 0x03u}, + {0x0Eu, 0xF0u}, + {0x0Fu, 0x0Cu}, + {0x13u, 0x70u}, + {0x14u, 0x05u}, + {0x16u, 0x0Au}, + {0x18u, 0x30u}, + {0x1Au, 0xC0u}, + {0x1Cu, 0x03u}, + {0x1Du, 0x10u}, + {0x1Eu, 0x0Cu}, + {0x1Fu, 0x2Fu}, + {0x20u, 0x60u}, + {0x22u, 0x90u}, + {0x25u, 0x40u}, + {0x26u, 0xFFu}, + {0x27u, 0x1Fu}, + {0x29u, 0x20u}, + {0x2Au, 0xFFu}, + {0x2Bu, 0x4Fu}, + {0x2Du, 0x06u}, + {0x2Fu, 0x09u}, {0x30u, 0xFFu}, - {0x31u, 0xFFu}, + {0x31u, 0x7Fu}, {0x3Eu, 0x01u}, - {0x3Fu, 0x01u}, {0x58u, 0x04u}, {0x59u, 0x04u}, {0x5Bu, 0x04u}, + {0x5Cu, 0x10u}, {0x5Fu, 0x01u}, - {0x82u, 0x20u}, - {0x86u, 0x07u}, - {0x88u, 0x07u}, - {0x8Au, 0x18u}, - {0x8Cu, 0x28u}, - {0x8Eu, 0x16u}, - {0x90u, 0x05u}, - {0x94u, 0x2Au}, - {0x96u, 0x15u}, - {0x9Au, 0x02u}, - {0x9Cu, 0x01u}, - {0xA8u, 0x2Fu}, - {0xAAu, 0x10u}, - {0xB0u, 0x20u}, - {0xB2u, 0x1Fu}, - {0xBAu, 0x08u}, - {0xBEu, 0x01u}, + {0x80u, 0x10u}, + {0x84u, 0x10u}, + {0x85u, 0x50u}, + {0x87u, 0xA0u}, + {0x88u, 0x0Au}, + {0x8Au, 0x05u}, + {0x8Bu, 0xFFu}, + {0x8Du, 0x0Fu}, + {0x8Eu, 0x07u}, + {0x8Fu, 0xF0u}, + {0x91u, 0x60u}, + {0x93u, 0x90u}, + {0x94u, 0x10u}, + {0x95u, 0x05u}, + {0x97u, 0x0Au}, + {0x99u, 0xFFu}, + {0x9Au, 0x08u}, + {0x9Fu, 0xFFu}, + {0xA0u, 0x09u}, + {0xA2u, 0x02u}, + {0xA4u, 0x04u}, + {0xA5u, 0x30u}, + {0xA6u, 0x08u}, + {0xA7u, 0xC0u}, + {0xA8u, 0x10u}, + {0xA9u, 0x06u}, + {0xABu, 0x09u}, + {0xADu, 0x03u}, + {0xAFu, 0x0Cu}, + {0xB2u, 0x10u}, + {0xB5u, 0xFFu}, + {0xB6u, 0x0Fu}, + {0xB8u, 0x08u}, + {0xBEu, 0x04u}, + {0xBFu, 0x10u}, + {0xD4u, 0x01u}, {0xD8u, 0x04u}, + {0xD9u, 0x04u}, {0xDBu, 0x04u}, {0xDCu, 0x01u}, + {0xDDu, 0x10u}, {0xDFu, 0x01u}, - {0x02u, 0xA2u}, - {0x03u, 0x08u}, - {0x04u, 0x06u}, - {0x05u, 0x21u}, + {0x00u, 0x28u}, + {0x01u, 0x40u}, + {0x02u, 0x80u}, + {0x04u, 0x04u}, + {0x05u, 0x61u}, {0x06u, 0x02u}, - {0x07u, 0x01u}, - {0x08u, 0x01u}, - {0x09u, 0x04u}, - {0x0Bu, 0x87u}, - {0x0Du, 0x10u}, - {0x0Eu, 0x80u}, - {0x0Fu, 0x01u}, - {0x10u, 0x08u}, - {0x15u, 0x01u}, - {0x17u, 0x14u}, - {0x1Bu, 0x09u}, - {0x1Du, 0x02u}, - {0x24u, 0x80u}, - {0x2Eu, 0x06u}, + {0x08u, 0x04u}, + {0x0Au, 0x44u}, + {0x0Bu, 0x82u}, + {0x0Eu, 0x20u}, + {0x0Fu, 0x04u}, + {0x11u, 0x12u}, + {0x13u, 0x08u}, + {0x14u, 0x20u}, + {0x16u, 0x40u}, + {0x17u, 0x20u}, + {0x19u, 0x01u}, + {0x1Du, 0x40u}, + {0x1Fu, 0x04u}, + {0x21u, 0x02u}, + {0x23u, 0x40u}, + {0x26u, 0x10u}, + {0x28u, 0x22u}, + {0x29u, 0x20u}, + {0x2Cu, 0x20u}, + {0x2Eu, 0x20u}, {0x2Fu, 0x01u}, - {0x35u, 0x21u}, - {0x36u, 0x40u}, - {0x37u, 0x04u}, - {0x3Cu, 0x04u}, - {0x3Du, 0x11u}, - {0x58u, 0x99u}, - {0x5Cu, 0x42u}, - {0x5Du, 0x04u}, - {0x5Eu, 0x20u}, + {0x30u, 0x80u}, + {0x31u, 0x02u}, + {0x34u, 0x04u}, + {0x36u, 0xA0u}, + {0x37u, 0x01u}, + {0x38u, 0x80u}, + {0x39u, 0x10u}, + {0x3Au, 0x08u}, + {0x3Bu, 0x20u}, + {0x3Cu, 0x08u}, + {0x3Du, 0x50u}, + {0x45u, 0x01u}, + {0x47u, 0x01u}, + {0x59u, 0x16u}, + {0x5Au, 0x40u}, + {0x5Fu, 0x40u}, {0x63u, 0x02u}, - {0x65u, 0x40u}, - {0x6Du, 0x02u}, - {0x6Eu, 0x04u}, - {0x6Fu, 0x55u}, - {0x75u, 0x90u}, - {0x76u, 0xB0u}, - {0x80u, 0x01u}, - {0x82u, 0x80u}, - {0x86u, 0x10u}, - {0x88u, 0x04u}, - {0x8Cu, 0x08u}, - {0x8Du, 0x04u}, - {0x8Eu, 0x90u}, - {0x90u, 0x02u}, - {0x91u, 0x45u}, - {0x93u, 0xB4u}, - {0x95u, 0x80u}, - {0x96u, 0x10u}, - {0x98u, 0x10u}, - {0x99u, 0x24u}, - {0x9Au, 0x40u}, - {0x9Bu, 0x02u}, - {0x9Cu, 0x04u}, - {0x9Fu, 0xC0u}, - {0xA0u, 0x20u}, - {0xA1u, 0x04u}, - {0xA2u, 0x82u}, - {0xA4u, 0x08u}, - {0xA6u, 0x20u}, - {0xA9u, 0x06u}, - {0xAAu, 0x20u}, - {0xABu, 0x10u}, - {0xAFu, 0x41u}, - {0xB0u, 0x01u}, - {0xB1u, 0x24u}, - {0xB3u, 0x08u}, + {0x69u, 0x40u}, + {0x83u, 0x40u}, + {0x84u, 0x80u}, + {0x86u, 0x40u}, + {0x87u, 0x12u}, + {0x8Bu, 0x04u}, + {0x8Fu, 0x80u}, + {0x90u, 0xA4u}, + {0x92u, 0x04u}, + {0x93u, 0xA6u}, + {0x95u, 0xC0u}, + {0x97u, 0x10u}, + {0x98u, 0x04u}, + {0x99u, 0x34u}, + {0x9Au, 0x80u}, + {0x9Bu, 0x01u}, + {0x9Cu, 0x40u}, + {0x9Fu, 0x48u}, + {0xA0u, 0x3Cu}, + {0xA1u, 0x20u}, + {0xA3u, 0x2Du}, + {0xA7u, 0x82u}, + {0xA8u, 0x40u}, + {0xACu, 0x02u}, + {0xAEu, 0xC0u}, + {0xB2u, 0x08u}, + {0xB3u, 0x12u}, + {0xB5u, 0x40u}, {0xC0u, 0xFFu}, - {0xC2u, 0xDFu}, - {0xC4u, 0x72u}, - {0xCAu, 0xD0u}, - {0xCCu, 0xF0u}, - {0xCEu, 0xE0u}, - {0xD6u, 0xFFu}, - {0xD8u, 0x18u}, - {0xE0u, 0x01u}, - {0xE2u, 0x0Au}, - {0xE4u, 0x04u}, - {0xE6u, 0x0Au}, - {0xE8u, 0x08u}, - {0xEAu, 0x07u}, - {0xEEu, 0x01u}, - {0x03u, 0x70u}, - {0x04u, 0x05u}, - {0x06u, 0x0Au}, - {0x0Bu, 0x80u}, - {0x0Cu, 0x10u}, - {0x0Eu, 0x2Fu}, - {0x0Fu, 0x08u}, - {0x10u, 0x40u}, - {0x11u, 0x99u}, - {0x12u, 0x1Fu}, - {0x13u, 0x22u}, - {0x17u, 0x07u}, - {0x19u, 0xAAu}, - {0x1Au, 0x70u}, - {0x1Bu, 0x55u}, - {0x1Cu, 0x06u}, - {0x1Eu, 0x09u}, - {0x20u, 0x0Fu}, - {0x24u, 0x20u}, - {0x26u, 0x4Fu}, - {0x28u, 0x03u}, - {0x2Au, 0x0Cu}, - {0x2Du, 0x44u}, - {0x2Fu, 0x88u}, - {0x31u, 0xF0u}, - {0x33u, 0x0Fu}, - {0x34u, 0x7Fu}, - {0x54u, 0x09u}, - {0x56u, 0x04u}, + {0xC2u, 0x67u}, + {0xC4u, 0x7Eu}, + {0xCAu, 0x7Eu}, + {0xCCu, 0xF9u}, + {0xCEu, 0x7Eu}, + {0xD6u, 0x1Fu}, + {0xD8u, 0x08u}, + {0xE2u, 0x08u}, + {0xE6u, 0x05u}, + {0xE8u, 0x0Cu}, + {0xEAu, 0x20u}, + {0xEEu, 0x1Eu}, + {0x05u, 0x50u}, + {0x07u, 0xA0u}, + {0x0Au, 0x10u}, + {0x0Bu, 0xFFu}, + {0x0Du, 0x0Fu}, + {0x0Eu, 0x08u}, + {0x0Fu, 0xF0u}, + {0x11u, 0x90u}, + {0x13u, 0x60u}, + {0x15u, 0x05u}, + {0x17u, 0x0Au}, + {0x1Au, 0x01u}, + {0x1Bu, 0xFFu}, + {0x1Eu, 0x05u}, + {0x1Fu, 0xFFu}, + {0x22u, 0x03u}, + {0x24u, 0x06u}, + {0x25u, 0x30u}, + {0x27u, 0xC0u}, + {0x29u, 0x09u}, + {0x2Bu, 0x06u}, + {0x2Cu, 0x08u}, + {0x2Du, 0x03u}, + {0x2Eu, 0x10u}, + {0x2Fu, 0x0Cu}, + {0x33u, 0xFFu}, + {0x34u, 0x07u}, + {0x36u, 0x18u}, + {0x3Eu, 0x40u}, + {0x3Fu, 0x04u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Fu, 0x01u}, + {0x80u, 0x10u}, + {0x84u, 0x01u}, + {0x88u, 0x40u}, + {0x89u, 0x60u}, + {0x8Bu, 0x90u}, + {0x8Cu, 0x87u}, + {0x8Du, 0x0Fu}, + {0x8Eu, 0x18u}, + {0x8Fu, 0xF0u}, + {0x90u, 0x88u}, + {0x92u, 0x21u}, + {0x94u, 0x04u}, + {0x95u, 0x50u}, + {0x97u, 0xA0u}, + {0x98u, 0x40u}, + {0x99u, 0x05u}, + {0x9Bu, 0x0Au}, + {0x9Cu, 0x01u}, + {0xA0u, 0x01u}, + {0xA1u, 0x06u}, + {0xA3u, 0x09u}, + {0xA4u, 0x01u}, + {0xA5u, 0x03u}, + {0xA7u, 0x0Cu}, + {0xA8u, 0xA2u}, + {0xAAu, 0x08u}, + {0xACu, 0x01u}, + {0xADu, 0x30u}, + {0xAFu, 0xC0u}, + {0xB0u, 0x08u}, + {0xB2u, 0x40u}, + {0xB4u, 0x3Fu}, + {0xB5u, 0xFFu}, + {0xB6u, 0x80u}, + {0xB8u, 0x28u}, + {0xBEu, 0x51u}, + {0xBFu, 0x10u}, + {0xD4u, 0x09u}, + {0xD6u, 0x04u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDFu, 0x01u}, + {0x00u, 0xA0u}, + {0x01u, 0x04u}, + {0x02u, 0x40u}, + {0x04u, 0x20u}, + {0x06u, 0x02u}, + {0x0Au, 0xA9u}, + {0x0Eu, 0x04u}, + {0x0Fu, 0x02u}, + {0x10u, 0x02u}, + {0x11u, 0x01u}, + {0x12u, 0x08u}, + {0x13u, 0x04u}, + {0x15u, 0x4Au}, + {0x19u, 0x40u}, + {0x1Au, 0x68u}, + {0x1Bu, 0x04u}, + {0x1Eu, 0x04u}, + {0x1Fu, 0x80u}, + {0x22u, 0x20u}, + {0x23u, 0x08u}, + {0x27u, 0x20u}, + {0x29u, 0x80u}, + {0x2Au, 0x8Au}, + {0x2Cu, 0x20u}, + {0x2Eu, 0x20u}, + {0x2Fu, 0x01u}, + {0x30u, 0x08u}, + {0x31u, 0x20u}, + {0x34u, 0x04u}, + {0x36u, 0xA0u}, + {0x37u, 0x01u}, + {0x38u, 0x20u}, + {0x39u, 0x40u}, + {0x3Cu, 0x08u}, + {0x3Du, 0x50u}, + {0x59u, 0x19u}, + {0x5Au, 0x40u}, + {0x61u, 0x42u}, + {0x81u, 0x02u}, + {0x87u, 0x81u}, + {0x89u, 0x20u}, + {0x90u, 0x20u}, + {0x91u, 0x50u}, + {0x93u, 0x02u}, + {0x95u, 0x80u}, + {0x98u, 0x04u}, + {0x9Au, 0xA2u}, + {0x9Bu, 0x01u}, + {0x9Cu, 0x40u}, + {0x9Fu, 0x28u}, + {0xA0u, 0x2Cu}, + {0xA1u, 0x20u}, + {0xA3u, 0x08u}, + {0xA6u, 0xA0u}, + {0xA8u, 0x20u}, + {0xABu, 0x04u}, + {0xACu, 0x10u}, + {0xAEu, 0x28u}, + {0xB1u, 0x02u}, + {0xB3u, 0x20u}, + {0xB4u, 0x02u}, + {0xC0u, 0xCFu}, + {0xC2u, 0xCFu}, + {0xC4u, 0xBFu}, + {0xCAu, 0x7Bu}, + {0xCCu, 0xF6u}, + {0xCEu, 0x7Cu}, + {0xD6u, 0x0Fu}, + {0xD8u, 0x09u}, + {0xE0u, 0x02u}, + {0xE2u, 0x08u}, + {0xE4u, 0x08u}, + {0xE6u, 0x01u}, + {0xEAu, 0x01u}, + {0xECu, 0x04u}, + {0xEEu, 0x02u}, + {0x00u, 0x50u}, + {0x02u, 0xA0u}, + {0x06u, 0x20u}, + {0x0Au, 0x08u}, + {0x0Du, 0x01u}, + {0x0Eu, 0x40u}, + {0x0Fu, 0x02u}, + {0x10u, 0x09u}, + {0x12u, 0x02u}, + {0x13u, 0x02u}, + {0x16u, 0x07u}, + {0x17u, 0x01u}, + {0x18u, 0x04u}, + {0x1Au, 0x08u}, + {0x1Eu, 0x10u}, + {0x1Fu, 0x1Cu}, + {0x21u, 0x24u}, + {0x22u, 0x80u}, + {0x23u, 0x08u}, + {0x25u, 0x10u}, + {0x27u, 0x20u}, + {0x28u, 0x0Au}, + {0x29u, 0x28u}, + {0x2Au, 0x05u}, + {0x2Bu, 0x14u}, + {0x2Fu, 0x20u}, + {0x31u, 0x3Cu}, + {0x32u, 0x0Fu}, + {0x34u, 0xC0u}, + {0x35u, 0x03u}, + {0x36u, 0x30u}, + {0x3Eu, 0x50u}, + {0x3Fu, 0x10u}, {0x58u, 0x04u}, {0x59u, 0x04u}, {0x5Bu, 0x04u}, {0x5Cu, 0x11u}, {0x5Fu, 0x01u}, - {0x80u, 0x02u}, - {0x83u, 0x10u}, - {0x8Bu, 0x80u}, - {0x8Cu, 0x02u}, - {0x8Fu, 0x40u}, - {0x90u, 0x02u}, - {0x93u, 0x02u}, - {0x94u, 0x02u}, - {0x98u, 0x04u}, - {0x9Au, 0x08u}, - {0x9Bu, 0x08u}, - {0x9Cu, 0x08u}, - {0x9Eu, 0x04u}, - {0xA3u, 0x04u}, - {0xA5u, 0x55u}, - {0xA7u, 0xAAu}, - {0xABu, 0x01u}, - {0xACu, 0x08u}, - {0xAEu, 0x05u}, - {0xAFu, 0x20u}, - {0xB1u, 0x30u}, - {0xB2u, 0x02u}, - {0xB3u, 0xC0u}, - {0xB4u, 0x0Cu}, - {0xB5u, 0x0Cu}, - {0xB6u, 0x01u}, - {0xB7u, 0x03u}, - {0xB8u, 0x08u}, + {0x80u, 0xFFu}, + {0x81u, 0x02u}, + {0x83u, 0x01u}, + {0x86u, 0xFFu}, + {0x88u, 0xFFu}, + {0x8Eu, 0xFFu}, + {0x90u, 0x96u}, + {0x92u, 0x69u}, + {0x94u, 0x0Fu}, + {0x95u, 0x01u}, + {0x96u, 0xF0u}, + {0x97u, 0x02u}, + {0x99u, 0x02u}, + {0x9Bu, 0x05u}, + {0x9Du, 0x02u}, + {0x9Fu, 0x09u}, + {0xA0u, 0x33u}, + {0xA2u, 0xCCu}, + {0xA8u, 0x55u}, + {0xAAu, 0xAAu}, + {0xADu, 0x02u}, + {0xAEu, 0xFFu}, + {0xAFu, 0x11u}, + {0xB1u, 0x08u}, + {0xB3u, 0x03u}, + {0xB4u, 0xFFu}, + {0xB5u, 0x10u}, + {0xB7u, 0x04u}, {0xBAu, 0x20u}, - {0xBEu, 0x04u}, - {0xBFu, 0x55u}, + {0xBBu, 0x08u}, {0xD6u, 0x08u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, {0xDBu, 0x04u}, - {0xDCu, 0x09u}, + {0xDCu, 0x11u}, {0xDDu, 0x90u}, {0xDFu, 0x01u}, - {0x00u, 0x08u}, - {0x02u, 0x02u}, + {0x00u, 0x4Au}, + {0x03u, 0x08u}, {0x04u, 0x80u}, - {0x07u, 0x40u}, - {0x08u, 0x01u}, - {0x09u, 0x80u}, - {0x0Au, 0x04u}, - {0x0Du, 0x02u}, - {0x0Eu, 0x1Au}, - {0x11u, 0x28u}, - {0x12u, 0x20u}, - {0x13u, 0x01u}, - {0x14u, 0x02u}, - {0x1Au, 0x04u}, - {0x1Cu, 0x20u}, - {0x1Eu, 0x0Au}, - {0x20u, 0x80u}, - {0x21u, 0x01u}, - {0x22u, 0x04u}, - {0x23u, 0x20u}, - {0x24u, 0x20u}, - {0x25u, 0x60u}, - {0x27u, 0x80u}, - {0x28u, 0x01u}, - {0x2Du, 0x19u}, - {0x2Fu, 0x09u}, - {0x32u, 0x26u}, - {0x33u, 0x08u}, - {0x37u, 0x11u}, - {0x39u, 0x42u}, - {0x3Au, 0x02u}, - {0x3Bu, 0x10u}, - {0x3Cu, 0x80u}, - {0x3Eu, 0x21u}, - {0x58u, 0x10u}, - {0x59u, 0x04u}, - {0x5Au, 0x42u}, - {0x5Cu, 0x80u}, - {0x60u, 0x04u}, - {0x63u, 0x4Au}, - {0x64u, 0x02u}, + {0x05u, 0x22u}, + {0x06u, 0x90u}, + {0x08u, 0x02u}, + {0x0Bu, 0x10u}, + {0x0Cu, 0xA2u}, + {0x0Eu, 0x28u}, + {0x10u, 0x02u}, + {0x12u, 0x84u}, + {0x14u, 0x04u}, + {0x16u, 0x40u}, + {0x1Bu, 0x10u}, + {0x1Eu, 0x22u}, + {0x1Fu, 0x10u}, + {0x21u, 0x09u}, + {0x22u, 0x50u}, + {0x24u, 0x88u}, + {0x27u, 0x40u}, + {0x29u, 0x40u}, + {0x2Cu, 0x24u}, + {0x2Fu, 0x49u}, + {0x31u, 0x08u}, + {0x32u, 0x50u}, + {0x36u, 0x02u}, + {0x37u, 0x48u}, + {0x39u, 0x02u}, + {0x3Eu, 0x80u}, + {0x4Fu, 0x30u}, + {0x58u, 0x80u}, + {0x5Cu, 0x20u}, + {0x5Eu, 0x44u}, + {0x5Fu, 0x02u}, + {0x60u, 0x02u}, + {0x64u, 0x01u}, {0x81u, 0x20u}, - {0x87u, 0xC0u}, - {0x88u, 0x80u}, - {0x8Au, 0x04u}, - {0x8Cu, 0x10u}, - {0x90u, 0x82u}, - {0x91u, 0x41u}, - {0x93u, 0x11u}, - {0x94u, 0x04u}, - {0x95u, 0x30u}, - {0x99u, 0xB0u}, - {0x9Au, 0xF0u}, - {0x9Bu, 0x17u}, - {0xA0u, 0x20u}, - {0xA1u, 0x07u}, - {0xA2u, 0x02u}, - {0xA6u, 0x44u}, + {0x82u, 0x40u}, + {0x86u, 0x10u}, + {0x88u, 0x04u}, + {0x8Bu, 0x0Au}, + {0x8Cu, 0x04u}, + {0x90u, 0x42u}, + {0x92u, 0x04u}, + {0x93u, 0x82u}, + {0x95u, 0x10u}, + {0x97u, 0x20u}, + {0x99u, 0x62u}, + {0x9Au, 0x04u}, + {0xA0u, 0x07u}, + {0xA1u, 0x20u}, + {0xA2u, 0x84u}, + {0xA3u, 0x08u}, + {0xA5u, 0x08u}, + {0xA6u, 0x10u}, + {0xA7u, 0x10u}, {0xA8u, 0x40u}, - {0xA9u, 0x40u}, {0xAAu, 0x04u}, - {0xABu, 0x08u}, - {0xACu, 0x02u}, - {0xAEu, 0x20u}, - {0xAFu, 0x80u}, - {0xB0u, 0x88u}, - {0xB1u, 0x40u}, - {0xB3u, 0x10u}, - {0xB7u, 0x01u}, - {0xC0u, 0x95u}, - {0xC2u, 0xFBu}, - {0xC4u, 0x8Eu}, + {0xACu, 0x44u}, + {0xAEu, 0x01u}, + {0xAFu, 0x20u}, + {0xB5u, 0x84u}, + {0xB7u, 0x10u}, + {0xC0u, 0xFFu}, + {0xC2u, 0xFCu}, + {0xC4u, 0x5Bu}, {0xCAu, 0xF8u}, - {0xCCu, 0xA7u}, - {0xCEu, 0xBDu}, - {0xD6u, 0x1Fu}, - {0xD8u, 0x19u}, - {0xE0u, 0x06u}, - {0xEAu, 0x03u}, - {0xEEu, 0x12u}, - {0x00u, 0x0Fu}, - {0x01u, 0x03u}, - {0x02u, 0xF0u}, - {0x03u, 0x0Cu}, - {0x04u, 0x30u}, - {0x06u, 0xC0u}, - {0x07u, 0xFFu}, - {0x08u, 0x05u}, - {0x0Au, 0x0Au}, - {0x0Cu, 0x90u}, - {0x0Du, 0x06u}, - {0x0Eu, 0x60u}, - {0x0Fu, 0x09u}, - {0x11u, 0x60u}, + {0xCCu, 0xDEu}, + {0xCEu, 0x11u}, + {0xD6u, 0xF8u}, + {0xD8u, 0x18u}, + {0xE2u, 0x08u}, + {0xE4u, 0x88u}, + {0xE6u, 0x01u}, + {0xEAu, 0x19u}, + {0x00u, 0xFFu}, + {0x06u, 0xFFu}, + {0x08u, 0xFFu}, + {0x09u, 0x02u}, + {0x0Bu, 0x09u}, + {0x0Eu, 0xFFu}, {0x12u, 0xFFu}, - {0x13u, 0x90u}, - {0x15u, 0x50u}, - {0x17u, 0xA0u}, - {0x19u, 0x30u}, - {0x1Au, 0xFFu}, - {0x1Bu, 0xC0u}, - {0x1Du, 0xFFu}, - {0x1Eu, 0xFFu}, - {0x20u, 0x03u}, - {0x22u, 0x0Cu}, - {0x23u, 0xFFu}, - {0x24u, 0x50u}, - {0x25u, 0x0Fu}, - {0x26u, 0xA0u}, - {0x27u, 0xF0u}, - {0x28u, 0x09u}, - {0x29u, 0x05u}, - {0x2Au, 0x06u}, - {0x2Bu, 0x0Au}, - {0x31u, 0xFFu}, - {0x32u, 0xFFu}, - {0x3Eu, 0x04u}, - {0x3Fu, 0x01u}, + {0x14u, 0x33u}, + {0x15u, 0x02u}, + {0x16u, 0xCCu}, + {0x17u, 0x01u}, + {0x19u, 0x01u}, + {0x1Bu, 0x02u}, + {0x1Cu, 0x0Fu}, + {0x1Du, 0x02u}, + {0x1Eu, 0xF0u}, + {0x1Fu, 0x05u}, + {0x24u, 0x69u}, + {0x26u, 0x96u}, + {0x28u, 0x55u}, + {0x2Au, 0xAAu}, + {0x2Du, 0x02u}, + {0x2Fu, 0x01u}, + {0x33u, 0x04u}, + {0x35u, 0x03u}, + {0x36u, 0xFFu}, + {0x37u, 0x08u}, + {0x3Au, 0x80u}, + {0x3Bu, 0x20u}, + {0x56u, 0x08u}, {0x58u, 0x04u}, {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Du, 0x90u}, {0x5Fu, 0x01u}, - {0x81u, 0x31u}, - {0x82u, 0x07u}, + {0x81u, 0x0Fu}, + {0x84u, 0x40u}, + {0x86u, 0x80u}, + {0x87u, 0x0Fu}, + {0x88u, 0x40u}, + {0x89u, 0x04u}, + {0x8Au, 0x80u}, + {0x8Bu, 0x08u}, + {0x8Cu, 0x06u}, + {0x8Eu, 0xE1u}, + {0x8Fu, 0x0Fu}, + {0x93u, 0x0Fu}, + {0x94u, 0xD0u}, + {0x95u, 0x01u}, + {0x96u, 0x21u}, + {0x97u, 0x02u}, + {0x99u, 0x04u}, + {0x9Bu, 0x08u}, + {0x9Cu, 0x16u}, + {0x9Eu, 0xE1u}, + {0xA0u, 0x01u}, + {0xA2u, 0x02u}, + {0xA3u, 0x10u}, + {0xA4u, 0x08u}, + {0xA6u, 0xC1u}, + {0xA9u, 0x0Fu}, + {0xAAu, 0x04u}, + {0xACu, 0xC0u}, + {0xADu, 0x01u}, + {0xAEu, 0x21u}, + {0xAFu, 0x02u}, + {0xB2u, 0xC0u}, + {0xB3u, 0x03u}, + {0xB4u, 0x03u}, + {0xB5u, 0x0Cu}, + {0xB6u, 0x3Cu}, + {0xB7u, 0x10u}, + {0xB8u, 0x80u}, + {0xBAu, 0x28u}, + {0xBBu, 0x28u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDCu, 0x11u}, + {0xDFu, 0x01u}, + {0x00u, 0x4Au}, + {0x03u, 0x08u}, + {0x04u, 0x50u}, + {0x05u, 0x04u}, + {0x08u, 0x08u}, + {0x0Au, 0x02u}, + {0x0Bu, 0x80u}, + {0x0Du, 0x04u}, + {0x0Eu, 0x02u}, + {0x12u, 0x28u}, + {0x14u, 0x40u}, + {0x15u, 0x84u}, + {0x17u, 0x10u}, + {0x1Au, 0x02u}, + {0x1Du, 0x04u}, + {0x1Eu, 0x02u}, + {0x1Fu, 0x64u}, + {0x21u, 0x20u}, + {0x22u, 0x44u}, + {0x24u, 0x08u}, + {0x25u, 0x14u}, + {0x27u, 0x01u}, + {0x2Au, 0x40u}, + {0x2Du, 0x80u}, + {0x2Eu, 0x01u}, + {0x2Fu, 0x08u}, + {0x31u, 0x20u}, + {0x32u, 0x44u}, + {0x34u, 0x08u}, + {0x35u, 0x10u}, + {0x36u, 0x01u}, + {0x39u, 0x20u}, + {0x3Cu, 0x4Au}, + {0x3Du, 0x24u}, + {0x41u, 0x04u}, + {0x43u, 0x08u}, + {0x58u, 0x94u}, + {0x60u, 0x18u}, + {0x61u, 0x40u}, + {0x62u, 0x08u}, + {0x80u, 0x04u}, + {0x84u, 0x02u}, + {0x87u, 0x50u}, + {0x88u, 0x10u}, + {0x8Fu, 0x20u}, + {0x90u, 0x02u}, + {0x91u, 0xA0u}, + {0x92u, 0x05u}, + {0x93u, 0x02u}, + {0x95u, 0x10u}, + {0x97u, 0x08u}, + {0x98u, 0x80u}, + {0x99u, 0x32u}, + {0x9Au, 0x44u}, + {0x9Bu, 0x10u}, + {0x9Du, 0x40u}, + {0x9Fu, 0x01u}, + {0xA0u, 0x05u}, + {0xA2u, 0xA0u}, + {0xA3u, 0x08u}, + {0xA5u, 0x0Cu}, + {0xA6u, 0x11u}, + {0xA8u, 0x81u}, + {0xA9u, 0x80u}, + {0xAFu, 0x0Eu}, + {0xB0u, 0x04u}, + {0xB1u, 0x04u}, + {0xB4u, 0x40u}, + {0xB5u, 0x02u}, + {0xB6u, 0x40u}, + {0xB7u, 0x80u}, + {0xC0u, 0xEFu}, + {0xC2u, 0xADu}, + {0xC4u, 0xF6u}, + {0xCAu, 0xB8u}, + {0xCCu, 0xEEu}, + {0xCEu, 0xF4u}, + {0xD6u, 0x0Eu}, + {0xD8u, 0x0Eu}, + {0xE0u, 0x80u}, + {0xE2u, 0x40u}, + {0xE4u, 0x02u}, + {0xE6u, 0x04u}, + {0xE8u, 0x40u}, + {0xEAu, 0x20u}, + {0xEEu, 0x11u}, + {0x00u, 0x08u}, + {0x02u, 0x10u}, + {0x04u, 0x02u}, + {0x05u, 0x01u}, + {0x06u, 0x01u}, + {0x0Du, 0x06u}, + {0x0Fu, 0x18u}, + {0x12u, 0x10u}, + {0x15u, 0x20u}, + {0x16u, 0x08u}, + {0x18u, 0x01u}, + {0x19u, 0x04u}, + {0x1Au, 0x02u}, + {0x1Bu, 0x02u}, + {0x1Cu, 0x02u}, + {0x1Du, 0x02u}, + {0x1Eu, 0x01u}, + {0x1Fu, 0x04u}, + {0x20u, 0x02u}, + {0x22u, 0x05u}, + {0x25u, 0x08u}, + {0x27u, 0x10u}, + {0x28u, 0x02u}, + {0x2Au, 0x21u}, + {0x2Du, 0x10u}, + {0x2Fu, 0x08u}, + {0x30u, 0x18u}, + {0x31u, 0x20u}, + {0x32u, 0x04u}, + {0x33u, 0x01u}, + {0x34u, 0x03u}, + {0x36u, 0x20u}, + {0x37u, 0x1Eu}, + {0x3Au, 0x20u}, + {0x3Eu, 0x01u}, + {0x3Fu, 0x40u}, + {0x56u, 0x08u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x91u}, + {0x5Du, 0x90u}, + {0x5Fu, 0x01u}, + {0x84u, 0x04u}, + {0x86u, 0x02u}, + {0x8Du, 0x02u}, + {0x8Fu, 0x04u}, + {0x90u, 0x04u}, + {0x92u, 0x03u}, + {0x94u, 0x04u}, + {0x96u, 0x02u}, + {0x97u, 0x02u}, + {0x98u, 0x02u}, + {0x9Au, 0x04u}, + {0x9Cu, 0x04u}, + {0x9Eu, 0x02u}, + {0x9Fu, 0x04u}, + {0xAFu, 0x01u}, + {0xB2u, 0x01u}, + {0xB4u, 0x06u}, + {0xB5u, 0x01u}, + {0xB7u, 0x06u}, + {0xBAu, 0x20u}, + {0xBFu, 0x40u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x11u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x01u, 0x08u}, + {0x05u, 0x06u}, + {0x08u, 0x02u}, + {0x0Au, 0x26u}, + {0x0Cu, 0x02u}, + {0x0Eu, 0x29u}, + {0x14u, 0x08u}, + {0x17u, 0x01u}, + {0x1Au, 0x24u}, + {0x1Cu, 0x08u}, + {0x1Du, 0x05u}, + {0x1Eu, 0x09u}, + {0x20u, 0x02u}, + {0x21u, 0x50u}, + {0x23u, 0x03u}, + {0x24u, 0x02u}, + {0x26u, 0x08u}, + {0x27u, 0x80u}, + {0x2Au, 0x40u}, + {0x2Cu, 0x02u}, + {0x2Fu, 0x10u}, + {0x31u, 0x48u}, + {0x36u, 0x14u}, + {0x37u, 0x44u}, + {0x39u, 0x40u}, + {0x3Cu, 0x40u}, + {0x3Eu, 0x04u}, + {0x58u, 0x14u}, + {0x5Au, 0x40u}, + {0x5Du, 0x80u}, + {0x5Fu, 0x10u}, + {0x62u, 0xA4u}, + {0x64u, 0x0Au}, + {0x69u, 0x40u}, + {0x6Cu, 0x28u}, + {0x6Du, 0x04u}, + {0x6Fu, 0x12u}, + {0x81u, 0x01u}, + {0x82u, 0x04u}, + {0x84u, 0x10u}, + {0x87u, 0x02u}, + {0x88u, 0x0Au}, + {0x8Bu, 0x10u}, + {0x92u, 0x02u}, + {0x93u, 0x12u}, + {0x95u, 0x90u}, + {0x96u, 0x20u}, + {0x97u, 0x21u}, + {0x99u, 0x38u}, + {0x9Au, 0x40u}, + {0x9Bu, 0x40u}, + {0x9Du, 0x02u}, + {0x9Eu, 0x04u}, + {0x9Fu, 0x0Cu}, + {0xA3u, 0x08u}, + {0xA4u, 0x20u}, + {0xA5u, 0x04u}, + {0xA6u, 0xA9u}, + {0xA8u, 0x40u}, + {0xAAu, 0x08u}, + {0xABu, 0x08u}, + {0xACu, 0x04u}, + {0xADu, 0x08u}, + {0xB1u, 0x20u}, + {0xB4u, 0x40u}, + {0xB5u, 0x01u}, + {0xB6u, 0x08u}, + {0xC0u, 0x34u}, + {0xC2u, 0xFFu}, + {0xC4u, 0x50u}, + {0xCAu, 0x58u}, + {0xCCu, 0x7Au}, + {0xCEu, 0x58u}, + {0xD6u, 0x3Eu}, + {0xD8u, 0x3Eu}, + {0xE0u, 0x24u}, + {0xE4u, 0x80u}, + {0xE6u, 0x22u}, + {0xE8u, 0x32u}, + {0xECu, 0x02u}, + {0xEEu, 0x14u}, + {0x02u, 0x6Fu}, + {0x04u, 0x04u}, + {0x06u, 0x08u}, + {0x08u, 0x6Fu}, + {0x0Cu, 0x20u}, + {0x0Eu, 0x40u}, + {0x12u, 0x6Fu}, + {0x16u, 0x10u}, + {0x1Au, 0x6Fu}, + {0x1Cu, 0x6Fu}, + {0x20u, 0x01u}, + {0x22u, 0x02u}, + {0x24u, 0x04u}, + {0x26u, 0x08u}, + {0x27u, 0x01u}, + {0x28u, 0x20u}, + {0x2Au, 0x40u}, + {0x2Cu, 0x01u}, + {0x2Eu, 0x02u}, + {0x30u, 0x03u}, + {0x31u, 0x01u}, + {0x32u, 0x10u}, + {0x34u, 0x0Cu}, + {0x36u, 0x60u}, + {0x3Au, 0xA2u}, + {0x56u, 0x08u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Du, 0x90u}, + {0x5Fu, 0x01u}, + {0x80u, 0x06u}, + {0x81u, 0xC0u}, + {0x82u, 0xF8u}, + {0x84u, 0xC6u}, + {0x85u, 0x10u}, + {0x86u, 0x19u}, + {0x87u, 0xE0u}, + {0x88u, 0x40u}, + {0x8Au, 0x80u}, + {0x8Fu, 0x20u}, + {0x91u, 0x24u}, + {0x92u, 0x09u}, + {0x93u, 0xC8u}, + {0x94u, 0x14u}, + {0x97u, 0xC0u}, + {0x98u, 0x01u}, + {0x99u, 0x40u}, + {0x9Bu, 0x80u}, + {0x9Cu, 0xE0u}, + {0x9Fu, 0x1Du}, + {0xA0u, 0x40u}, + {0xA1u, 0x01u}, + {0xA2u, 0x80u}, + {0xA3u, 0x02u}, + {0xA6u, 0xFFu}, + {0xA7u, 0x02u}, + {0xA8u, 0x09u}, + {0xA9u, 0xE8u}, + {0xAAu, 0xF2u}, + {0xABu, 0x14u}, + {0xADu, 0x40u}, + {0xAFu, 0x80u}, + {0xB1u, 0x3Cu}, + {0xB2u, 0x3Fu}, + {0xB3u, 0x03u}, + {0xB4u, 0xC0u}, + {0xB5u, 0xC0u}, + {0xBAu, 0x20u}, + {0xBBu, 0x20u}, + {0xBFu, 0x04u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x11u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x00u, 0x06u}, + {0x01u, 0x80u}, + {0x03u, 0x08u}, + {0x05u, 0x05u}, + {0x07u, 0x08u}, + {0x08u, 0x10u}, + {0x09u, 0x01u}, + {0x0Au, 0x20u}, + {0x0Bu, 0x01u}, + {0x0Cu, 0x24u}, + {0x0Du, 0x40u}, + {0x0Eu, 0x40u}, + {0x11u, 0x40u}, + {0x12u, 0xA4u}, + {0x14u, 0x10u}, + {0x15u, 0x02u}, + {0x16u, 0x08u}, + {0x17u, 0x04u}, + {0x18u, 0x86u}, + {0x19u, 0x88u}, + {0x1Bu, 0x10u}, + {0x1Cu, 0x08u}, + {0x1Du, 0x04u}, + {0x1Fu, 0x08u}, + {0x22u, 0x01u}, + {0x25u, 0x08u}, + {0x26u, 0x10u}, + {0x27u, 0x40u}, + {0x29u, 0x08u}, + {0x2Du, 0x02u}, + {0x2Eu, 0x80u}, + {0x2Fu, 0x18u}, + {0x35u, 0x01u}, + {0x36u, 0x11u}, + {0x37u, 0x44u}, + {0x38u, 0x80u}, + {0x39u, 0x40u}, + {0x3Eu, 0x08u}, + {0x3Fu, 0x41u}, + {0x48u, 0x01u}, + {0x4Au, 0x01u}, + {0x58u, 0x40u}, + {0x5Cu, 0x40u}, + {0x5Du, 0x10u}, + {0x62u, 0x40u}, + {0x65u, 0x10u}, + {0x66u, 0x90u}, + {0x80u, 0x01u}, + {0x81u, 0x04u}, + {0x83u, 0x10u}, + {0x84u, 0x04u}, + {0x85u, 0x01u}, + {0x86u, 0x90u}, + {0x88u, 0x40u}, + {0x8Au, 0x10u}, + {0x8Bu, 0x50u}, + {0x8Eu, 0x40u}, + {0x8Fu, 0x01u}, + {0xC0u, 0x7Fu}, + {0xC2u, 0xFFu}, + {0xC4u, 0x7Fu}, + {0xCAu, 0xF2u}, + {0xCCu, 0xF0u}, + {0xCEu, 0xD0u}, + {0xD6u, 0x38u}, + {0xD8u, 0x38u}, + {0xE0u, 0x60u}, + {0xE4u, 0xC0u}, + {0xE6u, 0x20u}, + {0x8Eu, 0x38u}, + {0x90u, 0x3Eu}, + {0x94u, 0x01u}, + {0x96u, 0x14u}, + {0xA0u, 0x09u}, + {0xA2u, 0x02u}, + {0xA4u, 0x22u}, + {0xA6u, 0x01u}, + {0xB0u, 0x07u}, + {0xB6u, 0x38u}, + {0xB8u, 0x02u}, + {0xBEu, 0x40u}, + {0xD8u, 0x04u}, + {0xDFu, 0x01u}, + {0x00u, 0x40u}, + {0x01u, 0x05u}, + {0x02u, 0x08u}, + {0x07u, 0x01u}, + {0x0Au, 0x19u}, + {0x0Eu, 0x90u}, + {0x10u, 0x08u}, + {0x12u, 0x01u}, + {0x13u, 0x06u}, + {0x15u, 0x0Au}, + {0x1Au, 0x98u}, + {0x1Bu, 0x01u}, + {0x1Cu, 0x02u}, + {0x1Eu, 0x80u}, + {0x20u, 0xC0u}, + {0x21u, 0x15u}, + {0x22u, 0x0Au}, + {0x23u, 0x04u}, + {0x2Au, 0x02u}, + {0x2Bu, 0x01u}, + {0x30u, 0x22u}, + {0x32u, 0x08u}, + {0x33u, 0x40u}, + {0x38u, 0x40u}, + {0x39u, 0x10u}, + {0x3Au, 0x01u}, + {0x3Bu, 0x04u}, + {0x42u, 0x58u}, + {0x49u, 0x08u}, + {0x4Au, 0x8Au}, + {0x51u, 0x40u}, + {0x52u, 0x51u}, + {0x53u, 0x81u}, + {0x60u, 0x04u}, + {0x68u, 0x2Au}, + {0x69u, 0x15u}, + {0x6Au, 0x22u}, + {0x6Bu, 0x42u}, + {0x72u, 0x03u}, + {0x73u, 0x01u}, + {0x82u, 0x08u}, + {0x84u, 0x01u}, + {0x86u, 0x04u}, + {0x88u, 0x40u}, + {0x8Du, 0x10u}, + {0x8Fu, 0x01u}, + {0x90u, 0x04u}, + {0x92u, 0x44u}, + {0x94u, 0x40u}, + {0x95u, 0xAFu}, + {0x96u, 0xA3u}, + {0x97u, 0x05u}, + {0x9Bu, 0x01u}, + {0x9Cu, 0x40u}, + {0x9Du, 0x18u}, + {0x9Eu, 0x52u}, + {0xA4u, 0x42u}, + {0xA6u, 0x88u}, + {0xA7u, 0x81u}, + {0xA9u, 0x80u}, + {0xC0u, 0x8Fu}, + {0xC2u, 0x37u}, + {0xC4u, 0x3Fu}, + {0xCAu, 0x09u}, + {0xCCu, 0x0Fu}, + {0xCEu, 0x0Fu}, + {0xD0u, 0x07u}, + {0xD2u, 0x0Cu}, + {0xD8u, 0x04u}, + {0xEAu, 0x04u}, + {0xEEu, 0x02u}, + {0x01u, 0x34u}, + {0x03u, 0x43u}, + {0x04u, 0x02u}, + {0x05u, 0x40u}, + {0x06u, 0x01u}, + {0x07u, 0x30u}, + {0x0Cu, 0x02u}, + {0x0Du, 0x11u}, + {0x0Eu, 0x01u}, + {0x0Fu, 0x62u}, + {0x13u, 0x0Cu}, + {0x14u, 0x02u}, + {0x15u, 0x58u}, + {0x16u, 0x05u}, + {0x17u, 0x23u}, + {0x18u, 0x01u}, + {0x1Au, 0x02u}, + {0x23u, 0x02u}, + {0x2Bu, 0x01u}, + {0x2Cu, 0x02u}, + {0x2Eu, 0x09u}, + {0x32u, 0x04u}, + {0x34u, 0x03u}, + {0x35u, 0x70u}, + {0x36u, 0x08u}, + {0x37u, 0x0Fu}, + {0x3Au, 0x20u}, + {0x3Bu, 0x20u}, + {0x56u, 0x08u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x01u}, + {0x5Du, 0x90u}, + {0x5Fu, 0x01u}, + {0x81u, 0xC0u}, + {0x82u, 0x01u}, {0x83u, 0x02u}, {0x85u, 0xC0u}, - {0x87u, 0x2Cu}, - {0x89u, 0xE4u}, - {0x8Bu, 0x08u}, - {0x8Cu, 0x99u}, - {0x8Du, 0x24u}, - {0x8Eu, 0x22u}, - {0x91u, 0x2Cu}, - {0x93u, 0xC0u}, - {0x94u, 0xAAu}, - {0x95u, 0x11u}, - {0x96u, 0x55u}, - {0x97u, 0x0Eu}, - {0x98u, 0x44u}, - {0x9Au, 0x88u}, - {0x9Bu, 0x2Fu}, - {0x9Du, 0xECu}, - {0x9Eu, 0x70u}, - {0xA2u, 0x08u}, - {0xA3u, 0x80u}, - {0xA5u, 0x08u}, - {0xA6u, 0x80u}, - {0xA7u, 0x10u}, - {0xADu, 0xECu}, - {0xB0u, 0x0Fu}, - {0xB1u, 0x40u}, - {0xB3u, 0x80u}, - {0xB5u, 0x31u}, - {0xB6u, 0xF0u}, - {0xB7u, 0x0Fu}, - {0xBBu, 0x30u}, - {0xBFu, 0x05u}, + {0x86u, 0x04u}, + {0x87u, 0x04u}, + {0x89u, 0x80u}, + {0x8Cu, 0x02u}, + {0x8Du, 0x1Fu}, + {0x8Eu, 0x04u}, + {0x8Fu, 0x20u}, + {0x91u, 0x90u}, + {0x92u, 0x10u}, + {0x93u, 0x40u}, + {0x94u, 0x09u}, + {0x95u, 0x7Fu}, + {0x97u, 0x80u}, + {0x99u, 0xC0u}, + {0x9Bu, 0x08u}, + {0x9Cu, 0x09u}, + {0x9Fu, 0xFFu}, + {0xA0u, 0x09u}, + {0xA1u, 0xC0u}, + {0xA3u, 0x01u}, + {0xA4u, 0x09u}, + {0xAAu, 0x02u}, + {0xABu, 0x60u}, + {0xAEu, 0x09u}, + {0xAFu, 0x9Fu}, + {0xB0u, 0x08u}, + {0xB2u, 0x01u}, + {0xB3u, 0xFFu}, + {0xB4u, 0x10u}, + {0xB6u, 0x06u}, + {0xBEu, 0x45u}, + {0xBFu, 0x04u}, {0xD4u, 0x40u}, {0xD6u, 0x04u}, {0xD8u, 0x04u}, @@ -954,854 +1825,119 @@ void cyfitter_cfg(void) {0xDBu, 0x04u}, {0xDCu, 0x01u}, {0xDFu, 0x01u}, - {0x01u, 0x02u}, - {0x02u, 0x02u}, - {0x04u, 0x02u}, - {0x05u, 0x20u}, - {0x07u, 0x11u}, - {0x09u, 0x04u}, - {0x0Au, 0x05u}, - {0x0Cu, 0x40u}, - {0x0Eu, 0x80u}, - {0x0Fu, 0x09u}, - {0x10u, 0x20u}, - {0x13u, 0x02u}, - {0x15u, 0x05u}, - {0x17u, 0x24u}, - {0x19u, 0x02u}, - {0x1Au, 0x01u}, - {0x1Eu, 0x10u}, - {0x20u, 0x05u}, - {0x21u, 0x09u}, - {0x22u, 0x91u}, - {0x27u, 0x40u}, - {0x28u, 0x10u}, - {0x29u, 0x40u}, - {0x2Au, 0x05u}, - {0x2Cu, 0x40u}, - {0x2Du, 0x28u}, - {0x32u, 0x18u}, - {0x33u, 0x82u}, - {0x36u, 0x40u}, - {0x37u, 0x15u}, - {0x38u, 0x69u}, - {0x3Cu, 0x80u}, - {0x3Du, 0x01u}, - {0x3Fu, 0x08u}, - {0x45u, 0x80u}, - {0x46u, 0x01u}, - {0x61u, 0x88u}, - {0x62u, 0x20u}, - {0x63u, 0x40u}, - {0x64u, 0x80u}, - {0x65u, 0x02u}, - {0x86u, 0x04u}, - {0x89u, 0x50u}, - {0x8Au, 0x80u}, - {0x8Bu, 0x40u}, - {0x90u, 0x02u}, - {0x91u, 0x01u}, - {0x93u, 0x01u}, - {0x96u, 0x53u}, - {0x99u, 0xB8u}, - {0x9Au, 0xD2u}, - {0x9Bu, 0x37u}, - {0x9Cu, 0x10u}, - {0x9Du, 0x04u}, - {0x9Fu, 0x40u}, - {0xA0u, 0x20u}, - {0xA1u, 0x45u}, - {0xA2u, 0x02u}, - {0xA6u, 0x40u}, - {0xA7u, 0x24u}, - {0xA8u, 0x01u}, - {0xA9u, 0x18u}, - {0xACu, 0x14u}, - {0xADu, 0x10u}, - {0xAFu, 0x20u}, - {0xB0u, 0x01u}, - {0xB1u, 0x80u}, - {0xB3u, 0x21u}, - {0xB5u, 0x10u}, - {0xB7u, 0x01u}, - {0xC0u, 0xF9u}, - {0xC2u, 0xD7u}, - {0xC4u, 0x7Cu}, - {0xCAu, 0xEBu}, - {0xCCu, 0xFFu}, - {0xCEu, 0xDFu}, - {0xD8u, 0x0Fu}, - {0xE2u, 0x23u}, - {0xE8u, 0x08u}, - {0xEAu, 0x01u}, - {0xEEu, 0x02u}, - {0x80u, 0x02u}, - {0x85u, 0x40u}, - {0x9Cu, 0x02u}, - {0x9Du, 0x08u}, - {0xA1u, 0x40u}, - {0xA2u, 0x04u}, - {0xA9u, 0x40u}, - {0xAEu, 0x01u}, - {0xB1u, 0x01u}, - {0xB3u, 0x31u}, - {0xB5u, 0x20u}, - {0xE2u, 0x01u}, - {0xE4u, 0x20u}, - {0xE6u, 0x01u}, - {0xE8u, 0x08u}, - {0xEAu, 0x20u}, - {0xEEu, 0x20u}, - {0x00u, 0x33u}, - {0x02u, 0xCCu}, - {0x09u, 0xFFu}, - {0x0Au, 0xFFu}, - {0x0Cu, 0xFFu}, - {0x0Du, 0x0Fu}, - {0x0Fu, 0xF0u}, - {0x13u, 0xFFu}, - {0x14u, 0x69u}, - {0x16u, 0x96u}, - {0x17u, 0xFFu}, - {0x1Au, 0xFFu}, - {0x1Bu, 0xFFu}, - {0x1Cu, 0x0Fu}, - {0x1Eu, 0xF0u}, - {0x21u, 0x55u}, - {0x23u, 0xAAu}, - {0x24u, 0xFFu}, - {0x25u, 0x33u}, - {0x27u, 0xCCu}, - {0x28u, 0x55u}, - {0x29u, 0x69u}, - {0x2Au, 0xAAu}, - {0x2Bu, 0x96u}, - {0x2Du, 0xFFu}, - {0x2Eu, 0xFFu}, - {0x36u, 0xFFu}, - {0x37u, 0xFFu}, - {0x3Au, 0x80u}, - {0x3Bu, 0x80u}, - {0x56u, 0x08u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Cu, 0x11u}, - {0x5Du, 0x90u}, - {0x5Fu, 0x01u}, - {0x80u, 0xFFu}, - {0x83u, 0x04u}, - {0x86u, 0xFFu}, - {0x87u, 0x7Fu}, - {0x8Cu, 0x96u}, - {0x8Du, 0x20u}, - {0x8Eu, 0x69u}, - {0x8Fu, 0x40u}, - {0x90u, 0x0Fu}, - {0x91u, 0x09u}, - {0x92u, 0xF0u}, - {0x93u, 0x72u}, - {0x96u, 0xFFu}, - {0x99u, 0x74u}, - {0x9Au, 0xFFu}, - {0x9Bu, 0x09u}, - {0x9Du, 0x20u}, - {0x9Fu, 0x40u}, - {0xA0u, 0x33u}, - {0xA1u, 0x08u}, - {0xA2u, 0xCCu}, - {0xA4u, 0x55u}, - {0xA6u, 0xAAu}, - {0xA7u, 0x01u}, - {0xA8u, 0xFFu}, - {0xA9u, 0x01u}, - {0xABu, 0x66u}, - {0xADu, 0x62u}, - {0xB1u, 0x60u}, - {0xB4u, 0xFFu}, - {0xB7u, 0x1Fu}, - {0xBAu, 0x20u}, - {0xBBu, 0x02u}, - {0xD4u, 0x01u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDCu, 0x11u}, - {0xDDu, 0x10u}, - {0xDFu, 0x01u}, - {0x01u, 0x80u}, - {0x02u, 0x40u}, - {0x03u, 0x08u}, - {0x04u, 0x02u}, - {0x05u, 0x40u}, - {0x07u, 0x20u}, - {0x08u, 0x08u}, - {0x0Au, 0x06u}, - {0x0Du, 0x09u}, - {0x0Eu, 0x04u}, - {0x10u, 0x10u}, - {0x11u, 0x80u}, - {0x12u, 0x04u}, - {0x15u, 0x10u}, - {0x16u, 0xA0u}, - {0x17u, 0x10u}, - {0x1Au, 0x02u}, - {0x1Fu, 0x10u}, - {0x21u, 0x40u}, - {0x24u, 0x80u}, - {0x25u, 0x40u}, - {0x27u, 0x41u}, - {0x28u, 0x20u}, - {0x29u, 0x80u}, - {0x2Bu, 0x44u}, - {0x2Cu, 0x20u}, - {0x2Du, 0x81u}, - {0x2Fu, 0x08u}, - {0x31u, 0x28u}, - {0x33u, 0x09u}, - {0x34u, 0x10u}, - {0x37u, 0x51u}, - {0x39u, 0x90u}, - {0x3Du, 0x02u}, - {0x3Eu, 0x04u}, - {0x3Fu, 0x80u}, - {0x58u, 0x82u}, - {0x59u, 0x28u}, - {0x5Fu, 0x80u}, - {0x60u, 0x20u}, - {0x61u, 0x40u}, - {0x62u, 0x08u}, - {0x63u, 0x88u}, - {0x80u, 0x80u}, - {0x8Bu, 0x80u}, - {0x90u, 0x02u}, - {0x91u, 0x01u}, - {0x92u, 0x04u}, - {0x94u, 0x80u}, - {0x98u, 0x08u}, - {0x99u, 0x80u}, - {0x9Au, 0xE4u}, - {0x9Bu, 0x10u}, - {0xA0u, 0x20u}, - {0xA1u, 0x29u}, - {0xA2u, 0x04u}, - {0xA5u, 0x40u}, - {0xA6u, 0x08u}, - {0xA7u, 0x04u}, - {0xABu, 0x20u}, - {0xAFu, 0x11u}, - {0xB0u, 0x80u}, - {0xB1u, 0x60u}, - {0xB2u, 0x10u}, - {0xB3u, 0x60u}, - {0xB4u, 0x20u}, - {0xC0u, 0xBBu}, - {0xC2u, 0x77u}, - {0xC4u, 0x77u}, - {0xCAu, 0xFFu}, - {0xCCu, 0xB7u}, - {0xCEu, 0xDCu}, - {0xD6u, 0x1Fu}, - {0xD8u, 0x0Fu}, - {0xE0u, 0x06u}, - {0xE2u, 0x20u}, - {0xE4u, 0x60u}, - {0xE6u, 0x01u}, - {0xEAu, 0x80u}, - {0xEEu, 0x30u}, - {0x01u, 0x10u}, - {0x03u, 0x20u}, - {0x04u, 0x20u}, - {0x05u, 0x10u}, - {0x06u, 0x10u}, - {0x07u, 0x20u}, - {0x08u, 0x04u}, - {0x09u, 0x02u}, - {0x0Au, 0x08u}, - {0x0Bu, 0x3Du}, - {0x0Cu, 0x20u}, - {0x0Du, 0x3Cu}, - {0x0Eu, 0x11u}, - {0x0Fu, 0x01u}, + {0x00u, 0x08u}, + {0x01u, 0x40u}, + {0x02u, 0x80u}, + {0x05u, 0x44u}, + {0x0Au, 0x22u}, + {0x0Bu, 0x80u}, + {0x0Eu, 0x08u}, + {0x0Fu, 0x20u}, + {0x10u, 0x06u}, {0x11u, 0x04u}, - {0x13u, 0x08u}, - {0x15u, 0x04u}, - {0x17u, 0x08u}, + {0x13u, 0x01u}, + {0x14u, 0x02u}, {0x18u, 0x10u}, {0x19u, 0x01u}, - {0x1Au, 0x20u}, - {0x1Bu, 0x02u}, - {0x20u, 0x02u}, - {0x21u, 0x02u}, - {0x23u, 0x3Du}, - {0x26u, 0x08u}, - {0x27u, 0x3Du}, - {0x2Au, 0x04u}, - {0x2Du, 0x3Cu}, - {0x2Fu, 0x01u}, - {0x30u, 0x0Cu}, - {0x32u, 0x01u}, - {0x33u, 0x03u}, - {0x34u, 0x30u}, - {0x35u, 0x30u}, - {0x36u, 0x02u}, - {0x37u, 0x0Cu}, - {0x3Au, 0x20u}, - {0x3Bu, 0xA8u}, - {0x3Eu, 0x01u}, - {0x56u, 0x08u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Cu, 0x19u}, - {0x5Du, 0x90u}, - {0x5Fu, 0x01u}, - {0x80u, 0x08u}, - {0x82u, 0x04u}, - {0x84u, 0x04u}, - {0x85u, 0x02u}, - {0x86u, 0x09u}, - {0x87u, 0x05u}, - {0x90u, 0x08u}, - {0x92u, 0x16u}, - {0x99u, 0x02u}, - {0x9Bu, 0x01u}, - {0x9Du, 0x01u}, - {0x9Fu, 0x02u}, - {0xACu, 0x01u}, - {0xAEu, 0x02u}, - {0xB0u, 0x10u}, - {0xB4u, 0x0Cu}, - {0xB5u, 0x04u}, - {0xB6u, 0x03u}, - {0xB7u, 0x03u}, - {0xBAu, 0x20u}, - {0xBBu, 0x80u}, - {0xBEu, 0x40u}, - {0xD6u, 0x08u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDCu, 0x99u}, - {0xDDu, 0x90u}, - {0xDFu, 0x01u}, - {0x01u, 0x02u}, - {0x03u, 0x20u}, - {0x05u, 0x54u}, - {0x08u, 0x02u}, - {0x0Eu, 0x04u}, - {0x10u, 0x02u}, - {0x15u, 0x12u}, - {0x17u, 0x04u}, - {0x18u, 0x02u}, - {0x19u, 0x82u}, - {0x1Bu, 0x60u}, - {0x1Cu, 0x80u}, - {0x1Du, 0x24u}, - {0x1Eu, 0x04u}, - {0x1Fu, 0x50u}, - {0x22u, 0xA0u}, - {0x27u, 0x29u}, - {0x2Du, 0x80u}, - {0x2Eu, 0x02u}, - {0x2Fu, 0x10u}, - {0x32u, 0xA0u}, - {0x36u, 0x08u}, - {0x37u, 0x21u}, - {0x38u, 0x04u}, - {0x3Cu, 0x20u}, - {0x3Eu, 0x41u}, - {0x3Fu, 0x08u}, - {0x45u, 0x40u}, - {0x46u, 0x02u}, - {0x5Au, 0xA8u}, - {0x5Du, 0x20u}, - {0x5Fu, 0x40u}, - {0x60u, 0x0Au}, - {0x62u, 0x04u}, - {0x66u, 0xA0u}, - {0x68u, 0x02u}, - {0x6Cu, 0x20u}, - {0x6Fu, 0x0Au}, - {0x79u, 0x10u}, - {0x7Au, 0x04u}, - {0x81u, 0x10u}, - {0x83u, 0x04u}, - {0x86u, 0x80u}, - {0x87u, 0x0Au}, - {0x88u, 0x40u}, - {0x89u, 0x20u}, - {0x8Au, 0x01u}, - {0x8Bu, 0x08u}, - {0x8Cu, 0x40u}, - {0x8Du, 0x01u}, - {0x8Eu, 0x10u}, - {0x90u, 0x04u}, - {0x91u, 0x03u}, - {0x92u, 0xC8u}, - {0x94u, 0x02u}, - {0x97u, 0xA0u}, - {0x99u, 0x40u}, - {0x9Au, 0x40u}, - {0x9Bu, 0x10u}, - {0x9Cu, 0x08u}, - {0x9Eu, 0xB4u}, - {0xA0u, 0x10u}, - {0xA1u, 0x08u}, - {0xA2u, 0x04u}, - {0xA4u, 0xA0u}, - {0xA7u, 0x40u}, - {0xAAu, 0x78u}, - {0xADu, 0x01u}, - {0xAFu, 0x04u}, - {0xB1u, 0x01u}, - {0xB2u, 0x04u}, - {0xB5u, 0x80u}, - {0xB6u, 0x50u}, - {0xC0u, 0xECu}, - {0xC2u, 0x48u}, - {0xC4u, 0x71u}, - {0xCAu, 0xD0u}, - {0xCCu, 0xECu}, - {0xCEu, 0xF2u}, - {0xD6u, 0x3Eu}, - {0xD8u, 0x3Eu}, - {0xE0u, 0x10u}, - {0xE2u, 0x02u}, - {0xE6u, 0x90u}, - {0xE8u, 0x20u}, - {0xECu, 0x80u}, - {0xEEu, 0x20u}, - {0x01u, 0x40u}, - {0x03u, 0x80u}, - {0x05u, 0xD3u}, - {0x07u, 0x20u}, - {0x09u, 0x08u}, - {0x0Bu, 0xC3u}, - {0x0Du, 0x01u}, - {0x0Fu, 0x02u}, - {0x15u, 0x14u}, - {0x17u, 0xE3u}, - {0x1Bu, 0x04u}, - {0x21u, 0xC3u}, - {0x23u, 0x20u}, - {0x25u, 0x01u}, - {0x26u, 0x01u}, - {0x27u, 0x02u}, - {0x29u, 0x04u}, - {0x2Bu, 0xE3u}, - {0x2Du, 0x40u}, - {0x2Fu, 0x80u}, - {0x33u, 0x3Cu}, - {0x34u, 0x01u}, - {0x35u, 0xC0u}, - {0x37u, 0x03u}, - {0x39u, 0x08u}, - {0x3Bu, 0xA0u}, - {0x56u, 0x08u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Cu, 0x19u}, - {0x5Du, 0x90u}, - {0x5Fu, 0x01u}, - {0x80u, 0xF0u}, - {0x83u, 0x09u}, - {0x84u, 0x04u}, - {0x86u, 0xF8u}, - {0x88u, 0xFAu}, - {0x89u, 0x40u}, - {0x8Au, 0x05u}, - {0x8Bu, 0x80u}, - {0x8Du, 0xE0u}, - {0x91u, 0x06u}, - {0x92u, 0x08u}, - {0x93u, 0xF8u}, - {0x94u, 0x40u}, - {0x95u, 0xC6u}, - {0x96u, 0x80u}, - {0x97u, 0x19u}, - {0x99u, 0x09u}, - {0x9Au, 0x07u}, - {0x9Bu, 0xF2u}, - {0x9Eu, 0xF0u}, - {0xA0u, 0x09u}, - {0xA2u, 0xF2u}, - {0xA3u, 0xFFu}, - {0xA4u, 0x40u}, - {0xA5u, 0x01u}, - {0xA6u, 0x80u}, - {0xA8u, 0x10u}, - {0xA9u, 0x14u}, - {0xAAu, 0x20u}, - {0xACu, 0x10u}, - {0xADu, 0x40u}, - {0xAEu, 0x20u}, - {0xAFu, 0x80u}, - {0xB2u, 0xC0u}, - {0xB3u, 0x3Fu}, - {0xB4u, 0x0Fu}, - {0xB5u, 0xC0u}, - {0xB6u, 0x30u}, - {0xBAu, 0x88u}, - {0xBBu, 0x20u}, - {0xD6u, 0x08u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDBu, 0x04u}, - {0xDCu, 0x11u}, - {0xDDu, 0x90u}, - {0xDFu, 0x01u}, - {0x04u, 0x02u}, - {0x06u, 0x18u}, - {0x0Cu, 0x81u}, - {0x0Eu, 0x18u}, - {0x13u, 0x04u}, - {0x14u, 0x08u}, - {0x15u, 0x40u}, - {0x16u, 0x20u}, - {0x17u, 0x01u}, - {0x1Au, 0x04u}, - {0x1Eu, 0x18u}, - {0x1Fu, 0x80u}, + {0x1Au, 0x40u}, + {0x1Bu, 0x50u}, + {0x1Du, 0x44u}, + {0x1Eu, 0x08u}, + {0x1Fu, 0x40u}, {0x20u, 0x20u}, - {0x21u, 0x48u}, - {0x23u, 0x2Au}, - {0x25u, 0x10u}, - {0x26u, 0x28u}, - {0x27u, 0x10u}, - {0x29u, 0x10u}, - {0x2Au, 0x54u}, - {0x2Bu, 0x40u}, - {0x2Cu, 0xA0u}, - {0x2Eu, 0x80u}, - {0x2Fu, 0x08u}, - {0x30u, 0x20u}, - {0x31u, 0x08u}, - {0x35u, 0x10u}, + {0x24u, 0x04u}, + {0x27u, 0x01u}, + {0x2Au, 0x42u}, + {0x2Bu, 0x04u}, + {0x2Fu, 0x88u}, + {0x30u, 0x02u}, + {0x32u, 0x58u}, {0x36u, 0x08u}, {0x37u, 0x01u}, - {0x39u, 0x80u}, - {0x3Au, 0x09u}, - {0x3Bu, 0x20u}, - {0x3Du, 0x21u}, - {0x3Fu, 0x80u}, - {0x42u, 0x08u}, - {0x43u, 0x10u}, - {0x59u, 0x80u}, - {0x5Au, 0x20u}, - {0x5Cu, 0x50u}, - {0x60u, 0x04u}, - {0x62u, 0x40u}, - {0x65u, 0x40u}, - {0x67u, 0x04u}, - {0x81u, 0x40u}, - {0x82u, 0x01u}, - {0x83u, 0x88u}, - {0x87u, 0x63u}, - {0x88u, 0x01u}, - {0x8Au, 0x04u}, - {0x8Bu, 0x08u}, - {0x8Cu, 0x50u}, - {0xC0u, 0x70u}, - {0xC2u, 0xF0u}, - {0xC4u, 0xF4u}, - {0xCAu, 0xFFu}, - {0xCCu, 0xE6u}, - {0xCEu, 0xBFu}, - {0xD6u, 0x3Cu}, - {0xD8u, 0x3Cu}, - {0xE0u, 0x70u}, - {0xE4u, 0x20u}, - {0x80u, 0x09u}, - {0x81u, 0x01u}, - {0x82u, 0x02u}, - {0x83u, 0x32u}, - {0x84u, 0x22u}, - {0x85u, 0x62u}, - {0x86u, 0x01u}, - {0x87u, 0x08u}, - {0x89u, 0x0Du}, - {0x8Du, 0x0Du}, - {0x90u, 0x3Eu}, - {0x94u, 0x01u}, - {0x95u, 0x02u}, - {0x96u, 0x14u}, - {0x97u, 0x54u}, - {0x9Bu, 0x10u}, - {0x9Du, 0x02u}, - {0x9Fu, 0x0Du}, - {0xA1u, 0x0Du}, - {0xA5u, 0x0Du}, - {0xAAu, 0x38u}, - {0xADu, 0x0Du}, - {0xB0u, 0x07u}, - {0xB3u, 0x70u}, - {0xB4u, 0x38u}, - {0xB7u, 0x0Fu}, - {0xB8u, 0x02u}, - {0xBBu, 0x80u}, - {0xBEu, 0x10u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDFu, 0x01u}, - {0x01u, 0xA0u}, - {0x02u, 0x40u}, - {0x04u, 0x05u}, - {0x08u, 0x80u}, - {0x09u, 0x01u}, - {0x0Du, 0x08u}, - {0x0Eu, 0x80u}, - {0x11u, 0x04u}, - {0x13u, 0x40u}, - {0x17u, 0x10u}, - {0x1Au, 0x04u}, - {0x1Bu, 0x05u}, - {0x1Eu, 0x80u}, - {0x1Fu, 0x20u}, - {0x21u, 0x02u}, - {0x26u, 0x80u}, - {0x27u, 0x20u}, - {0x29u, 0x40u}, - {0x2Au, 0x20u}, - {0x2Bu, 0x80u}, - {0x2Cu, 0x20u}, - {0x2Fu, 0x82u}, - {0x31u, 0x88u}, - {0x32u, 0x10u}, - {0x33u, 0x02u}, - {0x36u, 0x88u}, - {0x37u, 0x20u}, - {0x38u, 0x69u}, - {0x3Cu, 0x85u}, - {0x3Eu, 0x10u}, - {0x40u, 0x05u}, - {0x41u, 0x09u}, - {0x49u, 0x06u}, - {0x4Au, 0x04u}, - {0x52u, 0x25u}, - {0x53u, 0x40u}, - {0x83u, 0x20u}, - {0x86u, 0x24u}, - {0x87u, 0x40u}, - {0x8Bu, 0x20u}, - {0x8Eu, 0x04u}, - {0x90u, 0x6Du}, - {0x91u, 0x06u}, - {0x92u, 0x30u}, - {0x93u, 0x40u}, - {0x98u, 0x80u}, - {0x99u, 0xE0u}, - {0x9Au, 0x40u}, - {0x9Bu, 0x10u}, - {0x9Du, 0x06u}, - {0x9Eu, 0x05u}, - {0xA0u, 0x60u}, - {0xA1u, 0x89u}, - {0xA2u, 0x08u}, - {0xA3u, 0x82u}, - {0xA5u, 0x02u}, - {0xA6u, 0x20u}, - {0xA7u, 0x05u}, - {0xB3u, 0x01u}, - {0xC0u, 0x3Bu}, - {0xC2u, 0x39u}, - {0xC4u, 0x45u}, - {0xCAu, 0xDDu}, - {0xCCu, 0x7Fu}, - {0xCEu, 0xFFu}, - {0xD0u, 0x0Fu}, - {0xD2u, 0x04u}, - {0xE0u, 0x42u}, - {0xE2u, 0x80u}, - {0x00u, 0x0Fu}, - {0x01u, 0x11u}, - {0x02u, 0xF0u}, - {0x03u, 0x62u}, - {0x04u, 0x03u}, - {0x05u, 0x58u}, - {0x06u, 0x0Cu}, - {0x07u, 0x23u}, - {0x08u, 0x60u}, - {0x09u, 0x40u}, - {0x0Au, 0x90u}, - {0x0Bu, 0x30u}, - {0x0Cu, 0x05u}, - {0x0Eu, 0x0Au}, - {0x13u, 0x01u}, - {0x15u, 0x34u}, - {0x17u, 0x43u}, - {0x1Bu, 0x0Cu}, - {0x1Cu, 0x06u}, - {0x1Eu, 0x09u}, - {0x20u, 0x30u}, - {0x22u, 0xC0u}, - {0x23u, 0x82u}, - {0x24u, 0x50u}, - {0x26u, 0xA0u}, - {0x30u, 0xFFu}, - {0x33u, 0x0Fu}, - {0x35u, 0x70u}, - {0x37u, 0x80u}, - {0x3Bu, 0x20u}, - {0x3Eu, 0x01u}, - {0x56u, 0x02u}, - {0x57u, 0x28u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Fu, 0x01u}, - {0x80u, 0x10u}, - {0x81u, 0x16u}, - {0x85u, 0x10u}, - {0x87u, 0x06u}, - {0x88u, 0x47u}, - {0x89u, 0x12u}, - {0x8Au, 0x18u}, - {0x8Bu, 0x04u}, - {0x8Cu, 0x01u}, - {0x8Du, 0x22u}, - {0x8Fu, 0x10u}, - {0x90u, 0x04u}, + {0x38u, 0x40u}, + {0x39u, 0x25u}, + {0x3Cu, 0x84u}, + {0x3Fu, 0x02u}, + {0x5Du, 0x80u}, + {0x60u, 0x10u}, + {0x62u, 0x92u}, + {0x64u, 0x02u}, + {0x82u, 0x06u}, + {0x8Fu, 0x40u}, {0x91u, 0x40u}, - {0x94u, 0x62u}, - {0x95u, 0x29u}, - {0x96u, 0x08u}, - {0x97u, 0x16u}, - {0x99u, 0x31u}, - {0x9Bu, 0x0Eu}, - {0x9Cu, 0x01u}, - {0x9Du, 0x17u}, - {0x9Fu, 0x28u}, - {0xA0u, 0x01u}, - {0xA1u, 0x16u}, - {0xA4u, 0x01u}, - {0xA5u, 0x04u}, - {0xA8u, 0x48u}, - {0xA9u, 0x40u}, - {0xAAu, 0x21u}, - {0xACu, 0x01u}, - {0xADu, 0x06u}, - {0xAFu, 0x10u}, - {0xB0u, 0x08u}, - {0xB1u, 0x30u}, - {0xB2u, 0x3Fu}, - {0xB3u, 0x0Fu}, - {0xB4u, 0x40u}, - {0xB7u, 0x40u}, + {0x92u, 0x04u}, + {0x95u, 0x8Fu}, + {0x96u, 0x81u}, + {0x97u, 0x04u}, + {0x98u, 0x04u}, + {0x9Cu, 0x40u}, + {0x9Du, 0x19u}, + {0x9Eu, 0x40u}, + {0xA0u, 0x04u}, + {0xA3u, 0x0Cu}, + {0xA4u, 0x52u}, + {0xA6u, 0x08u}, + {0xA7u, 0x01u}, + {0xAAu, 0x80u}, + {0xB1u, 0x80u}, + {0xB4u, 0x08u}, + {0xB6u, 0x28u}, + {0xC0u, 0xADu}, + {0xC2u, 0x6Du}, + {0xC4u, 0x8Fu}, + {0xCAu, 0xADu}, + {0xCCu, 0xCFu}, + {0xCEu, 0xDFu}, + {0xD6u, 0x10u}, + {0xD8u, 0x1Fu}, + {0xE4u, 0x04u}, + {0xEEu, 0x04u}, {0xB8u, 0x08u}, - {0xB9u, 0x88u}, - {0xBBu, 0x02u}, - {0xBEu, 0x15u}, + {0xBEu, 0x04u}, {0xD8u, 0x04u}, - {0xD9u, 0x04u}, {0xDFu, 0x01u}, - {0x00u, 0x80u}, - {0x01u, 0x12u}, - {0x04u, 0x02u}, - {0x05u, 0x18u}, - {0x06u, 0x02u}, - {0x09u, 0x40u}, - {0x0Au, 0xA0u}, - {0x0Eu, 0x01u}, - {0x10u, 0x20u}, - {0x11u, 0x01u}, - {0x12u, 0x0Au}, - {0x16u, 0x90u}, - {0x1Au, 0x40u}, - {0x1Bu, 0x28u}, - {0x1Eu, 0x80u}, - {0x20u, 0x40u}, - {0x21u, 0x88u}, - {0x22u, 0x4Au}, - {0x25u, 0x10u}, - {0x27u, 0x12u}, - {0x28u, 0x40u}, - {0x2Au, 0x04u}, - {0x2Bu, 0x0Au}, - {0x2Du, 0x02u}, - {0x31u, 0x0Au}, - {0x32u, 0x90u}, - {0x36u, 0x08u}, - {0x37u, 0x12u}, - {0x38u, 0x68u}, - {0x39u, 0x01u}, - {0x3Cu, 0x05u}, - {0x3Du, 0x20u}, - {0x45u, 0x10u}, - {0x46u, 0x08u}, - {0x4Cu, 0x01u}, - {0x4Fu, 0x40u}, - {0x58u, 0x40u}, - {0x59u, 0x40u}, - {0x66u, 0x08u}, - {0x6Cu, 0x34u}, - {0x6Du, 0x81u}, - {0x6Eu, 0x10u}, - {0x6Fu, 0x82u}, - {0x74u, 0x40u}, - {0x75u, 0x40u}, - {0x8Du, 0x08u}, - {0x90u, 0x07u}, - {0x91u, 0x16u}, - {0x92u, 0x21u}, - {0x94u, 0x68u}, - {0x96u, 0x40u}, - {0x98u, 0x80u}, - {0x99u, 0xB0u}, - {0x9Au, 0xD2u}, - {0x9Du, 0x44u}, - {0x9Eu, 0x05u}, - {0xA1u, 0x01u}, - {0xA2u, 0x80u}, - {0xA6u, 0x68u}, - {0xA7u, 0xA6u}, - {0xB0u, 0x40u}, - {0xB3u, 0x20u}, - {0xC0u, 0xFBu}, - {0xC2u, 0x8Du}, - {0xC4u, 0x3Fu}, - {0xCAu, 0x8Fu}, - {0xCCu, 0xEFu}, - {0xCEu, 0xEFu}, - {0xD8u, 0x40u}, - {0xE2u, 0x08u}, - {0xEAu, 0x02u}, - {0xEEu, 0x08u}, - {0x38u, 0x80u}, - {0x3Eu, 0x40u}, - {0x58u, 0x04u}, - {0x5Fu, 0x01u}, - {0x1Fu, 0x40u}, - {0x87u, 0x40u}, - {0x8Eu, 0x04u}, - {0x9Du, 0x08u}, - {0xA2u, 0x04u}, - {0xE2u, 0x40u}, - {0xEAu, 0x02u}, - {0xEEu, 0x08u}, - {0x8Du, 0x08u}, - {0x9Du, 0x08u}, - {0xE0u, 0x02u}, - {0xE8u, 0x80u}, - {0x04u, 0x10u}, - {0x0Eu, 0x08u}, - {0x12u, 0x08u}, + {0x1Bu, 0x08u}, + {0x80u, 0x20u}, + {0x95u, 0x10u}, + {0x9Cu, 0x20u}, + {0xA2u, 0x01u}, + {0xA8u, 0x81u}, + {0xB0u, 0x01u}, + {0xB1u, 0x08u}, + {0xB2u, 0x40u}, + {0xB4u, 0x80u}, + {0xB6u, 0x02u}, + {0xB7u, 0x40u}, + {0xE4u, 0x40u}, + {0xEAu, 0x10u}, + {0xECu, 0xD0u}, + {0xEEu, 0x01u}, + {0x82u, 0x01u}, + {0x85u, 0x10u}, + {0x95u, 0x10u}, + {0xA2u, 0x01u}, + {0xAFu, 0x08u}, + {0xE2u, 0x80u}, + {0xE4u, 0x01u}, + {0xE6u, 0x08u}, + {0xEAu, 0x04u}, + {0x05u, 0x80u}, + {0x0Cu, 0x80u}, + {0x13u, 0x20u}, {0x16u, 0x80u}, {0x17u, 0x80u}, {0x32u, 0x02u}, + {0x35u, 0x01u}, {0x36u, 0x80u}, - {0x37u, 0x08u}, - {0x39u, 0x04u}, + {0x39u, 0x01u}, {0x3Au, 0x80u}, - {0x3Cu, 0x08u}, - {0x3Fu, 0x10u}, - {0x42u, 0x08u}, + {0x3Eu, 0x40u}, + {0x3Fu, 0x01u}, + {0x41u, 0x40u}, {0x66u, 0x40u}, - {0x87u, 0x08u}, - {0x89u, 0x04u}, - {0x8Cu, 0x10u}, - {0x8Eu, 0x06u}, + {0x77u, 0x60u}, + {0x88u, 0x80u}, + {0x8Eu, 0x40u}, {0xC0u, 0x80u}, {0xC2u, 0x80u}, {0xC4u, 0xE0u}, @@ -1809,161 +1945,168 @@ void cyfitter_cfg(void) {0xCEu, 0xF0u}, {0xD0u, 0x10u}, {0xD6u, 0x80u}, - {0xE2u, 0x10u}, - {0x33u, 0x11u}, - {0x36u, 0x02u}, - {0x37u, 0x80u}, - {0x39u, 0x80u}, - {0x50u, 0x04u}, - {0x54u, 0x20u}, - {0x63u, 0x40u}, - {0x86u, 0x42u}, - {0x87u, 0x40u}, - {0x9Bu, 0x90u}, - {0x9Eu, 0x48u}, - {0xA4u, 0x04u}, - {0xA6u, 0x80u}, - {0xAAu, 0x08u}, - {0xABu, 0x10u}, - {0xAFu, 0x10u}, + {0x33u, 0x42u}, + {0x37u, 0x84u}, + {0x38u, 0x10u}, + {0x56u, 0x20u}, + {0x58u, 0x10u}, + {0x66u, 0x80u}, + {0x86u, 0x40u}, + {0x8Au, 0x80u}, + {0x8Eu, 0x20u}, + {0x95u, 0x80u}, + {0x97u, 0x01u}, + {0x99u, 0x80u}, + {0x9Bu, 0xC0u}, + {0x9Eu, 0x40u}, + {0xA6u, 0x82u}, + {0xA9u, 0x01u}, + {0xADu, 0x01u}, {0xCCu, 0xF0u}, {0xCEu, 0x10u}, - {0xD4u, 0x60u}, - {0xD8u, 0x40u}, - {0xE2u, 0x50u}, - {0xE6u, 0x40u}, - {0xEAu, 0x10u}, + {0xD4u, 0xC0u}, + {0xD6u, 0x80u}, + {0xE2u, 0x10u}, + {0xEAu, 0x30u}, {0x12u, 0x80u}, - {0x33u, 0x80u}, - {0x86u, 0x08u}, + {0x32u, 0x10u}, + {0x82u, 0x10u}, + {0x94u, 0x10u}, {0x95u, 0x80u}, - {0x9Eu, 0x08u}, - {0x9Fu, 0x01u}, - {0xA4u, 0x04u}, + {0x97u, 0x01u}, + {0x9Fu, 0x04u}, {0xA6u, 0x80u}, - {0xA8u, 0x04u}, - {0xB4u, 0x20u}, + {0xA7u, 0x02u}, + {0xA9u, 0x80u}, + {0xB0u, 0x10u}, + {0xB6u, 0x02u}, {0xC4u, 0x10u}, {0xCCu, 0x10u}, - {0xE6u, 0x40u}, - {0xEEu, 0x80u}, + {0xE6u, 0x20u}, + {0xE8u, 0x20u}, + {0x80u, 0x10u}, {0x81u, 0x40u}, - {0x88u, 0x04u}, + {0x87u, 0x02u}, + {0x94u, 0x10u}, {0x95u, 0x80u}, - {0x9Fu, 0x01u}, - {0xA4u, 0x04u}, - {0xA7u, 0x80u}, - {0xE2u, 0x80u}, + {0x97u, 0x01u}, + {0xA7u, 0x02u}, + {0xABu, 0x04u}, + {0xE2u, 0x90u}, + {0xE6u, 0x80u}, + {0xEAu, 0x80u}, {0x00u, 0x10u}, - {0x04u, 0x40u}, - {0x09u, 0x20u}, - {0x0Eu, 0x02u}, - {0x12u, 0x80u}, - {0x14u, 0x20u}, - {0x62u, 0x08u}, - {0x65u, 0x01u}, - {0x88u, 0x20u}, + {0x05u, 0x80u}, + {0x08u, 0x80u}, + {0x0Fu, 0x08u}, + {0x13u, 0x02u}, + {0x15u, 0x08u}, + {0x63u, 0x80u}, + {0x65u, 0x20u}, + {0x8Bu, 0x80u}, {0xC0u, 0x03u}, {0xC2u, 0x03u}, {0xC4u, 0x0Cu}, {0xD8u, 0x03u}, {0xE2u, 0x01u}, - {0x00u, 0x08u}, + {0x03u, 0x80u}, {0x07u, 0x40u}, - {0x08u, 0x02u}, - {0x0Du, 0x01u}, - {0x56u, 0x20u}, - {0x5Au, 0x08u}, - {0x5Fu, 0x80u}, - {0x62u, 0x10u}, - {0x84u, 0x08u}, - {0x88u, 0x02u}, - {0x8Bu, 0x80u}, - {0x8Eu, 0x80u}, - {0x9Du, 0x01u}, - {0xA2u, 0x81u}, - {0xB2u, 0x08u}, - {0xB4u, 0x50u}, - {0xB5u, 0x20u}, + {0x0Bu, 0x80u}, + {0x0Cu, 0x02u}, + {0x57u, 0x08u}, + {0x58u, 0x10u}, + {0x64u, 0x20u}, + {0x66u, 0x80u}, + {0x83u, 0x40u}, + {0x87u, 0x02u}, + {0x90u, 0x10u}, + {0x91u, 0x08u}, + {0x98u, 0x80u}, + {0x99u, 0x80u}, + {0x9Au, 0x80u}, + {0x9Bu, 0x02u}, + {0xA7u, 0xC0u}, + {0xABu, 0x04u}, + {0xADu, 0x20u}, + {0xAEu, 0x80u}, {0xC0u, 0x0Cu}, {0xC2u, 0x0Cu}, - {0xD4u, 0x02u}, - {0xD6u, 0x06u}, - {0xD8u, 0x02u}, - {0xE2u, 0x06u}, - {0xE4u, 0x04u}, - {0xEAu, 0x0Au}, - {0xECu, 0x01u}, - {0xEEu, 0x02u}, - {0x53u, 0x40u}, - {0x81u, 0x01u}, - {0x83u, 0x40u}, - {0x86u, 0x10u}, - {0x88u, 0x04u}, - {0x8Eu, 0x20u}, - {0x96u, 0x08u}, - {0x9Bu, 0x40u}, - {0x9Du, 0x01u}, - {0x9Eu, 0x30u}, - {0xA0u, 0x04u}, - {0xA2u, 0x01u}, - {0xA4u, 0x08u}, - {0xB1u, 0x01u}, - {0xD4u, 0x04u}, - {0xE2u, 0x04u}, - {0xE4u, 0x02u}, - {0xEAu, 0x04u}, - {0x09u, 0x08u}, - {0x0Bu, 0x01u}, - {0x0Cu, 0x08u}, - {0x0Fu, 0x02u}, - {0x81u, 0x20u}, - {0x83u, 0x01u}, + {0xD4u, 0x03u}, + {0xD6u, 0x01u}, + {0xD8u, 0x01u}, + {0x53u, 0x80u}, + {0x82u, 0x04u}, + {0x84u, 0x10u}, + {0x88u, 0x80u}, {0x8Bu, 0x80u}, - {0x96u, 0x08u}, - {0xA4u, 0x08u}, - {0xAFu, 0x40u}, - {0xB6u, 0x01u}, - {0xC2u, 0x0Fu}, - {0xE4u, 0x04u}, - {0xEAu, 0x08u}, - {0x67u, 0x80u}, + {0x91u, 0x08u}, + {0x98u, 0x80u}, + {0x99u, 0x80u}, + {0x9Bu, 0x40u}, + {0x9Cu, 0x30u}, + {0xA7u, 0x08u}, + {0xA8u, 0x10u}, + {0xB3u, 0x40u}, + {0xB4u, 0x02u}, + {0xD4u, 0x04u}, + {0xE0u, 0x01u}, + {0xE2u, 0x04u}, + {0xEEu, 0x03u}, + {0x08u, 0x80u}, + {0x0Bu, 0x80u}, + {0x0Du, 0x02u}, + {0x0Eu, 0x08u}, + {0x80u, 0x80u}, + {0x84u, 0x20u}, {0x87u, 0x40u}, - {0xAFu, 0x81u}, + {0x8Fu, 0x08u}, + {0x99u, 0x80u}, + {0x9Bu, 0x40u}, + {0x9Cu, 0x20u}, + {0xA5u, 0x02u}, + {0xA6u, 0x04u}, + {0xA7u, 0x08u}, + {0xA9u, 0x02u}, + {0xADu, 0x04u}, + {0xC2u, 0x0Fu}, + {0xE0u, 0x08u}, + {0x67u, 0x40u}, + {0x83u, 0x01u}, + {0x97u, 0x01u}, {0xD8u, 0x80u}, - {0xE2u, 0x10u}, - {0xEAu, 0x40u}, - {0xEEu, 0x10u}, - {0x04u, 0x02u}, - {0x52u, 0x02u}, - {0x53u, 0x04u}, - {0x82u, 0x02u}, - {0x8Bu, 0x04u}, - {0x8Cu, 0x01u}, - {0xC0u, 0x20u}, - {0xD4u, 0xA0u}, {0xE2u, 0x80u}, - {0x96u, 0x08u}, - {0x9Bu, 0x80u}, - {0x9Du, 0x20u}, - {0xADu, 0x08u}, - {0xAFu, 0x01u}, - {0x01u, 0x20u}, - {0x04u, 0x40u}, - {0x5Bu, 0x80u}, - {0x5Fu, 0x20u}, + {0x05u, 0x04u}, + {0x52u, 0x02u}, + {0x57u, 0x20u}, + {0x81u, 0x04u}, + {0x86u, 0x02u}, {0x87u, 0x20u}, - {0x8Cu, 0x40u}, - {0x9Bu, 0x80u}, - {0x9Du, 0x20u}, - {0xB2u, 0x04u}, + {0xAFu, 0x40u}, + {0xC0u, 0x20u}, + {0xD4u, 0xC0u}, + {0xE2u, 0x40u}, + {0xE4u, 0x80u}, + {0xE6u, 0x10u}, + {0xEEu, 0x40u}, + {0x8Cu, 0x02u}, + {0x99u, 0x80u}, + {0xABu, 0x40u}, + {0xE4u, 0x02u}, + {0x01u, 0x10u}, + {0x06u, 0x02u}, + {0x50u, 0x06u}, + {0x80u, 0x04u}, + {0x86u, 0x02u}, + {0x89u, 0x10u}, + {0xA0u, 0x02u}, + {0xA9u, 0x80u}, {0xC0u, 0x03u}, - {0xD4u, 0x01u}, - {0xD6u, 0x04u}, - {0xE2u, 0x02u}, - {0xE8u, 0x01u}, - {0x10u, 0x01u}, - {0x1Au, 0x01u}, + {0xD4u, 0x05u}, + {0xE2u, 0x01u}, + {0x10u, 0x03u}, + {0x11u, 0x01u}, + {0x1Au, 0x03u}, + {0x1Bu, 0x01u}, {0x00u, 0xFDu}, {0x01u, 0xBFu}, {0x02u, 0x2Au}, @@ -1997,18 +2140,18 @@ void cyfitter_cfg(void) /* UDB_1_0_0_CONFIG Address: CYDEV_UCFG_B1_P2_U0_BASE Size (bytes): 128 */ static const uint8 CYCODE BS_UDB_1_0_0_CONFIG_VAL[] = { - 0x02u, 0x1Fu, 0x01u, 0x20u, 0x00u, 0xC0u, 0x00u, 0x08u, 0x00u, 0xC0u, 0x10u, 0x04u, 0x04u, 0x80u, 0x88u, 0x00u, - 0x08u, 0x90u, 0x04u, 0x40u, 0x00u, 0x00u, 0x00u, 0xFFu, 0x00u, 0x7Fu, 0x00u, 0x80u, 0x01u, 0x00u, 0x42u, 0x60u, - 0x00u, 0xC0u, 0x00u, 0x02u, 0x00u, 0x00u, 0x20u, 0x00u, 0x00u, 0x00u, 0x00u, 0x9Fu, 0x53u, 0xC0u, 0xACu, 0x01u, - 0xC0u, 0xFFu, 0x0Fu, 0x00u, 0x30u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x15u, 0x01u, - 0x32u, 0x01u, 0x40u, 0x00u, 0x06u, 0xBEu, 0xFBu, 0xDCu, 0x3Fu, 0xFFu, 0xFFu, 0xFFu, 0x22u, 0x00u, 0xF0u, 0x08u, - 0x04u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x04u, 0x04u, 0x04u, 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, + 0xD6u, 0x20u, 0x00u, 0x40u, 0xD2u, 0x92u, 0x04u, 0x01u, 0x21u, 0x01u, 0x8Eu, 0xB0u, 0x17u, 0xC5u, 0x28u, 0x0Au, + 0x00u, 0xB0u, 0x00u, 0x01u, 0x29u, 0x03u, 0x46u, 0xBCu, 0x20u, 0x91u, 0xD0u, 0x20u, 0xD6u, 0xB1u, 0x00u, 0x00u, + 0xD6u, 0xB1u, 0x00u, 0x00u, 0x02u, 0x00u, 0x00u, 0x00u, 0xD0u, 0x00u, 0x06u, 0x00u, 0x04u, 0x46u, 0x00u, 0x39u, + 0x0Fu, 0x3Cu, 0x0Fu, 0xC4u, 0xF0u, 0x03u, 0x00u, 0x00u, 0x0Au, 0x00u, 0x20u, 0x2Cu, 0x00u, 0x00u, 0x00u, 0x00u, + 0x36u, 0x05u, 0x40u, 0x00u, 0x02u, 0xDEu, 0xFBu, 0xC0u, 0x2Fu, 0xFFu, 0xFFu, 0xFFu, 0x22u, 0x00u, 0xF0u, 0x08u, + 0x04u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x02u, 0x24u, 0x04u, 0x04u, 0x04u, 0x04u, 0x00u, 0x00u, 0x00u, 0x01u, 0x00u, 0x00u, 0xC0u, 0x00u, 0x40u, 0x01u, 0x10u, 0x11u, 0xC0u, 0x01u, 0x00u, 0x11u, 0x40u, 0x01u, 0x40u, 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; /* UCFG_BCTL0 Address: CYREG_BCTL0_MDCLK_EN Size (bytes): 16 */ static const uint8 CYCODE BS_UCFG_BCTL0_VAL[] = { - 0x03u, 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x02u, 0x01u, 0x03u, 0x01u, 0x02u, 0x01u, 0x02u, 0x01u}; + 0x03u, 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x03u, 0x01u, 0x03u, 0x00u, 0x02u, 0x01u, 0x02u, 0x01u}; static const cfg_memcpy_t CYCODE cfg_memcpy_list [] = { /* dest, src, size */ diff --git a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc index 0b9318f..01ee8a3 100755 --- a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc +++ b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc @@ -426,34 +426,34 @@ .set EXTLED__SLW, CYREG_PRT0_SLW /* SDCard_BSPIM */ -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG, CYREG_B1_UDB06_07_ACTL -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG, CYREG_B1_UDB06_07_CTL -.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG, CYREG_B1_UDB06_07_CTL -.set SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG, CYREG_B1_UDB06_07_CTL -.set SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG, CYREG_B1_UDB06_07_CTL -.set SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG, CYREG_B1_UDB06_07_MSK -.set SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG, CYREG_B1_UDB06_07_MSK -.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG, CYREG_B1_UDB06_07_MSK -.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG, CYREG_B1_UDB06_07_MSK -.set SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG, CYREG_B1_UDB06_ACTL -.set SDCard_BSPIM_BitCounter__CONTROL_REG, CYREG_B1_UDB06_CTL -.set SDCard_BSPIM_BitCounter__CONTROL_ST_REG, CYREG_B1_UDB06_ST_CTL -.set SDCard_BSPIM_BitCounter__COUNT_REG, CYREG_B1_UDB06_CTL -.set SDCard_BSPIM_BitCounter__COUNT_ST_REG, CYREG_B1_UDB06_ST_CTL -.set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B1_UDB06_MSK_ACTL -.set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B1_UDB06_MSK_ACTL -.set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B1_UDB06_MSK -.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB06_07_ACTL -.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG, CYREG_B1_UDB06_07_ST -.set SDCard_BSPIM_BitCounter_ST__MASK_REG, CYREG_B1_UDB06_MSK -.set SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG, CYREG_B1_UDB06_MSK_ACTL -.set SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG, CYREG_B1_UDB06_MSK_ACTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG, CYREG_B1_UDB06_ACTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG, CYREG_B1_UDB06_ST_CTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG, CYREG_B1_UDB06_ST_CTL -.set SDCard_BSPIM_BitCounter_ST__STATUS_REG, CYREG_B1_UDB06_ST -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB07_08_ACTL -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB07_08_ST +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG, CYREG_B1_UDB04_05_ACTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG, CYREG_B1_UDB04_05_CTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG, CYREG_B1_UDB04_05_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG, CYREG_B1_UDB04_05_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG, CYREG_B1_UDB04_05_CTL +.set SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG, CYREG_B1_UDB04_05_MSK +.set SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG, CYREG_B1_UDB04_05_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG, CYREG_B1_UDB04_05_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG, CYREG_B1_UDB04_05_MSK +.set SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG, CYREG_B1_UDB04_ACTL +.set SDCard_BSPIM_BitCounter__CONTROL_REG, CYREG_B1_UDB04_CTL +.set SDCard_BSPIM_BitCounter__CONTROL_ST_REG, CYREG_B1_UDB04_ST_CTL +.set SDCard_BSPIM_BitCounter__COUNT_REG, CYREG_B1_UDB04_CTL +.set SDCard_BSPIM_BitCounter__COUNT_ST_REG, CYREG_B1_UDB04_ST_CTL +.set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B1_UDB04_MSK_ACTL +.set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B1_UDB04_MSK_ACTL +.set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B1_UDB04_MSK +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB04_05_ACTL +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG, CYREG_B1_UDB04_05_ST +.set SDCard_BSPIM_BitCounter_ST__MASK_REG, CYREG_B1_UDB04_MSK +.set SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG, CYREG_B1_UDB04_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG, CYREG_B1_UDB04_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG, CYREG_B1_UDB04_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG, CYREG_B1_UDB04_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG, CYREG_B1_UDB04_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_REG, CYREG_B1_UDB04_ST +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB07_08_ST .set SDCard_BSPIM_RxStsReg__4__MASK, 0x10 .set SDCard_BSPIM_RxStsReg__4__POS, 4 .set SDCard_BSPIM_RxStsReg__5__MASK, 0x20 @@ -461,9 +461,9 @@ .set SDCard_BSPIM_RxStsReg__6__MASK, 0x40 .set SDCard_BSPIM_RxStsReg__6__POS, 6 .set SDCard_BSPIM_RxStsReg__MASK, 0x70 -.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B0_UDB07_MSK -.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB07_ACTL -.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B0_UDB07_ST +.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B1_UDB07_MSK +.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB07_ACTL +.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B1_UDB07_ST .set SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG, CYREG_B1_UDB04_05_A0 .set SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG, CYREG_B1_UDB04_05_A1 .set SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG, CYREG_B1_UDB04_05_D0 @@ -481,12 +481,14 @@ .set SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG, CYREG_B1_UDB04_F0_F1 .set SDCard_BSPIM_sR8_Dp_u0__F0_REG, CYREG_B1_UDB04_F0 .set SDCard_BSPIM_sR8_Dp_u0__F1_REG, CYREG_B1_UDB04_F1 +.set SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG, CYREG_B1_UDB04_MSK_ACTL +.set SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG, CYREG_B1_UDB04_MSK_ACTL .set SDCard_BSPIM_TxStsReg__0__MASK, 0x01 .set SDCard_BSPIM_TxStsReg__0__POS, 0 .set SDCard_BSPIM_TxStsReg__1__MASK, 0x02 .set SDCard_BSPIM_TxStsReg__1__POS, 1 -.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL -.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB04_05_ST +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB07_08_ACTL +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB07_08_ST .set SDCard_BSPIM_TxStsReg__2__MASK, 0x04 .set SDCard_BSPIM_TxStsReg__2__POS, 2 .set SDCard_BSPIM_TxStsReg__3__MASK, 0x08 @@ -494,9 +496,9 @@ .set SDCard_BSPIM_TxStsReg__4__MASK, 0x10 .set SDCard_BSPIM_TxStsReg__4__POS, 4 .set SDCard_BSPIM_TxStsReg__MASK, 0x1F -.set SDCard_BSPIM_TxStsReg__MASK_REG, CYREG_B0_UDB04_MSK -.set SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB04_ACTL -.set SDCard_BSPIM_TxStsReg__STATUS_REG, CYREG_B0_UDB04_ST +.set SDCard_BSPIM_TxStsReg__MASK_REG, CYREG_B0_UDB07_MSK +.set SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB07_ACTL +.set SDCard_BSPIM_TxStsReg__STATUS_REG, CYREG_B0_UDB07_ST /* SD_SCK */ .set SD_SCK__0__INTTYPE, CYREG_PICU3_INTTYPE2 @@ -1906,15 +1908,15 @@ .set SCSI_Out_Bits_Sync_ctrl_reg__0__POS, 0 .set SCSI_Out_Bits_Sync_ctrl_reg__1__MASK, 0x02 .set SCSI_Out_Bits_Sync_ctrl_reg__1__POS, 1 -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB02_03_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB02_03_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB02_03_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB02_03_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB02_03_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB02_03_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB02_03_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB02_03_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB02_03_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB03_04_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB03_04_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB03_04_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB03_04_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB03_04_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB03_04_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB03_04_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB03_04_MSK .set SCSI_Out_Bits_Sync_ctrl_reg__2__MASK, 0x04 .set SCSI_Out_Bits_Sync_ctrl_reg__2__POS, 2 .set SCSI_Out_Bits_Sync_ctrl_reg__3__MASK, 0x08 @@ -1927,37 +1929,37 @@ .set SCSI_Out_Bits_Sync_ctrl_reg__6__POS, 6 .set SCSI_Out_Bits_Sync_ctrl_reg__7__MASK, 0x80 .set SCSI_Out_Bits_Sync_ctrl_reg__7__POS, 7 -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB02_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB02_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB02_ST_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB02_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB02_ST_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB03_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB03_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB03_ST_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB03_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB03_ST_CTL .set SCSI_Out_Bits_Sync_ctrl_reg__MASK, 0xFF -.set SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB02_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB03_MSK /* SCSI_Out_Ctl */ .set SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_Out_Ctl_Sync_ctrl_reg__0__POS, 0 -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB01_02_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB01_02_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB01_02_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB01_02_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB01_02_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB01_02_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB01_02_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB01_02_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB01_02_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB01_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB01_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB01_ST_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB01_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB01_ST_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB04_05_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB04_05_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB04_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB04_ST_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB04_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB04_ST_CTL .set SCSI_Out_Ctl_Sync_ctrl_reg__MASK, 0x01 -.set SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB01_MSK_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB01_MSK_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB01_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB04_MSK /* SCSI_Out_DBx */ .set SCSI_Out_DBx__0__AG, CYREG_PRT5_AG @@ -2785,8 +2787,8 @@ .set scsiTarget_StatusReg__0__POS, 0 .set scsiTarget_StatusReg__1__MASK, 0x02 .set scsiTarget_StatusReg__1__POS, 1 -.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL -.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB03_04_ST +.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL +.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB04_05_ST .set scsiTarget_StatusReg__2__MASK, 0x04 .set scsiTarget_StatusReg__2__POS, 2 .set scsiTarget_StatusReg__3__MASK, 0x08 @@ -2794,9 +2796,13 @@ .set scsiTarget_StatusReg__4__MASK, 0x10 .set scsiTarget_StatusReg__4__POS, 4 .set scsiTarget_StatusReg__MASK, 0x1F -.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB03_MSK -.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB03_ACTL -.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB03_ST +.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB04_MSK +.set scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_StatusReg__PER_ST_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB04_ACTL +.set scsiTarget_StatusReg__STATUS_CNT_REG, CYREG_B0_UDB04_ST_CTL +.set scsiTarget_StatusReg__STATUS_CONTROL_REG, CYREG_B0_UDB04_ST_CTL +.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB04_ST /* Debug_Timer_Interrupt */ .set Debug_Timer_Interrupt__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 @@ -2917,8 +2923,8 @@ .set SCSI_Filtered_sts_sts_reg__0__POS, 0 .set SCSI_Filtered_sts_sts_reg__1__MASK, 0x02 .set SCSI_Filtered_sts_sts_reg__1__POS, 1 -.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB02_03_ACTL -.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB02_03_ST +.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB08_09_ACTL +.set SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB08_09_ST .set SCSI_Filtered_sts_sts_reg__2__MASK, 0x04 .set SCSI_Filtered_sts_sts_reg__2__POS, 2 .set SCSI_Filtered_sts_sts_reg__3__MASK, 0x08 @@ -2926,13 +2932,9 @@ .set SCSI_Filtered_sts_sts_reg__4__MASK, 0x10 .set SCSI_Filtered_sts_sts_reg__4__POS, 4 .set SCSI_Filtered_sts_sts_reg__MASK, 0x1F -.set SCSI_Filtered_sts_sts_reg__MASK_REG, CYREG_B0_UDB02_MSK -.set SCSI_Filtered_sts_sts_reg__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SCSI_Filtered_sts_sts_reg__PER_ST_AUX_CTL_REG, CYREG_B0_UDB02_MSK_ACTL -.set SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB02_ACTL -.set SCSI_Filtered_sts_sts_reg__STATUS_CNT_REG, CYREG_B0_UDB02_ST_CTL -.set SCSI_Filtered_sts_sts_reg__STATUS_CONTROL_REG, CYREG_B0_UDB02_ST_CTL -.set SCSI_Filtered_sts_sts_reg__STATUS_REG, CYREG_B0_UDB02_ST +.set SCSI_Filtered_sts_sts_reg__MASK_REG, CYREG_B0_UDB08_MSK +.set SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB08_ACTL +.set SCSI_Filtered_sts_sts_reg__STATUS_REG, CYREG_B0_UDB08_ST /* SCSI_CTL_PHASE */ .set SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK, 0x01 @@ -2985,12 +2987,12 @@ /* SCSI_Parity_Error */ .set SCSI_Parity_Error_sts_sts_reg__0__MASK, 0x01 .set SCSI_Parity_Error_sts_sts_reg__0__POS, 0 -.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB11_12_ACTL -.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB11_12_ST +.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB05_06_ACTL +.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB05_06_ST .set SCSI_Parity_Error_sts_sts_reg__MASK, 0x01 -.set SCSI_Parity_Error_sts_sts_reg__MASK_REG, CYREG_B0_UDB11_MSK -.set SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB11_ACTL -.set SCSI_Parity_Error_sts_sts_reg__STATUS_REG, CYREG_B0_UDB11_ST +.set SCSI_Parity_Error_sts_sts_reg__MASK_REG, CYREG_B0_UDB05_MSK +.set SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB05_ACTL +.set SCSI_Parity_Error_sts_sts_reg__STATUS_REG, CYREG_B0_UDB05_ST /* Miscellaneous */ .set BCLK__BUS_CLK__HZ, 50000000 diff --git a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc index 789a9f8..c02aeab 100755 --- a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc +++ b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc @@ -426,34 +426,34 @@ EXTLED__SHIFT EQU 0 EXTLED__SLW EQU CYREG_PRT0_SLW /* SDCard_BSPIM */ -SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL -SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B1_UDB06_CTL -SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B1_UDB06_CTL -SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B1_UDB06_MSK -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B1_UDB06_07_ST -SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B1_UDB06_MSK -SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B1_UDB06_ST -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST +SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB04_05_ACTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL +SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B1_UDB04_CTL +SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B1_UDB04_CTL +SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B1_UDB04_MSK +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_05_ACTL +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B1_UDB04_05_ST +SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B1_UDB04_MSK +SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B1_UDB04_ST +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB07_08_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -461,9 +461,9 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B0_UDB07_MSK -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B0_UDB07_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB07_ST SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B1_UDB04_05_A0 SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B1_UDB04_05_A1 SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B1_UDB04_05_D0 @@ -481,12 +481,14 @@ SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B1_UDB04_F0_F1 SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B1_UDB04_F0 SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B1_UDB04_F1 +SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 SDCard_BSPIM_TxStsReg__1__MASK EQU 0x02 SDCard_BSPIM_TxStsReg__1__POS EQU 1 -SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST +SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL +SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST SDCard_BSPIM_TxStsReg__2__MASK EQU 0x04 SDCard_BSPIM_TxStsReg__2__POS EQU 2 SDCard_BSPIM_TxStsReg__3__MASK EQU 0x08 @@ -494,9 +496,9 @@ SDCard_BSPIM_TxStsReg__3__POS EQU 3 SDCard_BSPIM_TxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_TxStsReg__4__POS EQU 4 SDCard_BSPIM_TxStsReg__MASK EQU 0x1F -SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB04_MSK -SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB04_ST +SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB07_MSK +SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL +SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB07_ST /* SD_SCK */ SD_SCK__0__INTTYPE EQU CYREG_PICU3_INTTYPE2 @@ -1906,15 +1908,15 @@ SCSI_Out_Bits_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Bits_Sync_ctrl_reg__0__POS EQU 0 SCSI_Out_Bits_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_Out_Bits_Sync_ctrl_reg__1__POS EQU 1 -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB03_04_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB03_04_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK SCSI_Out_Bits_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_Out_Bits_Sync_ctrl_reg__2__POS EQU 2 SCSI_Out_Bits_Sync_ctrl_reg__3__MASK EQU 0x08 @@ -1927,37 +1929,37 @@ SCSI_Out_Bits_Sync_ctrl_reg__6__MASK EQU 0x40 SCSI_Out_Bits_Sync_ctrl_reg__6__POS EQU 6 SCSI_Out_Bits_Sync_ctrl_reg__7__MASK EQU 0x80 SCSI_Out_Bits_Sync_ctrl_reg__7__POS EQU 7 -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB02_CTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB02_ST_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB02_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB02_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB03_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB03_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB03_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB03_ST_CTL SCSI_Out_Bits_Sync_ctrl_reg__MASK EQU 0xFF -SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB02_MSK +SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB03_MSK /* SCSI_Out_Ctl */ SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB01_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB01_ST_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB01_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB04_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB04_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL SCSI_Out_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB01_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB04_MSK /* SCSI_Out_DBx */ SCSI_Out_DBx__0__AG EQU CYREG_PRT5_AG @@ -2785,8 +2787,8 @@ scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST scsiTarget_StatusReg__2__MASK EQU 0x04 scsiTarget_StatusReg__2__POS EQU 2 scsiTarget_StatusReg__3__MASK EQU 0x08 @@ -2794,9 +2796,13 @@ scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__4__MASK EQU 0x10 scsiTarget_StatusReg__4__POS EQU 4 scsiTarget_StatusReg__MASK EQU 0x1F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB03_MSK -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB03_ST +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB04_MSK +scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_StatusReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_StatusReg__STATUS_CNT_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_StatusReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB04_ST /* Debug_Timer_Interrupt */ Debug_Timer_Interrupt__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -2917,8 +2923,8 @@ SCSI_Filtered_sts_sts_reg__0__MASK EQU 0x01 SCSI_Filtered_sts_sts_reg__0__POS EQU 0 SCSI_Filtered_sts_sts_reg__1__MASK EQU 0x02 SCSI_Filtered_sts_sts_reg__1__POS EQU 1 -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_09_ACTL +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB08_09_ST SCSI_Filtered_sts_sts_reg__2__MASK EQU 0x04 SCSI_Filtered_sts_sts_reg__2__POS EQU 2 SCSI_Filtered_sts_sts_reg__3__MASK EQU 0x08 @@ -2926,13 +2932,9 @@ SCSI_Filtered_sts_sts_reg__3__POS EQU 3 SCSI_Filtered_sts_sts_reg__4__MASK EQU 0x10 SCSI_Filtered_sts_sts_reg__4__POS EQU 4 SCSI_Filtered_sts_sts_reg__MASK EQU 0x1F -SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB02_MSK -SCSI_Filtered_sts_sts_reg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Filtered_sts_sts_reg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SCSI_Filtered_sts_sts_reg__STATUS_CNT_REG EQU CYREG_B0_UDB02_ST_CTL -SCSI_Filtered_sts_sts_reg__STATUS_CONTROL_REG EQU CYREG_B0_UDB02_ST_CTL -SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB02_ST +SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB08_MSK +SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_ACTL +SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB08_ST /* SCSI_CTL_PHASE */ SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK EQU 0x01 @@ -2985,12 +2987,12 @@ SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB13_MSK /* SCSI_Parity_Error */ SCSI_Parity_Error_sts_sts_reg__0__MASK EQU 0x01 SCSI_Parity_Error_sts_sts_reg__0__POS EQU 0 -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB11_12_ST +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB05_06_ST SCSI_Parity_Error_sts_sts_reg__MASK EQU 0x01 -SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB11_MSK -SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB11_ST +SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB05_MSK +SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL +SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB05_ST /* Miscellaneous */ BCLK__BUS_CLK__HZ EQU 50000000 diff --git a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc index 98aa696..c639021 100755 --- a/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc +++ b/software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc @@ -426,34 +426,34 @@ EXTLED__SHIFT EQU 0 EXTLED__SLW EQU CYREG_PRT0_SLW ; SDCard_BSPIM -SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB06_07_CTL -SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB06_07_MSK -SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL -SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B1_UDB06_CTL -SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B1_UDB06_CTL -SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B1_UDB06_MSK -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL -SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B1_UDB06_07_ST -SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B1_UDB06_MSK -SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B1_UDB06_MSK_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL -SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B1_UDB06_ST_CTL -SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B1_UDB06_ST -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST +SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB04_05_ACTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB04_05_CTL +SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB04_05_MSK +SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL +SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B1_UDB04_CTL +SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B1_UDB04_CTL +SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B1_UDB04_MSK +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_05_ACTL +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B1_UDB04_05_ST +SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B1_UDB04_MSK +SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B1_UDB04_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B1_UDB04_ST +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB07_08_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -461,9 +461,9 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B0_UDB07_MSK -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B0_UDB07_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB07_ST SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B1_UDB04_05_A0 SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B1_UDB04_05_A1 SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B1_UDB04_05_D0 @@ -481,12 +481,14 @@ SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B1_UDB04_F0_F1 SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B1_UDB04_F0 SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B1_UDB04_F1 +SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL +SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG EQU CYREG_B1_UDB04_MSK_ACTL SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 SDCard_BSPIM_TxStsReg__1__MASK EQU 0x02 SDCard_BSPIM_TxStsReg__1__POS EQU 1 -SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL -SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST +SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL +SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST SDCard_BSPIM_TxStsReg__2__MASK EQU 0x04 SDCard_BSPIM_TxStsReg__2__POS EQU 2 SDCard_BSPIM_TxStsReg__3__MASK EQU 0x08 @@ -494,9 +496,9 @@ SDCard_BSPIM_TxStsReg__3__POS EQU 3 SDCard_BSPIM_TxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_TxStsReg__4__POS EQU 4 SDCard_BSPIM_TxStsReg__MASK EQU 0x1F -SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB04_MSK -SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL -SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB04_ST +SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB07_MSK +SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL +SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB07_ST ; SD_SCK SD_SCK__0__INTTYPE EQU CYREG_PICU3_INTTYPE2 @@ -1906,15 +1908,15 @@ SCSI_Out_Bits_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Bits_Sync_ctrl_reg__0__POS EQU 0 SCSI_Out_Bits_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_Out_Bits_Sync_ctrl_reg__1__POS EQU 1 -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB02_03_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB02_03_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB02_03_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB03_04_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB03_04_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB03_04_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK SCSI_Out_Bits_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_Out_Bits_Sync_ctrl_reg__2__POS EQU 2 SCSI_Out_Bits_Sync_ctrl_reg__3__MASK EQU 0x08 @@ -1927,37 +1929,37 @@ SCSI_Out_Bits_Sync_ctrl_reg__6__MASK EQU 0x40 SCSI_Out_Bits_Sync_ctrl_reg__6__POS EQU 6 SCSI_Out_Bits_Sync_ctrl_reg__7__MASK EQU 0x80 SCSI_Out_Bits_Sync_ctrl_reg__7__POS EQU 7 -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB02_CTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB02_ST_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB02_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB02_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB03_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB03_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB03_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB03_ST_CTL SCSI_Out_Bits_Sync_ctrl_reg__MASK EQU 0xFF -SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB02_MSK +SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB03_MSK ; SCSI_Out_Ctl SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB01_02_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB01_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB01_ST_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB01_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB04_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB04_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL SCSI_Out_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB01_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB04_MSK ; SCSI_Out_DBx SCSI_Out_DBx__0__AG EQU CYREG_PRT5_AG @@ -2785,8 +2787,8 @@ scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST scsiTarget_StatusReg__2__MASK EQU 0x04 scsiTarget_StatusReg__2__POS EQU 2 scsiTarget_StatusReg__3__MASK EQU 0x08 @@ -2794,9 +2796,13 @@ scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__4__MASK EQU 0x10 scsiTarget_StatusReg__4__POS EQU 4 scsiTarget_StatusReg__MASK EQU 0x1F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB03_MSK -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB03_ST +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB04_MSK +scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_StatusReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_StatusReg__STATUS_CNT_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_StatusReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB04_ST ; Debug_Timer_Interrupt Debug_Timer_Interrupt__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -2917,8 +2923,8 @@ SCSI_Filtered_sts_sts_reg__0__MASK EQU 0x01 SCSI_Filtered_sts_sts_reg__0__POS EQU 0 SCSI_Filtered_sts_sts_reg__1__MASK EQU 0x02 SCSI_Filtered_sts_sts_reg__1__POS EQU 1 -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_03_ACTL -SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB02_03_ST +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_09_ACTL +SCSI_Filtered_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB08_09_ST SCSI_Filtered_sts_sts_reg__2__MASK EQU 0x04 SCSI_Filtered_sts_sts_reg__2__POS EQU 2 SCSI_Filtered_sts_sts_reg__3__MASK EQU 0x08 @@ -2926,13 +2932,9 @@ SCSI_Filtered_sts_sts_reg__3__POS EQU 3 SCSI_Filtered_sts_sts_reg__4__MASK EQU 0x10 SCSI_Filtered_sts_sts_reg__4__POS EQU 4 SCSI_Filtered_sts_sts_reg__MASK EQU 0x1F -SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB02_MSK -SCSI_Filtered_sts_sts_reg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Filtered_sts_sts_reg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB02_MSK_ACTL -SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB02_ACTL -SCSI_Filtered_sts_sts_reg__STATUS_CNT_REG EQU CYREG_B0_UDB02_ST_CTL -SCSI_Filtered_sts_sts_reg__STATUS_CONTROL_REG EQU CYREG_B0_UDB02_ST_CTL -SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB02_ST +SCSI_Filtered_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB08_MSK +SCSI_Filtered_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB08_ACTL +SCSI_Filtered_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB08_ST ; SCSI_CTL_PHASE SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK EQU 0x01 @@ -2985,12 +2987,12 @@ SCSI_Glitch_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB13_MSK ; SCSI_Parity_Error SCSI_Parity_Error_sts_sts_reg__0__MASK EQU 0x01 SCSI_Parity_Error_sts_sts_reg__0__POS EQU 0 -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL -SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB11_12_ST +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB05_06_ST SCSI_Parity_Error_sts_sts_reg__MASK EQU 0x01 -SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB11_MSK -SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL -SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB11_ST +SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB05_MSK +SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL +SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB05_ST ; Miscellaneous BCLK__BUS_CLK__HZ EQU 50000000 diff --git a/software/SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cycdx b/software/SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cycdx index 1828394..0c75e97 100644 --- a/software/SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cycdx +++ b/software/SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cycdx @@ -1,76 +1,76 @@ +