diff --git a/.gitignore b/.gitignore index 6e3284d..a2a4867 100644 --- a/.gitignore +++ b/.gitignore @@ -15,15 +15,5 @@ _autosave-* *-save.kicad_pcb fp-info-cache -# Netlist files (exported from Eeschema) -*.net - -# Autorouter files (exported from Pcbnew) -*.dsn -*.ses - -# Exported BOM files -*.xml -*.csv *.DS_Store diff --git a/fpga/CLKGEN.v b/fpga/CLKGEN.v index b902839..b2a22e6 100644 --- a/fpga/CLKGEN.v +++ b/fpga/CLKGEN.v @@ -18,7 +18,7 @@ // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// -module CLKGEN( +module ClkGen( input CLKIN, input CLKFB_IN, output CLKFB_OUT, @@ -29,7 +29,7 @@ module CLKGEN( output RAMCLK0, output RAMCLK1); - CLK instance_name ( + PLL pll ( .CLKIN(CLKIN), .CLKFB_IN(CLKFB_IN), .CLKFB_OUT(CLKFB_OUT), diff --git a/fpga/ClkGen_summary.html b/fpga/ClkGen_summary.html new file mode 100644 index 0000000..563643a --- /dev/null +++ b/fpga/ClkGen_summary.html @@ -0,0 +1,82 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ClkGen Project Status (10/31/2021 - 15:38:40)
Project File:WarpLC.xiseParser Errors: No Errors
Module Name:ClkGenImplementation State:Placed and Routed
Target Device:xc6slx9-2ftg256
  • Errors:
 
Product Version:ISE 14.7
  • Warnings:
 
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: 
  • Final Timing Score:
  
+ + + + + + + + + + + + 
+ + + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis Report     
Translation Report     
Map Report     
Place and Route Report     
CPLD Fitter Report (Text)     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

+ + + + +
Secondary Reports [-]
Report NameStatusGenerated
Post-Map Static Timing ReportOut of DateSun Oct 31 14:40:54 2021
Physical Synthesis ReportOut of DateSun Oct 31 15:38:26 2021
+ + +
Date Generated: 10/31/2021 - 15:38:40
+ \ No newline at end of file diff --git a/fpga/L2Cache.v b/fpga/L2Cache.v new file mode 100644 index 0000000..c39d3b8 --- /dev/null +++ b/fpga/L2Cache.v @@ -0,0 +1,25 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 09:44:08 10/31/2021 +// Design Name: +// Module Name: L2Cache +// Project Name: +// Target Devices: +// Tool versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// +module L2Cache( + ); + + +endmodule diff --git a/fpga/PLL.ucf b/fpga/PLL.ucf index 5372472..7f5c518 100644 --- a/fpga/PLL.ucf +++ b/fpga/PLL.ucf @@ -1,39 +1,44 @@ +NET "CLKIN" TNM_NET = CLKIN; +#NET "FSB_A[31]" TNM_NET = FSB_A; +#NET "FSB_A[30]" TNM_NET = FSB_A; +#NET "FSB_A[29]" TNM_NET = FSB_A; +#NET "FSB_A[28]" TNM_NET = FSB_A; +NET "FSB_A[27]" TNM_NET = FSB_A; +NET "FSB_A[26]" TNM_NET = FSB_A; +NET "FSB_A[25]" TNM_NET = FSB_A; +NET "FSB_A[24]" TNM_NET = FSB_A; +NET "FSB_A[23]" TNM_NET = FSB_A; +NET "FSB_A[22]" TNM_NET = FSB_A; +NET "FSB_A[21]" TNM_NET = FSB_A; +NET "FSB_A[20]" TNM_NET = FSB_A; +NET "FSB_A[19]" TNM_NET = FSB_A; +NET "FSB_A[18]" TNM_NET = FSB_A; +NET "FSB_A[17]" TNM_NET = FSB_A; +NET "FSB_A[16]" TNM_NET = FSB_A; +NET "FSB_A[15]" TNM_NET = FSB_A; +NET "FSB_A[14]" TNM_NET = FSB_A; +NET "FSB_A[13]" TNM_NET = FSB_A; +NET "FSB_A[12]" TNM_NET = FSB_A; +NET "FSB_A[11]" TNM_NET = FSB_A; +NET "FSB_A[10]" TNM_NET = FSB_A; +NET "FSB_A[9]" TNM_NET = FSB_A; +NET "FSB_A[8]" TNM_NET = FSB_A; +NET "FSB_A[7]" TNM_NET = FSB_A; +NET "FSB_A[6]" TNM_NET = FSB_A; +NET "FSB_A[5]" TNM_NET = FSB_A; +NET "FSB_A[4]" TNM_NET = FSB_A; +NET "FSB_A[3]" TNM_NET = FSB_A; +NET "FSB_A[2]" TNM_NET = FSB_A; +#NET "FSB_A[1]" TNM_NET = FSB_A; +#NET "FSB_A[0]" TNM_NET = FSB_A; +NET "CPU_nSTERM" TNM_NET = CPU_nSTERM; + NET CLKFB_OUT FEEDBACK = 160ps NET CLKFB_IN; -NET CLKIN PERIOD = 30ns HIGH; +TIMESPEC TS_CLKIN = PERIOD "CLKIN" 30 ns HIGH 50%; -NET INt OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[31] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[30] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[29] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[28] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[27] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[26] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[25] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[24] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[23] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[22] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[21] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[20] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[19] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[18] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[17] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[16] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[15] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[14] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[13] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[12] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[11] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[10] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[9] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[8] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[7] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[6] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[5] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[4] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[3] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[2] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[1] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET FSB_A[0] OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET CPU_nAS OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; -NET OUTt OFFSET = OUT 5ns AFTER CLKIN; \ No newline at end of file +#NET "FSB_A[*]" OFFSET = IN 12ns VALID 12ns BEFORE CLKIN; +#NET "CPU_nAS" OFFSET = IN 15ns VALID 15ns BEFORE CLKIN; + +TIMESPEC TS_CPU_nSTERM_A = FROM "FSB_A" TO "CPU_nSTERM" 15ns; +#Created by Constraints Editor (xc6slx9-ftg256-2) - 2021/10/31 diff --git a/fpga/PrefetchBuf.v b/fpga/PrefetchBuf.v index b41fc18..7e90fee 100644 --- a/fpga/PrefetchBuf.v +++ b/fpga/PrefetchBuf.v @@ -1,41 +1,53 @@ -`timescale 1ns / 1ps -////////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 19:13:46 10/30/2021 -// Design Name: -// Module Name: PrefetchBuf -// Project Name: -// Target Devices: -// Tool versions: -// Description: -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -////////////////////////////////////////////////////////////////////////////////// -module PrefetchBuf( - input [31:0] RDA, - output [31:0] RDD, - output Match, - input CLK, - input [31:0] WRA, - input [31:0] WRDin, - output [31:0] WRDout, - input [3:0] WE, - input TS); - - RAM128X1D Way0[55:0] ( - .DPO(WRDout), - .SPO(RDD), - .A(WRA[10:2]), - .D({A[WRDin[7:0]), - .DPRA(RDA), - .WCLK(CLK), - .WE(WE)); +module L2Prefetch( + input CLK, + input CPUCLKr, + input [28:2] RDA, + output [31:0] RDD, + output Match, + + input [28:2] WRA, + input [31:0] WRD, + input WR, + input [3:0] WRM, + input CLR); + + /* Read Address */ + wire [20:0] RDATag = RDA[28:7]; + wire [4:0] RDAIndex = RDA[6:2]; + + /* Write Address */ + wire [20:0] WRATag = WRA[28:7]; + wire [4:0] WRAIndex = WRA[6:2]; + + /* Way 0 Tag & Valid */ + wire [20:0] RDTag; + wire [20:0] TSTag; + wire RDValid; + wire TSValid; + wire RDMatch = RDValid && RDTag==RDATag; + wire TSMatch = TSValid && TSTag==RDATag; + PrefetchTagRAM Way0Tag ( + .clk(CLK), + .we(WR && (WRM[3:0]==4'b1111 || TSMatch)), + .a(WRA[8:2]), + .d({~CLR, WRATag[20:0]}), + .spo({TSValid, TSTag[20:0]}), + .dpra(RDA[8:2]), + .dpo({RDValid, RDTag[20:0]})); + + /* Way 0 Data */ + PrefetchDataRAM Way0Data ( + .clka(CLK), + .ena(WR && (WRM[3:0]==4'b1111 || TSMatch)), + .wea(WRM[3:0]), + .addra(WRAIndex[4:0]), + .dina(WRD[31:0]), + .clkb(CLK), + .enb(~CPUCLKr), + .addrb({2'b00, RDAIndex[4:0]}), + .doutb(RDD[31:0])); + + assign Match = RDMatch; + endmodule diff --git a/fpga/SizeDecode.v b/fpga/SizeDecode.v new file mode 100644 index 0000000..bccc5fa --- /dev/null +++ b/fpga/SizeDecode.v @@ -0,0 +1,16 @@ +module SizeDecode( + input [1:0] A, + input [1:0] SIZ, + output [3:0] B); + + assign B[3] = (A[1:0]==2'b00); + assign B[2] = (A[1:0]==2'b01) || + (A[1:0]==2'b00 && SIZ[1:0]!=2'b01); // Not 8-bit + assign B[1] = (A[1:0]==2'b10) || + (A[1:0]==2'b01 && SIZ[1:0]!=2'b01) || // Not 8-bit + (A[1:0]==2'b00 && SIZ[1:0]!=2'b01 && SIZ[1:0]!=2'b10); // Not 8-bit or 16-bit + assign B[0] = (A[1:0]==2'b11) || + (A[1:0]==2'b01 && SIZ[1:0]!=2'b01) || // Not 8-bit + (A[1:0]==2'b00 && SIZ[1:0]!=2'b01 && SIZ[1:0]!=2'b10) || + (A[1:0]==2'b00 && SIZ[1:0]==2'b00); // 32-bit +endmodule diff --git a/fpga/WarpLC.bld b/fpga/WarpLC.bld index bd2c5de..b79a9cd 100644 --- a/fpga/WarpLC.bld +++ b/fpga/WarpLC.bld @@ -1,20 +1,75 @@ -Release 14.7 ngdbuild P.20131013 (nt) +Release 14.7 ngdbuild P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 -WarpLC.ngc WarpLC.ngd +Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe +-intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p +xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd -Reading NGO file "C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/WarpLC.ngc" ... +Reading NGO file "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.ngc" ... +Loading design module "ipcore_dir/PrefetchTagRAM.ngc"... +Loading design module "ipcore_dir/PrefetchDataRAM.ngc"... Gathering constraint information from source properties... Done. Annotating constraints to design from ucf file "PLL.ucf" ... Resolving constraint associations... Checking Constraint Associations... -INFO:ConstraintSystem - The Period constraint - [PLL.ucf(3)], is specified using the Net Period method which is not - recommended. Please use the Timespec PERIOD method. +WARNING:ConstraintSystem:119 - Constraint : This + constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/CPU_nAS' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: + This constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_SIZ<0>' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: + This constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_SIZ<1>' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: This + constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_A<0>' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: This + constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_A<1>' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: + This constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_A<28>' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: + This constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_A<29>' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: + This constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_A<30>' because those design objects do not + contain or drive any instances of the correct type. + +WARNING:ConstraintSystem:119 - Constraint " IOBDELAY = NONE>: + This constraint cannot be distributed from the design objects matching 'NET: + UniqueName: /WarpLC/EXPANDED/FSB_A<31>' because those design objects do not + contain or drive any instances of the correct type. + +INFO:ConstraintSystem:178 - TNM 'CLKIN', used in period specification + 'TS_CLKIN', was traced into PLL_ADV instance PLL_ADV. The following new TNM + groups and period specifications were generated at the PLL_ADV output(s): + CLKFBOUT: + +INFO:ConstraintSystem:178 - TNM 'CLKIN', used in period specification + 'TS_CLKIN', was traced into PLL_ADV instance PLL_ADV. The following new TNM + groups and period specifications were generated at the PLL_ADV output(s): + CLKOUT0: Done... @@ -29,12 +84,12 @@ Partition Implementation Status NGDBUILD Design Results Summary: Number of errors: 0 - Number of warnings: 0 + Number of warnings: 9 -Total memory usage is 133904 kilobytes +Total memory usage is 163932 kilobytes Writing NGD file "WarpLC.ngd" ... -Total REAL time to NGDBUILD completion: 1 sec -Total CPU time to NGDBUILD completion: 1 sec +Total REAL time to NGDBUILD completion: 3 sec +Total CPU time to NGDBUILD completion: 3 sec Writing NGDBUILD log file "WarpLC.bld"... diff --git a/fpga/WarpLC.cmd_log b/fpga/WarpLC.cmd_log index 56dfdb6..982878b 100644 --- a/fpga/WarpLC.cmd_log +++ b/fpga/WarpLC.cmd_log @@ -501,3 +501,145 @@ ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6s map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-3 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -xe c -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt off -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -xe c -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high -xe c -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt 2 -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -xe c -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high -xe c -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt 2 -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -xe c -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high -xe c -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt 2 -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -xe c -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +par -w -intstyle ise -ol high -xe c -mt 4 WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +xst -intstyle ise -ifn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.xst" -ofn "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.syr" +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc PLL.ucf -p xc6slx9-ftg256-2 WarpLC.ngc WarpLC.ngd +map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high -xe c -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt 2 -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +par -w -intstyle ise -ol high -xe c -mt 4 WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +trce -intstyle ise -v 3 -timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf diff --git a/fpga/WarpLC.gise b/fpga/WarpLC.gise index 9606dec..04accc2 100644 --- a/fpga/WarpLC.gise +++ b/fpga/WarpLC.gise @@ -48,6 +48,7 @@ + @@ -72,45 +73,45 @@ - + - + - + - + + + + + - + - + - + - + - + - - - - @@ -120,48 +121,47 @@ + - + - + + - - + - - - + + + - + - - - + @@ -170,30 +170,31 @@ - - + - - + + - - - - + + + + + + diff --git a/fpga/WarpLC.ncd b/fpga/WarpLC.ncd index 1b83494..80ae536 100644 --- a/fpga/WarpLC.ncd +++ b/fpga/WarpLC.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###6700:XlxV32DM 3fff 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###4300:XlxV32DM 3fff 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###5004:XlxV32DM 3fff 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###2740:XlxV32DM 3fff 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###2432:XlxV32DM 3fff 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###2532:XlxV32DM 3fff 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###2572:XlxV32DM 3fff 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###1028:XlxV32DM ba3 3eceNqtVctuGzEM/Jl8gCiKeu0laC895NCg7QdIWu0xgA/tZeF/L7WOs2PXAZwiXixkcShqhuRKrjmR6GZZXHOLCy451rfr3LJwMmbDHHv9x+7NWyKTJLZMl/abUcxrFB5RjscXTymbzOsLNZtjn35To2ynX9RMdmXVqEXjJI2Utp2M7iRsNcoipHFgF8V1J3G6/zI22tdtox3eG8d2nl/Ea+95jbn6mk1FeGVddtbJXLKO/8n6HcbmLsbmev4P4wqM7Z2M3Scydh9m3KAz2p2M+RMZ84cZz8C43NnL/Mm9zB/s5TpamU6kXTqTVvsC9rDbWwA77/aZN/s+F/Crux9ZDLAgUHdACABOAMCW5AyEmgFIDCsSAn4HkkcgZDrTN8NQLIQA/VTctSfQc8i7QGpFAJiBHiHQaQdiRACqQchmmQEApdZANi0ChNnsAGBhCCqm5zaEQsChDsi/FdBhLwDQwQh41AFdYQPqQCCCDkYggQ4L9bAFdDACFXRYaDDbQAdfAKDDQgXtDDoYgQ46ogNgAR0WSssGdDAChDqgtHrCAACFYgYdBu1YDrA7UAEiWEAEMvKgAQkFkIB8IuwLXcAF/KGkXEExQeW4YdugghnTDR86L5Ajb3dAiG7XQSjcrrVsN9SNthFLtztQAuZpb4Ljn58UtmD6mPUHGVUTu36FQ5XzIpLZVb0Fv1GYpwNFM1XrluGdtaKrmpfpgULJJU51ThtgAOhZ3JvDsY4D8oaL7C7j9/j4dfXzklOXmpYe1PBlDSvVM1WfkpKpfTqqMW5Gzl55+dL0LWfQituIaimy8dODFZ/FjFWnhCjg56YVVy4VzEnNc3Y+6o1f9Q7tespTFutUTcjii57M5XrRiNWz72f76ZRy+vietS1Ilxo7SEhucYysoaYnK/oNrE/agrweip9Op9gY2jjnbwP0HmCnUwa/r896C5jpWfuRNB9mTA6j13TmlU4cw6LaD76b08CDvY6idMcYBudJg/0FQcId1w== \ No newline at end of file +###5452:XlxV32DM 3f95 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###5200:XlxV32DM 3fff 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###4284:XlxV32DM 3fff 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###3600:XlxV32DM 3fff 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###5404:XlxV32DM 3fff 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###1728:XlxV32DM 3fff 6a8eNq9W0uS2zgMvZIAEPr0nGMO0Gm7l7ObVSp3H4rSWLIticAjkkpVx7aAh+8jKVISkqST9EJdl4Yk6Sv9EBLO39bP6yfVMd30W0fZa+yu7OQf3050VaRBlxt0W3zuYN0kE6RLDp9ZOVH+RdKkKeUsrRhSatXtJB4I+dOU7prmjC7XEsuY5YZsK+sXiSKbGLLQOSzkeDLqlLLGopX/FZnZRrEw+/D/9UUny6toeorotnVu/vadP33nvp6SZKTV8wBL3Sv2zm6H2BX9czGabbVH+a06//aUXfktMWKWwiNcc2uKUaUe4yIVYO8gmnqkqHXpFt6vkuZsAJaobtvPhGeM0hOmjkUs1W3/+vXP54/xgz4+v37+y/340f31N/fDh4w3+ikio0zy+ZgivorBsUwdQwbP39N+ypy/P0q9pHl2r1vNvmofSebQWSgP5ftwNu1tEL0XuWW6v89TRtaRQx1+lHeRKzo6rHa6Czub3DJFbx7Pkjn27HP/Prk+ljC3NT/l//w3y9Skj6QOMtU/5XTDOJa8r7GKJ6cGHXnRec+PPC0xvp4alsAOetI/lM30KP1Ax77vCL9I6gvWVt2d5W0JsluaLEvbe5qRs26W0PJLnyXvq/2a9ib77kFfxeh2GGc9Kg6M2V75tFamzXaq2pZqDrSaA/4jGL0LA62FJR8ejKi6WHtCmhghARnkJg+utbvmDrBmHvWg+43a5BxHKr37ciNq8vpN57JDzRboRWe7tbZ7tdepe2WxcOSV2iv8pmPzSu1d8LL94fWKXF4R4BUDXrHLKwa8EoAn75qG8fHC5nVUAuTNOeuYrUEj9nIf0Tznc2FobQ13tlY4wtOAuTsZMPb1Peqv0TCWn/Xl0To/Na8V1YVxnN+xGUHKvak/M+k0M1OzT2TKjN0nDpjHOdQjDcgSh2C0dxAFZ8bi0TXbE+gTXd7Vt41AyYRh94n3eyLgndUIeYQz3xfhFOqdBnvH1XHT5x+Z/GtjYr1LI+7WtZ0rAfP90LzuUJMf1xgcsHchIE/1tAPbc9NX50MO5ToHc5MDZkYO5rcPTyC0s53fFLDXJ6bdosgZjl33IslU0VY+cwCfLX50ofUnU65rcbVjDAE7zho8WsaOvmKaVTyVG0LxNBQtCTfPNUPAHnpsT9RXKBy8Nr0Y6crOTxdwYqQBe/3p8ARyOQPvH6fdejo6cXXn2zD2uDSfdpecmmrapz/SPPcWXMdVUUyzYgPKBOZC3/aM7ZoCVk4NNTewxFC5s9/P6++4r3FqjmDMPVyh3nBmZOWzVfOdz3ZNNZ1wWfi8abbw+QrFzmcMZQJzoZdni/4zIEvl1FBzK5+vK2fl84bi5bNdcwRj7uEK9YbTVg+f1fRETYI11XQ2bOWzOs+IjvmspzsqHj77USYwF3p5Ko+c6XYgn2021VU5D5/VcPKgFzVH+GyJuYcr1BueU/DxmWA+E8xngvlMIXymED5TCJ8J5jPBfCaYzwTzmUL4TDCfCeYzwXwmmM8M85lhPjPMZ4b5zCF85hA+cwifGeYzw3xmmM8M85lD+MwwnxnmM8N8ZpjPAjNEQhjSsINeRaFTlCkAReGMasOzjerKoq/nBe55wZ/LaUCZwCziXGDYplxkDpvbrE8d2F71PtOer6XT180tOIv/5fXjJpwlg7fH0ykozlLD+Ro14SxdVPJz9G6aI8/TI8/rG3H/AZ/Ksvc=###1560:XlxV32DM 3fff 600eNq9mkt22zAMRbdEgKA+7jq6gMSph511lJO9FxRt2Y5tERBeezqoYpHv8YdLQpKUMslHOZUpU5Yy55JzSpLlKOc7enWSUWb9bZZf+jdrmUnL1HuSy31pp8646khIZ1h1ckinrDoc0qGzjlYJ6aSLztLDvTqS51WnhHSmVUdCOuOqk0M6w6rzer64qzOs4yxlCulc5l1kDujY4otB8cWg+GJQfFl0ZNWhkE5e4zQ2X5Z4Z1CcMihOGRSn/N/iVNb1k0PzLuv64dC857VftKFDIP4QiD8E4g+B+EMg/hCIPwTiD4H4QyD+EIg/BOIPgfhDIP4QiD8E4g+B+JNA/Ekg/iQQfxKIPwnEnwTiTwLxJ4H4k0D8SSD+JBB/Eog/CcSfBOJPAvEnQfjT5jTOH5tOnz8WHQt/bDp9/th0+vyx6fT5Y9Pp88em0+ePTafPH4uOhT82nT5/bDp9/th0+vyx6fT5Y9Pp88ei0+MPgfIvAuVfBMq/CJR/ESj/IlD+RaD8i0D5F4HyLwLlXwTKvwiUfxEo/yJQ/kWg/It2518fRVQjadmj/i+i14vmtChq6cu9RZkzf9ddVhNfyxXWoKmt5cLq8qFLf1bdUmsubc2q3Ph1KfGoWJflaWn1EnetnP4+aZ1R+6da2ueFWupLd2p7/LTJLj/y+ak852RxaKMnFO7PbHOTovV0sIWDjnwzY3ljBEnHUPQuh+eMbubM5hgd1dHpx+EoYKdjjq4bp5+E59A7pvFYz+6VSuGVo/ub25OB8dFhGoCgo8OLwjM4ONzi4+hxy2GOJoebhEdycriVcN+yw20AxlzfbQz3zbNKpvC8eVbJHB5J8kR3nNAuNwrH9+zyi5+UJpdfnCguNoeZ4hvNKFMG4xmp+sXPnGI8rzQ3AtK578ZAOvfdwidN4ymsuUnYzTNv0TXp6dkAzFz7biOMzH2v6B5HDq8ZuAcY4jrMEfZxJCOfB1j8GLYHWNxymJM+vyhNJpdbAZ4sc/fZFGKPI5dffJe7+iVDbkzQHtoc4/E3OR0ZRk+bXwaeam2OEnacnY4FSFGbY3x3Z6djOI91+oV3+ZvTks1xBu4WxugHPHMc3Z4E26Wsjgw802941mvAPpVdbkiC544bYocShxuFSXrNIWhjrRQtTUBq295M7XnXRo53bbVEPatpjVZL/y1lqsOif19i1PuyvCudtXUnGaS+Jc21htz0t7aktXZLX0ce7XAqpf5214O6u1/uP3m+rXfbu6an6vXK4hDpgdXjZS/a+/V2sts9Rv9qDs6zvD0LZbv9vRG6esTn4evr99v7fKADj59/eJgO9OMnD+Ph7Zg+86gub7frefmC4L4Fg+RFVdVrJKf05FuEtu42deRdW11KOrPutVZeTgVPtFwtat96bKg42pNASm1dYNo0b443w/q3R+m+Ne1bXrtGCWvIOTqfabxyfPZdFTtUmuerr/seVQgwJgQYE4KMCRmjspzX7bU+ueq3L8r215eH9pOz/SlY/3v/169Nd/bfV/+x/776j/331r/t/1/Qk6Wd###2332:XlxV32DM 3fff 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###2236:XlxV32DM 3fff 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###2156:XlxV32DM 3fff 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###2292:XlxV32DM 3fff 8dceNq9ml2SpCAMgK8EJEGZPcceoKe7fdy3fZrauy8CYqQFf1Bqqqa6JQFN85Ef0wnx79+fx1t9iS/4+aO0+er6X3+V7r/Ur99Kd1/yu/8Baf8eqEihxBcBGkIkUDRQb0eADGgQQvhxVNBb2Q6JrARIGhBxsFdRiDjHgE97rUODgAMRoZMz+LaflJVzn6Yr40yorR7YT3zNDcl0jfFujmks5OO9J3ewokNAHSj7zOwOnZZyWrMEjRLWVk+QVlom0jJKewlyEu/M3CLe0XtlbuGk7VNPT+60zKQTVxCLJxolx99OAlkZ5DPYP/cL81ni+GKGSR/9r8xGrXbYTeOnXStszmF/hfpVTs6BboeFMT4n8KtW6uO7lfW/ZheQBIbkY4lk//gBcwGQUAYSujZIQncUyoXGbixHLeosGhhRK+Dg5AJw+xAKcm6DZDACtj3OYOT0SwjUIlTe+rXoFLARu7BR6fcPbJBh81xi83CeTFwAjiqCQ02woYPQ0AlkKICgssBMPkpFSfL+qqhl1nQiZiUdmDFzctueyj1Jsk3hUk+VW+FaT5Vb5eQcuz2VTL9/IEcMuXeCnLwKObnhq1QjX6UO+yp1ylcpBpHYjZ5g4aFcDQ+BhYeShYdQhi6Gh7DLrx2F7phfOwHcAb90ArQCZPv8mki/f0CmZ8h6sYTMiGvCQbGBmGmEmDmMmDmFmGHhoNgZDgr3WRX8VM901AE/tQyn+tszqr5JRtXfkFH1JzOqbkYoIeilRzel4GH3zryOBG1XIugdOdPMxv4n1Es/uDYer5rMbP5JP7TQ73tmJ/sfUdqnk2H3jFpWzMpYFxCsl1nr3GyfWslvtXu1ZCeNFCunHYk/tc7BeZachp2VnghdYb2d+iu0fo5xpzyvsHY3sDidZi10WjpK+HPJXipIqyjhwwRanGKzNE3SQYJCtQpWgwovLaJE7rQD50LBjhD1+Ey1+UhWexxzp56juZ9plknFsgsVywM0iw2axSmaxXH+riO5ROMZgo9QdwW5O6kTldSKArXiMLWfHOa0ccljll69ICzGIIuwfY3HHQF7BY8y8giBRzPzqBLv+sh7V05WvXdNOL3Vu56i+7R3zax2uXf167TzrvqTkou9q05Ksdy77uFUf3DKk/KUPGJvdkpUe2n5MXfJJ88SOS+rXCZ0lmqv7amWgerHTDUsqO7lD6BNOqcpXyzYQDfRmEQqtuh6UkkrwYrXX5d9j4ltyURBIh+IYNXBh9FEOpjoezYRLkxk+h876ZaJ5KaJ1AETqY3IzuuxyM6Ob+y5IJE3qKgyqIgGVcGgz9mgtNxzuGvP2f92dNOs+oBZNdt5sLnzci5XVRlKRUNhMNRrNlTyhtA8Q911NQSmS0NgahQCU5MQmG4OgalhCEy3h8C0KwROteQhlyqWLnWFDawiCyNZKp7p75ms7yVZT1lKLvWlpSLdsFSkm5aKdKNSkW5cKtK3l4r0ejAb3/qsM6Tntz5Td0JkFHIFoMgosAIQbBaAct7PVHk/ExmdEs5hZvR5pACkL/V+upH30028n77Z++mG3k/f7v10VQFI7Uz+uM/kBdlusyDbFfIgrCoAYSwAqakgO4iZx9eJsF3uDNvhQNgOLGxXm2G7yhhKVBlKREOFsH2Qs6GW7RLmO58w+sVq8xtaLYZIX+Qo5DfOwEGCQg+BKhcgY9qY8wddlT/oollDqWJgPczD7lIFM+upUkXOoKMsLdoG10xExZ5bgL7KRH00UcisB9ZTKpMi7bNQpHXe9zKfyWe702f6mOFun5lZ5TKf6edv4zMhNFLc5zNh9aD2h/B7NX7V7vSRUYKiNGalKUrskTap9FY2GvunctkoVTkMCthCPNlYT6uEBFsqhbp4aaiLjUJdbBLq4s2hLjYMdfH2UBdzoa7FIAl17cq+Y8v7WXQ9WOFNORvxmi//TPy662iADH5qpedhq3QERVi7Kli7CGtISwfWDSvxSO2ILq0dUcPaETWtHVGj2hE1rh3R7bUjOlU7osO1I5nWjjabFaDYrEBVKRjFFAym+u7AumklnUgWzr20yyULarMdSyQv7daOor7qIOujjaY0lbVLSr37xaZPo2sSKpVLqLJNaLjahDZZVG1aNJf4myqLmmjRqULCW9a63SUStusqSiS5vQfMUrBpKchYSldZSkdLTYkqbyZadvcp50Mf+dXCOuEJ0+/20zuswVobZPL69P0aF4FMMnxlPzA07AeGpv3A0KgfGBr3A8Pt/cCQ6wfeSGTVgUTWBdj4H0wh1Fo=###2392:XlxV32DM 3fff 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###1164:XlxV32DM ce6 474eNqtVruS3DYQ/Bl/AIDBACSYqKxEgQKrbH0AXwiv6gIpYe2/uwEuyeaKZ9/6vBuAmJ7pwTSAIYNvfRan2Y9efVCvWcW36r1KNEYdrNGPwMUPauA94zmrqj/wEo24Roy2IhLeG3fHR3jk4uE9MhdEVi9gU12RgU/J4MFgaqYGmbRkevR5i6+u0XkHhhP36o9n1WafIVbcc/mf4Tmjt9vLYEMySZYXF9okufvhQpNc992FmPphgab9W0r77IMv++cgbVh382759x1dlQqwAIY2tuzWh5V/4HtKeaz8f9D9kuXAivJ33SPpPp50t6KLROn/uxTnZXywwOuSmhV99rC+J/7A8Kwf5d856hFZccwsjqbsjYHV8vXpMYsXgyP8eOB2ntW78Lztcz+IbltNjVvZRezJulWqZ29cH1btHzlOvi2u7W6ha7k1gYYO43w6jK3WJoA4XPByufcWUFTdrRhrGyh57k03XuNlNbC19XJiDbigRW3VohxmJ+Xtpjz7vpfzXq3Z2t27XhlHRKisT7U4aAkFTbKrlN5tUt4w7w/AGQKirQAZ/OFpG/YcCWCKhiIC2cf5sPczAznZLacthokYhHNOlFM450wRjiLEUMRIdpkO+0B2FVok2VlJJwTETEuiqqShDNYT0A5ERZsiPeWwnGOIFMFVjEoRTDVRGY7rmy1FMNVMdTiuPHMdROUN1SFUoLdcB1F5R3UIFYgOQcmZylMdQgV6pTqCZYDq0ExAoDp0IiBSHcoFtpRcWwJ63kGyD1w42Sda7HFqbz//clLvBP5m+XMOqH1OTsvu4zprTC4rbucXh2+hV3w6dIPLUpwTjvvyBR8W3W9OxtRLN4iYipgD8SaFdve4ldfPhUs07BLGKxfHLqa5cjmWciu/T58+L8M8phx0nNGVYPh9aRcXqqbJpUHnNIyKuoJ2t8Wt+4b/gM3ZjLm8Chz+GBskyH0KTQFk2GjmmHJRaHowN2mar+zYMfsLEAGA2koKU0ixbVOjY2ptTu3srliG1AfZgdqWfJJCjfYDtyJYRsfRMsYUfffVZezv8rV3eLe99rZ7webiNKBFQc3udg3YbhXzj+UbOrbpvoHbdq/WlMmrtXU24OQ0ZYDcZZjqkE2tFA+urKkDy9/oc0iM \ No newline at end of file diff --git a/fpga/WarpLC.ngc b/fpga/WarpLC.ngc index 0b4c5e6..6f33c38 100644 --- a/fpga/WarpLC.ngc +++ b/fpga/WarpLC.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$0454=792897?>6;22-456789;?7><5IORVP?@TJVK7?<4?>028<527:9;;<5>;03220>>3:8?0M_2?>79BV9776?1J^1?>>79BV9756?1J^1?<>79BV9736?1J^1?:>79BV9716?1J^1?8>79BV97?6?1J^1?6>49BV979>2KY0?>16:CQ8779>2KY0?<16:CQ8759>2KY0?:16:CQ8739>2KY0?816:CQ8719>2KY0?616:CQ87?9=2KY0?09;@P?75813HX7?<0:;@P?7;3GU4?4>7L\37?78EW:?6<1J^1714:CQ[52249BVZ74=2KYS<::;@P\503GUW82>7L\P1868EWY5=2KYS?>:;@P\64385NR^001>GUW;>>7L\P2478EWY5><1J^R<85:CQ[7>23HXT>4:4AS]01>GUW::>7L\P3068EWY3<2KYS8:4AS]50>GUW>>0M_Q74:CQ[<3pwsb$|ynS`ga_n]imqrbz4lj`~k#urg\id~XaV`bxyk}=gcow`*r{lUoucQh`qf[vnnn}7mma}j,tqf[lhddbUx{dzlhntfv8`fdzo'y~kPdxl\v`ehfocl1kocsd.ea`beijaTb{}cd?E@GMXI\^DSBHICIKS(utne}s{iR}jlEKC9<0OAE>8148GIM5?9?0OAE=X99@HN4_91:>7NBD9178GIMF9>1H@FO>D358GIMF9M><7NBDA0F;1>EKCK;>7NBDC078GIMC9<1H@FJYc:AOOAPXL@\BHH94CMIEFLH?3JF@JOAZTe9@HN@EG\^TAXB[e:AOOCDH]]U[DL]J8:AOOCDXAG30OAEIB^MVP`=DDBLISB[[_N@VB0=DDBLS46MCKGZ2<50>7:AOOBCIW`dxx"H_UBNH[lht|8k0OAEHEO]jjvr(NZHH@FQFNW]0[j463JF@KH@Pioqw+CUEKEATECXP3^m2[LHQW9;37NBDGDL\mkus'[KFOAE?_hlpp4>55LLJEFJZoi{}%bb~znnbg\jdkb'jf`joQmugkl6cd5l2IGGJKA_hlpp*oi{}keohQaalg,gimajVciyk?5LLJEFJZoi{}%bb~znnbg\jdkb'jf`joazt358GIM@MGUbb~z ioqwekebWgkfi"mckg`lqqYe}om9;6MCKFGM[lht|&ceyoacd]mehc(keamnb{{_nfgv76EKCNOESd`|t.kmwqgiklUem`k cmilqq7Xj|l9;6MCKFGM[lht|&ceyoacd]mehc(xefTnl}j_hlpp0=DDBCEo6MCKHL\T@TNGM=0OAE@UU2f?FJLG\^;SIGYIEG26>EKCF__e:AOOJSS9VNBZDJJ139@HNIR\8UOYYWPCXAOAa=DDBE^XEKCF__>h5LLJMVP7YCA_COI<<4CMILQQ4XL\^RSNWLLDf8GIMH]]8T\EO\E69@HNIR\:o0OAE@UU1\@LPNLL;97NBDOTV0[ASSQVIROAKk;BNHKPR4WYBJ_H94CMILQQ2b3JF@CXZ;_EKUMAC6:2IGGB[[4^FVP\YDQJFNh6MCKNWW0ZVOIZO<7NBDOTV6a>EKCF__9RJFVHFF57=DDBE^X8QKUU[\G\EKMm1H@FAZT4]SLDUBk2IGGRHJEE@BGN?4:ALV@Y@MGOYMYG@N^KMBJ0EW]JF@eRGMUG58GUSXfHY:56M_U^lBWZ@TJVKP?;E08@541OIKOZLU08@LbBHF]UHM_K:;EPJR@>@TJVK7=;06;GQA[D:6?730J^LPA=3;:<=A[KUJ0<718:DPFZG;9730J^LPA=03:<=A[KUJ0??19:DPFZG;:;427K]M_@>17;?89EWGYF4;?556H\B^C?638>3OYISL2=7?;8BVDXI583245ISC]B87?902LXNRO32?;8BVDXI59;2o5ISC]B867=8730J^LPA=12:==A[KUJ0>07;GQA[D:3611M_OQN<4<;?CUEWH6=255ISC]B828?3OYISL27>99EWGYF404:m6H\B^CX74[XI[Q8=PQjqtco[7Yhk2LXNROP0^KAQCb@TJVKT=?QFBTDg?CUEWHU:?RGMUGf8BVDXIV;?SDLZFe9EWGYFW8?TEO[Id:DPFZGX9?UBNXHk;GQA[DY6?VCIYKj4FR@\EZ7?W@H^Ji5ISC]B[4?XAK_Mo6H\B^C\5ZOE]On0J^LPA^03[LDRNm1M_OQN_33\MGSAl2LXNROP23]JFP@c3OYISLQ=3^KAQCb@TJVKT>;QFBTDg?CUEWHU9;RGMUGf8BVDXIV83SDLZFe9EWGYFW;3TEO[Ic:DPFZGX:VCIYKj4FR@\EZ57W@H^Ji5ISC]B[67XAK_Mo6H\B^C\7ZOE]Oi0J^LPA^6\MGSAk2LXNROP5^KAQCe@TJVKT;RGMUGa8BVDXIV2TEO[Ic:DPFZGX1VCIYK?4G29DJA75FOC:8MJDCMEKRm6G@SUCM@DTC>2FDOFKK7:NLCLEFD=1GYY=;;MWW01=K]]<37AXLMNQ070=J]QIR>55BcnosewYA[KUJW>?R_@PX74[Xmxj`RKdgdzj~RH\B^CX74[XI[Q8=PQjqtco[7YhWjs7=3<7;LaliuguWOYISLU<1\]BV^56UVozylbP2^m\g|:56;20Anabp`p\BVDXIR9:QRO][23^[`wrieU9SbQly=1=6==Jkfg{mQISC]B_67ZWHXP?RaPcx>5:7>?R_dsveiY5WfUhu191299Ngjkwi{UM_OQN[23^[DT\;8WTi|{nl^0\kZe~414946ClolrbvZ@TJVKP?3Dida}o}_GQA[D]49TUJ^V=>]^grqdjX:VeT`xz30?0b?HeheykySK]M_@Y05XYFZR9:QRk~u`n\6ZiXd|~7==0=9:O`khvfzVLXNROT30_\EW]49TUn}xoc_3]l[iss484956ClolrbvZ@TJVKP?Kdgdzj~RH\B^CX74[XI[Q8=PQjqtco[7YhWe090=9:O`khvfzVLXNROT30_\EW]49TUn}xoc_3]l[iss4<4956ClolrbvZ@TJVKP?Kdgdzj~RH\B^CX74[XI[Q8=PQjqtco[7YhWe050=9:O`khvfzVLXNROT30_\EW]49TUn}xoc_3]l[iss40497C>=;O34?KCS_FX@86@@ND38K1=HJ\L>7BJKR3;8KURNE@PNH<94OQVJIL\BWYXBADZFVDQ\JBE33F__x45@UUv\KGSA92Zh7] =0012445XD=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB02ZG@RLNSD48TVBHFL;0]<5]7:PBIFJL8j1YM@MCK1]LFP@03[KFOAE>c:PBIFJL9VEIYKo4RDD\NLRSM[;>7_K\EU]LJZJH[ZUDJRB@CJ18VVR63Z;:7^F]EF]F\QTFK]UEKNk4SIPFCZKNFVYBVH:4SMGT2>UH][IN:6]]TXRF2>USI]_X86]VNB68PWSB<2^R\Hm4URGQ[SOTAKFN?6XLC89UM@QX\PZN:6YnrqN@5a=_AECET VKB!2-5%US]K*;"<.NSBKJ2>^T\ECI;6V\T^EM@2=_[]U]ON84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee?6V|t29ZAV4{Rc`>406289o6<=;6476>62e0:qe=8h51:l225<13-;>i7?:a:Pe5<6>80:?i4>354610<4406289o6<=;6476>62e0:1/=8651078 45b28<>7o?:9;296g<0;332wE?:6:&210<6=01Q8o4>{58~ 42b281Qm?4>{419y!73l3;=>6gk6;29 4272m?0b<=i:198ma2=83.:8=4k5:l27c<632co>7>5$063>a33g80?>oc83:1(<:?:e78j45a2=10enh50;&205;6i;4n01e>3=418g1>h6;o0<76glc;29 4272m?0b<=i:998mfd=83.:8=4k5:l27c<>32chm7>5$063>a33g8a?>od?3:1(<:?:e78j45a2j10en850;&205;6i;4n01e>`=1<7*>418g1>h6;o0m76gl3;29 4272m?0b<=i:028?le5290/=9>5d49m56`=9810en?50;&2052:9jg5<72-;?<7j:;o30b?7432cij7>5$063>a35<#9=:1h85a12d950=418g1>h6;o0::65fdb83>!7383n>7c?ocj3:1(<:?:e78j45a28207djn:18'516=l<1e=>h51898ma?=83.:8=4k5:l27c<6i21bh54?:%374?b23g;8j7?m;:kg3?6=,8>;6i;4n01e>4e<3`n86=4+1529`0=i9:l1=i54ib:94?"6<90o96`>3g82a>=njm0;6)?;0;f6?k74n3;m76gn7;29?l77n3:17do::188m=3=831b::4?::m27d<722ej?7>5;n`b>5<>6=44o064>5<>{e9:6g;c;29?lgc2900c<:<:188yg4?29086=4?{%361?d>3A;>n6F>579j0f<722cjh7>5;n377?6=3th8?7>53;294~"6=<0i56F>5c9K500>i6<:0;66sm3d83>6<729q/=8;5b89K50d<@8?=7d:l:188mdb=831d=9=50;9~f17=8391<7>t$076>g?<@8?i7E?:6:k7g?6=3`ko6=44o060>5<96=4<:183!72=3h27E?:b:J213=n4?::a01<72:0;6=u+1479f<=O95<o3k3:17dok:188k4242900qo:9:180>5<7s-;>97l6;I36f>N6=?1b8n4?::kb`?6=3f;??7>5;|`1=?6=;3:1548a=>N6=k1C=884i5a94?=nim0;66a>4283>>{e:h0;6>4?:1y'503=j01C=8l4H075?l2d2900elj50;9l515=831vn?l50;194?6|,8?>6o74H07a?M72>2c?o7>5;hcg>5<86=44}c0`>5<4290;w)?:5;`:?M72j2B:9;5f4b83>>ofl3:17b?;3;29?xd5l3:1?7>50z&210h4?:283>5}#9h6=44i`f94?=h9=91<75rb3d94?5=83:p(<;::c;8L43e3A;>:6g;c;29?lgc2900c<:<:188yg5729086=4?{%361?d>3A;>n6F>579j0f<722cjh7>5;n377?6=3th8=7>53;294~"6=<0i56F>5c9K500>i6<:0;66sm3383>6<729q/=8;5b89K50d<@8?=7d:l:188mdb=831d=9=50;9~f62=8391<7>t$076>g?<@8?i7E?:6:k7g?6=3`ko6=44o060>5<6=4<:183!72=3h27E?:b:J213=n4?::a72<72:0;6=u+1479f<=O95<o3k3:17dok:188k4242900qo=6:180>5<7s-;>97l6;I36f>N6=?1b8n4?::kb`?6=3f;??7>5;|`0e?6=;3:1548a=>N6=k1C=884i5a94?=nim0;66a>4283>>{e;k0;6>4?:1y'503=j01C=8l4H075?l2d2900elj50;9l515=831vn>m50;194?6|,8?>6o74H07a?M72>2c?o7>5;hcg>5<86=44}c1g>5<4290;w)?:5;`:?M72j2B:9;5f4b83>>ofl3:17b?;3;29?xd4n3:1?7>50z&2105}#9h6=44i`f94?=h9=91<75rb01b>5<4290;w)?:5;`:?M72j2B:9;5+1039f==ij?0:46g;c;29?lgc2900c<:<:188yg75>3:1;7>50z&210<6911C=8l4H075?!77k3?0e<>=:188m4642900e<>;:188m4622900e<>9:188m4602900c<=::188yg76j3:187>50z&210<69h1C=8l4H075?l362900eo<50;9j51e=831d=>;50;9~f44?290<6=4?{%361?7602B:9o5G1448 46d2<1b==<50;9j555=831b==:50;9j553=831b==850;9j551=831d=>;50;9~f47c290?6=4?{%361?76i2B:9o5G1448m07=831bn?4?::k20f<722e:?84?::a57?=83=1<7>t$076>47?3A;>n6F>579'55e==2c:1<7>t$076>47f3A;>n6F>579j14<722ci>7>5;h37g?6=3f;897>5;|`26d<72>0;6=u+147954><@8?i7E?:6:&24f<23`;;>7>5;h337?6=3`;;87>5;h331?6=3`;;:7>5;h333?6=3f;897>5;|`25c<72=0;6=u+147954g<@8?i7E?:6:k65?6=3`h96=44i06`>5<6=44}c31f?6=?3:154825==O95<5<5<6=44}c314?6=<3:154825d=O95<5<0290;w)?:5;32<>N6=k1C=884$02`>0=n9981<75f11194?=n99>1<75f11794?=n99<1<75f11594?=h9:?1<75rb002>5<3290;w)?:5;32e>N6=k1C=884i4394?=nj;0;66g>4b83>>i6;<0;66sm13f94?1=83:p(<;::03;?M72j2B:9;5+11a91>o68;0;66g>0283>>o68=0;66g>0483>>o68?0;66g>0683>>i6;<0;66sm13094?2=83:p(<;::03b?M72j2B:9;5f5083>>oe:3:17d?;c;29?j74=3:17pl>2d83>2<729q/=8;510:8L43e3A;>:6*>0b86?l77:3:17d??3;29?l77<3:17d??5;29?l77>3:17d??7;29?j74=3:17pl>2283>1<729q/=8;510c8L43e3A;>:6g:1;29?ld52900e<:l:188k4522900qo?=f;293?6=8r.:984>199K50d<@8?=7)??c;78m4652900e<><:188m4632900e<>::188m4612900e<>8:188k4522900qo?=4;290?6=8r.:984>1`9K50d<@8?=7d;>:188mg4=831b=9m50;9l563=831vn<=?:184>5<7s-;>97?>8:J21g=O9<<0(<>l:49j554=831b===50;9j552=831b==;50;9j550=831b==950;9l563=831vn<<::187>5<7s-;>97?>a:J21g=O9<<0e8?50;9jf7<722c:8n4?::m270<722wi=?950;794?6|,8?>6N6=?1/==m56:k247<722c:<>4?::k241<722c:<84?::m270<722wi=6N6=?1b9<4?::ka6?6=3`;?o7>5;n301?6=3th<97>58;294~"6=<0:?:5G14`8L4313-;857?93:&a4?2c3-;;o7>4$072>11>o3l3:17d:j:188m06=831b=9:50;9j51e=831d=9=50;9~f22=8321<7>t$076>4503A;>n6F>579'56?=9?90(o>54e9'55e=82.:9<4;7:kba?6=3`km6=44i5f94?=n369K50d<@8?=7)?<9;357>"e83>o7)??c;28 4362==0elk50;9jec<722c?h7>5;h6f>5<50873>ofm3:17doi:188m1b=831b8h4?::k64?6=3`;?87>5;h37g?6=3f;??7>5;|`4b?6=03:1548272=O9=7?:3:kba?6=3`km6=44i5f94?=n7>50z&210<312B:9o5G1448 45>28<87b?<5;29?l7793:17pl89;296?6=8r.:984;a:J21g=O9<<0(<=6:040?!d328??7)??e;`;?j74=3:17d??1;29?xd0j3:1>7>50z&210<6801C=8l4H075?!7413;=?6*;f;`6?!77i3:0(<>m:077?!76;3h:7d??1;29?j74=3:17pl71;296?6=8r.:984>089K50d<@8?=7)?<9;357>"3n3h>7)??a;28 46e28??7)?>3;`2?l7793:17b?<5;29?xda?3:1>7>50z&210<6811C=8l4H075?!76;3;:56g>0083>>i6;<0;66smf783>7<729q/=8;511:8L43e3A;>:6*>12825<=n99;1<75`12794?=zjo>1<7<50;2x 43228:37E?:b:J213=#9891=<74i022>5<6=44}cd0>5<5290;w)?:5;33<>N6=k1C=884$030>47>3`;;=7>5;n301?6=3thm>7>52;294~"6=<0:<55G14`8L4313-;:?7?>9:k244<722e:?84?::ab4<72;0;6=u+147955><@8?i7E?:6:&256<6901b==?50;9l563=831vnk>50;094?6|,8?>6<>7;I36f>N6=?1/=<=510;8m4662900c<=::188ygca29096=4?{%361?7702B:9o5G1448 47428;27d??1;29?j74=3:17plje;296?6=8r.:984>099K50d<@8?=7)?>3;32=>o6880;66a>3483>>{emm0;6?4?:1y'503=9920D<;m;I362>"69:0:=45f11394?=h9:?1<75rbda94?4=83:p(<;::02;?M72j2B:9;5+101954?5<5c9K500<,8;865;|`f=?6=:3:154824==O95}#92.:=>4>189j557=831d=>;50;9~f`1=8381<7>t$076>46?3A;>n6F>579'545=9830e<>>:188k4522900qok9:181>5<7s-;>97??8:J21g=O9<<0(7>50z&210<6811C=8l4H075?!76;3;:56g>0083>>i6;<0;66sme583>7<729q/=8;511:8L43e3A;>:6*>12825<=n99;1<75`12794?=zjl91<7<50;2x 43228:37E?:b:J213=#9891=<74i022>5<6=44}cg1>5<5290;w)?:5;33<>N6=k1C=884$030>47>3`;;=7>5;n301?6=3thn=7>52;294~"6=<0:<55G14`8L4313-;:?7?>9:k244<722e:?84?::aa5<72;0;6=u+147955><@8?i7E?:6:&256<6901b==?50;9l563=831vnkk50;094?6|,8?>6<>7;I36f>N6=?1/=<=510;8m4662900c<=::188yg`c29096=4?{%361?7702B:9o5G1448 47428;27d??1;29?j74=3:17plic;296?6=8r.:984>099K50d<@8?=7)?>3;32=>o6880;66a>3483>>{enk0;6?4?:1y'503=9920D<;m;I362>"69:0:=45f11394?=h9:?1<75rbgc94?4=83:p(<;::02;?M72j2B:9;5+101954?5<5c9K500<,8;865;|`e54824==O95}#92.:=>4>189j557=831d=>;50;9~f`g=8381<7>t$076>46?3A;>n6F>579'545=9830e<>>:188k4522900qoji:181>5<7s-;>97??8:J21g=O9<<0(7>50z&210<6811C=8l4H075?!76;3;:56g>0083>>i6;<0;66sm10294?4=83:p(<;::02;?M72j2B:9;5+101954?5<5c9K500<,8;865;|`27g<72;0;6=u+1479560<@8?i7E?:6:&256<6901en;4>8:k244<722e:?84?::ae1<72;0;6=u+1479560<@8?i7E?:6:&256<6901en;4>8:k244<722e:?84?::afg<72;0;6=u+1479560<@8?i7E?:6:&256<6901en;4>8:k244<722e:?84?::a510=8381<7>t$076>4513A;>n6F>579'545=9830bo85199j557=831d=>;50;9~f42?29096=4?{%361?74>2B:9o5G1448 47428;27cl9:0:8m4662900c<=::188yg0>29096=4?{%361?74>2B:9o5G1448 47428;27cl9:0:8m4662900c<=::188yg0b29086=4?{%361?d>3A;>n6F>579j0f<722cjh7>5;n377?6=3thjm7>54;294~"6=<0:=;5G14`8L4313-;;o7<4i021>5<5<6=44}cc:>5<3290;w)?:5;32e>N6=k1C=884i4394?=nj;0;66g>4b83>>i6;<0;66sm7283>7<729q/=8;511f8L43e3A;>:6g>0083>>i6;<0;66sm7983>7<729q/=8;511f8L43e3A;>:6g>0083>>i6;<0;66sm7183>7<729q/=8;511f8L43e3A;>:6g>0083>>i6;<0;66sm8583>g<729qC=884$076>4263-;857?93:&7g1<,8>j6o64n779g>h?03<0b5m51:l:4?71=6`68;38j"?>390j5750/78b=g=82l3h7>!5:d;a?6`>=3:%96h66;28b4f06:>5(7811b484?::k57?6=3f2<6=44o7694?=n9=h1<75`9283>>i?j3:17b78:188k05349>571=9990q~h;:18085c2hn01k:51278945728:97p}i3;297~;4k3ko70h<:016?87483;;?6s|f383>6}:;k0jh63i2;301>;6;90:<95rsg394?5|5:k1mi52f08270=:9;l1==<4}rd3>5<4s4926lj4=g29563<588m6<><;|qfb?6=;r7847ok;45234;9j7??4:pa`<72:q6?:4nd:?fa?74=27:>h4>039~w`b=839p1>85ae9>aa<6;<16=?k51118yvcd2908w0=::`f89`e=9:?01<70?=d;336>{tm00;6>u2338b`>;b13;8963>2e8246=z{l21<7=t=239ea=:m10:?85213f9552db<5l=1=>;4=00`>4653tyn:7>53z?1b?gc34o=6<=:;<31g?77;2wxi84?:2y>6`349>57d=9980q~k<:18084d2hn01h=51278944e28:87p}j2;297~;5j3ko70k=:016?875j3;;86s|e083>6}::h0jh63j1;301>;6:h0:5<4s4>=6lj4=gg9563<588j6<>;;|qe`?6=;r7?97ok;45234;957??2:pbf<72:q6894nd:?eg?74=27:>44>029~wcd=839p19=5ae9>bg<6;<16=?751168yv`f2908w0:=:`f89cg=9:?01<<7:021?xua13:1?v3;1;cg?8`>289>70?=8;337>{tn10;6>u23d8b`>;a03;8963>298241=z{o?1<7=t=219ea=:n<0:?8521349554db<5lk1=>;4=005>4643tyoj7>53z?13?gc34nm6<=:;<312?77<2wxmo4?:3y>eg<6;<16ml4>029~w4772909w0?>0;301>;fi3;;86s|a783>7}:i?0:?852a`8247=z{1?1<7>;|q2b?6=:r7?<7?;3:?262<68=1vv3;6;90:<85rs0`94?4|5:i1=9=4=013>4613ty:m7>52z?0f?73;27:?=4>069~w4?=838p1>o51518944a28:>7p}>8;296~;413;??63>2g8243=z{8=1<78;|q22?6=:r78;7?;3:?26`<68<1v<;50;0x960=9=901<v3<5;377>;6:l0:<:5rs0194?4|5:>1=9=4=00g>4623ty:=7>52z?06?73;27:>i4>079~w46=838p1>?51518944c28:<7p}i:18185728>870?=c;331>{tm3:1>v3=f;377>;6:j0:<;5rse83>7}::l0:8>5213a9551:;|qa>5<5s48h6<:<;<31f?77>2wxm7>52z?1f?73;27:>o4>069~w<<72;q6>l4>429>57g=99?0q~650;0x97?=9=901<3:1>v3;6;377>;6:h0:<:5rs3794?4|5=?1=9=4=00:>4623ty987>52z?70?73;27:>44>079~w75=838p19=51518944>28:<7p}=2;296~;3:3;??63>298240=z{;;1<79;|q14?6=:r78i7?;3:?26=<68>1vv3=8;377>;6:?0:<;5rs683>7}::>0:8>521349551;|qb3c<6<:16:44>009~wge=8383w0<8:5a897>=4;c:?0a?2d34>:69m4=5090f=:<:0?o63;4;6`?8222=i019854b9>6<<3k279m7:l;<0a>1e<5;i18n522e87g>;5m3>h70754b9>7d<3k278n7:l;<1`>1e<5:n18n523g87g>;383>h70?34<3l27=h7:k;<:0>4523442434k?6<>>;|qaf?6=:r7<=7?;3:?af?7792wx=9850;0x922=9=901<:9:022?xu6<10;6?u2748206=:9=21==?4}r30f?6=:r7:?l4>429>56d=99;0q~?<1;295f}:9;0=3;?o6384;cf?81328>?709;:06`?81628>?709>:06`?80c2ho01;j5156893b=9=i01:h5ag9>3c<6<=16;k4>4b9>e<4=7f915=:>m0jj638f;73?81a2ho0q~8j:1878162ho01:?5ag9>2`<6<:16;=4>009~w24=83?p1:;54d9>31<3m27<=7:j;<4g>1c<5>91=>;4}r312?6=:r7:>;4>349>54d=9=i0q~?>b;296~;69k0:?85210f914=z{8836=4={<314b9~w47c2909w0?>d;301>;69l0>=6s|13;94?4|58826<=:;<32a?73k2wx=70?>f;72?xu6:h0;6?u213c9563<58;m6<:l;|q25c<72;q6=52z?265<6;<16=??5509~w44d2909w0?=c;301>;6:80:8n5rs002>5<5s4;9=7?<5:?267<292wx=?j50;0x944c289>70?=2;37g>{t9;81<745234;9?7;>;|q26`<72;q6=?k51278944428>h7p}>2283>7}:9;91=>;4=007>0752z?26c<6;<16=?:515a8yv75<3:1>v3>258270=:9;?19<5rs013>5<5s4;8<7?<5:?260<61b865>{t9;=1<745234;:o7?;c:p32<72;q6;k4;e:?43`<6;<16;k4;d:?43<<6;<1649493:p<7<72;q64>4>009><13`<6881649494:p3d<72;q6;o4>349>3<<6881v5>50;0x9=7=9:?015:5849~wa0=838pRi84=g59557>;|q`a?6=:rThi63i0;335>{tkm0;6?uQce9>ac<6881vnm50;0xZfe<5lo1==?4}raa>5<5sWii70kk:022?xudi3:1>vPla:?fg?7792wxo44?:3y]g<=:mk0:<<5rsb594?4|Vj=01h751138yve12909wSm9;4663tyh97>52z\`1>;b?3;;=6s|c583>7}Yk=16i;4>009~wf5=838pRn=4=d79557>;|qg`?6=:rToh63ie;335>{tlj0;6?uQdb9>ba<6881vil50;0xZad<5oi1==?4}rfb>5<5sWnj70hm:022?xuc13:1>vPk9:?ee?7792wxh54?:3y]`==:n00:<<5rse594?4|Vm=01k651138yvb42909wSj<;4663tyh47>52z\`<>;bi3;;=6s|be83>7}Yjm16hk4>009~wd1=838pRl94=``955752z\24c=:98:1==?4}rc6>5<5sWk>70o9:022?xu6;h0;6?uQ12c8945e289>7p}n3;296~Xf;27j87?<5:pfd<72;qUnl52bc8270=z{8>>6=4={_371>;65<5sW;?;63>498270=z{?21<751278yvgf2909w0on:016?8g>28>h7psa23094?7|@8?=7p`=2283>4}O9<<0qc<=4;295~N6=?1vb?<::182M72>2we>?850;3xL4313td9>:4?:0yK50051zJ213=zf;826=4>{I362>{i:;k1<7?tH075?xh5:k0;6579~j74c290:wE?:6:m67c=83;pD<;9;|l16c<728qC=884}o004?6=9rB:9;5rn312>5<6sA;>:6sa22094?7|@8?=7p`=3283>4}O9<<0qc<<4;295~N6=?1vb?=::182M72>2we>>850;3xL4313td9?:4?:0yK50051zJ213=zf;926=4>{I362>{i::k1<7?tH075?xh5;k0;6579~j75c290:wE?:6:m66c=83;pD<;9;|l17c<728qC=884}o074?6=9rB:9;5rn362>5<6sA;>:6sa25094?7|@8?=7p`=4283>4}O9<<0qc<;4;295~N6=?1vb?:::182!76:3;886F>579~j721290:w)?>2;300>N6=?1vb?:8:182M72>2we>9650;3xL4313td9844?:0yK50051zJ213=zf;>i6=4>{I362>{i:=i1<7?tH075?xh5579~j72a290:wE?:6:m606=83;pD<;9;|l114<728qC=884}o066?6=9rB:9;5rn370>5<6sA;>:6sa24694?7|@8?=7p`=5483>4}O9<<0qc<:6;295~N6=?1vb?;8:182M72>2we>8650;3xL4313td9944?:0yK500m7>51zJ213=zf;?i6=4>{I362>{i:579~j73a290:wE?:6:m636=83;pD<;9;|l124<728qC=884}o056?6=9rB:9;5rn340>5<6sA;>:6sa27694?7|@8?=7p`=6483>4}O9<<0qc<96;295~N6=?1vb?88:182M72>2we>;650;3xL4313td9:44?:0yK50051zJ213=zf;{I362>{i:?i1<7?tH075?xh5>m0;6579~j70a290:wE?:6:m626=83;pD<;9;|l134<728qC=884}o046?6=9rB:9;5rn350>5<6sA;>:6sa26694?7|@8?=7p`=7483>4}O9<<0qc<86;295~N6=?1vb?98:182M72>2we>:650;3xL4313td9;44?:0yK50051zJ213=zf;=i6=4>{I362>{i:>i1<7?tH075?xh5?m0;6579~j71a290:wE?:6:m6=6=83;pD<;9;|l1<4<728qC=884}o0;6?6=9rB:9;5rn3:0>5<6sA;>:6sa29694?7|@8?=7p`=8483>4}O9<<0qc<76;295~N6=?1vb?68:182M72>2we>5650;3xL4313td9444?:0yK50051zJ213=zf;2i6=4>{I362>{i:1i1<7?tH075?xh50m0;6579~j7>a290:wE?:6:m6<6=83;p(2we>4?50;3x 475289?7E?:6:m6<4=83;p(2we>4=50;3x 475289?7E?:6:m6<2=83;p(2we>4;50;3x 475289?7E?:6:m6<0=83;p(2we>4950;3x 475289?7E?:6:m6<>=83;p(2we>4750;3x 475289?7E?:6:m62we>4l50;3x 475289?7E?:6:m62we>4j50;3x 475289?7E?:6:m62we>4h50;3x 475289?7E?:6:m6d6=83;p(2we>l?50;3x 475289?7E?:6:m6d4=83;p(2we>l=50;3x 475289?7E?:6:m6d2=83;p(2we>l;50;3x 475289?7E?:6:m6d0=83;p(2we>l950;3x 475289?7E?:6:m6d>=83;p(2we>l750;3x 475289?7E?:6:m6dg=83;p(2we>ll50;3x 475289?7E?:6:m6de=83;p(2we>lj50;3x 475289?7E?:6:m6dc=83;p(2we>lh50;3x 475289?7E?:6:m6g6=83;p(2we>o?50;3x 475289?7E?:6:m6g4=83;p(2we>o=50;3xj42a2<:0D<;9;|l1f1<728qe=9h5519K50051zl20c<282B:9;5rn3`5>5<6sg;?j7;?;I362>{i:k=1<7?tn06e>06<@8?=7p`=b983>4}i9=l19=5G1448yk4e13:1=vF>579~j7df290:wE?:6:~yxFGKr9>87jCUEWZCQ0<4?>8904+6789:;=95<2;KMTPR=NZHTM1=>:1<27>552@D[YY4kioc?74<768?0??4@UURVP?@TJVN7?<4?>0787711394>LHW]]0jhi|n<783:44;032245>7<98;=85NSXL@=>DFFM]BHYF;;CWEC==E]OCD>KL7;CWP[LHAG81H>6M?2:A2f>EFXHIBXL@LE39@A7=DA=1H@F>9;BNH5=613JF@>:>:;BNH6]>5:AOOF723JF@H<;4CMIGRf=DDBN]SIGYIEG4?FJLNKCE46MCKG@LQQb7NBDIOa8GIMNFVZN^DAK7:AOOJSS8l1H@FAZT1]GMSOCM880OAE@UU2\@PR^WJSH@Hj4CMILQQ6XXAKXI:5LLJMVP4c_EWW]ZE^KEOo7NBDOTV2[UNF[L=0OAE@UU0f?FJLG\^9SIGYIEG26>EKCF__>RJZTX]@]FJBl2IGGB[[2^RKEVC03JF@CXZQ_H@QF3>EKCF__8h5LLJMVP1YCA_COI<<4CMILQQ2XL\^RSNWLLDf8GIMH]]>T\EO\E69@HNIR\EW]JF@SBLZF69@TPYiIZk0O][PnRVFVHcEkcNoe=6J=;E21?A7c3MNYSLBFGOOFJQ1@CZ?1M\XMCKc9ETPEKCVEIYK84FR@@HN>19:DPFZG;99427K]M_@>25;?89EWGYF489556H\B^C?518>3OYISL2>5?;8BVDXI5;=245ISC]B841912LXNRO319<:?CUEWH6:5364FR@\E97912LXNRO321<:?CUEWH69=374FR@\E945601M_OQN<31==>@TJVK7>906;GQA[D:5=730J^LPA=05:<=A[KUJ0?919:DPFZG;:1427K]M_@>1=;>05?6912LXNRO330<;?CUEWH68255ISC]B818?3OYISL2:>99EWGYF4?437K]M_@>4:==A[KUJ0507;GQA[D:>6m1M_OQN_02\MGSAl2LXNROP10]JFP@c3OYISLQ>2^KAQCb@TJVKT=8QFBTDg?CUEWHU::RGMUGf8BVDXIV;QFBTD`?CUEWHU?SDLZFb9EWGYFW89EWGYC48:556H\B^F?548>3OYISI2>2?;8BVDXL5;8245ISC]G842912LXNRJ314<:?CUEWM6::374FR@\@970601M_OQK<0:==>@TJVN7=407;GQA[A:6601M_OQK<32==>@TJVN7><06;GQA[A:5:730J^LPD=00:<=A[KUO0?:19:DPFZB;:<427K]M_E>12;?89EWGYC4;2556H\B^F?6<8?3OYISI2=>89EWGYC4::5n6H\B^F?74<7601M_OQK<23=<>@TJVN7?364FR@\@92902LXNRJ35?:8BVDXL5<546H\B^F?3;>1^MAQCb@TJVNT=9Q@BTDg?CUEWMU:9RAMUGf8BVDXLV;=SBLZFe9EWGYCW8=TCO[Id:DPFZBX91UDNXHk;GQA[AY61VEIYKm4FR@\@Z7XGK_Mh6H\B^F\65YHJ\Lo7K]M_E]15ZIE]On0J^LPD^01[JDRNm1M_OQK_31\KGSAl2LXNRJP25]LFP@c3OYISIQ=5^MAQCb@TJVNT>5Q@BTDg?CUEWMU95RAMUGa8BVDXLV8TCO[Id:DPFZBX;9UDNXHk;GQA[AY49VEIYKm4FR@\@Z5XGK_Mo6H\B^F\0ZIE]Oi0J^LPD^7\KGSAk2LXNRJP6^MAQCe@TJVNT4RAMUGa8BVDXLV3TCO[Ia:DPFZUNR5:5o6H\B^QJ^97=87k0J^LPSHX?5;7O53@:97D?=;H01?L553@>97D;;;H@VB0=NJ\LL==5FBTD\@@JFQV\J@XKl;H@VBZJH_VZ\^45FNHVPPDRB;2CEZi5FNWGQPZOIX\^X46G@BEGOE\g6@?2:L23>HB\^EYG95AOOG2?J2IW\@GBVHQ_RHOJPLPB[VDLO<5_c:R-657499;8SA:4P@PWe>VNFVH^_DJWb:RJJZDR[GKFI>5_LM3ff>VKD%iggRyfz^t0[3*|kfg{cckat^lbi`;WDE&~hQh`qf[djnoggnby3{rtg/qvcXe`dTcRdftugq9qtrm%xiRcnx^k\nlrsm{7mma}j,tqf[aiWybjhQ|hhdw9cgk{l&~hQfnbnh[vqn|jbdzh|2f`npa)stmVnrbR|jcnlemb;aieyn kkjdcc`oZuh}{in1KJMK^CVPJYHNOICE]"rhow}ucX{lf6\ABPB@QF(ksjWfSnbd=0.`hnoi9Vzn~dak=22-456+keabb?Qeskl`857&9:; x}j_qmtawYcg~d6jlb|e-wpaZtb{l~6jlb|e-wpaZjhkboo1y|ze-wpaZoikeaTyapdf>bdjtm%xiR}{auwp9cgk{l&~hQirdgya8`fdzo'y~kPcmi\rdjnl4lj`~k#fdggfdelW}s{i0]FNFNF(fjhkbUfkQ{yqg>IDHRIE&fmc{nl^muawtnll7mma}j}99SHIYEIZO=7]]KOOGe?Utbnl~hdIo{aSCNa>VumoooeZngSCN5>W63[=0^LCLLJ2`?WGJKEA;SBLZF69QEHEKC8i0^LCLLJ3\KGSAi2XNJRDFTUGQ50=UMZO_SB@PLNQP[J@XDFI@?6\\T09P54=T@[OLSHV[R@AW[KADm2YC^HIPMHL\WL\B<2YGIZ84SNWQG@0<[[^R\H84SUCWQV2<[PDH86Z]UD68P\VBk2_XI_QYIRKAH@5<^JI27[GJW^VZT@0<_hx{@N?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG14Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us;2SN_95n<1<7?d:66=1j0?0;;`>0:3=f4=0;295n<5<;?dbczh6;255ndepb848?3hno~l2=>99b`atf4:437ljkr`>7:==flmxj080n;`fgvd:129437ljkr`>5:==flmxi0=07;`fgvg:6611jhi|m<3<;?dbczk68255ndepa818?3hno~o2:>`9b`ate4?0;255ndepa838b3jm$O][LLJ]jjvrf3jm$O][LLJpf?fa(NY_H@FQfnrv25>e`'OYIOAEPIOT\7Zi602il#K]MCMI\MKPX;Ve:SD@Y_1d8gb)UIDIGG=Qfnrve?fa(ZHGH@F?Pioqw50=do&zg`"mckg`\fp``Wf=:5lg.roh*ekcohTnxhh_nww[k7b3jm$|ab cmiefZdrnnUdyyQa1^KMRZ66=2il#}bc/bnhbgYe}ocd>kl>a:ad+ujk'jf`joQfbtd1fp`ng;li=?5lg.roh*ekcohTeo{ig058gb)wde%h`fhm_hl\fp`Xg|~m7ni pmn,gimajf=95lg.roh*ekcohdyyQmuge20>e`'yfg#nbdfcmvpZicl{n0oj!lm-`hnoi98;0oj!lm-`hnoi9Vh~jh5lg.roh*ekcf<<<4cf-shi)ddbe~xekck>0h1>15:f?55823m6:=3;4d=31:0=c489596j315<6?a:6=7?0h1?9>49g8419=2n7=50:;e>2=;20;;e>7:1=c4<4?7i29>59g82833m63295k<8<4?aoii5:546jfn`>24;>bnfh6:8364dhlb843902nbbl2>6?:8`lhf48=546jfn`>2<;>99gmkg;:=437igaa=06:==cagk7>;07;ekme940611oeco329<;?aoii5822:5kioc?6;>>1a:fjjd:493:546jfn`>05;108;ekme929?2nbbl2:>69gmkg;>7=0hd`n<6<4?aoii525;6jfn`>::7b20;?89gkpre48<556j`uu`?528>3me~xo2>8?;8`jssj5;2255kotva848>3me~xo2=0?;8`jssj58:245kotva874912ndyyl322<:?air|k698374dnwwf942601ocxzm<34==>bh}}h7>:06;emvpg:50730hb{{b=0::==cg|~i0?06;emvpg:487h0hb{{b=12>58>3me~xo2<1?:8`jssj59546j`uu`?0;>720hb{{b=5=<>bh}}h74364dnwwf9?9>2n{c1>17:fsk9776>1o|b2>1?58`ui;9;4<7i~`<01=3>bwg5;?2:5kpn>21;169gtj:617<0h}a31?58`ui;:9427i~`<3394;1<09;erl87813mzd0>09;erl81813mzd0809;erl83813mzd0:09;erl8=813mzd0408;erqe969?2n{~l2>>69gtwg;:7=0h}|n<2<:?avui5>1<394dqpb81843ldj?6kab048h7vum&I[YNBDr^KMRZ72Wf;o7a<rd-@TPEKC{UBB[Q>5^m2[LHQW9997a<rd-Ngjkwi{UYHYoh[32^[WBF\hmP>=SPepwbhZ3XgVir0=0<2:n1twc(Ejef|l|PREVbc^47UVXOMYoh[32^[`wrieU>SbQly=3=77=k:yxn#@m`mqcq[WBSinQ9j5x{o$Anabp`p\VARfoR8;QR\KAUcd_76ZWl{~maQ:_n]`}939;;1g>}|j/LaliuguW[N_mjU=0\]Q@DRfoR8;QRk~u`n\1ZiXkp6=2>=4l3rqa*Kdgdzj~R\KT`eX65[XZMK_mjU=0\]fupgkWj5x{o$Anabp`p\VARfoR8;QR\KAUcd_76ZWl{~maQ:_n]oqq:66:90`?~}e.O`khvfzVXOXliT21_\VAGSinQ9SbQcuu>0:65=SPRECWeb]58TUn}xoc_4]l[iss4=48?6b=psg,IfijxhxT^IZngZ03YZTCI]klW?>R_dsveiY2WfUgyy2:>218h7vum&Ghc`~nr^PGPda\:9WT^IO[afY14XYby|kgS8Q`_mww8385<2f9|k REVbc^47UVXOMYoh[32^[`wrieU>Sbk4l3rqa*Qfq9Njxlj4l3rqa*Qfq9^jkh5c2qpf+k67::6;2k5c2qpf+k67::6:<3h4l3rqa*h78;97=<0i;m0sv`)i89880<<1f:n1twc(f9:9?1?<>g9o6utb'g:;>>2>4?d8h7vum&d;j5x{o$b=>=3=34:c=k:yxn#c>?22>2<;`}|j/o2366:56l1g>}|j/o2366:46l1g>}|j/o2366:36l1g>}|j/o2366:26l1g>}|j/o2366:16l1g>}|j/o2366:06l1g>}|j/o2366:?6l1g>}|j/o2366:>6;1eci5lm]aevcXagy:6}o=2=3>uwg5;;2:5|pn>25;1<{ye7=?08;rrl8459?2y{c1?;>69ptj:6=7=0}a317<4?vvh48=5;6}o=3;:2=txf6:5384sqm?5;1<{ye7>=06;rrl877=87=0}a320<5?vvh4;4=7~~`<2<5?vvh4=4=7~~`<4<5?vvh4?4=7~~`<6<5?vvh414=7~~`<8<1?rc13~oj0=09;vgb84813~oj0?07;vgb86<76?1|il2<>028|f0tdq3&> h{g375yEFw;o:0LMv>2e8E>7<6sZ?26<=9:02g>453>37824a<6;=<>9:4=f5f:?a75l3:1=7?tS4;9560=99n1=>:954596c2c?2|_=?:50;395?dcsZ?26<=9:02g>453>"6;;0:5k5U50824752t.:><4>369j<1<72-;:<76<;o33b?6<3`296=4+1029<6=i99l1=65f8183>!7683287c??f;08?l1a290/=<>5829m55`=;21b;h4?:%324?>43g;;j7:4;h5g>5<#98:14>5a11d91>=n?j0;6)?>0;:0?k77n3<07d9m:18'546=0:1e==h57:9j3d<72-;:<76<;o33b?><3`=26=4+1029<6=i99l1565f7983>!7683287c??f;c8?l10290/=<>5829m55`=j21b;84?:%324?>43g;;j7m4;h57>5<#98:14>5a11d9`>=n?:0;6)?>0;:0?k77n3o07d9=:18'546=0:1e==h5f:9j34<72-;:<76<;o33b?7732c<<7>5$033>=55<#98:14>5a11d957=118;7>h68o0:?65f6e83>!7683287c??f;37?>o1k3:1(43g;;j7?7;:k;4?<3`2<6=4+1029<6=i99l1=l54i9494?"69903?6`>0g82f>=n0<0;6)?>0;:0?k77n3;h76g71;29 4772190b<>i:0f8?l11290/=<>5829m55`=9l10e;l50;&255f:9ja<<72-;:<7k7;o33b?6<3`o<6=4+1029a==i99l1=65f5583>>o4<3:17d5$033>gc0g81?>iei3:1(0=118aa>h68o0=76am6;29 4772ko0b<>i:698kg3=83.:==4me:l24c5$033>gc0g8b?>ie:3:1(50;&255a=118aa>h68o0n76and;29 4772ko0b<>i:g98kde=83.:==4me:l24c<6821dmo4?:%324?db3g;;j7?>;:mbe?6=,8;;6ok4n02e>44<3fk26=4+1029f`=i99l1=>54o`:94?"6990ii6`>0g820>=hi>0;6)?>0;`f?k77n3;>76al6;29 4772ko0b<>i:048?je2290/=<>5bd9m55`=9>10cn:50;&2558:9lg6<72-;:<7lj;o33b?7>32eh>7>5$033>gc5<#98:1nh5a11d95g=118aa>h68o0:o65`bb83>!7683hn7c??f;3g?>ie93:1(5;n32f?6=3f9;6=44b012>5<6290;w)?=9;31f>N6:o1C=?o4o02`>5<51;294~"6:00nn6F>2g9K57g5<0290;w)?=9;335>N6:o1C=?o4$g:955=nml0;66gjf;29?l`72900ek?50;9jb7<722cm?7>5;n335}#9;31===4H00e?M75i2c:n7>5;h43>5<5<57;294~"6:00:<<5G13d8L44f3-l36<>4idg94?=nmo0;66gi0;29?l`62900ek<50;9jb6<722e:<54?::a5`5=83>1<7>t$00:>4643A;9j6F>2`9j5g<722c=<7>5;h314?6=3f;;47>5;|`2ag<72>0;6=u+13;9557<@88m7E?=a:&e=831vn5<7s-;957??3:J26c=O9;k0e=4?::m24=<722wi=hm50;594?6|,8826<>>;I31b>N6:h1/j54>0:kfa?6=3`om6=44ig294?=nn80;66gi2;29?l`42900c<>7:188yg7b=3:187>50z&26<<68:1C=?h4H00b?l7e2900e;>50;9j576=831d==650;9~f4cc290<6=4?{%31=?7792B:>k5G13c8 c>=991bih4?::kfb?6=3`l;6=44ig394?=nn;0;66gi3;29?j7703:17pl>e783>1<729q/=?751118L44a3A;9m6g>b;29?l072900e<44>009K57`<@88j7)h7:028m`c=831bik4?::ke4?6=3`l:6=44ig094?=nn:0;66a>0983>>{e9l=1<7:50;2x 44>28:87E?=f:J26d=n9k0;66g90;29?l7583:17b??8;29?xd6mo0;6:4?:1y'57?=99;0D<"a03;;7dkj:188m``=831bj=4?::ke5?6=3`l96=44ig194?=h9921<75rb0g;>5<3290;w)?=9;337>N6:o1C=?o4i0`94?=n>90;66g>2183>>i6810;66sm14694?>=83:p(<<6:02b?M75n2B:>l5+11`965?<,5<5<?7>58;294~"6:00:t$00:>46f3A;9j6F>2`9'55d=:930(8k5169'b=<73-;997?>;h7`>5<>o603:17d?n:188m47?2900e<44>0`9K57`<@88j7)??b;03=>"2m3;<7)h7:19'573=981b9n4?::k6`?6=3`;<6=44i0:94?=n9h0;66g>1983>>o6:90;66a>1683>>{e9=l1<7:50;2x 44>2?=0D<o6>3:17d;m:188m47?2900c50z&26<<68h1C=?h4H00b?!77j38;56*:e;333>"a03:0(<<::004?l3d2900e8j50;9j52<722c:47>5;h3b>5<5<28827>N6:o1C=?o4$02a>76>3f;;47>5;hgg>5<h7>52;294~"6:00:?6F>2g9K57g<,8:i6?>6;n3328820>N6:o1C=?o4$02a>76>3-<96<<7;%db>307E?=f:J26d=#99h1>=74$0;926=#n?0;7)h8:00;?!`d2=831vn<8?:181>5<7s-;957h:;I31b>N6:h1/==l521;8 4?=>:1/j;4?;%d4>44?3-lh68h4idf94?=h9921<75rb8f94?4=83:p(<<6:g68L44a3A;9m6*ic;336>obl3:17b??8;29?xd>k3:1>7>50z&26<k5G13c8 ce=9980ehj50;9l55>=831vn4l50;094?6|,8826k:4H00e?M75i2.mo7??2:kf`?6=3f;;47>5;|`:e?6=:3:1288e0>N6:o1C=?o4$ga95542o>0D<"ak3;;>6gjd;29?j7703:17pl68;296?6=8r.:>44i4:J26c=O9;k0(km51108m`b=831d==650;9~f<1=8381<7>t$00:>c2<@88m7E?=a:&eg?77:2cnh7>5;n3352;294~"6:00m86F>2g9K57g<,oi1==<4idf94?=h9921<75rb8794?4=83:p(<<6:g68L44a3A;9m6*ic;336>obl3:17b??8;29?xd><3:1>7>50z&26<k5G13c8 ce=9980ehj50;9l55>=831vn4=50;094?6|,8826k:4H00e?M75i2.mo7??2:kf`?6=3f;;47>5;|`:6?6=:3:1288e0>N6:o1C=?o4$ga95542o>0D<"ak3;;>6gjd;29?j7703:17pl60;296?6=8r.:>44i4:J26c=O9;k0(km51108m`b=831d==650;9~f=`=8381<7>t$00:>c2<@88m7E?=a:&eg?77:2cnh7>5;n3352;294~"6:00m86F>2g9K57g<,oi1==<4idf94?=h9921<75rb9f94?4=83:p(<<6:g68L44a3A;9m6*ic;336>obl3:17b??8;29?xd?k3:1>7>50z&26<k5G13c8 ce=9980ehj50;9l55>=831vnl;50;094?6|,8826k:4H00e?M75i2.mo7??2:kf`?6=3f;;47>5;|`b0?6=:3:1288e0>N6:o1C=?o4$ga95542o>0D<"ak3;;>6gjd;29?j7703:17pln2;296?6=8r.:>44i4:J26c=O9;k0(km51108m`b=831d==650;9~fd7=8381<7>t$00:>c2<@88m7E?=a:&eg?77:2cnh7>5;n3352;294~"6:00m86F>2g9K57g<,oi1==<4idf94?=h9921<75rb8d94?4=83:p(<<6:g68L44a3A;9m6*ic;336>obl3:17b??8;29?xd>m3:1>7>50z&26<k5G13c8 ce=9980ehj50;9l55>=831vnih50;094?6|,8826<>6;I31b>N6:h1/jn4>039m215<2g9K57g<,oi1==<4n769<>obl3:17b??8;29?xdck3:1>7>50z&26<<6801C=?h4H00b?!`d28:97c8;:99jaa<722e:<54?::a`g<72;0;6=u+13;955?<@88m7E?=a:&eg?77:2d=8764idf94?=h9921<75rbec94?4=83:p(<<6:02:?M75n2B:>l5+fb8247=i>=037dkk:188k46?2900qoj6:181>5<7s-;957??9:J26c=O9;k0(km51108j32=02cnh7>5;n3352;294~"6:00:<45G13d8L44f3-lh6<>=;o47>==nmm0;66a>0983>>{el>0;6?4?:1y'57?=9930D<"ak3;;>6`94;:8m`b=831d==650;9~fa0=8381<7>t$00:>46>3A;9j6F>2`9'bf<68;1e:947;hgg>5<5<5290;w)?=9;33=>N6:o1C=?o4$ga9554146gjd;29?j7703:17plk4;296?6=8r.:>44>089K57`<@88j7)hl:021?k03211bii4?::m24=<722wih>4?:383>5}#9;31==74H00e?M75i2.mo7??2:l50?>28:27E?=f:J26d=#nj0:7:188ygb729096=4?{%31=?7712B:>k5G13c8 ce=9980b;:58:kf`?6=3f;;47>5;|``b?6=:3:128824<=O9;l0D<4653g>i6810;66smcd83>7<729q/=?7511;8L44a3A;9m6*ic;336>h1<320ehj50;9l55>=831vnnj50;094?6|,8826<>6;I31b>N6:h1/jn4>039m215<2g9K57g<,oi1==<4n769<>obl3:17b??8;29?xddj3:1>7>50z&26<<6801C=?h4H00b?!`d28:97c8;:99jaa<722e:<54?::agd<72;0;6=u+13;955?<@88m7E?=a:&eg?77:2d=8764idf94?=h9921<75rbb;94?4=83:p(<<6:02:?M75n2B:>l5+fb8247=i>=037dkk:188k46?2900qom7:181>5<7s-;957??9:J26c=O9;k0(km51108j32=02cnh7>5;n3352;294~"6:00:<45G13d8L44f3-lh6<>=;o47>==nmm0;66a>0983>>{em<0;6?4?:1y'57?=9930D<"ak3;;>6`94;:8m`b=831d==650;9~f`2=8381<7>t$00:>46>3A;9j6F>2`9'bf<68;1e:947;hgg>5<5<5290;w)?=9;33=>N6:o1C=?o4$ga9554146gjd;29?j7703:17plj2;296?6=8r.:>44>089K57`<@88j7)hl:021?k03211bii4?::m24=<722wii<4?:383>5}#9;31==74H00e?M75i2.mo7??2:l50?>28:27E?=f:J26d=#nj0:7:188ygbc29096=4?{%31=?7712B:>k5G13c8 ce=9980b;:58:kf`?6=3f;;47>5;|`g6?6=:3:128824<=O9;l0D<4653g>i6810;66smc683>7<729q/=?7511;8L44a3A;9m6*ic;336>h1<320ehj50;9l55>=831vn8650;094?6|,8826<>6;I31b>N6:h1/jn4>039m21<602cnh7>5;n33?7>52;294~"6:00:<45G13d8L44f3-lh6<>=;o47>4>28:27E?=f:J26d=#nj0:obl3:17b??8;29?xd69h0;6?4?:1y'57?=9930D<"ak3;;>6`94;3;?lcc2900c<>7:188yg76k3:1>7>50z&26<<6801C=?h4H00b?!`d28:97c8;:0:8m`b=831d==650;9~f67=8381<7>t$00:>46>3A;9j6F>2`9'bf<68;1e:94>8:kf`?6=3f;;47>5;|`62?6=<3:1288246=O9;l0D<4>>o6:90;66a>0983>>{e=>0;6?4?:1y'57?=99:0D<"a03;0ehk50;9l55>=831vn:181>5<7s-;957h6;I31b>N6:h1bii4?::m24=<722wi=8<50;094?6|,8826k74H00e?M75i2cnh7>5;n335}#9;31j45G13d8L44f3`oo6=44o02;>5<5b;294~N6:h1/=?751018 46e2;:27)?=:da8 1c=:j1/9=495:&25`<1>2d9h7?l;o14>`=i;k0:7c=i:09m06<63g><6<5a4c82?k06281m>h4?;%16>3=a;10;"85i3883?c5d29$>7k=k:19e05<7&<1m8<4?;g67>5(23o>>6=5i4983*0=a<00;7k:l:1,6?c2c291m=5;h32b?6=3fln6=44o5094?=h;h0;66a;6;29?j2f2900c>k50;9~f4`5290?i7>50zJ26d=#9;31=<84Z0791~e=:3n187=5}h30`?6=,8;;6<=l;o33b?6<3`;8n7>5$033>45d3g;;j7?4;h30e?6=,8;;6<=l;o33b?4<3`;857>5$033>45d3g;;j7=4;h305$033>4133g;;j7>4;h347?6=,8;;6<9;;o33b?7<3`;<=7>5$033>4133g;;j7<4;h344?6=,8;;6<9;;o33b?5<3`;=j7>5$033>4133g;;j7:4;h35a?6=,8;;6<9;;o33b?3<3`;=h7>5$033>4133g;;j784;h35g?6=,8;;6<9;;o33b?1<3`;=n7>5$033>4133g;;j764;h35e?6=,8;;6<9;;o33b??<3`;=57>5$033>4133g;;j7o4;h355$033>4133g;;j7m4;h34g?6=,8;;6<9;;o33b?b<3`;5$033>4133g;;j7k4;h34e?6=,8;;6<9;;o33b?`<3`;<57>5$033>4133g;;j7??;:k23=<72-;:<7?84:l24c<6921b=:950;&255<6?=1e==h51398m411290/=<>51668j46a28907d?82;29 47728=?7c??f;37?>o6>>0;6)?>0;340>h68o0:965f1ef94?"6990:hn5a11d94>=n9mh1<7*>1182`f=i99l1=65f1ec94?"6990:hn5a11d96>=n9m31<7*>1182`f=i99l1?65f1e:94?"6990:hn5a11d90>=n9?>1<75f23094?=h:831<7*>11815==i99l1<65`20594?"69909=55a11d95>=h:8?1<7*>11815==i99l1>65`20694?"69909=55a11d97>=h:891<7*>11815==i99l1865`20094?"69909=55a11d91>=h:8;1<7*>11815==i99l1:65`20294?"69909=55a11d93>=h:9l1<7*>11815==i99l1465`21g94?"69909=55a11d9=>=h:9n1<7*>11815==i99l1m65`21a94?"69909=55a11d9f>=h:;;1<7*>11815==i99l1o65`23294?"69909=55a11d9`>=h:8l1<7*>11815==i99l1i65`20g94?"69909=55a11d9b>=h:8n1<7*>11815==i99l1==54o33`>5<#98:1><64n02e>47<3f8:n7>5$033>77?3g;;j7?=;:m15d<72-;:<7<>8:l24c<6;21d><850;&255<5911e==h51598k76e290/=<>520:8j46a28?07b?lf;29 47728in7c??f;28?j7dl3:1(3:1(3:1(i6l;0;6)?>0;3`a>h68o0:=65`1e394?"6990:oh5a11d957=5:9~f4`6290>:7>50zJ26d=#9;31=<;4Z0791~d=m321j7o5}o47>==n:;=1<7*>118163=i99l1<65f23794?"69909>;5a11d95>=n:;>1<7*>118163=i99l1>65f23194?"69909>;5a11d97>=n9=?1<7*>118201=i99l1<65f15194?"6990:895a11d95>=n9=81<7*>118201=i99l1>65f15394?"6990:895a11d97>=n9=:1<7*>118201=i99l1865f12d94?"6990:895a11d91>=n9:o1<7*>118201=i99l1:65f18594?"6990:5;5a11d94>=n90?1<7*>1182=3=i99l1=65f18194?"6990:5;5a11d96>=n9081<7*>1182=3=i99l1?65f18394?"6990:5;5a11d90>=n90:1<7*>1182=3=i99l1965f19d94?"6990:5;5a11d92>=n91o1<7*>1182=3=i99l1;65f19f94?"6990:5;5a11d9<>=n91i1<7*>1182=3=i99l1565f19`94?"6990:5;5a11d9e>=n91k1<7*>1182=3=i99l1n65f19:94?"6990:5;5a11d9g>=n91=1<7*>1182=3=i99l1h65f19494?"6990:5;5a11d9a>=n91?1<7*>1182=3=i99l1j65f19694?"6990:5;5a11d955=>2d:3:9j5=6=83.:==4>979m55`=9=10e<9i:18'546=90<0b<>i:078?l7>m3:1(9e83>!7683;2:6`>0g823>=n90i1<7*>1182=3=i99l1=554i0;a>5<#98:1=484n02e>4?<3`;2m7>5$033>4?13g;;j7?n;:k2=<<72-;:<7?66:l24c<6j21b=4650;&255<61?1e==h51b98m4?3290/=<>51848j46a28n07d?79;29 477283=7c??f;3f?>o6?l0;6)?>0;3:2>h68o0:j65f15f94?"6990:8n5a11d94>=n9=h1<7*>11820f=i99l1=65f15c94?"6990:8n5a11d96>=n9=31<7*>11820f=i99l1?65f15:94?"6990:8n5a11d90>=n9==1<7*>11820f=i99l1965f15494?"6990:8n5a11d92>=n9??1<75f1eg94?=n9?<1<75f1ed94?=h9k31<7*>1182f==i99l1<65`1c594?"6990:n55a11d95>=h9k?1<7*>1182f==i99l1>65`1c694?"6990:n55a11d97>=h9k91<7*>1182f==i99l1865`1c094?"6990:n55a11d91>=h9k;1<7*>1182f==i99l1:65`1c294?"6990:n55a11d93>=h9hl1<7*>1182f==i99l1465`1`g94?"6990:n55a11d9=>=h9hn1<7*>1182f==i99l1m65`1`a94?"6990:n55a11d9f>=h9hk1<7*>1182f==i99l1o65`1`;94?"6990:n55a11d9`>=h9h21<7*>1182f==i99l1i65`1`594?"6990:n55a11d9b>=h9h<1<7*>1182f==i99l1==54o0c6>5<#98:1=o64n02e>47<3f;j87>5$033>4d?3g;;j7?=;:m2e6<72-;:<7?m8:l24c<6;21d=l<50;&255<6j11e==h51598k4g6290/=<>51c:8j46a28?07b?l0;29 47728h37c??f;35?>i6jo0;6)?>0;3a<>h68o0:;65`1cg94?"6990:n55a11d95==b:9l5gg=83.:==4>b99m55`=9j10ci:0f8?j7fj3:1(a183>!7683;i46`>0g82b>=z{0n1<7<58om6hk4}r;`>5<5s43h6<>7;<3fb?ca3ty2n7>52z?:f?77027:ik4i0:p=d<72;q65l4>099>5`c=ml1v4750;0x9?3;;463>ee8fa>{t1?0;6?u297824==:9ln1ik5rs8794?4|50?1==64=0gg>c646?34;no7kj;|q:7?6=:r72?7??8:?2af=7<68116=hm5f19~w<7=838p14?511:894ce2lo0q~7?:1818?728:370?jb;ge?xu?n3:1>v37f;33<>;6mk0m<6s|8d83>7}:0l0:<5521dc9a`=z{1n1<7<58oj6hh4}r:`>5<5s42h6<>7;<3fe?`73tyj97>52z?b1?77027:i44je:pe1<72;q6m94>099>5`?=mo1vl=50;0x9d5=99201f0820d=z{h;1<7=t=`3955><58l96e5<68116=k<51ec894`628>37p}6f;297~;>n3;;463>f382`<=:9o;1=994}r;f>5<4s43n6<>7;<3e6?7c027:j<4>479~w62=838pR>:4=043>`b{t>h0;6lu215d953=:9=o1=:52142952=:9<91=:52146952=:9?81==64=071>`b<58l96<8;;<3e5?71=27:j<4>679~w42a290>w0?;f;323>;647034?86hj4}r4:>5<5s4;><7?>7:?5=?cc3ty:=l4?:3y>505=98=01v3>558252=:98i1ii5rsed94?4|5ml1ii521g395g?`b<58l:6`gb59~wag=838p1io5ee9>5c7=9k90q~j6:1818b>2ln01:0`1?xuc03:1>v3k8;gg?87a93;i=6s|d683>7}:l>0nh63>f082f5=z{m<1<75<5s4n>6hj4=0d2>4gb3tyo87>52z?g0?cc34;m=7?nd:p`6<72;q6h>4jd:?2b4<6ij1vi?50;0x9a7=mm16=k?51`c8yvb72909w0j?:df894`628k27p}lf;296~;dn3oo70?i1;3b<>{tkl0;6?u2cd8f`>;6n80:m:5rsbf94?4|5jn1ii521g395d0`b<58l:6gda29~wf?=838p1n75ee9>5c7=9h80q~m7:1818e?2ln01:0c2?xub>3:1>v3j6;gg?87a93;h<6s|e483>7}:m<0nh63>f082fc=z{l>1<75<5s4o86hj4=0d2>4dc3tyn>7>52z?f6?cc34;m=7?mc:pa4<72;q6i<4jd:?2b4<6jk1vh>50;0x9`6=mm16=k?51cc8yvbc2909w0jk:df894`628h=7p}k2;296~;c:3oo70?i1;3bf>{tk>0;6?u2c68f`>;6n80:m=5rs4:94?4|5<21ii5257824==z{8:?6=4>3z?274<68j16=9h55c9>51c=9h16=8>51`9>505=9h16=8=55e9>502=9h16=8:55e9>50c=9h16=8k55b9>5`>=>916=h95619>5`0=>916=h;5619>5`2=>916=h=5619>5`4=>9169;490:?2b7<6?<1v<>::186=~;6;90nm63>4d825==:9=o1=?>4=073>47?34;><7?=0:?216<2k27:9>4>199>505=9;:01<;;:4a8943328;370?:4;314>;6=l0>h63>5d825==:94=0g1>4d<58<863c9>5c4=9:k01f38236=:9o81=:?4=0d1>41734;m>7?9f:?2b7<6>l16=k<517f894`528;6n;0::l521g0953?<58l96<87;<3e6?70l27:j?4>7b9>5c4=9>h01f3823==:9o81=:94=0d1>41134;m>7?82:?2b7<6>>16=k<5230894`62;8<70?i1;011>;6n809>9521g39675<58l:6<::;<3e5?73;27:j<4>439>5c7=9=;01:063?87a93;8j63>f0827`=:9o;1=494=0d2>4?234;m=7?63:?2b4<61;16=k?5183894`6283;70?i1;3;b>;6n80:4h521g395=b<58l:6<6l;<3e5?7?j27:j<4>8`9>5c7=91201:0:4?87a93;3:63>f082<0=:9o;1=5:4=0d2>4>434;m=7?72:?2b4<60816=k?5192894`628=m70?i1;3:a>;6n80:5i521g395i27:j<4>989>5c7=90201:0;7?87a93;3563>f0823`=:9o;1=9j4=0d2>42e34;m=7?ke:p5c6=838p1o63>4d86`>;6m80:<5521g395a`52z?63?cb34;m>7?lf:p5c5=838p1fd83>7}:9l31j?521g095fe52z?2a<d19~w7652909w0?ja;d0?87a:3;o=6s|21194?4|58oj6k<4=0d1>4b53ty9<94?:3y>5`g=n816=k<51e18yv47=3:1>v3>ec8e7>;6n;0:h95rs325>5<5s4;nn7h=;<3e6?7c=2wx>=950;0x94ce2o;01{t9o<1<7c5<58l965c4=9j?0q~?i8;296~;6mm0m=63>f382g3=z{8l26=4={<3fa?`434;m>7?l7:p5cg=838p1fc83>7}:9lo1j<521g095f?52z?2acc`9~w4`c2909w0?jf;d1?87a:3;hn6s|1gd94?4|58om6k?4=0d1>4ec3ty:i44?:3y>5`?=99201<58o86099>5`5=9;:0q~?j3;296~;6m:0:<5521d695g=z{8oi6=4={<3ff?77027:i94>219~w4c32909w0?j4;33<>;6m<0:n6s|1da94?4|58oh6<>7;<3f1?7582wx=h;50;0x94c228:370?j6;3a?xu6mm0;6?u21df955><58o=6<52z?2a2<68116=h651c9~w4ca2909w0?jf;33<>;6m10:>=5rs072>5<2s4;?i7?7;<364?7?34;>?7?7;<360?7?34;>>7??8:p500=838p1<;j:0:8943028:37p}>5483>6}:941<58?<6hj4}r36f?6=:r7:954>099>535=:k1v<8>:181871:3oo70?93;15?xu6=j0;6?u214f9aa=:9?91>n5rs07:>5<5s4;>m7??8:?21=vP8e:?:`?cc3ty52z\4`>;>k3oo7p}8c;296~X0k272n7kk;|q4f?6=:rTvP8a:?:=?cc3ty<57>52z\4=>;>03oo7p}88;296~X00272;7kk;|q43?6=:rT<;6366;gg?xu0=3:1>vP85:?:1?cc3ty<87>52z\40>;><3oo7p}83;296~X0;272?7kk;|q46?6=:rT<>6362;gg?xu093:1>vP81:?:5?cc3ty<<7>52z\44>;>83oo7p}9f;296~X1n273j7kk;|q5a?6=:rT=i637e;gg?xu1l3:1>vP9d:?;`?cc3ty=o7>52z\5g>;?k3oo7p}7b;296~X?j27j97kk;|q;e?6=:rT3m63n4;gg?xu?13:1>vP79:?b7?cc3ty347>52z\;<>;f:3oo7p}77;296~X??27j=7kk;|q;2?6=:rT3:63n0;gg?xu?=3:1>vP75:?:b?cc3ty3=7>52z\;5>;>m3oo7p}mf;296~Xen27oj7??8:pfa<72;qUni52dd824==z{kh1<77;|qa=?6=:rTi563ka;33<>{tj10;6?uQb99>`<<6811vo950;0xZg1<5m21==64}r`5>5<5sWh=70j8:02;?xue=3:1>vPm5:?g2?7702wxn94?:3y]f1=:l<0:<55rsc194?4|Vk901i:511:8yvd52909wSl=;46?3tyi<7>52z\a4>;c93;;46s|ag83>7}Yio16h=4>099~wdc=838pRlk4=bd955>34ij6<>7;|qb{ti>0;6?uQa69>g=<6811vn850;0xZf0<5l<1==64}ra6>5<5sWi>70k::02;?xud<3:1>vPl4:?f0?7702wxo>4?:3y]g6=:m:0:<55rsb094?4|Vj801h<511:8yve62909wSm>;46?3tyh<7>52z\`4>;b83;;46s|bb83>7}Yjj16hi4>099~wg7=838pRo?4=e0955>47??8:p17<72;qU9?5252824==z{?21<79;296~X69016=vP>1c9>54e=9920q~=?:181[57349:6<>7;|q63?6=:r7>;7??8:?62?7582wx494?:0y]<1=z{181<7?t^908yv>7290:wS6?;|q4b?6=9rT4}Y??1v;l50;3xZ3d{_g:?xub?3:1=vPj7:p11<728qU995r}o042?6=9rB:>l5rn354>5<6sA;9m6sa26:94?7|@88j7p`=7883>4}O9;k0qc<8a;295~N6:h1vb?9m:182M75i2we>:m50;3xL44f3td9;i4?:0yK57g51zJ26d=zf;=m6=4>{I31e>{i:1:1<7?tH00b?xh5080;62`9~j7>4290:wE?=a:m6=2=83;pD<l5rn3:4>5<6sA;9m6sa29:94?7|@88j7p`=8883>4}O9;k0qc<7a;295~N6:h1vb?6m:182M75i2we>5m50;3xL44f3td94i4?:0yK57g51zJ26d=zf;2m6=4>{I31e>{i:0:1<7?t$g`9551<@88j7p`=9083>4}#nk0:<:5G13c8yk4>:3:1=vF>2`9~j7?4290:wE?=a:m6<2=83;pD<l5rn3;4>5<6sA;9m6sa28:94?7|@88j7p`=9883>4}O9;k0qc<6a;295~N6:h1vb?7m:182M75i2we>4m50;3xL44f3td95i4?:0yK57g51zJ26d=zf;3m6=4>{I31e>{i:h:1<7?tH00b?xh5i80;62`9~j7g4290:wE?=a:m6d2=83;pD<l5rn3c4>5<6sA;9m6sa2`:94?7|@88j7p`=a883>4}O9;k0qclm50;3xL44f3td9mi4?:0yK57g51zJ26d=zf;km6=4>{I31e>{i:k:1<7?tH00b?xh5j80;62`9~j7d4290:wE?=a:m6g2=83;pD<l5rn3`4>5<6sA;9m6sa2c:94?7|@88j7p`=b883>4}O9;k0qcom50;3xL44f3td9ni4?:0yK57g51zJ26d=zf;hm6=4>{I31e>{i:j:1<7?tH00b?xh5k80;62`9~j7e4290:wE?=a:m6f2=83;pD<l5rn3a4>5<6sA;9m6sa2b:94?7|@88j7p`=c883>4}O9;k0qcnm50;3xL44f3td9oi4?:0yK57g51zJ26d=zf;im6=4>{I31e>{i:m:1<7?tH00b?xh5l80;62`9~j7b4290:wE?=a:m6a2=83;pD<l5rn3f4>5<6sA;9m6sa2e:94?7|@88j7p`=d883>4}O9;k0qcim50;3xL44f3td9hi4?:0yK57g51zJ26d=zf;nm6=4>{I31e>{i:l:1<7?tH00b?xh5m80;62`9~j7c4290:wE?=a:m6`2=83;pD<l5rn3g4>5<6sA;9m6sa2d:94?7|@88j7p`=e883>4}#nk0:<:5G13c8yk4bi3:1=v*ib;333>N6:h1vb?km:182!`e28:<7E?=a:m6`e=83;p(kl51158L44f3td9ii4?:0y'bg<68>1C=?o4}o0fa?6=9r.mn7??7:J26d=zf;om6=4>{%da>4603A;9m6sa2g294?7|,oh1==94H00b?xh5n80;62`9~j7`4290:w)hm:024?M75i2we>k:50;3x cd=99=0D<069K57g51z&ef?77?2B:>l5rn3d4>5<6s-li6<>8;I31e>{i:o21<7?t$g`9551<@88j7p`=f883>4}#nk0:<:5G13c8yk4ai3:1=v*ib;333>N6:h1vb?hm:182!`e28:<7E?=a:m6ce=83;p(kl51158L44f3td9ji4?:0y'bg<68>1C=?o4}o0ea?6=9r.mn7??7:J26d=zf;lm6=4>{%da>4603A;9m6sa31294?7|,oh1==94H00b?xh4880;62`9~j664290:wc?=3;37?M75i2we?=:50;3xj44428>0D<51zl266<6<2B:>l5rn224>5<6sg;9?7?;;I31e>{i;921<7?tn000>42<@88j7p`<0883>4}i9;91=95G13c8yk57i3:1=v`>22820>N6:h1vb>>m:182k75;3;?7E?=a:m75e=83;pb<<<:068L44f3td8>4>4:J26d=zf::m6=4>{o317?733A;9m6sa30294?7|f8886<:4H00b?xh4980;62;295~h6::0:86F>2`9~j674290:wc?=3;37?M75i2we?<:50;3xj44428>0D<51zl266<6<2B:>l5rn234>5<6sg;9?7?;;I31e>{i;821<7?tn000>42<@88j7p`<1883>4}i9;91=95G13c8yk56i3:1=v`>22820>N6:h1vb>?m:182k75;3;?7E?=a:m74e=83;pb<<<:068L44f3td8=i4?:0ym575=9=1C=?o4}o12a?6=9rd:>>4>4:J26d=zf:;m6=4>{o317?733A;9m6sa33294?7|f8886<:4H00b?xh4:80;62`9~j644290:wc?=3;73?M75i2we??:50;3xj4442<:0D<51zl266<282B:>l5rn204>5<6sg;9?7;?;I31e>{i;;21<7?tn000>06<@88j7p`<2883>4}O9;k0qc==a;295~"aj3;;;6F>2`9~j64e290:w)hm:024?M75i2we??m50;3x cd=99=0D<069K57g51z&ef?77?2B:>l5rn20e>5<6s-li6<>8;I31e>{i;::1<7?t$g`9551<@88j7p`<3083>4}#nk0:<:5G13c8yk54:3:1=v*ib;333>N6:h1vqpsO@By0b5<3k9;;;<<;1230>6789?0<=>?07934567801;<=>?012310=789:;<=>?0023446798;:<=>>1023546789;:=<>>0023446698;:==>>0032546689:;==>?0023456789:;:6>?01225a=6>9$;<=>?0123$uU'FL^+OAEIB^KM56=6>90{_7@JT;AOOCDXAG;0>?5=0291107<;;18/pR"KMBN'=9$;<=>?0123$ 763::;<=4S;JJCM<28,;?7><5IORVP?@TJVK7?<4?>096`>0tdq3mxj<:6,024>>7<98;==>70521442<0=8:i6OKD^EOKGGKWYCE?6OKR49BV969>2KY0<>16:CQ8479>2KY0<<16:CQ8459>2KY0<:16:CQ8439>2KY0<816:CQ8419>2KY0<616:CQ84?9=2KY0<09;@P?65813HX7><09;@P?67813HX7>>09;@P?61813HX7>809;@P?63813HX7>:09;@P?6=813HX7>40:;@P?6;005;3GU4<4>7L\36?78EW:06<1J^1615:CQ8<833HXT<95NR^36?DTX99?0M_Q>149BVZ75=2KYS<=:;@P\513GUW8=>7L\P1978EWY61=1J^R<:;@P\653GUW;9>7L\P2578EWY5=<1J^R<95:CQ[7123HXT>5;4AS]1=1=FZV9>7L\P3178EWY49=1J^R:;;@P\11=FZV33HXT585NSXL@=>DFFM]BHYF<;CWE0>DRNN20NXHFO3DA55=E]@FOSYO]GDVBVFN?3K_XSD@IO09@6>E7:2I:n6MNP@AJPDHDM;1HI?5LI49@OGSA;2IGG>!0-aoolh5Wyoyebj231,3(pubWye|iQkovl>bdjtm%xiR|jsdv>bdjtm%xiRb`cjgg9cgk{l&~hQfnbnh[vrhxyoo1kocsd.vw`Yt|h~~0hnlrg/qvcXn{onvh3iamqf(pubWjf`S{ocie?eeiub$oonhoolk^vzt`;TAGMGI!mcobi\ibtX|pzn1@OAU@N/idhrieUdzh|}ieg>bdjtmt>0OAE?6:AOO4>7>2IGG?9?5:AOO7^?3JF@>U?7049@HN?7=2IGGL?8;BNHE4B5?2IGGL?K469@HNG6L1?0OAEM169@HNDU[]?0OAEL149@HNB6=2IGGIXl;BNH@SYCA_COI55LLJDA@FK03JF@JOGA8:AOOCDH]]n0OAEIBNWW[HSK\l1H@FHMOTV\TMGTM11H@FHM_HL:?FJLNKUDYYk4CMIEFZIR\VEIYK;4CMIE\==DDBLS=5>9;BNHC@H6?2IGGJKA_hlpp*EW]JF@Sd`|t018GIM@MGUbb~z CQW@HNt6i2IGGJKA_hlpp*EW]JF@~R||tqmw64=DDBMNBRgasu-@TPEKC{Uyy~`t0]JJSY79>1H@FIJN^kmwq)AX\IGGRgasu3b?FJLOLDTec}{/GQAGIMXAG\T?Ra=1:AOOBCIW`dxx"H\BBNH[LHQW:Ud=RGAV^22<>EKCNOESd`|t.PBIFJL8Vcey?7;BNHC@HXagy#_OBCMI2[lht|;20OAEHEO]jjvr(agymcmj_ocna*ekcohTnxhh_nww6d=DDBMNBRgasu-jjvrffjoTblcj/bnhbgYe}omTcxzPn238GIM@MGUbb~z ioqwekebWgkfi"mckg`\fp``WfSc?PIOT\47>85LLJEFJZoi{}%bb~znnbg\jdkb'jf`cxz>_cwe62=DDBMNBRgasu-jjvrffjoTblcj/qno[ggtmVcey;4CMIJJ3=DDBCE=i5LLJKM5ZVBZ@EO:6MCKHL1`>EKC@D9S]K]INF;?FJLAGYN@n5LLJKM[UCUAFN<7NBDOTV3a>EKCF__6MCKNWW7ZBR\PUHUNBJd:AOOJSS;VZCM^K8;BNHKPR3m2IGGB[[4^FJRLBB9;1H@FAZT5]GQQ_XKPIGIi5LLJMVP1YW@HYN;6MCKNWW1`=DDBE^X8QKIWKGA44l5LLJMVPAEJ;h1H@FAZTEAN0d=DDBE^XIMB5b9@HNYAMLNIMNE<;BNQ=>EHEDC_XHJl;BMNT@HTI]CDB<:4CNPF[BCIM[K_EB@PIODL2>EW]JF@n6M_UBNH[JDRN>1H\XMCKha8GUSDDBcTEO[I7:ASQZhF[830O][Pn@Q\BVDXIR9:QROAD^1\k4bEUMH^NH<5K2:F36>B6=2NJHI\7;ECG@W:7611OMIJ]<0<;?AGCL[69255KAEFQ868?3MKOH_2;>59GGIMc3MNYSLBFGOOFJQ525;0384DH>27;021;3BN4:4>7IG34?78@L:26<1OE1815:FJ82823MC743;4DH>::a=CA_IGGRJFVHFF`>BN^@NNSOW_ARQ1?AI23ME7<384DN>24;026;020;02:0=CG58596J@<2<6?AI;<7?0HB2:>49GK909=2ND0:0:;EM?<;31:G[TDHCMMUIOIQIIMG20>C_XHDOIIQLOOQWVDOI\Z;:7HV_AOFF@ZOTMVLB@H64EYVFVKGK=2LJ@^K=;GF;?CCBLKKHG?5IF79ETPEKCk1M\XMCK^MAQC>@TJVK7=;06;GQA[D:6?730J^LPA=3;:<=A[KUJ0<718:DPFZG;9730J^LPA=03:<=A[KUJ0??19:DPFZG;:;427K]M_@>17;?89EWGYF4;?556H\B^C?638>3OYISL2=7?;8BVDXI583245ISC]B87?902LXNRO32?;8BVDXI59;2o5ISC]B867=8730J^LPA=12:==A[KUJ0>07;GQA[D:3611M_OQN<4<;?CUEWH6=255ISC]B828?3OYISL27>99EWGYF404:m6H\B^CX74[XI[Q8=PQjqtco[7Yhk2LXNROP0^KAQCb@TJVKT=?QFBTDg?CUEWHU:?RGMUGf8BVDXIV;?SDLZFe9EWGYFW8?TEO[Id:DPFZGX9?UBNXHk;GQA[DY6?VCIYKj4FR@\EZ7?W@H^Ji5ISC]B[4?XAK_Mo6H\B^C\5ZOE]On0J^LPA^03[LDRNm1M_OQN_33\MGSAl2LXNROP23]JFP@c3OYISLQ=3^KAQCb@TJVKT>;QFBTDg?CUEWHU9;RGMUGf8BVDXIV83SDLZFe9EWGYFW;3TEO[Ic:DPFZGX:VCIYKj4FR@\EZ57W@H^Ji5ISC]B[67XAK_Mo6H\B^C\7ZOE]Oi0J^LPA^6\MGSAk2LXNROP5^KAQCe@TJVKT;RGMUGa8BVDXIV2TEO[Ic:DPFZGX1VCIYK?4G29DJA7OI(3*:>=>?.123456789*{_-4/V@NJ@%<'9;:;<#>?0123456'xZ*1,OKIOSG#>%$DDBCE.?94IO8265672yY1ZLBFD;31456=xZ0IIKA]E;AOOLH43@DJ?6GAB99JJCCUZLN27D@FTRVBP@52CDOBC_a:KLWQGILHXO:6G@TXRF3>JNJ[KYU;5CO@FF@3=KGJANH:5COFK@EI2KRP<1FYUMVc:ObnjtQm{ybcc<7;LaliuguWOYISLU<1\]BV^56UVozylbP2^m\g|:76;30Anabp`p\BVDXIR9:QRO][23^[`wrieU9SbQly=33:7>?R_dsveiY5WfUhu1?1299Ngjkwi{UM_OQN[23^[DT\;8WTi|{nl^0\kZe~4;4946ClolrbvZ@TJVKP?]^grqdjX:VeTot2;>3:8IfijxhxTJ^LPAZ12YZGUS:;VShzam]1[jYdq5?5>55BcnosewYA[KUJW>?R_@PX74[Xmxj`R]^CQ_67ZWl{~maQ=_n]`}9?9:01Fobcas]EWGYFS:;VSL\T30_\atsfdV8TcRbzt=2=6d=Jkfg{mQISC]B_67ZWHXP?3;8IfijxhxTJ^LPAZ12YZGUS:;VShzam]1[jYk}}6:2?74MbmntdtXNZHTMV=>]^CQ_67ZWl{~maQ=_n]oqq:56;30Anabp`p\BVDXIR9:QRO][23^[`wrieU9SbQcuu>0:7??R_dsveiY5WfUgyy2;>3;8IfijxhxTJ^LPAZ12YZGUS:;VShzam]1[jYk}}6>2?74MbmntdtXNZHTMV=>]^CQ_67ZWl{~maQ=_n]oqq:16;30Anabp`p\BVDXIR9:QRO][23^[`wrieU9SbQcuu>4:7??R_dsveiY5WfUgyy27>3;8IfijxhxTJ^LPAZ12YZGUS:;VShzam]1[jYk}}622n5BiomqR`ttafd97C>=;O3:?KDXJ\YBHUo4NC]AQVHFEL=0BHZXOSI7?KIIM81D86AMUG78KABU:?1DJK]JT29LJ@??0123456'xZ*1,LH[ES"9$'EKC@D)=:5@UU86456=xZ0JJYK]:BNHMK2V):9;8==?<_M18TDB33YKYX;5_ESKL@d=WAGUIY^GKXc9SMKYE]ZDJAHl4PHL\MVEKGJAm7]BC_@FG[ICFCHMN;6^CL^CGR47VKDVIGM@^PBXRBWV763YFGSNBNMQ]QACYTMEk0\ABPCMI3I]gMYc8TIJXKEA9AUo4PMN\GIM4EQk0\ABPCMI7I]g3:ROHZEKCF__VKDVIGGB[[4^LLGJSI\l1[@AQLLJMVP1YWEl1[@AQLLJMVP0YC\8:0\ABPCMILQQ3XMMMNi6^CL^AOOJSS=VOEi6^CL^AOOJSS=VB_i6^CL^AOOJSS=VF_=>5_LM]@HNIR\2ZG@RM_179SHIYDXVHBM^Q[RHR\WMOA\k1[@AQLP^PFTIgn5_LM]FJZRDDB9i7]BC_DL\RFI7j2ZG@RKA_WAL5g=WDEUNBRXLO3`8TIJXMGU]OB=m;QNO[@HX^JE?n6^CL^GM[SEH=k1[@AQJN^T@K3dVKDVOES[M@_EKU2<=WDEUBBYHM109SHIYNFVNGURCW_RGOa>VKDVCESIBV_RGW`>VKDVCDSNBDSSA;?UJKWELCJn5_LM]OKFMXKG^m7]BC_MM@OZ@EWMFR==5_LM]OKFMXZLLTHAW>1:ROHZJHKBUXMYQGIFJg?UJKWDKESAHPEO:8TIJXE@YHn6^CL^LAPLYBFk1[@AQ@PU]JJSbPOCWE3>TFEJF@=n5]ALAOO4YHJ\Lj7_KI_KKWP@T43[OG==5]ESGPKIPBWYKYM@]>5:PFW@RXGGUGC^]POG]OKFM43[EF?6\\T09P7>UBD:1XIY64SDVQAVCS981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PNm6]FM^FFRLEBi2Y@IZMCKHL2e>ULM^IGGD@=7:QHARTT\>1XGHY\TC:8WNOWWYCE86]CEV:8WUGU\HD=:6]]TXRF2>USI]_X86]VNB:8P@TJAGKG86ZJSU58P@US49437YK\T=33:==SMZ^7=<07;UGPP975611_I^Z312<;?QCT\5;?255[ERV?508?3]OXX1?9>99WAVR;9>437YK\T=3;:==SMZ^7=408;UGPP97902^N_Y2=0?:8P@US4;;546ZJSU>16;><\LY_0?=18:VFWQ:5<720XH][<37=<>RB[]69:364TDQW871902^N_Y2=8?58P@US4;4<7YK\T=1=3>RB[]6?2:5[ERV?1;1<\LY_0;08;UGPP919?2^N_Y27>69WAVR;17>0X_[J9:VP[FJLO[Zi7Y]PFDGGFDEL12^XSBHISDV:?QUXXLXBCI:4TXRFg>STM[U]E^GMLD18RFE>3_CN[RZVPD48SdtwDJ;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@<0T^ZCIC58\VRXOGN<7U][_WA@2>^cjVCon6Vkh^RqmhOcpk1SheQ_rhoJjse^c`VZye`Xjrrklj46529095de=9:>=98;535`;7~h5090:7c<71;48 71e2;=<7p]l4;0;6?7fk3;88;;:5;17f=5<[8=>6?6::0827102=<088o69;Ra7>7>2280:?98:54800g>03m8<:7>51;3xWa6=:181=lm51265103=;=h3?6*:f;0;<>N68;1}X<>8:182>4<>1rYo<7<72;3bg?7497=;b918 71228=<7[<8f;0xq4>7281~=4950:'5dc=9<1/==o50:&24=<50h1/==7517a8f7112909n77j:8;xL7143-8<>7<86:X54?7|9:0v(?:=:b9'617=:190Vn851z4:>xo6:m0;6)?m1;31g>h6j90;76g>2c83>!7e93;9o6`>b182?>o6:00;6)?m1;31g>h6j90976g>2983>!7e93;9o6`>b180?>o6:>0;6)?m1;31g>h6j90?76g>2783>!7e93;9o6`>b186?>o6:<0;6)?m1;31g>h6j90=76g>2583>!7e93;9o6`>b184?>o6::0;6)?m1;31g>h6j90376g>2383>!7e93;9o6`>b18:?>o6:80;6)?m1;31g>h6j90j76g>2183>!7e93;9o6`>b18a?>o69l0;6)?m1;31g>h6j90h76g>1e83>!7e93;9o6`>b18g?>o69j0;6)?m1;31g>h6j90n76g>1c83>!7e93;9o6`>b18e?>o69h0;6)?m1;31g>h6j90:<65f10;94?"6j80:>n5a1c2954=4:9j543=83.:n<4>2b9m5g6=9<10e<=;:18'5g7=9;i0b:00`?k7e83;<76g>3383>!7e93;9o6`>b182<>=n9:;1<7*>b0826f=i9k:1=454i013>5<#9k;1=?m4n0`3>4g<3`;9j7>5$0`2>44d3g;i<7?m;:k26`<72-;i=7?=c:l2f5<6k21b=?o50;&2f4<6:j1e=o>51e98m47a290/=o?513a8j4d728o07d?>4;29 4d6288h7c?m0;3e?>odj3:17d?9d;29?le>2900e4j50;9j3c<722e:m54?::m`3?6=3f;:<7>5;n007?6=3f8897>5;n:3>5<:183!40:3;>;6F=799K625<,;=n6?6;;%340?4?12e:9;4?:%04a?7f=21vn?9n:182>5<7s-8<>7<84:J13==O:>90(?9j:0c1?!70<38356a>ac83>!40m3;j965rb2c94?0=83:p(?9=:02g?M4002B9;>5+26g955`<,8<26?5G22;8 4132;227d8>:18'62c=>110ei?50;&13`<6>:10c?=>:18'62c=9h?07d8::18'62c=><10e?0e9K62><@;=87)<8e;33b>"6>0097E<<9:&231<5001b:<4?:%04a?0?32co=7>5$35f>40432e9?<4?:%04a?7f=21b:84?:%04a?0232c9>k4?:%04a?44n21bo>4?:%04a?44k21vn9850;494?6|,;=96<>k;I04<>N5?:1/>:k511d8 40>2;1C>>74$057>7>>3`<:6=4+26g92==6=4+26g920==7>56;294~"5?;0:7581<<=n>80;6)<8e;4;?>oc93:1(?9j:040?>i5;80;6)<8e;3b1>=n><0;6)<8e;46?>o5:o0;6)<8e;00b>=nk:0;6)<8e;00g>=zj<>1<7850;2x 71528:o7E<88:J136=#:>o1==h4$04:>7=O::30(<9;:3::?l06290/>:k56998ma7=83.9;h4>6298k756290/>:k51`78?l02290/>:k56498m74a290/>:k522d8?le4290/>:k522a8?xd2=3:1:7>50z&137<68m1C>:64H350?!40m3;;j6*>6881?M4412.:;94=889j24<72-8t$351>46c3A8<46F=729'62c=99l0(<86:39K66?<,8=?6?66;h42>5<#:>o1:554ie394?"5?l0::>54o312>5<#:>o1=l;4;h46>5<#:>o1:854i30e>5<#:>o1>>h4;ha0>5<#:>o1>>m4;|`63?6=>3:120D?9<;%04a?77n2.::44=;I00=>"6?=09445f6083>!40m3<376gk1;29 71b28<876a=3083>!40m3;j965f6483>!40m3<>76g=2g83>!40m388j65fc283>!40m388o65rb4:94?0=83:p(?9=:02g?M4002B9;>5+26g955`<,8<26?5G22;8 4132;227d8>:18'62c=>110ei?50;&13`<6>:10c?=>:18'62c=9h?07d8::18'62c=><10e?0e9K62><@;=87)<8e;33b>"6>0097E<<9:&231<5001b:<4?:%04a?0?32co=7>5$35f>40432e9?<4?:%04a?7f=21b:84?:%04a?0232c9>k4?:%04a?44n21bo>4?:%04a?44k21vn>m50;494?6|,;=96<>k;I04<>N5?:1/>:k511d8 40>2;1C>>74$057>7>>3`<:6=4+26g92==6=4+26g920=56;294~"5?;0:7581<<=n>80;6)<8e;4;?>oc93:1(?9j:040?>i5;80;6)<8e;3b1>=n><0;6)<8e;46?>o5:o0;6)<8e;00b>=nk:0;6)<8e;00g>=zj:o1<7850;2x 71528:o7E<88:J136=#:>o1==h4$04:>7=O::30(<9;:3::?l06290/>:k56998ma7=83.9;h4>6298k756290/>:k51`78?l02290/>:k56498m74a290/>:k522d8?le4290/>:k522a8?xd4n3:1:7>50z&137<68m1C>:64H350?!40m3;;j6*>6881?M4412.:;94=889j24<72-8t$351>46c3A8<46F=729'62c=99l0(<86:39K66?<,8=?6?66;h42>5<#:>o1:554ie394?"5?l0::>54o312>5<#:>o1=l;4;h46>5<#:>o1:854i30e>5<#:>o1>>h4;ha0>5<#:>o1>>m4;|`75?6=>3:120D?9<;%04a?77n2.::44=;I00=>"6?=09445f6083>!40m3<376gk1;29 71b28<876a=3083>!40m3;j965f6483>!40m3<>76g=2g83>!40m388j65fc283>!40m388o65rb5094?0=83:p(?9=:02g?M4002B9;>5+26g955`<,8<26?5G22;8 4132;227d8>:18'62c=>110ei?50;&13`<6>:10c?=>:18'62c=9h?07d8::18'62c=><10e?0e9K62><@;=87)<8e;33b>"6>0097E<<9:&231<5001b:<4?:%04a?0?32co=7>5$35f>40432e9?<4?:%04a?7f=21b:84?:%04a?0232c9>k4?:%04a?44n21bo>4?:%04a?44k21vn9:50;494?6|,;=96<>k;I04<>N5?:1/>:k511d8 40>2;1C>>74$057>7>>3`<:6=4+26g92==6=4+26g920=56;294~"5?;0:7581<<=n>80;6)<8e;4;?>oc93:1(?9j:040?>i5;80;6)<8e;3b1>=n><0;6)<8e;46?>o5:o0;6)<8e;00b>=nk:0;6)<8e;00g>=zj==1<7850;2x 71528:o7E<88:J136=#:>o1==h4$04:>7=O::30(<9;:3::?l06290/>:k56998ma7=83.9;h4>6298k756290/>:k51`78?l02290/>:k56498m74a290/>:k522d8?le4290/>:k522a8?xd303:1:7>50z&137<68m1C>:64H350?!40m3;;j6*>6881?M4412.:;94=889j24<72-8t$351>46c3A8<46F=729'62c=99l0(<86:39K66?<,8=?6?66;h42>5<#:>o1:554ie394?"5?l0::>54o312>5<#:>o1=l;4;h46>5<#:>o1:854i30e>5<#:>o1>>h4;ha0>5<#:>o1>>m4;|`7e?6=>3:120D?9<;%04a?77n2.::44=;I00=>"6?=09445f6083>!40m3<376gk1;29 71b28<876a=3083>!40m3;j965f6483>!40m3<>76g=2g83>!40m388j65fc283>!40m388o65rb5`94?0=83:p(?9=:02g?M4002B9;>5+26g955`<,8<26?5G22;8 4132;227d8>:18'62c=>110ei?50;&13`<6>:10c?=>:18'62c=9h?07d8::18'62c=><10e?0e9K62><@;=87)<8e;33b>"6>0097E<<9:&231<5001b:<4?:%04a?0?32co=7>5$35f>40432e9?<4?:%04a?7f=21b:84?:%04a?0232c9>k4?:%04a?44n21bo>4?:%04a?44k21vn9j50;494?6|,;=96<>k;I04<>N5?:1/>:k511d8 40>2;1C>>74$057>7>>3`<:6=4+26g92==6=4+26g920=56;294~"5?;0:7581<<=n>80;6)<8e;4;?>oc93:1(?9j:040?>i5;80;6)<8e;3b1>=n><0;6)<8e;46?>o5:o0;6)<8e;00b>=nk:0;6)<8e;00g>=zj=l1<7850;2x 71528:o7E<88:J136=#:>o1==h4$04:>7=O::30(<9;:3::?l06290/>:k56998ma7=83.9;h4>6298k756290/>:k51`78?l02290/>:k56498m74a290/>:k522d8?le4290/>:k522a8?xd283:1:7>50z&137<68m1C>:64H350?!40m3;;j6*>6881?M4412.:;94=889j24<72-8t$351>46c3A8<46F=729'62c=99l0(<86:39K66?<,8=?6?66;h42>5<#:>o1:554ie394?"5?l0::>54o312>5<#:>o1=l;4;h46>5<#:>o1:854i30e>5<#:>o1>>h4;ha0>5<#:>o1>>m4;|`67?6=>3:120D?9<;%04a?77n2.::44=;I00=>"6?=09445f6083>!40m3<376gk1;29 71b28<876a=3083>!40m3;j965f6483>!40m3<>76g=2g83>!40m388j65fc283>!40m388o65rb0c;>5<1290;w)<82;33`>N5?11C>:=4$35f>46a3-;=57<4H31:?!70<38356`>0582<>"6>o0:!40m3<376gk1;29 71b28<876a=3083>!40m3;j965f6483>!40m3<>76g=2g83>!40m388j65fc283>!40m388o65rb0:`>5<0290;w)<82;34e>N5?11C>:=4$35f>75e3-;<87<79:&22<<6>2c:944?:%04a?7>3g84;h36e?6=,;=n6<74n35`>4=i1>65f14a94?"5?l0:56`=7b80?>o6=m0;6)<8e;3:?k40k3>07d?:e;29 71b2830b?9l:498k4?b290/>:k51`78?xd6080;694?:1y'624=9>l0D?97;I047>"5?l0:;h5+16696=?5$35f>4g232wi=5k50;594?6|,;=96<9n;I04<>N5?:1/>:k522`8 4132;227)?99;35?l7213:1(?9j:0;8j71d2910e<;n:18'62c=901e>:m51:9j50d=83.9;h4>9:l13f<532c:9n4?:%04a?7>3g81=i1965`18g94?"5?l0:m854}c3;7?6=<3:120D?9<;%04a?70m2.:;94=889j23<72-8:k51`78?xd60o0;6:4?:1y'624=9>k0D?97;I047>"5?l09?o5+16696=?<,8<26<84i07:>5<#:>o1=45a26a94>=n9h5?j0:76g>5c83>!40m3;27c<8c;08?l72k3:1(?9j:0;8j71d2:10e<;k:18'62c=901e>:m54:9j50c=83.9;h4>9:l13f<232e:5h4?:%04a?7f=21vn<6;:187>5<7s-8<>7?8f:J13==O:>90(?9j:05f?!70<38356g96;29 71b28<<76gkd;29 71b28<=76g=3e83>!40m388i65`18g94?"5?l0:m854}c3:4?6=?3:120D?9<;%04a?44j2.:;94=889'53?=9?1b=8750;&13`<612d9;n4?;:k21d<72-8n7>5$35f>4?5<#:>o1=45a26a97>=n9h5?j0?76g>5d83>!40m3;27c<8c;78?j7>m3:1(?9j:0c6?>{e91?1<7:50;2x 71528=m7E<88:J136=#:>o1=:k4$057>7>>3`<=6=4+26g9531<3`no6=4+26g9530<3`88h7>5$35f>75b32e:5h4?:%04a?7f=21vn<7>:184>5<7s-8<>7?8a:J13==O:>90(?9j:31a?!70<38356*>68822>o6=00;6)<8e;3:?k40k3:07d?:a;29 71b2830b?9l:098m43e290/>:k5189m62e=:21b=8m50;&13`<612d9;n4<;:k21a<72-8i7>5$35f>4?5<#:>o1=l;4;|`2<3<72=0;6=u+260952`<@;=37E<83:&13`<6?l1/=::529;8m30=83.9;h4>6698mab=83.9;h4>6798m75c290/>:k522g8?j7>m3:1(?9j:0c6?>{e9081<7950;2x 71528=j7E<88:J136=#:>o1>>l4$057>7>>3-;=57?9;h36=?6=,;=n6<74n35`>5=i1=65f14`94?"5?l0:56`=7b81?>o6=j0;6)<8e;3:?k40k3907d?:d;29 71b2830b?9l:598m43b290/>:k5189m62e==21d=4k50;&13`<6i<10qo?77;290?6=8r.9;?4>7g9K62><@;=87)<8e;34a>"6?=09445f6783>!40m3;=;65fde83>!40m3;=:65f22f94?"5?l09?h54o0;f>5<#:>o1=l;4;|`2=6<72>0;6=u+260952g<@;=37E<83:&13`<5;k1/=::529;8 40>28<0e<;6:18'62c=901e>:m50:9j50g=83.9;h4>9:l13f<632c:9o4?:%04a?7>3g86=i1865f14g94?"5?l0:56`=7b86?>i61l0;6)<8e;3b1>=zj8236=4;:183!40:3;5$35f>40032coh7>5$35f>40132c9?i4?:%04a?44m21d=4k50;&13`<6i<10qo?64;293?6=8r.9;?4>7`9K62><@;=87)<8e;00f>"6?=09445+17;953=n9<31<7*=7d82=>h5?j0;76g>5`83>!40m3;27c<8c;38?l72j3:1(?9j:0;8j71d2;10e<;l:18'62c=901e>:m53:9j50b=83.9;h4>9:l13f<332c:9h4?:%04a?7>3g81<7>t$351>41a3A8<46F=729'62c=9>o0(<9;:3::?l01290/>:k51758?lbc290/>:k51748?l44l3:1(?9j:31f?>i61l0;6)<8e;3b1>=zj83>6=48:183!40:3;6:k21<<72-8m7>5$35f>4?5<#:>o1=45a26a96>=n9h5?j0876g>5e83>!40m3;27c<8c;68?l72m3:1(?9j:0;8j71d2<10c<7j:18'62c=9h?07pl>8`83>1<729q/>:<516d8L71?3A8>1>574i7494?"5?l0:::54ief94?"5?l0::;54i31g>5<#:>o1>>k4;n3:a?6=,;=n6t$351>41f3A8<46F=729'62c=::h0(<9;:3::?!7113;=7d?:9;29 71b2830b?9l:198m43f290/>:k5189m62e=921b=8l50;&13`<612d9;n4=;:k21f<72-8h7>5$35f>4?5<#:>o1=45a26a91>=h90o1<7*=7d82e0=54;294~"5?;0:;k5G26:8L7143-821b>>j50;&13`<5;l10c<7j:18'62c=9h?07pl>8e83>0<729q/>:<516;8L71?3A8>1>574$04:>41i1<65f14c94?"5?l0:56`=7b82?>o6=k0;6)<8e;3:?k40k3807d?:c;29 71b2830b?9l:298k4?b290/>:k51`78?xd60;0;694?:1y'624=9>l0D?97;I047>"5?l0:;h5+16696=?5$35f>4g232wi4i4?:983>5}#:>81=l>4H35;?M40;2.:m94=879'`g<1:2.::44=;%07f?3f3A8?i6*=7d82e5=#91098=5+16696=?>o1:3:1Da19K62><@;=87)?n4;0;2>"cj3<97)?99;08 72e25<5<5N5?11C>:=4$0c7>7>13-ni6;<4$04:>7=#:=h19l5G25g8 71b28k;7)?7:363?!70<38356gk2;29?lb42900e;<50;J2f7=b398m33=831b>><50;9j66b=831d>>?50;9~f=3=8321<7>t$351>4g73A8<46F=729'5d2=:1<0(il5639'53?=:2.98o4:a:J10`=#:>o1=l>4$0:9616<,8=?6?66;hf1>5<M7e:21b:>4?:I3a6>=n><0;66g=3383>>o5;m0;66a=3083>>{e1>0;654?:1y'624=9h:0D?97;I047>"6i=094;5+dc82=a=#9?31>6*=4c810a=O:=o0(?9j:0c3?!7?2;>;7)?84;0;=>oc:3:17dj<:188m34=83B:n?54i7194?N6j;10e;;50;9j664=831b>>j50;9l667=831vn4l50;094?6|,;=968j4H35;?M40;2.:m94=879'62c=>>1/=::529;8k4?b290/>:k51`78?l7203:1(?9j:040?>{e1?0;6?4?:1y'624==m1C>:64H350?!7f<383:6*=7d853>"6?=09445`18g94?"5?l0:m854i07;>5<#:>o1=;=4;|`:5?6=:3:1N5?11C>:=4$0c7>7>13-om6?8k;%35f?77k2B98h5+26g91`=#91098=5+16696=?5619K62><@;=87)?n4;0;2>"1<3;;=6*>63812a=#:>o1::5+1619535<@8=:7)?84;0;=>"6>8097d?:8;29 71b28<876a>9d83>!40m3;j965rb8;94?4=83:p(?9=:043?M4002B9;>5+1`696=0<,?>1==?4$041>70c3-854o0;f>5<#:>o1=l;4;|`20`<72;0;6=u+260950`<@;=37E<83:&13`<2k2B:;<5+1619535<,8=?6?66;%346?70j2c:954?:%04a?71;21d=4k50;&13`<6i<10qo?;d;296?6=8r.9;?4>5g9K62><@;=87)<8e;7`?M7092.:;>4>629'522=:130(<9=:05a?l7203:1(?9j:040?>i61l0;6)<8e;3b1>=zj8>i6=4=:183!40:3;>j6F=799K625<,;=n68m4H052?!70;3;=?6*>7581<<=#9>81=:l4i07;>5<#:>o1=;=4;n3:a?6=,;=n6t$351>43a3A8<46F=729'62c==j1C=:?4$050>4043-;<87<79:&237<6?k1b=8650;&13`<6>:10c<7j:18'62c=9h?07pl>4883>7<729q/>:<514d8L71?3A8N6?81/=:=51718 4132;227)?82;34f>o6=10;6)<8e;357>=h90o1<7*=7d82e0=52;294~"5?;0:9k5G26:8L7143-8"6?:0::>5+16696=?<,8=96<9m;h36;%347?71;2.:;94=889'524=9>h0e<;7:18'62c=9?907b?6e;29 71b28k>76sm15494?4=83:p(?9=:07e?M4002B9;>5+26g91f=O9>;0(<9<:040?!70<38356*>73823g=n9<21<7*=7d8226=5}#:>81=8h4H35;?M40;2.9;h4:c:J234=#9>91=;=4$057>7>>3-;<>7?8b:k21=<72-8a498yg73<3:1>7>50z&137<6=o1C>:64H350?!40m3?h7E?81:&236<6>:1/=::529;8 41528=i7d?:8;29 71b28<876a>9d83>!40m3;j965rb060>5<5290;w)<82;36b>N5?11C>:=4$35f>0e<@8=:7)?83;357>"6?=09445+160952d5$35f>4g232wi=9<50;094?6|,;=96<;i;I04<>N5?:1/>:k55b9K527<,8=86<8<;%340?4?12.:;?4>7c9j50>=83.9;h4>6298k4?b290/>:k51`78?xd6<90;6?4?:1y'624=9"5?l0>o6F>709'525=9?90(<9;:3::?!70:3;5983>!40m3;=?65`18g94?"5?l0:m854}c30b?6=:3:120D?9<;%04a?3d3A;<=6*>728226=#9>>1>574$051>41e3`;>47>5$35f>40432e:5h4?:%04a?7f=21vn<=j:181>5<7s-8<>7?:f:J13==O:>90(?9j:4a8L4163-;:k51718?j7>m3:1(?9j:0c6?>{e9:n1<7<50;2x 71528?m7E<88:J136=#:>o19n5G1638 41428<87)?84;0;=>"6?;0:;o5f14:94?"5?l0::>54o0;f>5<#:>o1=l;4;|`27f<72;0;6=u+260950`<@;=37E<83:&13`<2k2B:;<5+1619535<,8=?6?66;%346?70j2c:954?:%04a?71;21d=4k50;&13`<6i<10qo?5g9K62><@;=87)<8e;7`?M7092.:;>4>629'522=:130(<9=:05a?l7203:1(?9j:040?>i61l0;6)<8e;3b1>=zj89j6=4=:183!40:3;>j6F=799K625<,;=n68m4H052?!70;3;=?6*>7581<<=#9>81=:l4i07;>5<#:>o1=;=4;n3:a?6=,;=n6t$351>43a3A8<46F=729'62c==j1C=:?4$050>4043-;<87<79:&237<6?k1b=8650;&13`<6>:10c<7j:18'62c=9h?07pl>3983>7<729q/>:<514d8L71?3A8N6?81/=:=51718 4132;227)?82;34f>o6=10;6)<8e;357>=h90o1<7*=7d82e0=52;294~"5?;0:9k5G26:8L7143-8"6?:0::>5+16696=?<,8=96<9m;h36;%347?71;2.:;94=889'524=9>h0e<;7:18'62c=9?907b?6e;29 71b28k>76sm14694?4=83:p(?9=:07e?M4002B9;>5+26g91f=O9>;0(<9<:040?!70<38356*>73823g=n9<21<7*=7d8226=4?:383>5}#:>81=8h4H35;?M40;2.9;h4:c:J234=#9>91=;=4$057>7>>3-;<>7?8b:k21=<72-8a498yg72:3:1>7>50z&137<6=o1C>:64H350?!40m3?h7E?81:&236<6>:1/=::529;8 41528=i7d?:8;29 71b28<876a>9d83>!40m3;j965rb072>5<5290;w)<82;36b>N5?11C>:=4$35f>0e<@8=:7)?83;357>"6?=09445+160952d5$35f>4g232wi=8>50;094?6|,;=96<;i;I04<>N5?:1/>:k55b9K527<,8=86<8<;%340?4?12.:;?4>7c9j50>=83.9;h4>6298k4?b290/>:k51`78?xd6"5?l0>o6F>709'525=9?90(<9;:3::?!70:3;5983>!40m3;=?65`18g94?"5?l0:m854}c37g?6=:3:120D?9<;%04a?3d3A;<=6*>728226=#9>>1>574$051>41e3`;>47>5$35f>40432e:5h4?:%04a?7f=21vn<:>:181>5<7s-8<>7?:f:J13==O:>90(?9j:4a8L4163-;:k51718?j7>m3:1(?9j:0c6?>{e9:<1<7<50;2x 71528?m7E<88:J136=#:>o19n5G1638 41428<87)?84;0;=>"6?;0:;o5f14:94?"5?l0::>54o0;f>5<#:>o1=l;4;|``b?6=:3:120D?9<;%04a?3d3A;<=6*>728226=#9>>1>574$051>41e3`;>47>5$35f>40432e:5h4?:%04a?7f=21vn<8j:181>5<7s-8<>7?:f:J13==O:>90(?9j:4a8L4163-;:k51718?j7>m3:1(?9j:0c6?>{ekh0;6?4?:1y'624=9"5?l0>o6F>709'525=9?90(<9;:3::?!70:3;5983>!40m3;=?65`18g94?"5?l0:m854}c3be?6=:3:120D?9<;%04a?3d3A;<=6*>7282e0=#9>>1>574n027>4><,8=96<9m;h36=8381<7>t$351>4?a3A8<46F=729'62c==j1C=:?4$050>4g23-;<87<79:l241<602.:;?4>7c9j50>=83.9;h4>6298k4?b290/>:k51`78?xd6980;6?4?:1y'624=90l0D?97;I047>"5?l0>o6F>709'525=9h?0(<9;:3::?k77<3;37)?82;34f>o6=10;6)<8e;357>=h90o1<7*=7d82e0=52;294~"5?;0:5k5G26:8L7143-8"6?:0:m85+16696=?41e3`;>47>5$35f>40432e:5h4?:%04a?7f=21vn?=9:181>5<7s-8<>7?6f:J13==O:>90(?9j:4a8L4163-;h0e<;7:18'62c=9?907b?6e;29 71b28k>76sm8083>7<729q/>:<518d8L71?3A8N6?81/=:=51`78 4132;227c??4;3;?!70:3;5983>!40m3;=?65`18g94?"5?l0:m854}c:5>5<1290;w)<82;33`>N5?11C>:=4$35f>46a3-;=57<4H31:?!70<38356g91;29 71b2?207dj>:18'62c=9?907b<<1;29 71b28k>76g95;29 71b2??07d<=f;29 71b2;9m76gl3;29 71b2;9h76smcd83>1<729q/>:<516:8L71?3A8>1>574$04:>a=n9<31<7*=7d82=>h5?j0;76g>5`83>!40m3;27c<8c;38?l72j3:1(?9j:0;8j71d2;10c<7j:18'62c=9h?07plld;290?6=8r.9;?4>7g9K62><@;=87)<8e;34a>"6?=09445f6783>!40m3;=;65fde83>!40m3;=:65f22f94?"5?l09?h54o0;f>5<#:>o1=l;4;|`;f?6=:3:120D?9<;%04a?3d3-;<87<79:k21=<72-8a49K62b<3th2<7>52;294~"5?;0::l5G26:8L7143-8:j4;|`;20D?9<;%04a?3d3-;<87<79:k21=<72-8a49K62b<3th2o7>5b;391~N5?:1/>:<51c48 4g32;2=7);m:0c0?!e52>i0(n;511`8 75f28:h7c9k:b9me0<13gk26<5aae82?kd6281en84>;o`:>4=iml0:7k9j:19'e6<682lj:7>!5:db3?6`fm3:%96hnf;28bg4=8'?0jo=50:da2?6)=2li;7>4fcc94+37581<<=n1m0;66g8b;29?jg32900c:m50;9j66e=831dn=4?::mb7783>>d6j=0;6;=50;2x 71528h?7)<;3;07e>N5;01e=o=52:l2f0<53-;i;7??c:l2f=<63g;i57>4n0`b>5=i9kh1<6`>bb83?k7el3:0bl;%3`f??<,8ih645+1bf963b<,8in6>5+1bd92>"6l909:i5+1e3955e<,8n9645+1e19=>"6l=09:i5+1e797>"6l?0=7)?k7;05`>"6l10:"6lh027)?kb;05`>"6lj087)?kd;48 4bb2;"6m9027)?j1;;8 4c52;m1/=hj511a8 4cb201/=hh59:&2b5<5>m1/=k?53:&2b7<13-;m?7<9d:&2b1<68j1/=k;59:&2b3<>3-;m;7<9d:&2b=<43-;m57??c:l2bd<63-;mn7<9d:l2bf<63g;mh7>4$0df>70c3-;mj784$323>70c3-8;=774$321><=#:991>;j4n327>7=#:9?1==m4$325>==#:9=1>;j4n32;>7=#:931>;j4$32b>70c3-8;n7<9d:&14f<5>m1/>=j511a8 76b28:h7)"5990:l;%027?77k2.9=94>0b9'643=99i0(??9:34g?k46?3:0(??7:49'64?=?2d9=l4?;o02f?54$33g>c=#:8o1=95+20d9a>"5:909:i5+23390>"5:;09:i5+23192>h5:=037c<=5;38 74128:h7)<=7;05`>h5:10:7)<=9;33g>h5:h0;7)<=b;33g>h5:j0=<6`=2e83?!45m38=h6F=4d9'62c=9k>0(<652528 4132;227);m:0c0?k1c2j1m;h4?;%;e>46<,h;1==5aa485?cg129$>7ko8:19me<<63okj6= :;gca>5=iim0:7koj:1,6?cga291en<4>;g`1>5(23oh86=5ab482?cd129$>7kl8:19mf<<63ohj6= :;g`a>5=#k;0hbm3;0j?=8:1,34==hj90;66g8b;29L4d532eij7>5;h072?6=@;>376a8c;29?lbf290C=o<4;h34`?6=@;>376al0;29?jg?2900eim50;9lf=<722cj>7>5;hc3>59950;J10==6=44ob394?=n?<0;6E<;8:9j612=83B98554o6c94?=hi=0;66am4;29?l70k3:1D?:7;:k243<72A8?465f22:94?=n1l0;6E?m2:9j613=83B98554o`a94?=hjj0;66a>7783>>oc13:1(<7m:e68j4?f2=10ei650;&2=g7=9c8g0>h61h0:76gk5;29 4?e2m>0b<7n:198m`2=83.:5o4kd:l2=d5$0;a>ab9`8g?>ob93:1(<7m:ef8j4?f2j10eh>50;&2=gd=9c8g`>h61h0276gjc;29 4?e2mn0b<7n:998m`d=83.:5o4kd:l2=d<032cnm7>5$0;a>ab9`86?>ob03:1(<7m:ef8j4?f2=10eh950;&2=g7=9c8g`>h61h0:76gke;29 4?e2mn0b<7n:198k703290/=4l525d8j4?f28i0D?:7;:m126<72-;2n7<;f:l2=d<6j2B98554o341>5<#90h1>9h4n0;b>4g<@;>376a=6083>!7>j38?j6`>9`82=>N5<110c?8?:18'57:J10==i5=m0;6)?6b;07b>h61h0:96F=4998k73d290/=4l525d8j4?f28>0D?:7;:m11d<72-;2n7<;f:l2=d<6;2B98554o37:>5<#90h1>9h4n0;b>44<@;>376a=5983>!7>j38?j6`>9`825>N5<110c?;8:18'5=h:9c810c=i90k1i6F=4998k733290/=4l525d8j4?f2m1C>964;n067?6=,83i6?:i;o3:e?e<@;>376a=5383>!7>j38?j6`>9`8a?M43021d>8?50;&2=g<5i320D?:7;:m12d<72-;2n7<;f:l2=d<03A8?465`27;94?"61k098k5a18c92>N5<110c?87:18'5<3f8=;7>5$0;a>72a3g;2m7:4H36;?>i5>?0;6)?6b;07b>h61h087E<;8:9l633=83.:5o4=4g9m55<#90h1>9h4n0;b>4=O:=207b<:0;29 4?e2;>m7c?6a;28L72?32em;7>5$0;a>c69`8f?>ia=3:1(<7m:g28j4?f2m10ck:50;&2=g4n0;b>g=9c8e4>h61h0j76a>0183>!7>j3l;7c?6a;;8?j`a290/=4l5f19m55<#90h1j=5a18c92>=hnj0;6)?6b;d3?k7>i3?07bhm:18'565`f983>!7>j3l;7c?6a;38?j`6290/=4l5f19m55<5sW=i70?m4;5a?xuf<3:1>vPn4:?2f11;n5rs31`>5<5sW88o63>b5817f=zuk3o6=4>:183!40m3;jh6F=729K53><,8=86<8<;%344?7>l2c:mi4?:%04a?7fl2P9:h4>{8f9y>{e?o0;6<4?:1y'62c=9hn0D?9<;I35<>"6?:0::>5+1629550z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75j3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7513:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7503:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75?3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75>3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75=3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75<3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75;3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75:3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7593:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7583:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76m3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76l3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76k3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76j3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76i3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7613:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7603:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76?3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76>3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76=3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg74<3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg74;3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg74:3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7493:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg7483:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75n3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75m3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg75i3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76n3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8yg76<3:1=7>50z&13`<6im1C>:=4H04;?!70;3;=?6*>7182=a=n9hn1<7*=7d82ea=]:>:1=v?95;8ygee290:6=4?{%04a?7fl2B9;>5G17:8 41428<87)?80;3:`>o6im0;6)<8e;3b`>\5?90:w<8::|9~f40c290:6=4?{%04a?7fl2B9;>5G17:8 41428<87)?80;3:`>o6im0;6)<8e;3b`>\5?90:w<8::|9~ff?=83;1<7>t$35f>4gc3A8699'525=9?90(<9?:0;g?l7fl3:1(?9j:0cg?>{e9h31<7?50;2x 71b28ko7E<83:J22==#9>91=l;4n36:>06a68~?xdd?3:1=7>50z&13`<6im1C>:=4H04;?!70;3;j96`=48864>i6im0;6)<8e;3b`>=zj8;;6=4>:183!40m3;jh6F=729K53><,8=865$35f>4gc32wi>>=50;394?6|,;=n6N6>11/=:=51`78j72>2<:0c4<729q/>:k51`f8L7143A;=46*>7282e0=i:=319=5`1`f94?"5?l0:mi54}c:3>5<6290;w)<8e;3b`>N5?:1C=;64$050>4g23g8?57;?;n3b`?6=,;=n6;60m0:945rs06g>5<4s4?96i?4=06g>4?b34;3h7?:a:p51d=839p18>5d09>51d=90o01<79:07:?xu6u24g8g5>;653z?7a?b634;?57?6e:?2=3<6=k1v<:7:18082c2m;01<:7:0;f?87>=3;>56s|15594?5|5=i1h<52155956<;n;|q203<72:q68o4k1:?203<61l16=4;514`8yv73=3:1?v3;a;f2?873=3;2i63>95821<=z{8>?6=4<{<6:>a7<58>?6<7j;<3:0?72i2wx=9=50;1x91>=l816=9=518g894?328?i7p}>4383>6}:<>0o=63>4382=`=:9091=874}r374?6=;r7?97j>;<374?7>m27:5>4>5`9~w45a2908w0:;:e38945a283n70?63;36f>{t9:o1<7=t=519`4=:9:o1=4k4=0;1>43>3ty:?i4?:2y>079d9>5<4=9;61;0:9o5rs01a>5<4s4>;6i?4=01a>4?b34;2=7?:9:p56g=839p1>h5d09>56g=90o01<7>:07b?xu6;00;6>u23d8g5>;6;00:5h52183950d53z?0`?b634;847?6e:?2=5<6=01v<=8:18085d2m;01<=8:0;f?87>83;>m6s|14794?5|5<31h<52147958g821d=z{8?96=4<{<75>a7<58?96<7j;<3;b?72j2wx=8?50;1x903=l816=8?518g894>b28?27p}>5183>6}:==0o=63>5182=`=:91o1=8o4}r37b?6=;r7>=7j>;<37b?7>m27:4h4>5c9~w42d2908w0:9:e38942d283n70?7c;36=>{t9=;1<7=t=2`9`4=:9=;1=4k4=0:`>43f3ty:?;4?:2y>7d9d9>5=e=9l0;6?u217g955<5s4ij6<7j;43>3ty2h7>53z\:`>;>13;>4636d;3b`>{t?o0;6>uQ7g9>=6<6=116;k4>ae9~w64=838p18=5223894>c28?i7p}<1;296~;2:388=63>8e821f=z{;l1<7v3;d;005>;61<0:9n5rs3`94?4|5=i1>>?4=0;6>43c3ty9m7>52z?7f?44927:584>5d9~w7?=838p19o5223894?328?h7p}=8;296~;31388=63>95821a=z{;=1<7v3;4;005>;61:0:9h5rs3094?4|5=91>>?4=0;1>43d3ty9=7>52z?76?44927:5?4>5e9~w76=838p19?5223894?528?n7p}>f;296~;38388=63>90821f=z{8o1<7v3;6190:9i5rs2;94?4|5<31>>?4=0;3>43b3ty847>52z?65b9~w61=838p1895223894>a28?o7p}<6;296~;2>388=63>8g821`=z{:?1<787<<1:?2<`<6=m1v>=50;0x907=::;01<6j:07f?xu483:1>v3;6;005>;60j0:9n5rs3794?4|5:h1>>?4=0:`>43c3ty:m7>52z?0e?44927:4n4>5d9~w4522909w0?72;3:a>;dl3<=7p}lc;296~;6i10o=63ld;3:a>{t080;6?u2968174=:080:955rs030>5<50r78m78>;<1a>37<5=<1:<5250855>;2<3<:70;::738900=>8169:491:?65609>04<1927?>78>;<60>37<5=>1:<5244855>;3?3<:70:7:73891?=>8168l491:?7f?0634>h6;?4=5f924=:16<1927:m5491:?;`?05342h6;<4=9;927=:0<0=>636b;3:a>;?>3<:706m:07;?xud03:1>v375;005>;d03;>46s|10394?4|5131>>?4=032>43?3ty9?94?:3y>>:514:8yv44>3:1>v37d;005>;5;?0:955rs0cb>5<5s4;j47<<1:?2ed<6=11v<77:182g~;5?00:9;521939`a=:9191hi521969`a=:91?1hi521949`a=:91=1hi5219:9`a=:9131hi5219c9`a=:91h1hi521909`a=:0m0o>637d;006>;?l388h637c;f1?8>d2;99706l:31g?8>>2;997066:31g?8>22m8015;522089=3=::n01495d29>=2<5;;165:4=3e9>ga>2m801575d29><3<5;816454>599~w=g=83?p15j5629>35<51h1=4k4}r3;g?6=:r7:4n4>9d9>5=7=::n0q~?71;296~;6080:5h52191923=z{82n6=4={<3;a?7>m27:4>4=3e9~w4>42909w0?73;3:a>;60=0=:6s|19d94?4|582m6<7j;<3;0?44l2wx=5:50;0x94>3283n70?75;45?xu6190;6?u2182956?=k;|q2<0<72;q6=5;518g894>12?<0q~?61;296~;6180:5h52194966b52z?2<3<61l16=595679~w4?52909w0?62;3:a>;60>09?i5rs0:4>5<5s4;3;7?6e:?2<=<1>2wx=4=50;0x94?4283n70?78;00`>{t9121<74?b34;35789;|q2=1<72;q6=4:518g894>>2;9o7p}>8883>7}:9131=4k4=0:b>3052z?2=0<61l16=5o522f8yv7?i3:1>v3>8`82=`=:91h1:;5rs0;5>5<5s4;2:7?6e:?283852>{t91n1<74?b34;3>7<m2wx4h4?:2y>=3<61l165:492:?:4?7202wx594?:3y>=4<61l165n48b:p=d<72;q65o4>599>=f=3<6=1165n48c:p=7<72;q65>4>9d9>=4<6=11v4650;0x92c9>51b=9<201<uQ13;8942e28?370?=9;3b`>{t9;21<7=t^00;?873i3;>463>2982ea=z{88<6=4<{_313>;6<00:955213595db53z\263=:9=21=864=005>4gc3ty:>84?:2y]573<58><6<;7;<311?7fl2wx=?:50;1xZ44334;?:7?:8:?261<6im1v<<<:180[75;27:884>599>575=9hn0q~?=2;297~X6:;16=9:514:8944528ko7p}>2083>6}Y9;;01<:<:07;?87593;jh6s|13294?5|V88;70?;2;36<>;6:90:mi5rs03f>5<4sW;:i63>41821==:98o1=lj4}r32`?6=;rT:=i5212d950><58;o643?34;:o7?nd:p54d=839pRae9~w47f2908wS?>a:?27f<6=116=189>56d=9<201uQ10:8945f28?370?>8;3b`>{t98=1<7=t^034?87413;>463>1682ea=z{8;=6=4<{_322>;6;10:955210495db53z\250=:9:=1=864=036>4gc3ty:?94?:2y]562<58?>6<;7;<300?7fl2wx=>=50;1xZ45434;>87?:8:?276<6im1v<==:180[74:27:9>4>599>564=9hn0q~?<1;297~X6;816=8<514:8945628ko7p}>3183>6}Y9::01<;>:07;?87483;jh6s|13d94?5|V88m70?:0;36<>;6:o0:mi5rs00f>5<4sW;9i63>4g821==:9;o1=lj4}r31e?6=;rT:>l5215a950><588j643?34;:j7?nd:p542=839pRae9~wfd=839pRnl4=bd950><5jh1=lj4}r35`?6=;rT::i5217g950><58;d13;jh6s|1`:94?5|V8k370?na;3:a>;6i00:mi5rsb594?5|Vj=01n6518g89f1=9hn0q~?>0;297~X69916=6}Y::901?=;:0;f?844;3;jh6s|22794?5|V;9>70<<6;3:a>;5;<0:mi5rs9294?5|V1:015?518g89=6=9hn0q~68:1818>12m;0156518g8yveb2909w0mj:0;f?8ec2;9o7psa35c94?7|@;=87p`<4c83>4}O:>90qc=;c;295~N5?:1vb>:k:182M40;2we?9k50;3xL7143td88k4?:0yK625<7>51zJ136=zf:?:6=4>{I047>{i;<81<7?tH350?xh4=:0;6:=4}o165rn27:>5<6sA84}O:>90qc=:c;295~N5?:1vb>;k:182M40;2we?8k50;3xL7143td89k4?:0yK62551zJ136=zf:<:6=4>{I047>{i;?81<7?tH350?xh4>:0;6:=4}o155rn24:>5<6sA84}O:>90qc=9c;295~N5?:1vb>8k:182M40;2C:mi4>{08~ 4ga28;0V2;~j60b290:wE<83:K2ea<6s80vqc=9f;295~N5?:1vb>9?:182M40;2we?:?50;3xL7143td8;?4?:0yK62551zJ136=zf:=?6=4>{I047>{i;>?1<7?tH350?xh4??0;6:=4}o14f?6=9rB9;>5rn25`>5<6sA84}O:>90qc=8f;295~N5?:1vb>6?:182M40;2we?5?50;3xL7143td84?4?:0yK62551zJ136=zf:2?6=4>{I047>{i;1?1<7?tH350?xh40?0;6?290:wE<83:m7=?=83;pD?9<;|l0:=4}o1;f?6=9rB9;>5rn2:`>5<6sA84}O:>90qc=7f;295~N5?:1vb>7?:182M40;2we?4?50;3xL7143td85?4?:0yK62551zJ136=zf:3?6=4>{I047>{i;0?1<7?tH350?xh41?0;6?3:1=vF=729~j6??2909wE<83:m7:=4}o1:f?6=9rB9;>5rn2;`>5<6sA84}O:>90qc=6f;295~N5?:1vb>o?:182M40;2we?l?50;3xL7143td8m?4?:0yK62551zJ136=zf:k?6=4>{I047>{i;h?1<7?tH350?xh4i?0;6:=4}o1bf?6=9rB9;>5rn2c`>5<6sA84}O:>90qc=nf;295~N5?:1vb>l?:182M40;2we?o?50;3xL7143td8n?4?:0yK62552zJ136=zf:h?6=4={I047>{i;k?1<7vF=729~j6d?290:wE<83:K2ea<6s80vV:0y221a08~yk5e13:1=vF=729J5db=9r;1qW?n1;3x532=uS;:>7?t1`39yxh4jh0;6a0824032tP:=?4>{0c2>x{i;kh1<7?tH350?L7fl3;p=7sU1`395~71<3wQ=<<51z3b5?{zf:hh6=4>{I047>O6im0:w<4rZ0c2>4}6>=0vV51zJ136=N9hn1=v?5}[3b5?7|9?>1qW?>2;3x5d7=utd8nh4?:0yK625:|X2e4<6s813824g62twe?oh50;3xL7143@;jh7?t1;Y5d7=9r;=87sU10095~7f93wvb>m?:182M40;2C:mi4>{08~^4g628q::94rZ031>4}6i80vqc=l1;295~N5?:1B=lj51z39y_7f93;p=;:5}[326?7|9h;1qp`4}O:>90Ex\6i80:w<8;:|X257<6s8k:6psa3b194?7|@;=87D?nd;3x5?{]9h;1=v?94;Y544=9r;j=7srn2a7>5<6sA8ae824658~^47528q:m<4r}o1`1?6=9rB9;>5F1`f95~7=uS;j=7?t1769y_76:3;p=l?5}|l0g3<728qC>:=4I0cg>4}62tP:m<4>{047>x\69;0:w:|m7f1=83;pD?9<;H3b`?7|93wQ=l?51z350?{]9881=v?n1;~j6e?290:wE<83:K2ea<6s80vV:0y221a08~yk5d13:1=vF=729J5db=9r;1qW?n1;3x532=uS;:>7?t1`39yxh4kh0;6a0824032tP:=?4>{0c2>x{i;jh1<7?tH350?L7fl3;p=7sU1`395~71<3wQ=<<51z3b5?{zf:ih6=4>{I047>O6im0:w<4rZ0c2>4}6>=0vV51zJ136=N9hn1=v?5}[3b5?7|9?>1qW?>2;3x5d7=utd8oh4?:0yK625:|X2e4<6s813824g62twe?nh50;3xL7143@;jh7?t1;Y5d7=9r;=87sU10095~7f93wvb>j?:182M40;2C:mi4>{08~^4g628q::94rZ031>4}6i80vqc=k1;295~N5?:1B=lj51z39y_7f93;p=;:5}[326?7|9h;1qp`4}O:>90Ex\6i80:w<8;:|X257<6s8k:6psa3e194?7|@;=87D?nd;3x5?{]9h;1=v?94;Y544=9r;j=7srn2f7>5<6sA8ae824658~^47528q:m<4r}o1g1?6=9rB9;>5F1`f95~7=uS;j=7?t1769y_76:3;p=l?5}|l0`3<728qC>:=4I0cg>4}62tP:m<4>{047>x\69;0:w:|m7a1=83;pD?9<;H3b`?7|93wQ=l?51z350?{]9881=v?n1;~j6b?290:wE<83:K2ea<6s80vV:0y221a08~yk5c13:1=vF=729J5db=9r;1qW?n1;3x532=uS;:>7?t1`39yxh4lh0;65<6sA8ae82751zJ136=N9hn1=v<5}|l0``<728qC>:=4I0cg>4}52twe?ih50;3xL7143@;jh7?t2;~j6c7290:wE<83:K2ea<6s;0vV<>j:0yb>x\69;0:w<>j:|m7`7=83;pD?9<;|l0a7<728qC>:=4}|~DEE|<>i1m=jkc0;1yEFEs9wKL]ur@A \ No newline at end of file +$5af5=6:2.Yi{g|inl9$4(5=0*/>6#>1:20?567<2:;<=;4012343=789:;<55?0123456>39:;<=>?0163?56789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123403<89:;<=>?0133457788;:==>?1033447789::=?1132547799:;<<>?0133456789:;<;5?012354g<9:0BB][[:@FGVDQUIMNY0<<50?3b?45=AGZ^X7OKDS@Q@DBCZ5;96=0>d:354+6789:;<=>/pR"MAQ&DDBLISD@>3:354?vT2GO_6NBDFC]JJ42<9?0BB][[:EKB@L:6=3:5=95>6;KMTPR=L@HOE1?::1<21>712F__\XZ5DNCGK972294:96?9:NWWTPR=LFHOC1?::1<2?7773;81EC^ZT;f?64<76890>?4@UURVP?bwg58:6=0>3:01>JSSX\^1}a32083:6=5=<;?7?4FNQWW>AOWIMC[0<4?>0686?OIX\^1HD^MDHR?5?699=196D@_UU8EWGYTAS6:6=0>3:09MKVR\3]NMZKC<083:45<:3CE\XZ5WD@TAP:6294:96<5OTVSQQ:1<21>4=G\^[YY4KOQ@GKU:6294:7><43137?64=AGZ^X7H\B^C?74<76890??4FNQWW>aoii59:6=0>5:11>JSSX\^1J^LPD=12>586=2996B[[PTV9`jssj59:6=0>0:69MKVR\3}nm1=50?381`=22@D[YY4n<583:47<=3CE\XZ5dqpb81<76m1=av6fue113+59;1<6D@_UU8b`atf4?0;2<<47;KMTPR=imnyn1850?33?=638;::<=6?410354=F:2K;>6O>2:C16>G4:2K?n6OKDSCTVDBCZl1JHI\NWSCG@W:76o1JHI\NWSCG@W:687l0MIJ]AVPB@AT;984:=6OKDSCTVDBCZ5;96=0i;@FGVDQUIMNY0<<1e:CG@WGPZHNO^1?1e:CG@WGPZHNO^1<1e:CG@WGPZHNO^1=1e:CG@WGPZHNO^1:1e:CG@WGPZHNO^1;1e:CG@WGPZHNO^181e:CG@WGPZHNO^191e:CG@WGPZHNO^161e:CG@WGPZHNO^171b:CG@WDULHNO^h5NDEPAVAGCL[6;2k5NDEPAVAGCL[6:<3h4AEFQFWBFLMX7=<0>1:CG@WDULHNO^1?=:1GCL[HYHLJKR=3=a>GCL[HYHLJKR=0=a>GCL[HYHLJKR=1=a>GCL[HYHLJKR=6=a>GCL[HYHLJKR=7=a>GCL[HYHLJKR=4=a>GCL[HYHLJKR=5=a>GCL[HYHLJKR=:=a>GCL[HYHLJKR=;=a>GCLVMGCOOC_QKM7>GCZ=1JH_>;;@FQ51=FL[8?7LJ]359B@W243HFG96O\YOA:?GGIL^COXE=4BTD7?GSAO11IYKG@2G@24>DRAENTXL\HEUCQGM>9;BNH62623JF@>U64CMI1\4>7=2IGG4>:;BNHE41EKCOHBB55LLJDAKPRc3JF@JOAZT^OVHQc7NBDFY:8GIMAP82;96MCKHL5?FJLAG;o7NBDIO3\T@TNGM<0OAEFN3f8GIMNF;U[I_G@D99@HNOI[LFh7NBDIO]SAWOHL>1H@FAZT1g8GIMH]]:THDXFDD31?FJLG\^;SI[[Y^AZGICc3JF@CXZ?_QJBW@12:AOOJSS9VN^XTQLYBNF`>EKCF__=R^GARG4?FJLG\^9i6MCKNWW6ZBN^@NN=?5LLJMVP7YC]]STOTMCEe9@HNIR\;U[DL]J7:AOOJSS;l1H@FAZT2]GMSOCM880OAE@UU1\@PR^WJSH@Hj4CMILQQ5XXAKXI:5LLJMVP1cSIGYIEG26>EKCF__9RJZTX]@]FJBl2IGGB[[5^RKEVCf3JF@CXZKCL2b?FJLG\^OO@?n;BNHKPRCKD8j7NBDOTVGGH5f3JF@CXZKCL6b?FJLG\^OO@;l;BNH[CCBLKKHG>5LLS;8GJKJA]^NHn5LOLRFJVGSAFD:86M@RD]DAKCUI]CDBRGAFN48GUSDDBh0O][LLJ]LFP@03JZ^ScO\a:ASQZhT\LXFi6M_U^lPP@TJ9Vir=<5LPT]mWQCUE8UhuR|{f:ASQZhT\LXFSBLZF69@V@GSMM<0OaeHeo38@7=C8;1O=85KAEFQ<>BFLMX7<364D@FGV97902NJHI\32?:8@DBCZ59546JNDEP?0;eBF\HU\EIZG_C68@FJLl2NO^ROCIFLNAKR43MOE>6JF5:FJ85813MC7==09;EK?54813MC7=?09;EK?56813MC7=909;EK?50823MC7=3;4DH>1:0=CA59596JF<5<6?AO;=7?0HD29>49GM919=2NB050:;EK?=;33MCJHD2>0?;8@LGCA5;:245KI@FJ844912NBMIG312<:?AOFL@6:83l4DHCGM97229427IGNDH>21;>BNIMC78364DHCGM93902NBMIG36?:8@LGCA5=546JFAEK?<;>89GMGBN48:556JFBEK?548>3MCIHD2>2?;8@LDCA5;8245KICFJ8429j2NBNIG31483:<=CAKNB0<;18:FJFAO;9720HDLKI=0=<>BNJMC7?364DH@GM92902NBNIG35?:8@LDCA5<546JFBEK?3;>1OE]LKIQc8@LVEL@Z7<3m4DHRA@LV;93:5m6JFPCFJT979l2NBZNBD_EKUMACc3MC]EIKPBXRBWV479GK9776?1OC1?>>79GK9756?1OC1?<>79GK9736?1OC1?:>49GK979=2ND0?0:;EM?7;3BH4?4>7IA37?78@J:?6<1OC1715:FLEAI?3MEJHB2?>89GKDBH48:556J@AEM?548>3MEJHB2>2?;8@JGCG5;8245KO@FL8429j2NDMIA31483:<=CGHND0<;18:FLEAI;9720HBOKO=0=<>BHIME7?364DNCGK92902NDMIA35?:8@JGCG5<546J@AEM?3;>BHJME7==06;EMA@J:69730HBLKO=31:<=CGKND0<=19:FLFAI;9=4i7IAMDN>21?6912NDNIA314<;?AIELF6:255KOCFL878?3MEIHB2<>99GKGBH4=437IAMDN>6:==CGKND0;07;EMA@J:0611OCOJ@<9<;?AIELF622:5KOC]QAB?BHXHND\1?17:FLTGBHXh1OC]LKOQ>3:f=CGYHOC]2>:1BWZH:>7I^]A078@UTF:<1O\_O<5:FSVD2b3MXHS^[LCDQPBPJ33MXOU85KRHTF7>BPM>1NBLY]EO58AKDULLD27H@@UUQZJFd5123*56789:;<=.S338BWIJ2OYISL4[O;ASQZhT\LXF6<;?018sW==AZFGTYNH9;GQAGIM23OYISL64FR@\E96912LXNRO311<:?CUEWH6:=374FR@\E975601M_OQN<01==>@TJVK7=906;GQA[D:6=730J^LPA=35:<=A[KUJ0<919:DPFZG;91427K]M_@>2=;>15;?89EWGYF4;9556H\B^C?618>3OYISL2=5?;8BVDXI58=245ISC]B871912LXNRO329<:?CUEWH695364FR@\E94912LXNRO33119:DPFZG;;8437K]M_@>0:==A[KUJ0907;GQA[D:2611M_OQN<7<;?CUEWH6<255ISC]B8=8?3OYISL26>e9EWGYFW8:TEO[Id:DPFZGX98UBNXHk;GQA[DY6:VCIYKj4FR@\EZ74W@H^Ji5ISC]B[42XAK_Mh6H\B^C\50YNJ\Lo7K]M_@]22ZOE]On0J^LPA^34[LDRNm1M_OQN_0:\MGSAl2LXNROP18]JFP@c3OYISLQ=0^KAQCb_H@VBa=A[KUJS?@TJVKT>>QFBTDg?CUEWHU98RGMUGf8BVDXIV8>SDLZFe9EWGYFW;UBNXHl;GQA[DY5W@H^Jn5ISC]B[6YNJ\Lh7K]M_@]7[LDRNj1M_OQN_4]JFP@d3OYISLQ9_H@VBf=A[KUJS:QFBTD`?CUEWHU3SDLZFb9EWGYFW0UBNXH7;GQA[A:7601M_OQK<02==>@TJVN7=<06;GQA[A:6:730J^LPD=30:<=A[KUO0<:19:DPFZB;9<427K]M_E>22;?89EWGYC482556H\B^F?5<8?3OYISI2>>89EWGYC4;:556H\B^F?648>3OYISI2=2?;8BVDXL588245ISC]G872912LXNRJ324<:?CUEWM69:374FR@\@940601M_OQK<3:==>@TJVN7>407;GQA[A:5601M_OQK<22=f>@TJVN7?<4?>89EWGYC4:;546H\B^F?7;>@TJVN7;364FR@\@9>902LXNRJ39?a8BVDXLV:TCO[Id:DPFZBX99UDNXHk;GQA[AY69VEIYKj4FR@\@Z75WFH^Ji5ISC]G[45XGK_Mh6H\B^F\51YHJ\Lo7K]M_E]21ZIE]On0J^LPD^35[JDRNm1M_OQK_05\KGSAl2LXNRJP19]LFP@c3OYISIQ>9^MAQCe@TJVNT>=Q@BTDg?CUEWMU9=RAMUGf8BVDXLV89SBLZFe9EWGYCW;9TCO[Id:DPFZBX:=UDNXHk;GQA[AY5=VEIYKj4FR@\@Z41WFH^Ji5ISC]G[71XGK_Mh6H\B^F\6=YHJ\Lo7K]M_E]1=ZIE]Oi0J^LPD^0\KGSAl2LXNRJP31]LFP@c3OYISIQ<1^MAQCe@TJVNT8RAMUGa8BVDXLV?TCO[Ic:DPFZBX>VEIYKm4FR@\@Z1XGK_Mo6H\B^F\@TJVYBV1?50?c8BVDX[@P7=3?4G29DJA2<@@MC=6G=;H21?L753@897D==;H61?L333@H^J85FBTDD55=NJ\LTHHBNY^TBHPCd3@H^JRB@W^RTV7=NF:1BBL=4IO@;?LHAM[XNH55FNHVS[56?3@DBX]Q?199JJLRWW9837D@FTQ]37<=NF@^XXLZJ7:KMMQY78>1BBDZP0058MKOSW98<7D@FT^203>OIA]U;8:5FNHV\401I7:KMMQY68>1BBDZP1058MKOSW88<7D@FT^303>OIA]U:8:5FNHV\501869JJLRX90=0ECG[_0C4?LHN\V;I;6GAIU]2G2=NF@^T=I94IOKW[4C03@DBXR?I6:KMMQYF>2CEEYQM9:KMMQYAAEO87D@Yd:KMR@TSW@D[YY]j;HL\JBBXIGNTNNJ7;HMA@@JFQ?1BCNABP`9JKVRFFMKYH;5FOU[SAd=K:Yxnjhzlh69OMGTFZP<0@BOKEE48HJELMM=0@BIFC@N7?ISS9=1GYY87;MT@IJU4;>1FMC^KLG58IDHW]EL87@[W5:OV\F_d3DkacXjrrkljf=JageyZh||inl1?K653G;27CLPBTQJ@]gHHFL;0C95@BTD6?JBCZ;90CCK>7:MSPLKNRLU[^DCFTHTFWZH@K:1DYY?4Pb9S*766;8::?RB<;QCG0>VFZ]9>7]K]INF#&FJLAG(+?=>?0/23456789:+|^.GIFJ#15(789:;<=>? $3f?UCUAFN1OAEFN;13456=xZ0CEJF551'1e>VBZ@EO6niPpmn\gimajf6Y]PCMIJJ/7=@@MC68>*289SAWOHL3ilS}bc_bnhkpr72]YTOAEFN.09LLAO2<:.m6^FN^@VWLB_j2ZBBRLZSOCNAg=WAGUB_NB@CJ18TIJ6mk1[@A"llj]tmYq;V<'wnabpnlfjqYiido6\AB#urg\tmgtmVkgej`beov>pwsb$|ynS`ga_n]imqrbz4~yyh"zsd]ne}YnWccxh|2f`npa)stmVnrbR~garg\wmoa|4lj`~k#urg\mkekcVy|eymgowgq9cgk{l&~hQkyo]qafiin`m6jlb|e-dfaadfkbUxcx|le?0-wpaZvhlxThbya=gcow`*r{lUyi~k{=gcow`*r{lUgcnejdVKDVHJ_H??;QNO[FJFEYUIU]O\S038TIJXKEKF\R\JF^QFHd=WDEUH@F>BX`9SHIYDDB;FTl5_LM]@HN4JPh1[@AQLLJ1N\d=WDEUH@F:BX`9SHIYDDB?FT<>4PMN\GIME][Y_SN@[129SHIYDDBH^^^ZPEOCAH@eVKDVIGGKLBX028TIJXKEAMNB[[2^FW57=WDEUH@FHMOTV1[@B@M8:0\ABPCMIEFJSS:VB_==5_LM]@HN@EG\^9SAZ>5:ROHZEKCOHDYY4:ROHZEKCOHDYYQAOBMVJQ`_DLf?UJKWJF@CXZ>_IVf?UJKWJF@CXZ>_MV27>VKDVIGGB[[1^LLGJSI\l1[@AQLLJMVP4YWEl1[@AQLLJMVP7YC\8:0\ABPCMILQQ4XMMMNi6^CL^AOOJSS:VOEi6^CL^AOOJSS:VB_i6^CL^AOOJSS:VF_=>5_LM]@HNIR\;UECNAZNUg8TIJXKEADYYTICk4PMN\GIMH]]>TDYk4PMN\GIMH]]>T@Y?<;QNO[FJLG\^?SCALOTLWa>VKDVIGGB[[4^RNa>VKDVIGGB[[5^FW55=WDEUH@FAZT4]F@BCb3YFGSNBDOTV6[@Hb3YFGSNBDOTV6[MRb3YFGSNBDOTV6[IR6;2ZG@RMCKNWW1ZHHKF_EXh5_LM]@HNIR\VKDVI[S_K_L`9SHIYDXVXN_<;4PMN\@LTBK]U[MYFPCOVQHa=WDEUOE[MCK^FWb>VKDVNBZNBD_DFDAa=WDEUOE[MCK^JW`>VKDVNBZNBD_MV26>VKDVNBZNBD_OM@KPHS981[@AQKVEF\GJKWWZO_:6^CL^GMg>VKDVOESN@[RMc8TIJXMGUO@Tk4PMN\AKYKMHAJKHm4PMN\AKYSKEA;o6^CL^GM[QEKC8i0\ABPEO]WGIM5k2ZG@RKA_UAOO6db:ROHZCIW_ID>o5_LM]FJZPDG:h0\ABPEO]UGJ2e3YFGSH@PVBM6f>VKDVOES[M@6c9SHIYBFV\HC:h4PMN\AKYQKFUOE[?i;QNO[@HX^JETHDX99:ROHZOI\OH:=6^CL^KM[AJ^WDRT_HBj;QNO[LHXLEST_HZk;QNO[LIXKEAX^N64PMN\HCNAk2ZG@RB@CJ]@JQ`VKDVE[XRGAVe9SHIYWNMUHBY\Cb:ROHZVALVNGUn5_LM]SSWBXKOMo7]BC_SGD[LHW]]=0\ABPRDQg?UJKWZOGS^BFPEg8TIJX[BO\SN@[RM31?UJKW]OXXRGA_VKM@JQb3YFGSX@COBI\GKRe3YFGS[JK_RGOb>VKDV\GJEGHH^FJW6=WZL<0\^J@NDd8Twcam}icHlznR@O7b==WzllnxnfKaucQEH*edbUfi`Qheo]u3Z5+sjUjhi|n_vkgpm;0$jUjhi|m_vkgpm;0$jUj`ja}iujn94*dWhrbSdjPwhfwl82+kVkseR}cawg\p|vb59&hSlvf_u{sa87+kVhrxhQ|i{g><)eXkfgfccQllj?2(fYcmok~`yQkauc>4)eXl`yjnakPw`pm[gnqWjeg`0>#c^fjwddkmV}j~cQmhw]qekab59&hShbnbnpbpliiWmcy1NQ Urgqw*Bho&Ndoxcjnuq,ClrO}k%\m~"LB-etbg(ayid~hQkis-wiu)Xkn%'oRkaacnf[64ea}Ujhi|jsr?3(fYaidcgu0}asvbj3*dWakxSlvf_hf>4)eX`hyTico21-a\lduXmgh6=!mPh`q\mklbk}oy~0>#c^jbwZkbeVe~x}{{_sgdwZg:8%iTdl}Pmdo\kprw}}Uyij}Pb<2/gZnf{Vg~tRaztqww[wc`{Vk64)eX`hyT~~zn=1.`[mgtW{yn0>#c^jbwZuhn}ohoRgaptv\v`atWh7; nQgar]pkcrbkjUdyy~zt^pfcvYe59&hSd`ft^djh`;Edhd`_y|fnf.`[lhn|Vlb`hQaalg>jjYdglUmeakPlncgaa*dW`dbxlQyam?3(fYnf`~iS{oc=1.`[lhsm{ljohQ{yqg>4)eXdfkoSd`ft^djh`;7$jUfi`Q{yqg>5)eXe|rT|d~jlhlf[vrfoly65"l_sgb`Zbbx}bTn0?=8-a\v`gcW~coxeQn=20/gZtbimU|eizg_c?06)eXzz~T|g`rhvz[d;DM%iT~~zPpsklvlr~Wk7HI!mPrrv\p|vb5ZSEO!mPrrvqehYf59&hS}{r`o\f86+kVybaRm`lmkpmjhXkaohg0OCL-a\qvcXj{kfSob`cj?3(fYr{lUiuykPwdc>5)eX}zoTntzj_vga94*dW|ynSikiatnw[agsi4:'oR{|e^g`g86+kVxiR}`fug`g86+kV}nmRyfduj>0)eXlhT{dj{h<6/gZqua}oThh~{h^c>57>+kV}yeykPddrwlZd:9;2'oRy}iug\ijbbWh7YILJPFHPPP)eX{ciRc`dd]a9WCFLVLB^^Z#c^uqmqcX`ndRo233.`[rtn|lU|eizg_c?06)eXpmo}enkialko}8uwi{~jb;s=3:RqaccskaNjxl\NM-`ooZkbeVmnbRx8_2.xy`=Wzllnxnf[afPBI7063YxnjhzlhUcdVDK+l`yS`kb_fgm[s1X:%qhSljkr^uj`qn:=%iThhhnumv\`drf59&hSikti?06)eXmekico{inl\`lt:KV%^h||/Emd+Aid}doex~!HiuJvf*Qfzy'GO"hg`-jtfiumVnb~"zbp.]`c**dWokfeaw2sqcqpdh1$jUcm~Qllj?2(fYoizUo1<"l_icp[avh58&hSeo|_erqe87+kVbjRgPcd?3(fYoizUzh}a20-a\lduXymzdSnk20-a\lduXymzdSnbd=1.`[mgtWxn{cR||t<2/gZnf{V{o|bQ|rrv>4)eX`hyT}~~`=1.`[mgtWxy{cRmj=1.`[mgtWxy{cR||t<2/gZnf{V{x|bQ|rrv>4)eX`hyT}a21-a\lduX{yxj1="l_icp[rc:9%iTahcPiokw[cokm4ddSnaj_gkoaZjhimoo nQbel]w}uc::%iT|l||es]w}uc:9%iT|d~jlhlf[vrfoly6!ur1:S2?W2TFEJF@TFEJF@=RAMUG68VDKC<2XJA^64R@O\IJBBi2XNMIQIISQWe>TBNV@BXYK]6:PFCFCFj2XNKNKMRDE@A6=UME;;7_K]ERMOR@YWI[KF_<;4RDQFPZIIWEEX_RAI_MM@O6=UGD90^^Z;;SQWE2=U[]HY_Y94RRVW]UCb3[Y_S]\FOSKW]ZGb3[Y_S]\FOSKW]ZD63Z90_I^<;RGO7>UB\11XIY\JSDV0?V@A981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN=>5\IL]@KIJN[@EESNFJCJc8WLKXLL\BOHo4SJGTGIMNF8k0_FKXCMIJJ71<[BO\^^Z8;RIFSVRE02Y@E]Q_IO68WICP02Y[M_ZNN718WUI33ZXXX;5\RU[SA2=TZ_KGSL94SSTBHZD33ZYNX;5\T@VVW1=TQGI37YK]MHLBH1=SMZ^<7YK\T=2=<>RB[]6:<364TDQW847902^N_Y2>2?:8P@US489546ZJSU>20;><\LY_0<;18:VFWQ:6>720XH][<05=<>RB[]6:4364TDQW84?9?2^N_Y2>>99WAVR;:9437YK\T=02:==SMZ^7>?07;UGPP944611_I^Z325<;?QCT\58>255[ERV?638?3]OXX1<8>99WAVR;:14<7YK\T=0=3>RB[]682:5[ERV?0;1<\LY_0808;UGPP909?2^N_Y28>69WAVR;07=0XH][<8<5?QOBGOL37YGBERRFG2=SFDUEIY:4TSWF=>RTWJF@K_^7;UQ\GIMNFo1__RM_U^lPP@TJWHh0X^QIEDFAEFMe3]YTXDCJSQG@2>RTW]DF=?5[S^ad[ujkWjf`joazt038PVYdoVzg`Rmcknww41=SQYO3e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`??3e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?>3e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?=3e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?<3e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?;3e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?:3e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?93e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?83e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?73e9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?63b9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`<=l;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,IwgjW{kf8>m4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-NvdkXzhg>?n5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.OqehYuid<8o6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/LpbiZtfe>9h7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z Mscn[wgj0:i0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!Br`o\vdk>;l1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"kpn]jjq:76:l0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkr;9948j6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pfskZoi|5;:2>h4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~7=?02d8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)vlyeTecz315<0b>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xn{cRgat=36:6`<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov?5384n2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|jo^kmp9706:l0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkr;9148j6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pfskZoi|5;22>k4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~7=3=i;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uavhW`d0?>13g9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*wcxfUbby2=1?1f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(ymzdSd`{<3<0a>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xn{cRgat=1=7`=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{o|bQfnu>7:6c<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov?1;5b3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw8384m2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|jo^kmp919;l1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"kpn]jjq:?6:o0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkr;179o7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhsW99o7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhsW89n7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhsW8:8i6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pfskZoi|V;:?h5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sgtjYnf}U:>>k4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~T=>=j;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uavhW`dS<:62g8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)vlyeTeczP161f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(ymzdSd`{_0:0a>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xn{cRgat^3:7a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{o|bQfnu]17`=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{o|bQfnu]146c<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\645c3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw[65c3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw[15c3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw[05c3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw[35c3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw[25c3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw[=5c3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw[<5b3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}~~`_hlw8584n2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|}o^kmp9776:l0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~sqm\mkr;9848j6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pqskZoi|5;92>h4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-rwuiXag~7=>02d8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTecz314<0b>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat=35:6`<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%z}aPiov?5284n2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|}o^kmp97?6:l0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~sqm\mkr;9048i6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pqskZoi|5;5?k5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sptjYnf}69<3=i;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uvvhW`d0??13d9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*wtxfUbby2=>2g8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTecz33?1f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(yzzdSd`{<5<0a>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat=7=7`=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>5:6c<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%z}aPiov?3;5b3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}~~`_hlw8=84m2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|}o^kmp9?9;m1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"|pn]jjqY7;m1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"|pn]jjqY6;l1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"|pn]jjqY68:o0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~sqm\mkrX989n7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qrrl[lhsW888i6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pqskZoi|V;8?h5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sptjYnf}U:8>k4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-rwuiXag~T=8=j;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uvvhW`dS<882g8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTeczP181g?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(yzzdSd`{_31f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(yzzdSd`{_320a>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^027a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu]07a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu]77a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu]67a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu]57a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu]47a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu];7a=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu]:7>SDN?1^BX]JDb9VW@TX^@YBNAK<;WA@=>PNM^U_U]K;;VCGV0=PIMX;96YNDS36?RGCZ;?0[LJ]349TEAT3<2]H@F<4WD48S@GPME30[HOXEM>3:g=PMH]N@1?50?;8S@GPME6:2;5XECUFQ<=PMK]NY1>1b:UFFRCR480;245XECUFQ979k2]YEYKPMNFF[De<_[C_IRC@DD]A2>QfzyFH=>5XasrOGZRNELY[INQZCG3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH48\VRKAK=0T^ZPGOF4?]USW_IHn6VPCNPF[LHAG8:0TRM@RD]JJCIXD@Y_46VPR@OG77>5VER18]`u33SOYC95n<1<7?d:66=1j0?0;;`>0:3=f4=0;295n<5<;?dbczh6;255ndepb848?3hno~l2=>99b`atf4:437ljkr`>7:==flmxj080n;`fgvd:129437ljkr`>5:==flmxi0=07;`fgvg:6611jhi|m<3<;?dbczk68255ndepa818?3hno~o2:>`9b`ate4?0;255ndepa8385k2hggRcjm^efjZp0W:&+Tdbfny"@KWC'Oldn~lz`r!37*2ce`'yfg#nbdfc]aqcaXg|~:;6mh/qno+fjlnkUiykiPotv\j4c_HLU[5723jm$|ab cmiefZdrn`e9jo?n;be,tij(keamnRgmug0aqcoh:oh:>6mh/qno+fjlnkUbnxhh169`c*vkd&iggklPio]aqcYh}}l0oj!lm-`hn`eg|~:86mh/qno+fjlnke~xRlzff37?fa(xef$oaeibnww[jbczm1hk"~cl.aoolh6981hk"~cl.aoolh6Wkmi6mh/qno+fjlg|~;=?5lg.roh*ekcf=Rlzf068gb)wde%{`aQmarg\mkusn2ilS}bc_bnhbgir|l1hkR~cl^aoojss8:1h`f:4cmib0>ekck>0h1>15:f?55823m6:=3;4d=31:0=c489596j315<6?a:6=7?0h1?9>49g8419=2n7=50:;e>2=;20;;e>7:1=c4<4?7i29>59g82833m63295k<8<4?aoii5:546jfn`>24;>bnfh6:8364dhlb843902nbbl2>6?:8`lhf48=546jfn`>2<;>99gmkg;:=437igaa=06:==cagk7>;07;ekme940611oeco329<;?aoii5822:5kioc?6;>>1a:fjjd:493:546jfn`>05;108;ekme929?2nbbl2:>69gmkg;>7=0hd`n<6<4?aoii525;6jfn`>::7b20;?89gkpre48<556j`uu`?528>3me~xo2>8?;8`jssj5;2255kotva848>3me~xo2=0?;8`jssj58:245kotva874912ndyyl322<:?air|k698374dnwwf942601ocxzm<34==>bh}}h7>:06;emvpg:50730hb{{b=0::==cg|~i0?06;emvpg:487h0hb{{b=12>58>3me~xo2<1?:8`jssj59546j`uu`?0;>720hb{{b=5=<>bh}}h74364dnwwf9?9>2n{c1>17:fsk9776>1o|b2>1?58`ui;9;4<7i~`<01=3>bwg5;?2:5kpn>21;169gtj:617<0h}a31?58`ui;:9427i~`<3394;1<09;erl87813mzd0>09;erl81813mzd0809;erl83813mzd0:09;erl8=813mzd0408;erqe969?2n{~l2>>69gtwg;:7=0h}|n<2<:?avui5>1<394dqpb81843ldj?6kab0g8muehzlUoe!_rddfpfnCi}kYM@ agb3g?lvdg{oThd| Psgeaqeo\hmYM@ agb35?i4wzl%H\XMCKs]JJSY6=Ve:h6b=psg,GUSDDBxTECXP14]l5ZOI^V:8>6b=psg,IfijxhxT^IZngZ03YZTCI]klW?>R_dsveiY2WfUhu1>1339o6utb'Dida}o}_SFWeb]58TUYHLZngZ03YZcv}hfT9RaPcx>2:64=SPRECWeb]58TUn}xoc_4]l[f;:7997a<rd-Ngjkwi{UYHYoh[32^[WBF\hmP>=SPepwbhZ3XgVir0>0<2:n1twc(Ejef|l|PREVbc^47UVXOMYoh[32^[`wrieU>SbQly=6=77=k:yxn#@m`mqcq[WBSinQ9=SPepwbhZ3XgVf~x1<1329o6utb'Dida}o}_SFWeb]58TUYHLZngZ03YZcv}hfT9RaPltv?7;543e8{~h!BcnosewYUL]klW?>R_SFBPda\:9WTi|{nl^7\kZjr|5>5?>5c2qpf+HeheykyS_J[afY14XYULH^jkV}|j/SFWeb]58TUYHLZngZ03YZcv}hfT9Raj;m0sv`)Pip:Omyok;m0sv`)Pip:_mjk4l3rqa*h78;97<3h4l3rqa*h78;97==0i;m0sv`)i89880g9o6utb'g:;>>2>3?d8h7vum&d;j5x{o$b=>=3=35:c=k:yxn#c>?22>23;`}|j/o2366:597o0`?~}e.l3475;:7o0`?~}e.l3475;;7o0`?~}e.l3475;<7o0`?~}e.l3475;=7o0`?~}e.l3475;>7o0`?~}e.l3475;?7o0`?~}e.l3475;07o0`?~}e.l3475;1720bjjmuhng6>hhl2zg`Rlnsd]jjvr?3zzj~yoa679ptj:76>1x|b2>0?58wui;984<7~~`<00=3>uwg5;82:5|pn>20;1<{ye7=808;rrl8409?2y{c1?8>69ptj:607=0}a318<5?vvh484<7~~`<32==>uwg58:6=08;rrl8779>2y{c1<16:qsk959>2y{c1:16:qsk939>2y{c1816:qsk919>2y{c1616:qsk9?9:2}n:6yja=2=2>qbi5;5:6yja=0=<>qbi591<384wdc?7;>:954596c2c?rd8ok4>;o1g4?0<,:i26>m>;|Qba?5c938?97?<47763?4a4<6;=<>9:4=f5fg?Vgb2=ki6<4>354612<5n=nm7i=mf;295?7|[k21?i?525795621=<=1>k:k7:&54?2fm2B:8i5yT075>5<6280:<=u\b980`4<5<<0:?98:5681b1b03-9jo7<=d:T0ga<5s|8897?4u315>5=z,;><6?l4$07:>5=#9<=18nj4$07;>7433k9ij7>54c8b3?dcsA9jm6*<3980fc=]>808w?=51b811?{#:oh1=85+3b7906`<,;lj6>j=;[1`2?4|<:l1?hl5}[44>7}5l=09h<4rZc297~42838o?7809m61e=821b=5>50;&10a<6081e>9m51:9j52c=83.98i4>809m61e=:21b=:j50;&10a<6081e>9m53:9j52e=83.98i4>809m61e=<21b=:l50;&10a<6081e>9m55:9j52g=83.98i4>809m61e=>21b=:750;&10a<6081e>9m57:9j52>=83.98i4>809m61e=021b=:950;&10a<6081e>9m59:9j520=83.98i4>809m61e=i21b=:;50;&10a<6081e>9m5b:9j525=83.98i4>809m61e=k21b=:<50;&10a<6081e>9m5d:9j527=83.98i4>809m61e=m21b=:>50;&10a<6081e>9m5f:9j53`=83.98i4>809m61e=9910e<8j:18'61b=91;0b?:l:038?l71l3:1(?:k:0:2?k43k3;976g>6b83>!43l3;3=6`=4b827>=n9?h1<7*=4e82<4=i:=i1=954i04b>5<#:=n1=5?4n36`>43<3`;357>5$36g>4>63g8?o7?9;:k2<=<72-8?h7?71:l10f<6?21b=5950;&10a<6081e>9m51998m4>1290/>9j51938j72d28307d?75;29 72c282:7c<;c;3b?>o60=0;6)<;d;3;5>h5h6o6<6>;o07g?7b32c::44?:%07`?7?92d98n4>f:9j5`1=83.98i4>e79m61e=821b=h;50;&10a<6m?1e>9m51:9jf6<722c3;7>5;h:1>5<h6=54o0`a>5<#:=n1=om4n36`>4=h6?54o0`;>5<#:=n1=om4n36`>6=h6954o0`5>5<#:=n1=om4n36`>0=6=4+25f95geh6;54o0`7>5<#:=n1=om4n36`>2=h6554o0`1>5<#:=n1=om4n36`><=h6l54o0`3>5<#:=n1=om4n36`>g=h6n54o0cg>5<#:=n1=om4n36`>a=h6h54o0ca>5<#:=n1=om4n36`>c=h6<>4;n3b=?6=,;>o62:9l5d1=83.98i4>bb9m61e=9:10c76a>c583>!43l3;io6`=4b822>=h9j91<7*=4e82ff=i:=i1=:54o0a1>5<#:=n1=om4n36`>4><3f;h=7>5$36g>4dd3g8?o7?6;:m2g5<72-8?h7?mc:l10f<6i21d=oh50;&10a<6jj1e>9m51c98k4db290/>9j51ca8j72d28i07b?ma;29 72c28hh7c<;c;3g?>i6io0;6)<;d;3ag>h51<75`b083>>i6>;0;66a=dg83>>i5m80;66a73;29?g5d<3:1=7>50z&07=<4ik1C?n<4H2cb?!5dk38?=6*=2`87gf=h:=>1<7*51;294~"4;10:i45G3b08L6gf3-9ho7=k4:&16d<3kj1d=h650;&0gf<5<:10qo:7b;293?6=8r.8?54=2g9K7f4<@:kj7)=lc;0f`>"5:h0?on5+1gg96`=n9li1<7*h4kh0;76g>ee83>!5dk3??7c=la;38?l7bm3:1(>ml:468j6ef2;10e1<7>t$21;>7533A9h>6Fml:3d5?>i5;l0;6)=lc;077>=zj=2h6=48:183!540389j6F5$2a`>025<#;ji1995a3bc96>=n9ll1<7*h4kh0876g>f183>!5dk3??7c=la;68?l7a93:1(>ml:468j6ef2<10c?=j:18'7fe=:=907pl;8483>1<729q/?>652268L6e53A9jm6*5<#;ji1>k84;n00a?6=,:ih6?:<;:a0=b=83=1<7>t$21;>74a3A9h>6F0b>mn:198m4cc290/?nm5559m7fg=921b=hk50;&0gf<2<2d8ol4=;:k2ac<72-9ho7;;;o1`e?5<3`;m<7>5$2a`>025<#;ji1995a3bc91>=h::o1<7*3:7>54;294~"4;109?95G3b08L6gf3-9ho7<<3:&16d<3kj1b:54?:%1`g?7a021bo54?:%1`g?7a?21b>k:50;&0gf<5n?10c?=j:18'7fe=:=907pl;8d83>2<729q/?>6523d8L6e53A9jm6*7c86`o6ml0;6)=lc;77?k5di3807d?jf;29 6ed2<>0b>mn:298m4`7290/?nm5559m7fg=<21b=k?50;&0gf<2<2d8ol4:;:m17`<72-9ho7<;3:9~f1>0290?6=4?{%10o103:1(>ml:0d;?>od03:1(>ml:0d4?>o5n=0;6)=lc;0e2>=h::o1<7*3j7>57;294~"4;109>k5G3b08L6gf3-9ho77=86`o6n80;6)=lc;77?k5di3?07b<876sm49:94?2=83:p(>=7:317?M5d:2B8ml5+3ba9665<,;8j69ml;h4;>5<#;ji1=k64;ha;>5<#;ji1=k94;h0e0?6=,:ih6?h9;:m17`<72-9ho7<;3:9~f1?7290<6=4?{%10"6nl09i6g>eb83>!5dk3??7c=la;28?l7bl3:1(>ml:468j6ef2810e0=5}#;:21>>:4H2a1?M5fi2.8on4=329'67g=10e?h;:18'7fe=:o<07b<876sm48394?1=83:p(>=7:30e?M5d:2B8ml5+3ba96`b<,;8j69ml;%3ea?4b3`;no7>5$2a`>025<#;ji1995a3bc95>=n9lo1<7*h4kh0976g>eg83>!5dk3??7c=la;18?l7a83:1(>ml:468j6ef2=10e:18'7fe===1e?no55:9l66c=83.8on4=4298yg2?i3:187>50z&07=<5;=1C?n<4H2cb?!5dk388?6*=2`87gf=n>10;6)=lc;3e<>=nk10;6)=lc;3e3>=n:o>1<7*5}#;:21>9>4H2a1?M5fi2.98?4;ab9'g3<1;2.:jh4<;%133?3e3A9;h6*>o1;3:1D?:j;[101?7|<991q6g94;29L72b3S9897?t4119y>o1>3:17d=:=:0D>m=;I1be>"5<;0?mn5+c7857>"6nl087)=?7;7a?M57l2.8on4=419'16<5n11/>?o54ba8mgd=831bnn4?::k57?6=@;>n7W=<5;3x055=u2c=87>5H36f?_54=3;p8==5}:k52?6=3`8o:7>5;h0e0?6=3f8o97>5;|`0a`<7210;6=u+32:9616<@:i97E=na:&107<3ij1/o;493:&2b`<43-9;;7;m;I13`>"4kj098=5+5281b==#:;k18nm4ic`94?=njj0;66g93;29L72b3S9897?t4119y>o1<3:1D?:j;[101?7|<991q6g96;29?l4c>3:17d=<729q/?>652528L6e53A9jm6*=4387ef=#k?0=?6*>fd80?!57?3?i7E=?d:&0gf<5<91/9>4=f99'67g=5H36f?_54=3;p8==5}:k50?6=@;>n7W=<5;3x055=u2c=:7>5;h0g2?6=3`8m87>5;n0g1?6=3th8ii4?:983>5}#;:21=8j4H2a1?M5fi2.8on4=f89'5cc=;2B9io5+23c90fe632c9ih4?:%1`g?4bm2B8m454i3d7>5<#;ji1?=o4;|`0bf<7210;6=u+32:9616<@:i97E=na:&107<3ij1/o;4=3e9'5cc=;2.8<:4<0b9K75b<,:ih6?:?;%70>7`?3-89m7:lc:kaf?6=3`hh6=44i7194?N5;51z636?{1<7F=4d9Y763=9r>;>7s4i7494?=n:m<1<75f2g694?=h:m?1<75rb523>5<5290;w)=<8;7f?M5d:2B8ml5+25090de<,:ih6;74$30b>1ed3S9897?t4119yj44m3:1(>ml:360?>o6mk0;6)=lc;3e2>=zj:li6=4=:183!5403?n7E=l2:J0ed=#:=818lm4$2a`>3?<,;8j69ml;[101?7|<981qb<876g>ec83>!5dk3;m:65rb2d5>5<5290;w)=<8;7e?M5d:2B8ml5+25090de<,8:=6>57<729q/?>651g18L6e53A9jm6*=4387ef=#><0:?h5+1g7977`<,:ih6;74$30;>4`13A89:6*=2`87gf=#9o>1?6g>ec83>!5dk3;m:65`22g94?"4kj098>54}c1ea?6=:3:1on;%076?2fk2.=97?=9o<0D?<9;%01e?2dk2.:j94<;h3ff?6=,:ih62.9>l4;cb9'671=:::0e876sm18c94?4=83:p(>=7:0d1?M5d:2B8ml5+3ba91a=O:;<0(?<7:0d5?!45i3>ho6*=268175=n9lh1<7*5}#;:21=k<4H2a1?M5fi2.8on4:d:J163=#:;21=k84$30b>1ed3-89;7<<0:k2ag<72-9ho7?i6:9l66c=83.8on4=4298yg7>03:1>7>50z&07=<6n;1C?n<4H2cb?!5dk3?o7E<=6:&16=<6n?1/>?o54ba8 7402;9;7d?jb;29 6ed28l=76a=3d83>!5dk38??65rb0;4>5<5290;w)=<8;3e6>N4k;1C?lo4$2a`>0b<@;8=7)<=8;3e2>"5:h0?on5+23596665$2a`>72432wi=4850;094?6|,:936N4ih1/?nm55e9K670<,;836:4=319j5`d=83.8on4>f798k75b290/?nm52518?xd61<0;6?4?:1y'76>=9o80D>m=;I1be>"4kj0>h6F=279'67>=9o<0(?ec83>!5dk3;m:65`22g94?"4kj098>54}c3:0?6=:3:1on;%1`g?3c3A89:6*=2982b3=#:;k18nm4$304>7573`;nn7>5$2a`>4`132e9?h4?:%1`g?43;21vn<7<:181>5<7s-9847?i2:J0g7=O;hk0(>ml:4f8L7413-8947?i6:&16d<3kj1/>?952228m4ce290/?nm51g48?j44m3:1(>ml:360?>{e9081<7<50;2x 65?28l97E=l2:J0ed=#;ji19i5G2348 74?28l=7)<=a;6`g>"5:>09?=5f1d`94?"4kj0:j;54o31f>5<#;ji1>9=4;|`2=4<72;0;6=u+32:95c4<@:i97E=na:&0gf<2l2B9>;5+23:95c0<,;8j69ml;%013?4482c:io4?:%1`g?7a>21d>>k50;&0gf<5<:10qo?60;296?6=8r.8?54>f39K7f4<@:kj7)=lc;7g?M45>2.9>54>f79'67g=ml:0d5?>i5;l0;6)=lc;077>=zj82m6=4=:183!5403;m>6F>>4i0ga>5<#;ji1=k84;n00a?6=,:ih6?:<;:a5=c=8381<7>t$21;>4`53A9h>6F?84$30;>4`13-89m7:lc:&162<5;91b=hl50;&0gf<6n?10c?=j:18'7fe=:=907pl>8e83>7<729q/?>651g08L6e53A9jm6*N5:?1/>?651g48 74f2=ih7)<=7;004>o6mk0;6)=lc;3e2>=h::o1<7*52;294~"4;10:j?5G3b08L6gf3-9ho7;k;I012>"5:10:j;5+23c90fe<,;8<6?=?;h3ff?6=,:ih6e29096=4?{%102.9>l4;cb9'671=:::0e876sm19c94?4=83:p(>=7:0d1?M5d:2B8ml5+3ba91a=O:;<0(?<7:0d5?!45i3>ho6*=268175=n9lh1<7*4?:383>5}#;:21=k<4H2a1?M5fi2.8on4:d:J163=#:;21=k84$30b>1ed3-89;7<<0:k2ag<72-9ho7?i6:9l66c=83.8on4=4298yg7f:3:1>7>50z&07=<6n;1C?n<4H2cb?!5dk3?o7E<=6:&16=<6n?1/>?o54ba8 7402;9;7d?jb;29 6ed28l=76a=3d83>!5dk38??65rb0c2>5<5290;w)=<8;3e6>N4k;1C?lo4$2a`>0b<@;8=7)<=8;3e2>"5:h0?on5+23596665$2a`>72432wi=l>50;094?6|,:936N4ih1/?nm55e9K670<,;836:4=319j5`d=83.8on4>f798k75b290/?nm52518?xd61o0;6?4?:1y'76>=9o80D>m=;I1be>"4kj0>h6F=279'67>=9o<0(?ec83>!5dk3;m:65`22g94?"4kj098>54}c3:a?6=:3:1on;%1`g?3c3A89:6*=2982b3=#:;k18nm4$304>7573`;nn7>5$2a`>4`132e9?h4?:%1`g?43;21vn<7k:181>5<7s-9847?i2:J0g7=O;hk0(>ml:4f8L7413-8947?i6:&16d<3kj1/>?952228m4ce290/?nm51g48?j44m3:1(>ml:360?>{e90i1<7<50;2x 65?28l97E=l2:J0ed=#;ji19i5G2348 74?28l=7)<=a;6`g>"5:>09?=5f1d`94?"4kj0:j;54o31f>5<#;ji1>9=4;|`2`a<72;0;6=u+32:966`<@:i97E=na:&0gf<2l2B9>;5+23:9615<,;8j69ml;o37b?><,;8<6?=?;h3ff?6=,:ih6l4;cb9m51`=02.9>:4=319j5`d=83.8on4>f798k75b290/?nm52518?xd6lh0;6?4?:1y'76>=::l0D>m=;I1be>"4kj0>h6F=279'67>=:=90(?ml:0d5?>i5;l0;6)=lc;077>=zj8n26=4=:183!540388j6F5}#;:21>>h4H2a1?M5fi2.8on4:d:J163=#:;21>9=4$30b>1ed3g;?j764$304>7573`;nn7>5$2a`>4`132e9?h4?:%1`g?43;21vn5<7s-9847<ml:4f8L7413-8947<;3:&16d<3kj1e=9h58:&162<5;91b=hl50;&0gf<6n?10c?=j:18'7fe=:=907pl>d783>7<729q/?>6522d8L6e53A9jm6*N5:?1/>?652518 74f2=ih7c?;f;:8 7402;9;7d?jb;29 6ed28l=76a=3d83>!5dk38??65rb0f6>5<5290;w)=<8;00b>N4k;1C?lo4$2a`>0b<@;8=7)<=8;077>"5:h0?on5a15d9<>"5:>09?=5f1d`94?"4kj0:j;54o31f>5<#;ji1>9=4;|`2`1<72;0;6=u+32:966`<@:i97E=na:&0gf<2l2B9>;5+23:9615<,;8j69ml;o37b?><,;8<6?=?;h3ff?6=,:ih6l4;cb9m51`=02.9>:4=319j5`d=83.8on4>f798k75b290/?nm52518?xd6l;0;6?4?:1y'76>=::l0D>m=;I1be>"4kj0>h6F=279'67>=:=90(?ml:0d5?>i5;l0;6)=lc;077>=zj8n:6=4=:183!540388j6F5}#;:21>>h4H2a1?M5fi2.8on4:d:J163=#:;21>9=4$30b>1ed3g;?j764$304>7573`;nn7>5$2a`>4`132e9?h4?:%1`g?43;21vn5<7s-9847<ml:4f8L7413-8947<;3:&16d<3kj1e=9h58:&162<5;91b=hl50;&0gf<6n?10c?=j:18'7fe=:=907pl>ce83>7<729q/?>6522d8L6e53A9jm6*N5:?1/>?652518 74f2=ih7c?;f;:8 7402;9;7d?jb;29 6ed28l=76a=3d83>!5dk38??65rb0a`>5<5290;w)=<8;00b>N4k;1C?lo4$2a`>0b<@;8=7)<=8;077>"5:h0?on5a15d9<>"5:>09?=5f1d`94?"4kj0:j;54o31f>5<#;ji1>9=4;|`2gg<72;0;6=u+32:966`<@:i97E=na:&0gf<2l2B9>;5+23:9615<,;8j69ml;o37b?><,;8<6?=?;h3ff?6=,:ih6l4;cb9m51`=02.9>:4=319j5`d=83.8on4>f798k75b290/?nm52518?xd6k00;6?4?:1y'76>=::l0D>m=;I1be>"4kj0>h6F=279'67>=:=90(?ml:0d5?>i5;l0;6)=lc;077>=zj8i36=4=:183!540388j6F5}#;:21>>h4H2a1?M5fi2.8on4:d:J163=#:;21>9=4$30b>1ed3g;?j764$304>7573`;nn7>5$2a`>4`132e9?h4?:%1`g?43;21vn5<7s-9847<ml:4f8L7413-8947<;3:&16d<3kj1e=9h58:&162<5;91b=hl50;&0gf<6n?10c?=j:18'7fe=:=907pl>e583>7<729q/?>6522d8L6e53A9jm6*N5:?1/>?652518 74f2=ih7c?;f;:8 7402;9;7d?jb;29 6ed28l=76a=3d83>!5dk38??65rb0g0>5<5290;w)=<8;00b>N4k;1C?lo4$2a`>0b<@;8=7)<=8;077>"5:h0?on5a15d9<>"5:>09?=5f1d`94?"4kj0:j;54o31f>5<#;ji1>9=4;|`2a7<72;0;6=u+32:966`<@:i97E=na:&0gf<2l2B9>;5+23:9615<,;8j69ml;o37b?><,;8<6?=?;h3ff?6=,:ih6l4;cb9m51`=02.9>:4=319j5`d=83.8on4>f798k75b290/?nm52518?xd6m90;6?4?:1y'76>=::l0D>m=;I1be>"4kj0>h6F=279'67>=:=90(?ml:0d5?>i5;l0;6)=lc;077>=zj8nm6=4=:183!540388j6F5}#;:21>>h4H2a1?M5fi2.8on4:d:J163=#:;21>9=4$30b>1ed3g;?j764$304>7573`;nn7>5$2a`>4`132e9?h4?:%1`g?43;21vn5<7s-9847<ml:4f8L7413-8947<;3:&16d<3kj1e=9h58:&162<5;91b=hl50;&0gf<6n?10c?=j:18'7fe=:=907pl>d183>7<729q/?>6522d8L6e53A9jm6*N5:?1/>?652518 74f2=ih7c?;f;:8 7402;9;7d?jb;29 6ed28l=76a=3d83>!5dk38??65rb0a6>5<5290;w)=<8;00b>N4k;1C?lo4$2a`>0b<@;8=7)<=8;077>"5:h0?on5a15d9<>"5:>09?=5f1d`94?"4kj0:j;54o31f>5<#;ji1>9=4;|`a3?6=:3:1on;%1`g?3c3A89:6*=298106=#:;k18nm4n06e>4><,;8<6?=?;h3ff?6=,:ih6t$21;>75a3A9h>6F?84$30;>7243-89m7:lc:l20c<602.9>:4=319j5`d=83.8on4>f798k75b290/?nm52518?xd6>:0;6?4?:1y'76>=::l0D>m=;I1be>"4kj0>h6F=279'67>=:=90(?o6mk0;6)=lc;3e2>=h::o1<7*52;294~"4;109?k5G3b08L6gf3-9ho7;k;I012>"5:1098>5+23c90fem6<64$304>7573`;nn7>5$2a`>4`132e9?h4?:%1`g?43;21vn?k=:181>5<7s-9847<ml:4f8L7413-8947<;3:&16d<3kj1e=9h5199'671=:::0e876sm8583>7<729q/?>6522d8L6e53A9jm6*N5:?1/>?652518 74f2=ih7c?;f;3;?!45?388<6g>ec83>!5dk3;m:65`22g94?"4kj098>54}c`6>5<3290;w)=<8;000>N4k;1C?lo4$2a`>7543-89m7:lc:l20c<602c=47>5$2a`>4`?32ch47>5$2a`>4`032c9j94?:%1`g?4a>21d>>k50;&0gf<5<:10qol9:180>5<7s-9847<=e:J0g7=O;hk0(>ml:3gg?!45i3>ho6*>fd81b>o6mj0;6)=lc;77?k5di3:07b<876g>ee83>!5dk3??7c=la;38j64d2910qo:73;296?6=8r.8?54=239K7f4<@:kj7)=lc;7g?!45i3>ho6g>ec83>!5dk3;m:65`22g94?"4kj098>5G3b`8?xd4n90;6?4?:1y'76>=:;80D>m=;I1be>"4kj0>h6*=2`87gf=n9lh1<7*=7:301?M5d:2B8ml5+3ba91a=#:;k18nm4i0ga>5<#;ji1=k84;n00a?6=,:ih6?:<;I1`f>=zj=::6=4m:086M5fi2.8?54=559'614=5`9'6`e=951b9m;oc0>4=i99?1=6h71;28 =b=:;1m5=4?.49e=4<73o3?6= :;g;6>5=a110;"85i9883?c?d29$>7k7k:19ee5<7&<1mm<4?;gc7>5(23ok>6=5i2d594+663A8nn6*=2`87gf=n0>0;66g8e;29?j>b2900c:h50;9j6`c=831d>?m50;9l=d<722e2>7>5;n;f>5<>d5=;0;6;=50;2x 65?2;?97)N5mk1e>8?52:l116<53-8>97?:b:l113<63g8>;7>4n37;>5=i:<31<6`=5`83?k42j3:0b?;l:19m60b=82.99h4<2g9m60`=82d9:=4?;%055?1<,;<96>"5>l08>k5+27d950d<,;=;6n5+2639g>"5?;08>k5+26190>"5?=0<7)<85;11b>"5??0:9o5+2659g>"5?10h7)<89;11b>"5?h0?7)<8b;58 71d2:8m7)<8d;36f>"5?l0h7)<8f;a8 7>72:8m7)<71;68 7>52>1/>5=533d8 7>328?i7)<75;a8 7>12j1/>59533d8 7>?2=1/>5757:&15l514`8 7>d2j1/>5j5c:&1<`<4:o1/>5h54:&1=5<03-82=7==f:&1=7<6=k1/>4=5c:&1=14$3;`>64a3-82h794$3;f>64a3-82j7m4$3c3>f=#:h;1??h4n3c1>7=#:h91=8l4$3c7>g=#:h?1??h4n3c5>7=#:h=1??h4$3c;>64a3-8j57==f:&1ed<4:o1/>ll514`8 7gd28?i7)"5il0:9o5+2`d950d<,;h;6<;m;%0a5?72j2.9n?4>5c9'6g5=94$3`a>77<,;hh6?64$3`g>4?<,;hn6>"5k=0:9o5+2b7977`4n3aa>5=#:ji1??h4H22g?!5dk38>>6*:3;0e<>"5:h0?on5+5b82ad=i090:o6h71;28 =?=:;1/4o4=2:l;b?ch>;3;0j4:50/78b<3=82d2;7?4f8:94+3hf;3;0jl:50/78bd3=82.jo79i;%ce>43f3g;;97?4f3g4>5(792e2m7>5;h5f>55<5<>if:3:17d6l:188m=g=83B98h54o`:94?=h9=o1<75`a683>>o5ml0;66g<0083>M57:21b=8>50;9leg<722c<47>5H221?>o5nl0;6E=?2:9l3a<722e3i7>5;n;f>5<>=;:k1ad<722c347>5H36f?_54=3;p4:4r;h0eb?6=@::976a66;29?jg12900c?5$31b>gb54ib294?"5;h0ih6`=3881?>oen3:1(?=n:cf8j75>2810eok50;&17dc=h5;00n76gld;29 75f2j20b?=6:e98mfe=83.9?l4l8:l17<5$31b>f>oc03:1(?=n:b:8j75>2010ei950;&17d2=h5;00=76gk4;29 75f2j20b?=6:498ma5=83.9?l4l8:l17<<332co>7>5$31b>f>54ie394?"5;h0h46`=3881?>oc83:1(?=n:b:8j75>2810en750;&17d5<#::k1?=k4n31:>4d<@::976a<2083>!44i39;i6`=3882e>N48;10c>8:J047=i49m0;6)<h5;00::6F<0398k67d290/>>o531g8j75>28?0D>>=;:m05g<72-88m7=?e:l17<<6<2B85<#::k1?=k4n31:>45<@::976a<1983>!44i39;i6`=38826>N48;10c>?8:18'66g=;9o0b?=6:038L66532e8=;4?:%00e?57m2d9?44>0:J047=6=4+22c975c>=;:m056<72-88m7=?e:l17<65`30094?"5;h08N48;10c>?>:18'66g=;9o0b?=6:c9K754<3f9:<7>5$31b>66b3g8857o4H221?>i4:k0;6)<h5;0027E=?2:9l77g=83.9?l4<0d9m66?=02B85<#::k1?=k4n31:>2=O;9807b==8;29 75f2::n7c<<9;48L66532e8>:4?:%00e?57m2d9?44:;I136>=h;;<1<7*=3`804`=i::3186F<0398k642290/>>o531g8j75>2:1C?=<4;n110?6=,;9j6>>j;o00=?4<@::976a<1`83>!44i39;i6`=3882?M57:21d?=h50;&17d<48l1e>>750:J047=5<#::k1==94n31:>`=5<#::k1==94n31:>f=5<#::k1==94n31:>d=5<#::k1==94n31:>==6=4+22c95515<#::k1==94n31:>3=5<#::k1==94n31:>1=54o033>5<#::k1==94n31:>7=5<#::k1==94n31:>5=l1<7l0q~8<52dg8yxd31=0;69k54384e~N4ih1/?>652e08^37=ir826<852`8241=u-9h97:9j53e;8j72d2910e>j7:18'61b=;m30b?:l:098m6b0290/>9j53e;8j72d2;10e>j9:18'61b=;m30b?:l:298m6b2290/>9j53e;8j72d2=10e9?::18'61b=<8>0b?:l:198m174290/>9j54068j72d2810e9?>:18'61b=<8>0b?:l:398m177290/>9j54068j72d2:10e9>i:18'61b=<8>0b?:l:598m16b290/>9j54068j72d2<10e9>k:18'61b=<8>0b?:l:798m16d290/>9j54068j72d2>10e9>m:18'61b=<8>0b?:l:998m16f290/>9j54068j72d2010e9>6:18'61b=<8>0b?:l:`98m16?290/>9j54068j72d2k10e9?k:18'61b=<8>0b?:l:b98m17d290/>9j54068j72d2m10e9?m:18'61b=<8>0b?:l:d98m17f290/>9j54068j72d2o10e9?6:18'61b=<8>0b?:l:028?l2603:1(?:k:537?k43k3;:76g;1683>!43l3>:86`=4b826>=n<8<1<7*=4e8751=i:=i1=>54i531>5<#:=n18<:4n36`>42<3`>;;7>5$36g>1733g8?o7?:;:k73a<72-8?h7:8c:l10f<732c?;o4?:%07`?20k2d98n4>;:k73d<72-8?h7:8c:l10f<532c?;44?:%07`?20k2d98n4<;:k73=<72-8?h7:8c:l10f<332c?<94?::k7g3<722e?ni4?:%07`?2ek2d98n4?;:m7fg<72-8?h7:mc:l10f<632e?n44?:%07`?2ek2d98n4=;:m7f=<72-8?h7:mc:l10f<432e?n:4?:%07`?2ek2d98n4;;:m7f3<72-8?h7:mc:l10f<232e?n84?:%07`?2ek2d98n49;:m7f1<72-8?h7:mc:l10f<032e?n>4?:%07`?2ek2d98n47;:m7f7<72-8?h7:mc:l10f<>32e?n<4?:%07`?2ek2d98n4n;:m7f5<72-8?h7:mc:l10f4?:%07`?2ek2d98n4j;:m7g7<72-8?h7:mc:l10f0:9l0f6=83.98i4;bb9m61e=9810c9li:18'61b=!43l3>io6`=4b820>=h5<#:=n18;k4n36`>5=h6<54o54a>5<#:=n18;k4n36`>7=h6>54o54:>5<#:=n18;k4n36`>1=h6854o544>5<#:=n18;k4n36`>3=h6:54o546>5<#:=n18;k4n36`>==h6454o540>5<#:=n18;k4n36`>d=h6o54o554>5<#:=n18;k4n36`>f=h6i54o556>5<#:=n18;k4n36`>`=h6k54o550>5<#:=n18;k4n36`>46<3f><>7>5$36g>10b3g8?o7?>;:m734<72-8?h7:9e:l10f<6:21d8:>50;&10a<3>l1e>9m51298k10d290/>9j547g8j72d28>07b:91;29 72c2=d4<10;6k4=:gy'76>=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4683>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4<=0;6k4=:gy'76>=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4283>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4083>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4<90;6k4=:gy'76>=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4383>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4;l0;6k4=:gy'76>=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<3e83>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4;o0;6k4=:gy'76>=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<3b83>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4;k0;6k4=:gy'76>=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4d83>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4g83>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4`83>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4483>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4;h0;6k4=:gy'76>=:mn0D>m=;I1be>"6nl037E;52z35;?7sf5483>!5dk3??7c=la;28?l31290/?nm5559m7fg=921b9:4?:%1`g?333g9hm7<4;h7;>5<#;ji1995a3bc97>=n=00;6)=lc;77?k5di3>07d?i6;29 6ed28l=76g9a;29 6ed2?k07d=m4;29 6ed2?<07b<;3;29 6ed2;>876sm3b594?c=83:p(>ml:3g0?!54039h;6*=2`87gf=#9oo146T<348140?2=:86pg=d983>!5dk38o;6`o5l00;6)=lc;0g3>h4kh0:76g=d`83>!5dk38o;6`o5lk0;6)=lc;0g3>h4kh0876g=db83>!5dk38o;6`o4il0;6)=lc;1b`>h4kh0;76g!5dk39jh6`o4j90;6)=lc;1b`>h4kh0976g!5dk39jh6`o4j;0;6)=lc;1b`>h4kh0?76g>f783>!5dk3;m:65f6`83>!5dk3!5dk3<=76a=4283>!5dk38??65rscc94?5|Vkk01>m7:0d5?85d?3;m:6s|3c694?5|V:h?70=l8;1a0>;4k>08n95rs2`0>5<4sW9i?63;4k>0=m6s|3183>6}Y;916?n65549>7f1=;ho0q~=>:180[56349h47;9;<1`3?5fn2wx??4?:2y]77=:;j219:523b597g6{t;=0;6>uQ359>7f>==016?n953c08yv7303:1>vP>499>7f1=:m20q~?;9;296~X6<016?n952e;8yv73i3:1>vP>4`9>7f1=:mk0q~?;b;296~X6vP>4b9>7f1=:mi0q~=?5;296~X48<16?n652518yv73?3:1>vP>469>7f1=:=90qpl<4883>c<52oq/?>652ef8L6e53A9jm6*>fd8;?M4bj2.9>l4;cb9Y763=:r;=47:?3;j75<722c8=7>5;h11>5<>oei3:17d?;8;29?l7313:17d?;a;29?l73j3:17d?;c;29?l5e;3:17d=m4;29j64d2810c>>::188k4202900n>m7:18:>5<7s-9ho7?o54ba8 4`b211Q?>;51z637?{n=<0;6)=lc;77?k5di3:07d;9:18'7fe===1e?no51:9j12<72-9ho7;;;o1`e?4<3`?36=4+3ba911=i;jk1?65f5883>!5dk3??7c=la;68?l7a>3:1(>ml:0d5?>o1i3:1(>ml:7c8?l5e<3:1(>ml:748?j43;3:1(>ml:360?>{e;j=1<7k50;2x 6ed2;o87)=<8;1`3>"5:h0?on5+1gg9<>\4;<09w<87:520>xo5l10;6)=lc;0g3>h4kh0;76g=d883>!5dk38o;6`o5lh0;6)=lc;0g3>h4kh0976g=dc83>!5dk38o;6`o5lj0;6)=lc;0g3>h4kh0?76g!5dk39jh6`o4io0;6)=lc;1b`>h4kh0:76g!5dk39jh6`o4j80;6)=lc;1b`>h4kh0876g!5dk39jh6`o6n?0;6)=lc;3e2>=n>h0;6)=lc;4b?>o4j=0;6)=lc;45?>i5<:0;6)=lc;077>=z{kk1<7=t^cc896e?28l=70=l7;3e2>{t;k>1<7=t^2`7?85d039i863;4k10=m63{t;90;6>uQ319>7f>==<16?n953`g8yv562908wS=>;<1`4}r10>5<4sW9870=l8;7;?85d?39i=6s|3583>6}Y;=16?n65589>7f1=;k80q~?;8;296~X6<116?n952e:8yv7313:1>vP>489>7f1=:m30q~?;a;296~X6vP>4c9>7f1=:mh0q~?;c;296~X6vP<049>7f>=:=90q~?;7;296~X6<>16?n952518yxd4i90;6;4?:1y'76>=9m=;I1be>"6nl087)=lc;36b>N5mk1/>?o54ba8^65228q?<>4ri7094?"4kj0=m65fb`83>!5dk3;m:65`2e794?"4kj098>54i7494?"4kj0=:65f2bf94?"4kj09j:54i`f94?"4kj09ih54}c1:b?6=>3:1on;%3ea?5<,:ih6<;i;I0ff>"5:h0?on5U32795~27;3wb:?4?:%1`g?0f32cim7>5$2a`>4`132e9h84?:%1`g?43;21b:;4?:%1`g?0132c9oi4?:%1`g?4a?21bmi4?:%1`g?4bm21vn>7k:185>5<7s-9847?:c:J0g7=O;hk0({520>xo1:3:1(>ml:7c8?ldf290/?nm51g48?j4c=3:1(>ml:360?>o1>3:1(>ml:748?l4dl3:1(>ml:3d4?>ofl3:1(>ml:3gf?>{e;0i1<7850;2x 65?28?h7E=l2:J0ed=#9oo1?6*5<#;ji1:l54icc94?"4kj0:j;54o3f6>5<#;ji1>9=4;h45>5<#;ji1:;54i3ag>5<#;ji1>k94;hcg>5<#;ji1>hk4;|`0=g<72?0;6=u+32:950e<@:i97E=na:&2b`<43-9ho7?:f:J1ag=#:;k18nm4Z216>4}38:0ve;<50;&0gf<1i21bnl4?:%1`g?7a>21d>i;50;&0gf<5<:10e;850;&0gf<1>21b>nj50;&0gf<5n>10elj50;&0gf<5ml10qo=6a;292?6=8r.8?54>5b9K7f4<@:kj7)?ie;18 6ed28?m7E;51z637?{n>;0;6)=lc;4b?>oei3:1(>ml:0d5?>i5l<0;6)=lc;077>=n>?0;6)=lc;45?>o5km0;6)=lc;0e3>=nim0;6)=lc;0fa>=zj:326=49:183!5403;>o6F5+3ba950`<@;oi7)<=a;6`g>\4;<0:w9><:|k56?6=,:ih6;o4;h`b>5<#;ji1=k84;n0g1?6=,:ih6?:<;:k52?6=,:ih6;84;h0``?6=,:ih6?h8;:kb`?6=,:ih6?kj;:a7<>=83<1<7>t$21;>43d3A9h>6F5g9K6`d<,;8j69ml;[101?7|<991qd8=:18'7fe=>h10eoo50;&0gf<6n?10c?j::18'7fe=:=907d89:18'7fe=>?10e?mk:18'7fe=:o=07dok:18'7fe=:lo07pl<9683>3<729q/?>6514a8L6e53A9jm6*>fd80?!5dk3;>j6F=ec9'67g==::0y746=njh0;6)=lc;3e2>=h:m?1<7*=n:jn1<7*56;294~"4;10:9n5G3b08L6gf3-;mi7=4$2a`>43a3A8nn6*=2`87gf=];:?1=v:?3;j27<72-9ho78n;:kae?6=,:ih6876g96;29 6ed2?<07d=7:07`?M5d:2B8ml5+1gg97>"4kj0:9k5G2d`8 74f2=ih7W=<5;3x055=u`<96=4+3ba92d=6=4+3ba9615<3`<=6=4+3ba923=5}#;:21=8m4H2a1?M5fi2.:jh4<;%1`g?72n2B9io5+23c90fe63:1:7>50z&07=<6=j1C?n<4H2cb?!7am390(>ml:07e?M4bj2.9>l4;cb9Y763=9r>;?7sf6383>!5dk3!5dk38??65f6783>!5dk3<=76g=ce83>!5dk38m;65fae83>!5dk38ni65rb2c6>5<1290;w)=<8;36g>N4k;1C?lo4$0df>6=#;ji1=8h4H3ga?!45i3>ho6T<34821642tc=>7>5$2a`>3g<3`hj6=4+3ba95c0<3f8o97>5$2a`>72432c=:7>5$2a`>30<3`8hh7>5$2a`>7`032cjh7>5$2a`>7cb32wi?l:50;494?6|,:936<;l;I1`6>N4ih1/=kk53:&0gf<6=o1C>hl4$30b>1ed3S9897?t4119yl05290/?nm56`98mgg=83.8on4>f798k7b2290/?nm52518?l01290/?nm56798m7ec290/?nm52g58?lgc290/?nm52dg8?xd4i:0;6;4?:1y'76>=9m=;I1be>"6nl087)=lc;36b>N5mk1/>?o54ba8^65228q?<>4ri7094?"4kj0=m65fb`83>!5dk3;m:65`2e794?"4kj098>54i7494?"4kj0=:65f2bf94?"4kj09j:54i`f94?"4kj09ih54}c1b6?6=>3:1on;%3ea?5<,:ih6<;i;I0ff>"5:h0?on5U32795~27;3wb:?4?:%1`g?0f32cim7>5$2a`>4`132e9h84?:%1`g?43;21b:;4?:%1`g?0132c9oi4?:%1`g?4a?21bmi4?:%1`g?4bm21vn>o>:185>5<7s-9847?:c:J0g7=O;hk0({520>xo1:3:1(>ml:7c8?ldf290/?nm51g48?j4c=3:1(>ml:360?>o1>3:1(>ml:748?l4dl3:1(>ml:3d4?>ofl3:1(>ml:3gf?>{e;0o1<7850;2x 65?28?h7E=l2:J0ed=#9oo1?6*5<#;ji1:l54icc94?"4kj0:j;54o3f6>5<#;ji1>9=4;h45>5<#;ji1:;54i3ag>5<#;ji1>k94;hcg>5<#;ji1>hk4;|`0=6<72?0;6=u+32:950e<@:i97E=na:&2b`<43-9ho7?:f:J1ag=#:;k18nm4Z216>4}38:0ve;<50;&0gf<1i21bnl4?:%1`g?7a>21d>i;50;&0gf<5<:10e;850;&0gf<1>21b>nj50;&0gf<5n>10elj50;&0gf<5ml10qo=62;292?6=8r.8?54>5b9K7f4<@:kj7)?ie;18 6ed28?m7E;51z637?{n>;0;6)=lc;4b?>oei3:1(>ml:0d5?>i5l<0;6)=lc;077>=n>?0;6)=lc;45?>o5km0;6)=lc;0e3>=nim0;6)=lc;0fa>=zj:=?6=49:183!5403;>o6F5+3ba950`<@;oi7)<=a;6`g>\4;<0:w9><:|k56?6=,:ih6;o4;h`b>5<#;ji1=k84;n0g1?6=,:ih6?:<;:k52?6=,:ih6;84;h0``?6=,:ih6?h8;:kb`?6=,:ih6?kj;:a725=83<1<7>t$21;>43d3A9h>6F5g9K6`d<,;8j69ml;[101?7|<991qd8=:18'7fe=>h10eoo50;&0gf<6n?10c?j::18'7fe=:=907d89:18'7fe=>?10e?mk:18'7fe=:o=07dok:18'7fe=:lo07pl<7083>3<729q/?>6514a8L6e53A9jm6*>fd80?!5dk3;>j6F=ec9'67g==::0y746=njh0;6)=lc;3e2>=h:m?1<7*=n:jn1<7*56;294~"4;10:9n5G3b08L6gf3-;mi7=4$2a`>43a3A8nn6*=2`87gf=];:?1=v:?3;j27<72-9ho78n;:kae?6=,:ih6876g96;29 6ed2?<07d=7:07`?M5d:2B8ml5+1gg97>"4kj0:9k5G2d`8 74f2=ih7W=<5;3x055=u`<96=4+3ba92d=6=4+3ba9615<3`<=6=4+3ba923=5}#;:21=8m4H2a1?M5fi2.:jh4<;%1`g?72n2B9io5+23c90fe650z&07=<6=j1C?n<4H2cb?!7am390(>ml:07e?M4bj2.9>l4;cb9Y763=9r>;?7sf6383>!5dk3!5dk38??65f6783>!5dk3<=76g=ce83>!5dk38m;65fae83>!5dk38ni65rb24a>5<1290;w)=<8;36g>N4k;1C?lo4$0df>6=#;ji1=8h4H3ga?!45i3>ho6T<34821642tc=>7>5$2a`>3g<3`hj6=4+3ba95c0<3f8o97>5$2a`>72432c=:7>5$2a`>30<3`8hh7>5$2a`>7`032cjh7>5$2a`>7cb32wi?;o50;494?6|,:936<;l;I1`6>N4ih1/=kk53:&0gf<6=o1C>hl4$30b>1ed3S9897?t4119yl05290/?nm56`98mgg=83.8on4>f798k7b2290/?nm52518?l01290/?nm56798m7ec290/?nm52g58?lgc290/?nm52dg8?xd4>00;6;4?:1y'76>=9m=;I1be>"6nl087)=lc;36b>N5mk1/>?o54ba8^65228q?<>4ri7094?"4kj0=m65fb`83>!5dk3;m:65`2e794?"4kj098>54i7494?"4kj0=:65f2bf94?"4kj09j:54i`f94?"4kj09ih54}c153:1on;%3ea?5<,:ih6<;i;I0ff>"5:h0?on5U32795~27;3wb:?4?:%1`g?0f32cim7>5$2a`>4`132e9h84?:%1`g?43;21b:;4?:%1`g?0132c9oi4?:%1`g?4a?21bmi4?:%1`g?4bm21vn>9m:185>5<7s-9847?:c:J0g7=O;hk0({520>xo1:3:1(>ml:7c8?ldf290/?nm51g48?j4c=3:1(>ml:360?>o1>3:1(>ml:748?l4dl3:1(>ml:3d4?>ofl3:1(>ml:3gf?>{e;>k1<7850;2x 65?28?h7E=l2:J0ed=#9oo1?6*5<#;ji1:l54icc94?"4kj0:j;54o3f6>5<#;ji1>9=4;h45>5<#;ji1:;54i3ag>5<#;ji1>k94;hcg>5<#;ji1>hk4;|`03<<72?0;6=u+32:950e<@:i97E=na:&2b`<43-9ho7?:f:J1ag=#:;k18nm4Z216>4}38:0ve;<50;&0gf<1i21bnl4?:%1`g?7a>21d>i;50;&0gf<5<:10e;850;&0gf<1>21b>nj50;&0gf<5n>10elj50;&0gf<5ml10qo=88;292?6=8r.8?54>5b9K7f4<@:kj7)?ie;18 6ed28?m7E;51z637?{n>;0;6)=lc;4b?>oei3:1(>ml:0d5?>i5l<0;6)=lc;077>=n>?0;6)=lc;45?>o5km0;6)=lc;0e3>=nim0;6)=lc;0fa>=zj:=<6=49:183!5403;>o6F5+3ba950`<@;oi7)<=a;6`g>\4;<0:w9><:|k56?6=,:ih6;o4;h`b>5<#;ji1=k84;n0g1?6=,:ih6?:<;:k52?6=,:ih6;84;h0``?6=,:ih6?h8;:kb`?6=,:ih6?kj;:a720=83<1<7>t$21;>43d3A9h>6F5g9K6`d<,;8j69ml;[101?7|<991qd8=:18'7fe=>h10eoo50;&0gf<6n?10c?j::18'7fe=:=907d89:18'7fe=>?10e?mk:18'7fe=:o=07dok:18'7fe=:lo07pl<7483>3<729q/?>6514a8L6e53A9jm6*>fd80?!5dk3;>j6F=ec9'67g==::0y746=njh0;6)=lc;3e2>=h:m?1<7*=n:jn1<7*7>56;294~"4;10:9n5G3b08L6gf3-;mi7=4$2a`>43a3A8nn6*=2`87gf=];:?1=v:?3;j27<72-9ho78n;:kae?6=,:ih6876g96;29 6ed2?<07d=7:07`?M5d:2B8ml5+1gg97>"4kj0:9k5G2d`8 74f2=ih7W=<5;3x055=u`<96=4+3ba92d=6=4+3ba9615<3`<=6=4+3ba923=;<173?56349?87=>;<177?56349?:7=>;<175?56349?<7=>;<176?563498i7=>;<10`?563498j7=>;<10g?563498n7=>;<17a?56349?h7=>;<17b?56349?n7=>;<17e?56349?o7=>;<171?563498m7=>;<17=?563ty8h84?:05xZ6b2349?47=?;<173?57349?87=?;<177?57349?:7=?;<175?57349?<7=?;<176?573498i7=?;<10`?573498j7=?;<10g?573498n7=?;<17a?57349?h7=?;<17b?57349?n7=?;<17e?57349?o7=?;<171?573498m7=?;<17=?573ty?=84?:3y]043<5:>36oo4}r627?6=:rT?=>523559fd=z{=;:6=4={_625>;4gg;m7>52z\74d=:;:o1nl5rs52:>5<5sW>;563<3e8ae>{t<921<77}Y<8n01>=m:cc8yv26k3:1>vP;1b9>71`=jh1v9?m:181[26j2788h4ma:p04g=838pR9?n;<17`?df3ty?=44?:3y]04?<5:>h6oo4}r62;416?>o5b`9~w11c290:;vP;7e9>71>=9=i01>:8:06`?853<3;?o63<42820f=:;=<1=9m4=262>42d349?<7?;c:?007<6k515a8965c28>h70=;4;j0:8n5232`951e<5:>n6<:l;<17`?73k2788k4>4b9>71d=9=i01>:n:06`?853k3;?o63<44820f=:;:k1=9m4=26:>42d3ty?;o4?:05xZ11e349?47?;b:?002<6i70=;6;37f>;4<80:8o52352951d<5:>96<:m;<10a?73j278?i4>4c9>76`=9=h01>=l:06a?854j3;?n63<4d820g=:;=n1=9l4=26e>42e349?n7?;b:?00d<6i70=;4<00:8o5rs55b>5<6?rT?;l5235:951g<5:><6<:n;<170?73i2788>4>4`9>710=9=k01>:>:06b?85383;?m63<43820d=:;:o1=9o4=21g>42f3498j7?;a:?07f<6l515c8962b28>j70=;d;37e>;4j6<:n;<17g?73i278884>4`9>76g=9=k01>:6:06b?xu3?00;6<9t^55:?85303;?563<46820<=:;=>1=974=260>42>349?:7?;9:?004<6<016?9>515;8962528>270=;4;m0:845232d951?<5:9h6<:6;<10f?7312788h4>489>71b=9=301>:i:06:?853j3;?563<4`820<=:;=i1=974=266>42>3498m7?;9:?00<<6<01v997:1823~X3?116?96515:8962028>370=;4;37<>;4<:0:8552354951><5:>:6<:7;<174?7302788?4>499>76c=9=201>=k:06;?854n3;?463<3b820==:;:h1=964=26f>42?349?h7?;8:?00c<6<116?9l515:8962f28>370=;c;37<>;4<<0:855232c951><5:>26<:7;|q741<72=9pR9>;;<17712=;k901>:<:2`0?853>39i?63<4080f6=:;=:1?o=4=261>6d43498i7=m3:?07a<4j:16?>h53c18965d2:h870=;45235f97g5<5:>m6>l<;<17f?5e;2788l471e=;k901>:::2`0?854i39i?63<4880f6=:;h:1:?5238d927=:;0n1:?5238a927=:;0h1:?5238c927=:;031:?5238:927=:;0=1:?52384927=:;0?1:?52386927=:;h=1:?523`4927=:;h?1:?523`6927=:;h91:?523`0927=:;h;1:?5238g927=:;091:?52380927=:;>>1:?52361927=:;>;1:?52362927=:;?l1:?5237g927=:;?n1:?5237a927=:;?h1:?5237c927=:;?31:?5237:927=:;>h1:?5236c927=:;>31:?5236:927=:;>=1:?52364927=:;>?1:?52360927=:;?=1:?52374927=z{=i=6=4>7z\7g3=:;=21?o:4=264>6d3349?87=m4:?006<4j=16?9853c6896262:h?70=;0;1a0>;4<;08n95232g97g2<5:9o6>l;;<10b?5e<278?n476d=;k>01>:j:2`7?853l39i863<4g80f1=:;=h1?o:4=26b>6d3349?o7=m4:?000<4j=16?>o53c68962>2:h?7p};be83>6}Y:7:226?85f83hj7p};bc83>6}Y:8:226?85>n3hj7p};b883>6}Y:9:226?85>l3hj7p};b983>6}Y:;:226?85>k3hj7p};b683>6}Y:<:226?85>j3hj7p};b783>6}Y:=:226?85>i3hj7p};b483>6}Y:>:226?85>13hj7p};b583>6}Y01>:?:226?85>03hj7p};b283>6}Y=i:226?85>?3hj7p};b383>6}Y=j:226?85>>3hj7p};b083>6}Y=k:226?85>=3hj7p};b183>6}Y=l:226?85><3hj7p};c483>6}Y=m:226?85f?3hj7p};c583>6}Y01>:i:226?85f>3hj7p};c283>6}Y:j:226?85f=3hj7p};c383>6}Y:k:226?85f<3hj7p};c083>6}Y:l:226?85f;3hj7p};c183>6}Y:m:226?85f:3hj7p};bg83>6}Y:n:226?85f93hj7p};bd83>6}Y:6:226?85>m3hj7p};b`83>6}Y:::226?85>;3hj7p};ag83>6}Y=n:226?85>:3hj7p};6g83>6}Y:7:064?850<3hj7p};6e83>6}Y:8:064?850;3hj7p};6c83>6}Y:9:064?85093hj7p};6`83>6}Y:;:064?85083hj7p};6883>6}Y:<:064?851n3hj7p};6983>6}Y:=:064?851m3hj7p};6683>6}Y:>:064?851l3hj7p};6783>6}Y:?:064?851k3hj7p};6483>6}Y=i:064?851j3hj7p};6583>6}Y01>=j:064?851i3hj7p};6283>6}Y=k:064?85113hj7p};6383>6}Y=l:064?85103hj7p};7683>6}Y<>=01>=m:064?850j3hj7p};7783>6}Y<><01>:i:064?850i3hj7p};7483>6}Y<>?01>:j:064?85013hj7p};7583>6}Y<>>01>:k:064?85003hj7p};7283>6}Y<>901>:l:064?850?3hj7p};7383>6}Y<>801>:m:064?850>3hj7p};7083>6}Y<>;01>:n:064?850=3hj7p};7183>6}Y<>:01>:6:064?850:3hj7p};6b83>6}Y:::064?851?3hj7p};6083>6}Y=n:064?851>3hj7p}<8883>4}:;h:1>i;4}r1;1290:w0=6d;0g1>{t;1?1<7?t=2;`>7b23ty8494?:0y>75<6s4925777:3f6?xu4090;651z?0=3<5l<1v>9j:18285>=38o96s|36f94?7|5:3?6?j:;|q0=4<728q6?l952e78yv5>83:1=v3{<1b1?4c=2wx?5k50;3x96g32;n>7p}<8e83>4}:;h91>i;4}r1;g?6=9r78m?4=d49~w6>e290:w0=n1;0g1>{t;1k1<7?t=2;f>7b23ty84:4?:0y>7<5=:m?0q~=8c;295~;41;09h85rs27g>5<6s49<879<:3f6?xu4=h0;657>51z?035<5l<1v>;7:182851n38o96s|34594?7|5:{<15f?4c=2wx?8=50;3x960f2;n>7p}<5383>4}:;?31>i;4}r165?6=9r78:54=d49~w602290:w0=8b;0g1>{t;?>1<7?t=25b>7b23ty8:>4?:0y>72?=:m?0q~=92;295~;4?109h85rs242>5<6s49<;799:3f6?xu4=o0;6i7>51z?037<5l<1v>;m:182851?38o96s|34294?7|5:<=6?j:;|a0<5=83?=6?4:7zJ0ed=#;:21>nh4Z739e~402;i1>94=d;05>71=:j09876187<5=#9?;1>nh4n06e>==];:?1>v?98;637?{n=n65f4b594?"5=n;l81<7*=4e80a4=i:=i1<65f3d294?"5=n;ml1<7*=4e80a4=i:=i1>65f3eg94?"5=n;mn1<7*=4e80a4=i:=i1865f3ea94?"5=n;mh1<7*=4e80a4=i:=i1:65f42594?"5=n<:?1<7*=4e8773=i:=i1=65f42194?"5=n<:81<7*=4e8773=i:=i1?65f42394?"5=n<::1<7*=4e8773=i:=i1965f43d94?"5=n<;o1<7*=4e8773=i:=i1;65f43f94?"5=n<;i1<7*=4e8773=i:=i1565f43`94?"5=n<;k1<7*=4e8773=i:=i1n65f43:94?"5=n<;=1<7*=4e8773=i:=i1h65f43494?"5=n<;?1<7*=4e8773=i:=i1j65f43694?"5h6o69=9;o07g?7532c?><4?:%07`?24>2d98n4>3:9j076=83.98i4;379m61e=9=10e9?i:18'61b=<:<0b?:l:078?l24m3:1(?:k:515?k43k3;=76g;3e83>!43l3>8:6`=4b823>=n<:i1<7*=4e8773=i:=i1=554i51a>5<#:=n18>84n36`>4?<3`>8m7>5$36g>1513g8?o7?n;:k77<<72-8?h7:<6:l10f<6j21b8>650;&10a<3;?1e>9m51b98m153290/>9j54248j72d28n07d:=9;29 72c2=9=7c<;c;3f?>o39l0;6)<;d;602>h5=n;l21<7*=4e80a<=i:=i1=65f3d594?"5=n;l<1<7*=4e80a<=i:=i1?65f3d794?"5=n;l>1<7*=4e80a<=i:=i1965f3d194?"5=n<9?1<75f46g94?=n<9<1<75f46d94?=h<<31<7*=4e871==i:=i1<65`44594?"5=h<65`44694?"5=h<<91<7*=4e871==i:=i1865`44094?"5=h<<;1<7*=4e871==i:=i1:65`44294?"5=h<=l1<7*=4e871==i:=i1465`45g94?"5=h<=n1<7*=4e871==i:=i1m65`45a94?"5=h<=k1<7*=4e871==i:=i1o65`45;94?"5=h<=21<7*=4e871==i:=i1i65`45594?"5=h<=<1<7*=4e871==i:=i1==54o566>5<#:=n18864n36`>47<3f>?87>5$36g>13?3g8?o7?=;:m706<72-8?h7::8:l10f<6;21d89<50;&10a<3=11e>9m51598k126290/>9j544:8j72d28?07b:90;29 72c2=?37c<;c;35?>i3=o0;6)<;d;66<>h5h6<74;n66g?6=,;>o69;7;o07g?7f32e?9o4?:%07`?2202d98n4>b:9l00g=83.98i4;599m61e=9j10c9;9:18'61b=<<20b?:l:0f8?j23j3:1(?:k:57;?k43k3;n76a;4183>!43l3>>46`=4b82b>=e;j91<7?50;2x 65?28o27E=l2:J0ed=#;ji1?i:4$30b>1ed3f;n47>5$2a`>72432wi?>750;4f>5<7s-9847a;3g>4c=9:0:>7?>:069y!43:3>jo6`l3;07?ke32;>0b<5c9'503=9"5880j7)=:5a:&140d=#:921m6*=088b?!47i3k0(?>m:`9'65e=i2.9"5980j7)<>2;c8 7742h1/><:5a:&150d=#:821m6*=188b?!46i3k0(??m:`9'64e=i2.9=i4n;%02a?g<,;;m6;5+23292>"5:809?i5+2d796c3<,;l:6>>l;%0e6?013-8m?789;%13"4kj09hh5+5281b==#:;k18nm4$3d`>0g5<5<?1<7F=4d9Y763=9r>;?7s4i6594?N5;52z35l7:18'61b=;k=0b?:l:19'66g=;k?0b?=6:098m6d1290/>9j53c58j72d281/>>o53c78j75>2910c<9j510d8j72d281/>>o510:8j75>2l10c9j510d8j72d2:1/>>o510:8j75>2j10c9j510d8j72d2<1/>>o510:8j75>2h10c<<6:18'61b=98l0b?:l:79'66g=9820b?=6:898k44?290/>9j510d8j72d2>1/>>o510:8j75>2110c<<8:18'61b=98l0b?:l:99'66g=9820b?=6:698k441290/>9j510d8j72d201/>>o510:8j75>2?10c<<::18'61b=98l0b?:l:`9'66g=9820b?=6:498k443290/>9j510d8j72d2k1/>>o510:8j75>2=10c<<<:18'61b=98l0b?:l:b9'66g=9820b?=6:298k445290/>9j510d8j72d2m1/>>o510:8j75>2;10c<<>:18'61b=98l0b?:l:d9'66g=9820b?=6:098k47>290/>9j510d8j72d2o1/>>o510:8j75>2910c<:=:18'61b=9=;0b?:l:19'66g=9:l0b?=6:098k427290/>9j51538j72d281/>>o512d8j75>2910c<:9:18'61b=9=?0b?:l:19'66g=9=90b?=6:098k423290/>9j51578j72d281/>>o51518j75>2910e>ll:18'61b=;kh0b?:l:19'66g=;k30b?=6:098m6df290/>9j53c`8j72d281/>>o53c;8j75>2910e>o50;&10a<412d98n4?;%00e?523g8857m4;h1;>5<#:=n1?45a25a95>"5;h0896`=388a?>o4?3:1(?:k:2;8j72d2;1/>>o5349m66?=i21b8>4?:%07`?5>3g8?o7=4$31b>637c<<9;:8?l26290/>9j5389m61e==2.9?l4<5:l17<<032c?<7>5$36g>6?h6;5+22c970=i::31:65f3g83>!43l3927c<;c;58 75f2:?0b?=6:498m6c=83.98i4<9:l10fh5;00876g>752:9j7g<72-8?h7=6;o07g?d<,;9j6>;4n31:>4=h59m50:&17do6k<4n36`>4=#::k1io5a22;9a>=nn90;6)<;d;d1?k43k380(?=n:d`8j75>2m10ehh50;&10a5<#:=n1j?5a25a90>"5;h0nn6`=388a?>obl3:1(?:k:g08j72d2<1/>>o5ec9m66?=i21bjn4?:%07`?`53g8?o784$31b>`d6`=4b84?!44i3oi7c<<9;:8?l`f290/>9j5f39m61e=02.9?l4jb:l17<<032cm57>5$36g>c4h645+22c9ag=i::31:65ff983>!43l3l97c<;c;c8 75f2lh0b?=6:498mc1=83.98i4i2:l10fh5;00876gi5;29 72c2o80b?:l:e9'66g=mk1e>>752:9jb1<72-8?h7h=;o07g?c<,;9j6hl4n31:>4=h5:18'61b=m91e>9m50:&17do6h>4n36`>4=#::k1h45a22;9a>=nll0;6)<;d;g3?k43k380(?=n:e;8j75>2m10eij50;&10a3g8857m4;hf`>5<#:=n1i=5a25a90>"5;h0o56`=388a?>ocj3:1(?:k:d28j72d2<1/>>o5d89m66?=i21bil4?:%07`?c73g8?o784$31b>a?9j5e19m61e=02.9?l4k9:l17<<032cn;7>5$36g>`6h645+22c9`<=i::31:65fe783>!43l3o;7c<;c;c8 75f2m30b?=6:498m`3=83.98i4j0:l10fh5;00876gj3;29 72c2l:0b?:l:e9'66g=l01e>>752:9ja7<72-8?h7k?;o07g?c<,;9j6i74n31:>4=h59m50:&17d<3<2d9?44l;:k73?6=,;>o6964n36`>4=#::k1895a22;9f>=n2h10e8<50;&10a<302d98n4<;%00e?233g885774;h72>5<#:=n1855a25a90>"5;h0?86`=388;?>o283:1(?:k:5:8j72d2<1/>>o5459m66?=?21b8k4?:%07`?2?3g8?o784$31b>12?7c<<9;78?l2c290/>9j5499m61e=02.9?l4;4:l17<<332c?o7>5$36g>1>h645+22c901=i::31?65f4c83>!43l3>37c<;c;c8 75f2=>0b?=6:398m1g=83.98i4;8:l10f>6=4+25f90==i:=i1o6*=3`870>h5;00;76a>3283>!43l3;8>6`=4b83?!44i3;9n6`=388e?>i6;80;6)<;d;306>h5h5;00n76a>3183>!43l3;8>6`=4b81?!44i3;9n6`=388g?>i6:o0;6)<;d;306>h5h5;00h76a>2d83>!43l3;8>6`=4b87?!44i3;9n6`=388a?>i6:m0;6)<;d;306>h57)<h5;00j76a>3b83>!43l3;8>6`=4b85?!44i3;9n6`=388:?>i6;k0;6)<;d;306>h5h5;00376a>3`83>!43l3;8>6`=4b8;?!44i3;9n6`=3884?>i6;00;6)<;d;306>h5h5;00=76a>3983>!43l3;8>6`=4b8b?!44i3;9n6`=3886?>i6;>0;6)<;d;306>h5h5;00?76a>3783>!43l3;8>6`=4b8`?!44i3;9n6`=3880?>i6;<0;6)<;d;306>h5h5;00976a>3583>!43l3;8>6`=4b8f?!44i3;9n6`=3882?>i6:j0;6)<;d;306>h5h5;00;76g>0183>!43l3lm7c<;c;28 75f2on0b?=6:098mcc=83.98i4if:l10f<63-88m7hk;o00=?6<3ty?oo4?:3y]0fd<5:926>ll;|q7g<<72;qU8n74=21:>6df3ty?o54?:3y]0f><5:926>l7;|q7g2<72;qU8n94=21:>6d13ty8i?4?:3y]7`4<5:926>64}r1f4?6=:rT8i=5232;972=z{:nm6=4={_1gb>;4;00??6s|3eg94?4|V:nn70=<9;61?xu4lm0;6?uQ3ef8965>2=;0q~=kc;296~X4lj16?>75419~w6be2909wS=kb:?07<<4n2wx8>950;0xZ150349857h<;|q770<72;qU8>;4=21:>c78?7>52z\776=:;:31j=5rs511>5<5sW>8>63<388fb>{t<:;1<77}Y<::01>=6:df8yv25n3:1>vP;2g9>76?=nj1v9n4?:3y]07e<5:926k74}r61f?6=:rT?>o5232;9b==z{=8j6=4={_61e>;4;00m;6s|43:94?4|V=8370=<9;d5?xu3:>0;6?uQ4358965>2o?0q~:=6;296~X3:?16?>75f59~w1422909wS:=5:?07<;|q766<72;qU8?=4=21:>a`9>7>52z\767=:;:31hh5rs502>5<5sW>9=63<388g`>{t<;:1<77}Y<8l01>=6:e`8yv24m3:1>vP;3d9>76?=mh1v9=k:181[24l278?44j9:p06e=838pR9=l;<10=?c?3ty??o4?:3y]06d<5:926h94}r60e?6=:rT??l5232;9a3=z{=926=4={_60=>;4;00n96s|42:94?4|V=9370=<9;g7?xu3;=0;6?uQ4268965>2l90q~:=9;296~X3:016?>75e39~w17b2909wS:>e:?07<1052z\0a2=:;:319?5rs2g5>5<5sW9n:63<38865>{t;l?1<77}Y;l>01>=6:5d8yv5b;3:1>vP76?=::181[27=278?4485:p02c=838pR99j;<10=?7292wx8=850;0xZ16134985798;|q73c<72;qU8:h4=21:>4353ty?944?:3y]00?<5:926<=<;|q712<72;qU8894=21:>4563ty?984?:3y]003<5:926<=?;|q711<72;qU88:4=21:>44a3ty?9>4?:3y]005<5:926<44c3ty?9<4?:3y]007<5:926<=l;|q715<72;qU88>4=21:>45e3ty?8k4?:3y]01`<5:926<=n;|q70`<72;qU89k4=21:>45>3ty?8i4?:3y]01b<5:926<=7;|q70f<72;qU89m4=21:>4503ty?8l4?:3y]01g<5:926<=9;|q70<<72;qU8974=21:>4523ty?854?:3y]01><5:926<=;;|q702<72;qU8994=21:>44d3ty?8;4?:3y]010<5:926<47b3ty?894?:3y]012<5:92647d3ty?8?4?:3y]014<5:92647f3ty?:=4?:3y]036<5:926<<6;|q71c<72;qU88h4=21:>44?3ty?9h4?:3y]00c<5:926<<8;|q71a<72;qU88j4=21:>4413ty?9n4?:3y]00e<5:926<<:;|q71g<72;qU88l4=21:>4433ty?9l4?:3y]00g<5:926<<<;|q713<72;qU8884=21:>4453ty?8o4?:3y]01d<5:926<<>;|q705<72;qU89>4=21:>47>3ty9?54?:07x96e428o370=<9;0e4>;4;009i55232;96`?<5:926?ki;<10=?5f349857=j;<10=?5c349857=l;<10=?5e349857=9;<10=?77<278?44>039>76?=<016?>754e9>76?=754c9>76?=75449>76?=99:01>=6:gg8yxd??3:1=7>50z&0gf<5:183!5dk38?:6F2P8?=4>{909y>{e9>i1<7?50;2x 6ed2;>=7E=na:J2b<=#:;21=k84$306>75c3`8?:7>5$2a`>72132wi=:l50;394?6|,:ih6?:9;I1be>N6n01/>?651g48 7422;9o7d<;6;29 6ed2;>=76sm16c94?7=83:p(>ml:365?M5fi2B:j45+23:95c0<,;8>6?=k;h072?6=,:ih6?:9;:a52?=83;1<7>t$2a`>7213A9jm6F>f89'67>=9o<0(?<::31g?l43>3:1(>ml:365?>{e9>21<7?50;2x 6ed2;>=7E=na:J2b<=#:;21=k84$306>75c3`8?:7>5$2a`>72132wi=:950;394?6|,:ih6?:9;I1be>N6n01/>?651g48 7422;9o7d<;6;29 6ed2;>=76sm16494?7=83:p(>ml:365?M5fi2B:j45+23:95c0<,;8>6?=k;h072?6=,:ih6?:9;:a523=83;1<7>t$2a`>7213A9jm6F>f89'67>=9o<0(?<::31g?l43>3:1(>ml:365?>{e9>91<7?50;2x 6ed2;>=7E=na:J2b<=#:;21=k84$306>75c3`8?:7>5$2a`>72132wi=:<50;394?6|,:ih6?:9;I1be>N6n01/>?651g48 7422;9o7d<;6;29 6ed2;>=76sm16394?7=83:p(>ml:365?M5fi2B:j45+23:95c0<,;8>6?=k;h072?6=,:ih6?:9;:a526=83;1<7>t$2a`>7213A9jm6F>f89'67>=9o<0(?<::31g?l43>3:1(>ml:365?>{e9?l1<7?50;2x 6ed2;>=7E=na:J2b<=#:;21=k84$306>75c3`8?:7>5$2a`>72132wi=;k50;394?6|,:ih6?:9;I1be>N6n01/>?651g48 7422;9o7d<;6;29 6ed2;>=76sm17f94?7=83:p(>ml:365?M5fi2B:j45+23:95c0<,;8>6?=k;h072?6=,:ih6?:9;:a53e=83;1<7>t$2a`>7213A9jm6F>f89'67>=9o<0(?<::31g?l43>3:1(>ml:365?>{e9?h1<7?50;2x 6ed2;>=7E=na:J2b<=#:;21=k84$306>75c3`8?:7>5$2a`>72132wi=;o50;394?6|,:ih6?:9;I1be>N6n01/>?651g48 7422;9o7d<;6;29 6ed2;>=76sm19;94?7=83:p(>ml:365?M5fi2B:j45+23:95c0<,;8>6?=k;h072?6=,:ih6?:9;:a5=>=83;1<7>t$2a`>7213A9jm6F>f89'67>=9o<0(?<::31g?l43>3:1(>ml:365?>{e91=1<7?50;2x 6ed2;>=7E=na:J2b<=#:;21=k84$306>75c3`8?:7>5$2a`>72132wi=5850;394?6|,:ih6?:9;I1be>N6n01/>?651g48 7422;9o7d<;6;29 6ed2;>=76sm19794?7=83:p(>ml:365?M5fi2B:j45+23:95c0<,;8>6?=k;h072?6=,:ih6?:9;:a5=2=83;1<7>t$2a`>7213A9jm6F>f89'67>=9o<0(?<::31g?l43>3:1(>ml:365?>{e9191<7?50;2x 6ed2;>=7E=na:J2b<=#:;21=k84$306>75c3`8?:7>5$2a`>72132wi=:h50;394?6|,:ih6?:9;I1be>N6n01/>?651g48 7422;9o7d<;6;29 6ed2;>=76sm1cf94?7=83:p(>ml:365?M5fi2B:j45+23:96155<#;ji1>984;|`2fg<7280;6=u+3ba9610<@:kj7E?i9:&16=<5<:1e?==5159l610=83.8on4=4798yg7e13:1=7>50z&0gf<5i5=zj8h36=4>:183!5dk38?:6F5$2a`>72132wi=o950;394?6|,:ih6?:9;I1be>N6n01/>?652518j66428>0c?:9:18'7fe=:=<07pl>b783>4<729q/?nm52548L6gf3A;m56*=298106=i;991=95`25494?"4kj098;54}c3a1?6=93:14>4:m103<72-9ho7<;6:9~f4d3290:6=4?{%1`g?43>2B8ml5G1g;8 74?2;>87c=?3;37?j43>3:1(>ml:365?>{e9k91<7?50;2x 6ed2;>=7E=na:J2b<=#:;21>9=4n220>42=6=4+3ba9610<3th:n?4?:083>5}#;ji1>984H2cb?M7a12.9>54=429m755=9=1d>9850;&0gf<5h48:0:86a=4783>!5dk38?:65rb0`3>5<6290;w)=lc;072>N4ih1C=k74$30;>7243g9;?7?;;n072?6=,:ih6?:9;:a5dc=83;1<7>t$2a`>7213A9jm6F>f89'67>=:=90b>><:068k721290/?nm52548?xd6im0;6<4?:1y'7fe=:=<0D>on;I3e=>"5:1098>5a311951=h:=<1<7*51;294~"4kj098;5G3`c8L4`>3-8947<;3:l046<6<2e98;4?:%1`g?43>21vn5<7s-9ho7<;6:J0ed=O9o30(?<7:360?k57;3;?7b<;6;29 6ed2;>=76sm1`c94?7=83:p(>ml:365?M5fi2B:j45+23:96155<#;ji1>984;|`2e<<7280;6=u+3ba9610<@:kj7E?i9:&16=<5<:1e?==5159l610=83.8on4=4798yg7f03:1=7>50z&0gf<5i5=zj8k<6=4>:183!5dk38?:6F5$2a`>72132wi=l850;394?6|,:ih6?:9;I1be>N6n01/>?652518j66428>0c?:9:18'7fe=:=<07pl>a483>4<729q/?nm52548L6gf3A;m56*=298106=i;991=95`25494?"4kj098;54}c3`0?6=93:14>4:m103<72-9ho7<;6:9~f4e4290:6=4?{%1`g?43>2B8ml5G1g;8 74?2;>87c=?3;37?j43>3:1(>ml:365?>{e9j81<7?50;2x 6ed2;>=7E=na:J2b<=#:;21>9=4n220>42=6=4+3ba9610<3th:o<4?:083>5}#;ji1>984H2cb?M7a12.9>54=429m755=9=1d>9850;&0gf<5h48:0:86a=4783>!5dk38?:65rb0`e>5<6290;w)=lc;072>N4ih1C=k74$30;>7243g9;?7?;;n072?6=,:ih6?:9;:a5gc=83;1<7>t$2a`>7213A9jm6F>f89'67>=:=90b>><:068k721290/?nm52548?xd6jh0;6<4?:1y'7fe=:=<0D>on;I3e=>"5:1098>5a311951=h:=<1<7*51;294~"4kj098;5G3`c8L4`>3-8947<;3:l046<6<2e98;4?:%1`g?43>21vn5<7s-9ho7<;6:J0ed=O9o30(?<7:360?k57;3;?7b<;6;29 6ed2;>=76smb583>4<729q/?nm52548L6gf3A;m56*=298106=];:?1>v?98;`7>xh48:0><6a=4783>!5dk38?:65rbc394?7=83:p(>ml:365?M5fi2B:j45+23:96154o365>5<#;ji1>984;|`227<7280;6=u+3ba9610<@:kj7E?i9:&16=<5<:1e?==5519l610=83.8on4=4798yg4cn3:1=7>50z&0gf<5i5=zj;o:6=4>:183!5dk38?:6F5$2a`>72132wi4>4?:083>5}#;ji1>984H2cb?M7a12.9>54=429m755==91d>9850;&0gf<5=51z33>x=zj:i;6=4?:183!540399h6F<,:996<8;;|a762=83:1<7>t$21;>6533-89m7:lc:J04a=K;jo18v*<30810<=#;:81=;;4$215>72f3-98;7<;b:~w4?e2909w0?6b;00a>;3180:in5rs0;b>5<5s4;2m7<1388i63;9082a`=z{8336=4={<3:eb9~w4?02909w0?67;00a>;3190:ii5rs0;5>5<5s4;2:7<=388i63;8g82af=z{83?6=4={<3:0?44m27?4k4>ee9~w4?42909w0?63;00a>;30o0:ih5rs0;1>5<5s4;2>7<:18187>9388i63;8d82aa=z{83;6=4={<3:4?44m27?4h4>ed9~w4>a2909w0?7f;00a>;30m0:in5rs0:f>5<5s4;3i7<eb9~w4>e2909w0?7b;00a>;30j0:ii5rs0:b>5<5s4;3m7<ee9~w4g62909w0?n1;00a>;30k0:ih5rs0c3>5<4s4;j<7<n3:1?v3>9g817`=:<0>18:l4=5;0>6c13ty:5h4?:2y>5;39n96s|18f94?5|583o6?=j;<6:0?20127?5>4;31=0?;55248197`5{t0;0;6>uQ839>7c>=9lh015<52548yv>32909w0=ic;0g1>;?<3;nn6s|17594?g|5:oo6;<4=2g`>35<5:on6;=4=2d2>35<5:l96;=4=523>75b349m<7?jb:?7=1<38=1684=5417891?42=:=7p}0}:;ln1>i;4=2gg>7b1349ni7lm;<1fa?dd34>3?7?jb:pf7<72;q6?hm52e789g4=9lh0q~?93;296~;4ml09h85217195`d52z?0b4<5l<16>h>51d`8yv4b:3:1>v3ec9>0<5=<<30q~?kc;296~;6lj0:io52481900152z?2`d<6mk1684=54478yv7c13:1>v3>d882ag=:<09188:4}r3gec9>0<5=<<90q~?k7;296~;6l>0:io52481900452z?2`3<6mk1684=54438yv7c=3:1>v3>d482ag=:<09188>4}r3g0?6=:r7:h94>ec9>0<5=<=l0q~?k3;296~;6l:0:io52481901c7>52z?2`7<6mk1684=545f8yv7c93:1>v3>d082ag=:<09189m4}r3`b?6=:r7:ok4>ec9>0<5=<=k0q~?le;296~;6kl0:io52481901?52z?2ga<6mk1684=545:8yv7dk3:1>v3>cb82ag=:<0918994}r3`f?6=:r7:oo4>ec9>0<5=<=<0q~?la;296~;6kh0:io52481901352z?2g<<6mk1684=54568yv7d03:1>v3>c982ag=:<09189=4}r3`3?6=:r7:o:4>ec9>0<5=<=80q~?l6;296~;6k?0:io52481901752z?2a1<6mk1684=54728yv7b;3:1>v3>e282ag=:<09188h4}r3f6?6=:r7:i?4>ec9>0<5=<52z?2a5<6mk1684=544a8yv7cn3:1>v3>dg82ag=:<09188l4}r3ga?6=:r7:hh4>ec9>0<5=<52z?2`5<6mk1684=545`8yv7d=3:1>v3>c482ag=:<09189>4}r`4>5<5s4h<675b3ty9?:4?:01x96e32;>?70=jd;`b?85bk3<=70=je;45?85a93<=70=i1;``?85a:3<=70=i2;``?85ak3<=70=ic;`a?82?i3i370:79;a;?82?03i370:77;a;?82?>3i370:75;a;?82?<3i370l::b:891?32=;>7p}=3983>0?|5:i86278in4=f59>7`c=:m<01>kj:3d7?85a93hi70=i1;0g2>;4n809j9523g09fg=:;o81>i84=2d1>7`3349mo7ll;<1eg?4c>278jn4=f59>0=2=>1168=?52dg891?32:nj70:64;1g<>;31=08h:5248697a0<5=3?6>j:;<6:0?26;27?594;109>0<2=<8:0197;:52e?82><3>;i63;95874a=:<0>18=m4=5;7>16e34>287:?a:?7=1<3801684:541:891?32=;o70:64;62g>;31=0?=o52486904g<5=3?69?6;<6:0?26027?594;169>0<2=<8<0197;:531?82><3>;;63;9587g3=:<0918nl4=5;0>1e>34>2?7:l8:?7=6<3k>1684=53d0891?42:o;70:63;1gb>;31:08hh5248197ab<5=386>jl;<6:7?5cj27?5>4;369>0<5=<:?0197<:510?82>;3>8>63;928774=:<0918>>4=5;0>14a34>2?7:=e:?7=6<3:m1684=543a891?42=8i70:63;61e>;31:0?>5524819071<5=3869<9;<6:7?25=27?5>4;259>0<5=<;90197<:501?82>;3>9=63;928765=:<091815b34>2?7:;31:0??5524819062<5=3869<6;<6:7?26m27?5>40<5=;l20197<:55f?xu31;0;6?u249c966c<5k?1:55rs5:1>5<3s49no7lm;<1fg?dd34>3?7<{t<0?1<74`634>287:91:p0d6=838p196m:0d3?82><3>=o6s|4`194?4|5=2i6d28l:70:64;645>{t4`734>287:82:p0d0=838p196l:0ge?82><3>;<6:0?20<2wx8l650;0x91>c28l;70:64;641>{t4ca34>287:86:p0dg=838p196j:0d2?82><3><;6s|48494?4|5=2n6b28om70:64;657>{t<021<74`634>287:94:p0<3>=96s|48c94?4|5=2m62wx84l50;0x91?728l:70:64;653>{t<0i1<74`734>287:98:p0<3>=56s|48g94?4|5=3:6;<6:0?21i2wx84h50;0x91?628l;70:64;65f>{t4ca34>287:9d:p0=d=838p196m:31f?82?<38m86s|49694?4|5=2?6?=j;<6;1?0?3ty?4n4?:3y>0=e=::o0196::3d7?xu30<0;6?u2497966c<5=2=6;64}r6;`?6=:r7?4i4=3d9>0=0=:o>0q~:76;296~;30?09?h5249592==z{=2n6=4={<6;a?44m27?4:4=f59~w1>02909w0:77;00a>;3010=46s|49d94?4|5=2m6?=j;<6;?2;9n70:79;4;?xu3190;6?u2482966c<5=226?h;;|q7<<<72;q6857522g891>f2?20q~:61;296~;31809?h5249c96c255z?0af<1<278ih494:?0b4<1<278j?494:?0b5<5;l1v>h;:18185ak3{t;o91<7=t=2da>75b349mo78<;<1e1?7bj2wx?k750;0x96`12;9n70:?1;5f?xu4no0;6?u241295`d<5=::65k4}r1ee?6=:r78jo4>ec9>057=?o1v>h8:18185a0388i637`9>5uQ16;894??28oi70?89;072>{t9>21<7=t^05;?87>?3;nn63>798103=z{8=<6=4<{_343>;61?0:io52165961053z\233=:90?1=hl4=055>7213ty:;84?:2y]523<583?62wx=:=50;1xZ41434;2?7?jb:?236<5ec9>524=:=<0q~?81;297~X6?816=4?51d`894162;>=7p}>7183>6}Y9>:01<7?:0ga?870838?:6s|17d94?5|V8;6>o098;5rs04f>5<4sW;=i63>8d82ag=:9?o1>984}r35`?6=;rT::i5219f95`d<584ce34;=o7<;6:p53d=839pR<8m;<3;f?7bj27::o4=479~w40f2908wS?9a:?2889>5d5=9lh01<66:365?xu6010;6>uQ19:894g528oi70?78;072>{t91=1<7=t^0:4?87f93;nn63>868103=z{82=6=4<{_3;2>;6i90:io52194961053z\2<0=:90l1=hl4=0:6>7213ty:494?:2y]5=2<583n62wx=5=50;1xZ4>434;2h7?jb:?2<6<5ec9>52`=:=<0q~?md;297~X6jm16=ij522g894dc2;>=7p}>bc83>6}Y9kh01;6j0098;5rs0`;>5<4sW;i463>d8817`=:9k21>984}r3a3?6=;rT:n:521e:966c<58h<6?:9;|q2f3<72:qU=o84=0f4>75b34;i:7<;6:p5g3=839pRb29>5a2=::o01uQ1c0894b42;9n70?m2;072>{t9k;1<7=t^0`2?87c:388i63>b08103=z{8h;6=4<{_3a4>;6l809?h521c2961053z\2e`=:9jl1>>k4=0cf>7213ty:mi4?:2y]5db<58in6?=j;<3b`?43>2wx=lm50;1xZ4gd34;hh7<5dd=:=<0q~?na;297~X6ih16=nl522g894gf2;>=7p}>a883>6}Y9h301;6i1098;5rs0c4>5<4sW;j;63>c9817`=:9h=1>984}r3b2?6=;rT:m;521b5966c<58k=6?:9;|q2e0<72:qU=l;4=0a5>75b34;j97<;6:p5f2=839pRc39>5`4=::o01uQ1b3894c62;9n70?l1;072>{t9j:1<7=t^0a3?87b8388i63>c18103=z{8hm6=4<{_3ab>;6lo09?h521cd961053z\2f`=:9mo1>>k4=0`f>7213ty:nl4?:2y]5gg<58ni6?=j;<3ae?43>2wx=lh50;1xZ4ga34;o<7<5d2=:=<0q~l;:180[d334h<6?=j;<`7>7213tyi=7>53z\a5>;e:388i63m1;072>{t9?81<7=t^041?871;388i63>638103=z{;nm6=4<{_0gb>;5m909?h522ed961053z\1a4=::l81>>k4=3g2>7213ty3?7>53z\;7>;?<388i6373;072>{tj?0;6?u2b7817`=:j<09j95rs0:1>5<6sW;3>6s|19294?7|V82;7p}>7d83>4}Y9>o0q~?8d;295~X6?m1v<9;:182[70<2wx=;750;3xZ40>3ty:i:4?:0y]5`151z\2a0=z{k91<7?t^c18yxhfj<0;63:1=vF=83;pD>on;|lbf<<728qC?lo4}ocae?6=9rB8ml5rn``a>5<6sA9jm6saaca94?7|@:kj7p`nbe83>4}O;hk0qcome;295~N4ih1vblli:182M5fi2wemn>50;3xL6gf3tdjo<4?:0yK7dg7>51zJ0ed=zfhi86=4>{I1be>{iij>1<7?tH2cb?xhfk<0;63:1=vF=83;pD>on;|lbg<<728qC?lo4}oc`e?6=90qC?lo4}oc`f?6=90qC?lo4}oc`g?6=90qC?lo4}oc``?6=90qC?lo4}oc`a?6=90qC?lo4}oc`b?6=9rB8ml5F25495~7=uS99i7?t868~^40128q8>h4r}ocg4?6=9rB8ml5F25495~7=utdjh<4?:0yK7dg7>51`yK7dg51zJ0ed=zfhn?6=4>{I1be>{iim?1<7?tH2cb?xhfl?0;6on;|lb`d<72;qC?lo4}ocgf?6=:rB8ml5rn`f`>5<5sA9jm6saaef94?4|@:kj7p`ndd83>7}O;hk0qcokf;296~N4ih1vblk?:181M5fi2wemh?50;0xL6gf3tdji?4?:3yK7dg52zJ0ed=zfho?6=4={I1be>{iil?1<7vFon;|lbad<72;qC?lo4}ocff?6=:rB8ml5rn`g`>5<5sA9jm6saadf94?4|@:kj7p`ned83>7}O;hk0qcojf;296~N4ih1vblh?:181M5fi2wemk?50;0xL6gf3tdjj?4?:3yK7dg52zJ0ed=zfhl?6=4={I1be>{iio?1<7vFon;|lbbd<72l>pD>on;|lbbg<728qC?lo4}oceg?6=:rB8ml5rn`dg>5<4sA9jm6saagg94?5|@:kj7p`nfg83>6}O;hk0qcl?0;297~N4ih1vbo>>:180M5fi2wen=<50;1xL6gf3tdi<>4?:2yK7dg53zJ0ed=zfk:>6=4<{I1be>{ij9<1<7=tH2cb?xhe8>0;6>uG3`c8ykd703:1?vF2908wE=na:mf5g=839pD>on;|la4g<72:qC?lo4}o`3g?6=;rB8ml5rnc2g>5<4sA9jm6sab1g94?5|@:kj7p`m0g83>6}O;hk0qcl>0;297~N4ih1vbo?>:180M5fi2wen<<50;1xL6gf3tdi=>4?:0yK7dg51zJ0ed=zfk;>6=4>{I1be>{ij8<1<7?tH2cb?xhe9>0;6290:wE=na:mf4g=83;pD>on;|la5g<728qC?lo4}o`2g?6=9rB8ml5rnc3g>5<6sA9jm6sab0g94?7|@:kj7p`m1g83>4}O;hk0qcl=0;295~N4ih1vbo<>:182M5fi2wen?<50;3xL6gf3tdi>>4?:3yK7dg52zJ0ed=zfk8>6=4={I1be>{ij;<1<7?tH2cb?xhe:>0;6?uG3`c8ykd503:1=vF=uS;=:7?t33g9yxhe:00;6{20f>x{ij;k1<7?tH2cb?L43>3;p=7sU33g95~7103wQ=;851z11a?{zfk8i6=4>{I1be>O54}6>10vV<89:0y06`51zJ0ed=N:=<1=v?5}[11a?7|9?21qW?96;3x77c=utdi>i4?:0yK7dg=6:|X06`<6s8<36pT>678264b2twen?k50;3xL6gf3@8?:7?t1;Y77c=9r;=47sU17495~55m3wvbo{08~^64b28q::54rZ045>4}4:l0vqcl<0;295~N4ih1B>9851z39y_55m3;p=;65}[352?7|;;o1qp`m3083>4}O;hk0E?:9:0y2>x\4:l0:w<87:|X223<6s:8n6psab2094?7|@:kj7D<;6;3x5?{];;o1=v?98;Y530=9r99i7srnc10>5<6sA9jm6G=47824698~^40128q8>h4r}o`00?6=9rB8ml5F25495~7=uS99i7?t17:9y_71>3;p??k5}|la70<728qC?lo4I365>4}62tP8>h4>{04;>x\6>?0:w>on;H072?7|93wQ??k51z35=uS;=:7?t33g9yxhe;00;6{20f>x{ij:k1<7?tH2cb?L43>3;p=7sU33g95~7103wQ=;851z11a?{zfk9i6=4>{I1be>O54}6>10vV<89:0y06`51zJ0ed=N:=<1=v?5}[11a?7|9?21qW?96;3x77c=utdi?i4?:0yK7dg=6:|X06`<6s8<36pT>678264b2twen>k50;3xL6gf3@8?:7?t1;Y77c=9r;=47sU17495~55m3wvbo=i:182M5fi2C98;4>{08~^64b28q::54rZ045>4}4:l0vqcl;0;295~N4ih1B>9851z39y_55m3;p=;65}[352?7|;;o1qp`m4083>4}O;hk0E?:9:0y2>x\4:l0:w<87:|X223<6s:8n6psab5094?7|@:kj7D<;6;3x6?{zfk>86=4>{I1be>O5=6on;H072?7|:3wvbo:8:182M5fi2C98;4>{38~ykd303:1=vF4}O;hk0E?:9:0y1>x{ij=k1<7?tH2cb?L43>3;p>7srnc6a>5<6sA9jm6G=4782751zJ0ed=N:=<1=v<5}|la0a<728qC?lo4I365>4}52twen9k50;3xL6gf3@8?:7?t2;~jg2a290:wE=na:K103<6s;0vqcl:0;295~N4ih1B>9851z09yxhe=80;6{I1be>O5=6on;H072?7|:3wvbo;8:182M5fi2C98;4>{38~ykd203:1=vF4}O;hk0E?:9:0y1>x{ij3;p>7srnc7a>5<6sA9jm6G=47827o7>51zJ0ed=N:=<1=v<5}|la1a<728qC?lo4I365>4}52twen8k50;3xL6gf3@8?:7?t2;~jg3a290:wE=na:K103<6s;0vqcl90;295~N4ih1B>9851z09yxhe>80;6{I1be>O5=6on;H072?7|:3wQ=8k51zd9y_71>3;p=8k5}|la2=<728qC?lo4}o`5=?6=9rB8ml5+237966b51zJ0ed=#:;?1>>j4}o`5f?6=9rB8ml5+237966b51zJ0ed=#:;?1>>j4}o`5`?6=9rB8ml5+237966b51zJ0ed=#:;?1>>j4}o`5b?6=9rB8ml5+237966b51zJ0ed=#:;?1>>j4}o`45?6=9rB8ml5+237966b52zJ0ed=zf1h<6=4={I1be>{i0k21<7ei3:1>vFon;|l;fa<72;qC?lo4}o:aa?6=:rB8ml5rn9`e>5<5sA9jm6sa8b294?4|@:kj7p`7c083>7}O;hk0qc6l2;296~N4ih1vb5m<:181M5fi2we4n:50;0xL6gf3td3o84?:3yK7dg52zJ0ed=zf1i<6=4={I1be>{i0j21<7di3:1>vFon;I00g>{i0l91<7?tH2cb?M44k2we4h:50;3xL6gf3A88o6sa8d794?7|@:kj7E<on;I00g>{i0l=1<7?tH2cb?M44k2we4h650;3xL6gf3A88o6sa8d;94?7|@:kj7E<on;I00g>{i0lh1<7?tH2cb?M44k2we4hm50;3xL6gf3A88o6sa8df94?7|@:kj7E<on;I00g>{i0ll1<7?tH2cb?M44k2we4k>50;3xL6gf3A88o6sa8g394?7|@:kj7E<on;I00g>{i0o91<7?tH2cb?M44k2we4k:50;3xL6gf3A88o6sa8g794?7|@:kj7E<on;I00g>{i0o=1<7?tH2cb?M44k2we4k650;3xL6gf3A88o6sa8g;94?7|@:kj7E<on;I00g>{i0oh1<7?tH2cb?M44k2we4km50;3xL6gf3A88o6sa8gf94?7|@:kj7E<on;I00g>{i0ol1<7?tH2cb?M44k2we5=>50;3xL6gf3A88o6sa91394?7|@:kj7E<on;I00g>{i1991<7?tH2cb?M44k2we5=:50;3xL6gf3A88o6sa91794?7|@:kj7E<on;I00g>{i19=1<7?tH2cb?M44k2we5=650;3xL6gf3A88o6sa91;94?7|@:kj7E<on;I00g>{i19h1<7?tH2cb?M44k2we5=m50;3xL6gf3A88o6sa91f94?7|@:kj7E<on;|~yEFDsj=96olidd`:axFGJr:vLM^t}AB \ No newline at end of file diff --git a/fpga/WarpLC.ngr b/fpga/WarpLC.ngr index e3d14f1..182bcbe 100644 --- a/fpga/WarpLC.ngr +++ b/fpga/WarpLC.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$0444=702:%<=>?01:84+6709:;46>!5123457<9;1:>?5=48904+6789:;n6==:@P?74<76l18>7H\B^C?74<768>0??4FNQWW>AgsiH68=7>115906?OIX\^1HlznB=12>586<2996D@_UU8EWGYF4:;1<3?46e95wi~>n}m99;#=3:CFF3=FFM>I=85NR=2=2>GU48:5:6O]<03=2>GU4885:6O]<01=2>GU48>5:6O]<07=2>GU48<5:6O]<05=2>GU4825:6O]<0;=1>GU484=7L\321<5?DT;:84=7L\323<5?DT;::4=7L\325<5?DT;:<4=7L\327<5?DT;:>4=7L\329<5?DT;:04>7L\32?48EW:48720M_2<1;2=2>GU4:;596O]<2<6?DT;<7?0M_2:>49BV909=2KY0:0:;@P?<;3749BVZ7?=2KYS<7;;@P\60=FZV8;96O]_336?DTX:;?0M_Q=349BVZ43=2KYS?;:;@P\633GUW;3?7L\P349BVZ57=2KYS>?;;@P\01=FZV??7L\P659BVZ133HXT495NR^;6?DU^FJ30NL@KWHFWL1=E]OM37O[IIN0EF==E]ZUBBKA>;B08G547N4SUrgqwY|fflaWHbmzmdlwwYAn|AiPZo}p,N@Xcv`iUIGGJKA.w16?F<[]zoyQtnndi_@jereldQIftIwaXRgux$FHPk~ha]UbvuJD&9o7N4SUrgqwY|fflaWHbmzmdlwwYAn|AiPZo}p,N@Xcv`iUc{ob|j_ekqXFJL&h0OL^NCHVBJFC53JO87NBD1d;8GIM+keaT{dtPv2]5(~eheyeeiczPn`of9FJL$|ynS}fnsd]bhlaield1y|ze-wpaZknfVeTfdz{es?eeiub$|ynS`ow_h]imqrbz4lj`~k#urg\`|hXxakxiR}gigv>bdjtm%xiRgacmi\wroskae}i3iamqf(pubWmseSkloodjc8`fdzo'jhkkb`ah[virzjo6JILD_@WWKZIANJBB\!~}ilvzt`Ytme7[@AQMARG/jpkXg|~Toae21-aoolh6Wyoyebj231,3(fjlag8T|h|foe?04+6+}zoT|byjr^flsk;aieyn x}j_sgpaq;aieyn x}j_mm`o`b:nhfxi!{|e^kmgimX{}e{|hj2f`npa)stmVymy{|=gcow`*r{lUm~hkueEKCNOEn6MCKFGM[lht|<1H@FGAc:AOOLHXXLXBCI94CMILQQ6b3JF@CXZ?_EKUMAC6:2IGGB[[0^FVP\YDQJFNh6MCKNWW4ZVOIZO<7NBDOTV2a>EKCF__=RJFVHFF57=DDBE^Xk4CMILQQ5XL@\BHH?=;BNHKPR4WM__URMVCMGg?FJLG\^8S]FNSD58GIMH]]>n7NBDOTV7[AOQAMO:>6MCKNWW0ZBR\PUHUNBJd:AOOJSSEHZLULICK]AUKLJZOINF<0O][LLJ`8GUSDDBUbb~z8;BRVGIMn?2I[YNBDrg9@TPEKC{UBB[Q=_n33?FVRKEAySD@Y_3]l52=DX\UeM^?6;BRV[kGTWOYISLU<1\]BJAY4Wf;j7N^Z_oCP[CUEWHQ8=PQNNE]0[j703JXNMYKK1:F1?A653M;o7IJ]_@NJCKKBF]=0HHHNUMVg?AOQKEATHDXFDDf8@LPNLLUIU]O\S89GKKRXKHXN96J]IWG6?AgsiH20HlznA=2==>Bf|hK7==06;EcweD:69730HlznA=31:<=Ci}kJ0<=19:FbpdG;9=427Io{a@>21;?89GeqgF48=556Jnt`C?5=8>3MkmL2>9?:8@drfI5;556Jnt`C?658>3MkmL2=1?;8@drfI589245KaucB875912NjxlO325<:?AgsiH699374D`vbE941601OmyoN<35==>Bf|hK7>506;EcweD:51720HlznA=0==>Bf|hK7?=0m;EcweD:493:556Jnt`C?748?3MkmL2<>99GeqgF4=437Io{a@>6:==Ci}kJ0;07;EcweD:0611OmyoN<9<;?AgsiH62285KaucA<>Bf|hH7<374D`vbF977601OmyoM<03==>Bf|hH7=?06;EcweG:6;730HlznB=37:<=Ci}kI0<;19:FbpdD;9?427Io{aC>23;?89GeqgE483546Jnt`@?5;?89GeqgE4;;556Jnt`@?678>3MkmO2=3?;8@drfJ58?245KaucA873912NjxlL327<:?AgsiK69;374D`vbF94?601OmyoM<3;=<>Bf|hH7>374D`vbF9576k1OmyoM<2394;?>99GeqgE4:437Io{aC>7:==Ci}kI0807;EcweG:1611OmyoM<6<;?AgsiK63255KaucA8<8?3LR_I_@NL49EEIUB<2LJ_Y<4FE48BUSDDBh0J][LLJ]jjvr13OYIOAEj;GQAGIMXAG\T?Rai;GQAGIMXAG\T?Ra>8:DPFZG;8730J^LPA=33:<=A[KUJ027;?89EWGYF48?556H\B^C?538>3OYISL2>7?;8BVDXI5;3245ISC]B84?902LXNRO31?;8BVDXI58;245ISC]B877912LXNRO323<:?CUEWH69?374FR@\E943601M_OQN<37==>@TJVK7>;06;GQA[D:5?730J^LPA=0;:<=A[KUJ0?718:DPFZG;:730J^LPA=13:g=A[KUJ0>?50?;8BVDXI59:255ISC]B868?3OYISL2;>99EWGYF4<437K]M_@>5:==A[KUJ0:07;GQA[D:?611M_OQN<8<2e>@TJVKP?=;H31?L453@9>7DLZFF33?LDRNVNN@LWPV@NVAf=NJ\LT@BYPPVP7?LHN\:1BB[=4IOv0?LIE02CDNIKCAXc8MJUSIGNJ^Ik4KDGS[MOBZHXHDT84LNAHAA13FZ_E@GUEE68KPRs92Zh7] =0012445XD=1[M_Zn;QKM[GSTAMRi7]GA_CWPJDKB02ZG@RLNSD:8TJTSWFZJ46^@RU]LTGd1YM@MCK1a8VDKDDB:Tec}{7:PBIFJL9j1YM@MCK0]jjvrf3[OMSGG[TDP21>TB[L^TCCQCORQ\KCYKGJA87_][3:PWH==U\EU_U]K>;R`8WLAE]ZUBBKA;;RKDJd=TANUIY^GKXc9PMBYE]ZDJAH:4SMGTb>UH][INSKGCEOCNAa=TG\XHIRBFNDLA2>UU\PZN86]VNB68PWSB<2^R\H=4VBA:?SOB_V^R\H84W`psHF7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo18\vr>3QyK@akemg8gimajVh~jjQ`uu33?fjlnkUiykiPotv\j47klm;bnhbgYnj|ll==5lljda[lhXj|lTcxzj;bnhbgYhlmxTcxz7;bnhbgir|m1h`fhmotv\fp``l2iggkl`uu]l`at13jf`ec?n;bnhmk7Xj|l<7nbdotv3f>ekcf=Rlzf49fupgkl2ceyoacd]mehcc3yfgSoo|e^kmwq><}gybkckk119{g3ukp0'9!kzh244~DEv5>81KLu?:2;D96?7|[0:1=8?513495621=<784$06f>42?3tY387?:1;312?7497=;b918`420290:643L:?:4?:082>5}T190:9<4>27827102=<088o6<;%372?76j2.:>:4j;c373?6=:k0987:j{M37f?7|,88<6<89;|N20f<5s-;8j7;m;o374?723t.:894>469Y13<6sj0vV<=n:3y:>=0:>=5r$00:>c`7;314>{#9;31jk5a13:95>=nnj0;6A?;b;3x 470288;7p*>288eb>h6:10976gib;29H42e28q/=<951328y!7513lm7c?=8;18?l`f290G=9l51z&252<6:91v(<<6:gd8j44?2=10ek750;N20g<6s-;:;7?=0:'57?=no1e=?655:9jb=<72E;?n7?t$034>4473t.:>44if:l26=<132cm;7>5L06a>4}#98=1=?>4}%31=?`a3g;94794;hd5>5168265=z,8826kh4n00;>==4c82!76?3;9<6s+13;9bc=i9;21565ff583>I73j3;p(298b?>oa;3:1@<:m:0y'541=9;:0q)?=9;de?k7503h07dh>:18O51d=9r.:=:4>219~ 44>2ol0b<<7:b98mc6=83F:8o4>{%323?7582w/=?75fg9m57>=l21bik4?:M37f?7|,8;<6<54j;:kfa?6=D8>i60:>=5r$00:>c`4;hg`>5168265=z,8826kh4n00;>47<3`oi6=4C15`95~"69>0:>=5r$00:>c`5168265=z,8826kh4n00;>45<3`o26=4C15`95~"69>0:>=5r$00:>c`5168265=z,8826kh4n00;>43<3`;;;7>5L06a>4}#98=1=?>4}%31=?`a3g;947?9;:k243<72E;?n7?t$034>4473t.:>44if:l26=<6?21b==;50;N20g<6s-;:;7?=0:'57?=no1e=?651998m463290G=9l51z&252<6:91v(<<6:gd8j44?28307d??3;29H42e28q/=<951328y!7513lm7c?=8;3b?>o68;0;6A?;b;3x 470288;7p*>288eb>h6:10:n65f11394?J67;314>{#9;31jk5a13:95f=4c82!76?3;9<6s+13;9bc=i9;21=i54ig094?J67;314>{#9;31jk5a13:95`=4c82!76?3;9<6s+13;9bc=i9;21=k54i9f94?J67;314>{6=4C15`95~"69>0:>=5r;h::>5168265=z3`<26=4C15`95~"69>0:>=5r;h46>5168265=z3f;987>5L06a>6}#9821="6;l0n<6s4o9594?J68;32g>">13<0(<=j:d28y>ib:3:1@<:m:2y'54>=98i0(4756:&27`1b9'=<<13-;8i7k?;|9l564=83F:8o4<{%32`65L06a>6}#9821="6;l0n<6s4b7:94??=i3np@<:m:0yK54?0d;69h4}%370?003`<26=44i7794?=h>?0;66aj4;29?j>f2900c5950;9la7<722e:?=4?::m277<722h:8i4?:083>5}#9=>1=9;4H06:?j75=3:17pl>4`83>4<729q/=9:511c8L42>3f;;57>5;|`226<72=0j6iuC15`96~"?>3<;7E?>9:O51e=:r.:?k4:a:l205<582w/=9:55g9j2<<722c=97>5;ng7>5<84?::a51g=83;1<7>t$067>46f3A;?56a>0883>>{e97?;3:&25=<>=2wG=9m52z&27c<2k2d:8=4;f:'512=98:0e<>m:188k4462900qo?:8;296?6=8rF:8o4;{%7g><><,8;:6=5+1009515<,8;364;4}M37g?4|,89m68m4n063>010:k24g<722e:><4?::a532=83h1<7>tL06a>4d|,<91=?=4$91927=#0<0oi6*>348gb>"1;3?0(;m5d:&44?2<,>>186*88;68 2e=<2.3<7:4$8490>"1<3;0(;o57:&5`?5<,?o1=6*81;18 24=92.<97=4$6495>"01390(:o51:&4`?5<,>o1=6*71;18 =4=92.:?94=;|N20f<5s-;8j7;l;o374?1d3t.:894>2`9j24<722c=57>5;h302?6=3f<96=44o7`94?=h>o0;66a83;29?j102900c:l50;9l3c<722e:=l4?::a500=8381<7>tL06a>4}#1>0:8>5rL06`>7}#9:l19n5a15291f=z,8>?68;4i02a>5<4b81!74n3?h7c?;0;;1?x"6<=0>86g>0c83>>i6:80;66sm17394?4=83:p@<:l:3y'56`==j1e=9>5a59~ 4232<>0e<>m:188k4462900qo?:d;294473-;:?7>4$062>4253tF:8n4={%30b?3d3g;?<776;|&201<6:;1b954?::k6=?6=3`?n6=44i8094?=n1:0;66g>2g83>>o6;00;66a>2d83>>{e9<4?::p2<<72;qU:45214d955d6=4={_46?87203;;n6s|14g94?4|58?m6<<>;<350?0>3ty:9:4?:3y>50>=9;;01<;9:02a?xu6=k0;6?u2176927=:9{t9<91<7=t=07`>44634;>h7;7;<361?77j2wxi94?:3y]a1=:9?;1=??4}r360?6=:r7:9i4:9:?210<6:81v<;n:181[0134;>h7?=e:p54c=839p1<:k:006?872l3?n70?:d;;1?xu69o0;68u215c955?<58h7?=f:?21a<6;01vqo6n:180>5<7s-;?87k>;I37=>J6?29036=4?{M37f?5|,0>1955+10194>"6<80>>6sC15a96~"6;o0>m6`>4181=>{#9=>1=?<4i4:94?=n=00;66g:e;29?l?52900e4=50;9j57`=831b=>750;9l57c=831vnh=50;:94?6|D8>i6>u+9586<>"69:0;7)?;1;71?xJ6458267=n=10;66g:9;29?l3b2900e4<50;9j=6<722c:>k4?::k27<<722e:>h4?::a567=8321<7>tL06a>6}#1=0>46*>1283?!7393?97pB>4b81!74n3?j7c?;0;16?x"6<=0:>?5f5983>>o213:17d;j:188m<4=831b5>4?::k26c<722c:?44?::m26`<722wi=>=50;:94?6|D8>i6>u+9586<>"69:0;7)?;1;71?xJ6458267=n=10;66g:9;29?l3b2900e4<50;9j=6<722c:>k4?::k27<<722e:>h4?::aa3<72;0;6=u+1569542<@8>27d??b;29?j7593:17pl7c;296?6=8r.:894>159K51?5<495:pa1<721qUi9521719a1=:0h0>;6378;7;?8c42<201<=>:4:894542<201h8511`8yv012909wS89;<357?013ty3;7>52z\;3>;?03;9i6s|e483>0}:010>563j3;7:?87493?270?<3;7:?8c1288:7p}j2;296~Xb:27n?7?=e:p566=838pR<=?;<305?75m2wx=><50;0xZ45534;8?7?=e:p63j3;;0?8>d28:i7p}7b;296~;?i33:706l:002?xu69l0;65u215f9573<51219h52898:7>;b;3?n70?<1;7f?874933870?<3;7f?874;3387p}>1g83>f}:9=k1==74=9:9=7=:010:>k5289827<=:m:0:>k52e2827<=:9:;15?52123957`<589:6<=6;<307??534;8?7?=f:?276<6;01vqo?=4;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<909:6sf5683>>o>93:17b?=e;29?xd4:3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl<3;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd4m3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;9;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd3k3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;d;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd3m3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;f;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd283:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl:1;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd4<3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl<5;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd4>3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl<7;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd403:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl<9;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd4i3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17plv*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd4k3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17plv*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd4n3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;0;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd393:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;2;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd3;3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;4;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd3=3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;6;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd3?3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;8;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd3i3:1?7>50z&201n6`>4186e>{n=>0;66g61;29?j75m3:17pl;b;297?6=8r.:894j1:J20<=K9=i1>v*>3g86f>h6<90>m6sf5683>>o>93:17b?=e;29?xd69m0;69?50;2xH42d2>q/=?l59`9'57e=jj1/=?j5f:&27=<6>;1/=>l51778 45a22982a>=nj80;6)?=9;`0?k7503;o76gm5;29 44>2k90b<<7:0a8?ld1290/=?75b29m57>=9k10eo950;&26<54>a:9jf=<72-;957l<;o3132ci57>5$00:>g55<#9;31n>5a13:952=288a7>h6:10::65f9b83>!7513h87c?=8;36?>o>l3:1(<<6:c18j44?28>07d7j:18'57?=j:1e=?651298m<`=83.:>44m3:l26=<6:21bm=4?:%31=?d43g;947?>;:kb5?6=,8826o=4n00;>46<3`k96=4+13;9f6=i9;21j65fa283>!7513h87c?=8;g8?lg3290/=?75b29m57>=l21bm84?:%31=?d43g;947m4;hc4>5<#9;31n>5a13:9f>=ni10;6)?=9;`0?k7503k07do6:18'57?=j:1e=?659:9jed<72-;957l<;o31<3`ki6=4+13;9f6=i9;21;65fab83>!7513h87c?=8;48?lgc290/=?75b29m57>==21bmh4?:%31=?d43g;947:4;hce>5<#9;31n>5a13:97>=nj90;6)?=9;`0?k7503807dl=:18'57?=j:1e=?651:9jf1<72-;957l<;o312982a>=nl:0;6)?=9;f6?k7503;o76gk7;29 44>2m?0b<<7:0a8?lb?290/=?75d49m57>=9k10ei750;&26<54>a:9j`d<72-;957j:;o3132con7>5$00:>a35<#9;31h85a13:952=288g1>h6:10::65fbd83>!7513n>7c?=8;36?>oen3:1(<<6:e78j44?28>07dm?:18'57?=l<1e=?651298mf7=83.:>44k5:l26=<6:21bo?4?:%31=?b23g;947?>;:k`7?6=,8826i;4n00;>46<3`i?6=4+13;9`0=i9;21j65fc483>!7513n>7c?=8;g8?le1290/=?75d49m57>=l21bo:4?:%31=?b23g;947m4;ha:>5<#9;31h85a13:9f>=nkh0;6)?=9;f6?k7503k07dmm:18'57?=l<1e=?659:9jgf<72-;957j:;o31<3`io6=4+13;9`0=i9;21;65fcd83>!7513n>7c?=8;48?lea290/=?75d49m57>==21bh=4?:%31=?b23g;947:4;hf2>5<#9;31h85a13:97>=nl;0;6)?=9;f6?k7503807dj;:18'57?=l<1e=?651:9j`3<72-;957j:;o313`;;o7>5;h33`?6=3`;;i7>5;h33b?6=3f;9=7>5;|q245<72:qU==>4=5`9=4=:98n1n95C15`95~"6910:=n5r$01g>c`54b=j;1G=9l51z&25=<69j1v(<=k:gd8j45d281vkm50;1xZce<5=215<5210f9f5=K9=h1=v*>19825f=z,89o6kh4n01`>7=z{oh1<7=t^g`8911=1816=1b9~ 45c2ol0b<=l:29~wcg=839pRko4=549=4=:98n1mh5C15`95~"6910:=n5r$01g>c`54b=im1G=9l51z&25=<69j1v(<=k:gd8j45d2<1vk650;1xZc><5=>15<5210f9ef=K9=h1=v*>19825f=z,89o6kh4n01`>3=z{o=1<7=t^g58915=1816=1b9~ 45c2ol0b<=l:69~wc0=839pRk84=509=4=:98n1ml5C15`95~"6910:=n5r$01g>c`54b=i01G=9l51z&25=<69j1v(<=k:gd8j45d201vk:50;1xZc2<5=:15<5210f9e==K9=h1=v*>19825f=z,89o6kh4n01`>d=z{o91<7=t^g1896`=1816=1b9~ 45c2ol0b<=l:c9~wc7=839pRk?4=2f9=4=:98n1m85C15`95~"6910:=n5r$01g>c`m5909>54b=i=1G=9l51z&25=<69j1v(<=k:gd8j45d2m1vhh50;1xZ``<5:h15<5210f9e6=K9=h1=v*>19825f=z,89o6kh4n01`>`=z{lo1<7=t^dg896g=1816=1b9~ 45c2ol0b<=l:g9~w`b=839pRhj4=2;9=4=:98n1m<5C15`95~"6910:=n5r$01g>c`4}rg`>5<4sWoh70=7:838947c2h:0@<:m:0y'54>=98i0q)?;<32`??a3E;?n7?t$03;>47d3t.:?i4if:l27f<6:2wxil4?:2y]ad=:;?02=63>1e8:a>J68;32g>{#9:n1jk5a12a956=z{l31<7=t^d;8963=1816=1b9~ 45c2ol0b<=l:068yvc?2908wSk7;<17><7<58;o64m4L06a>4}#9821=c`;69m0im6B>4c82!7603;:o6s+12f9bc=i9:i1=:5rs026>5<4sW;;963;f;;2?876l3h27A?;b;3x 47?28;h7p*>3e8eb>h6;j0:46s|11694?5|V8:?70:j:838947c2k20@<:m:0y'54>=98i0q)?0283>6}Y999019j5909>54b=j>1G=9l51z&25=<69j1v(<=k:gd8j45d28k0q~??2;297~X68;168n461:?25a2F:8o4>{%32j5fg9m56e=9k1v<>>:180[77927?577>;<32`?d23E;?n7?t$03;>47d3t.:?i4if:l27f<6k2wxji4?:2y]ba=:;l02=63>1e8a5>J68;32g>{#9:n1jk5a12a95a=z{o81<7=t^g08965=1816=1b9~ 45c2ol0b<=l:0g8yvc02908wSk8;<11><7<58;o64l4L06a>4}#9821=J68;32g>{z{8;>6=4={_321>;?n3;;j6B>4c82!7603;:o6srs9;94?4|V13015h511f8H42e28q/=<6510a8yxu113:1>vP99:?53E;?n7?t$03;>47d3twx:84?:3y]20=:>10=96B>4c82!7603;:o6srs3c94?4|5=h1=?k4=03g>a0<,89o6?74n01`>5=z{;21<77?a4<,89o6?74n01`>7=z{;?1<77?5rs3694?4|5=<1=?k4=03g>a6<,89o6?74n01`>1=z{;91<77?1=?k4=03g>fc<,89o6?74n01`>3=z{;;1<77?fe<,89o6?74n01`>==z{8l1<77?fg<,89o6?74n01`>d=z{8n1<77?f1<,89o6?74n01`>f=z{8k1<77?f3<,89o6?74n01`>`=z{821<77?f5<,89o6?74n01`>4644b34;:h7m=;%30`?4>3g;8o7?>;|q21?6=:r78;7?=e:?25a73<6:l16=m5129~w45=838p1>;513g8947c2kl0(<=k:3;8j45d28>0q~?=:181853288n70?>d;`f?!74l3827c?v3:1;31a>;69m0oh6*>3e81=>h6;j0::6s|3183>7}:=90:>h5210f9`f=#9:n1>45a12a952=z{;l1<77?5<5s4>n6<3ty9h7>52z?7`?75m27:=i4k9:&27a<512d:?n4>a:p6f<72;q68n4>2d9>54b=l11/=>j5289m56e=9k1v?l50;0x91?=9;o01c;296~;4;3;9i63>1e8`<>"6;m0956`>3b82a>{t980;6?u233826`=:98n1ni5+12f96<=i9:i1=k5rs02;>5<5s4;:h7h4=9d955c;|q52?6=:rT=:6398;45?xub<3:1>?u2698f0>;6:=0>;63<2;74?8542<=01>k5569>0<<2?27?o7;8;<6g>01<5=o19:524g863>;283?<70;>:458962==>16?84:7:?02?30349<6894=2:912=:;00>;63m5569>7a<2?278j7;8;<63>01<5=;19:5243863>;3;3?<70:;:458913==>168;4:7:?73?3034>36894=5c912=:;6s|8683>7}Y0>16:5477:pa7<72;qUi?52698f6>{t9::1<73383>7}Y9:801;651208yv75<3:1>vP>259>572=9;o0@<:m:3y'540=lo1/5449;|~yx{GHJq9:<492425=36zHIHp?01:84+6689:;46>!5123457<9;1:8<:4208JJUSS2MkmL2=0;2=51=593CE\XZ5D`vbF947294:<6<=:HLSQQ?4a0100877=8780>9k4268EWGYF4;21>3?=;359MKVR\3XOM1<7:3<26>402@D[YY4XR@>1CUEWZCQ0<4?>8904+6789:;i6==:GQA[A:493:5=95<2;KMTPR=NZHTM1=>:1<26>552@D[YY4XRE>05?699:18>7GAPTV9`lhf4:;1<3?:;209KPRW]]0M_OQK<2394;743:81CXZ_UU8Q@A:493:5=85<2;MVPUSS2me~xo2<1;2=g>552[NO0>?50?33?16D@_UU8gtwg;<3:5h68|ly;epb42>$8:>695IORVP?gcl{k7:7>11394>LHW]]0jhi|m<783:4=?;2KNN95NNE06?DU^FJ30NL@KWHFWL1=E]OM37O[IIN0EF==E]ZUBBKA>;B08G54YStm{yWHbiSDnavi`hs{UMbxE{m\Vcqt(JDTozlmQ^}eggwgmDrn'|8?6M5\TqfvvZCgnVOcn{beovpXBos@|hW[l|-MA_buafT^ky|AM!v2`8G?ZR{lxxPIah\Em`qhci|zVLeyFzb]Ubvu+KKUl{klRfpbmqaZbnzUZG@#xm;BCSEFOSIGIN>6MJ3:AOO2=DDBLIEC64CMIEFJSSl2IGGKL@UU]NQIRb3JF@JOAZT^RKEVC?3JF@JOQFN89@HN@EWF__96MCKHL`?FJLAGU[I_G@D69@HNIR\9o0OAE@UU2\@LPNLL;97NBDOTV3[ASSQVIROAKk;BNHKPR7WYBJ_H94CMILQQ7b3JF@CXZ>_EKUMAC6:2IGGB[[1^FVP\YDQJFNh6MCKNWW5ZVOIZO<7NBDOTV1a>EKCF__>RJFVHFF57=DDBE^X?QKUU[\G\EKMm1H@FAZT3]SLDUB?2IGGB[[3d9@HNIR\:UOE[GKE008GIMH]]9THXZV_B[@H@b6MCKNWW1ZBR\PUHUNBJd:AOOJSS=VZCM^Kl;BNH[CCBLKKHG>5LLS;8GJKJA]^NHn5LOLRFJVGSAFD:86M@RD]DAKCUI]CDBRGAFN48GUSDDBh0O][LLJ]jjvr03JZ^OAE}119@TPEKC{UBB[Q>5^m25>EW]JF@~RGAV^36[j7a3JZ^OAE}_HLU[7Yh991H\XMCKs]JJSY5Wf;<7N^Z_oCPe>EW]VdXXH\Bb:ASQZhT\LXF=:5LRDCWAA0Bf|hK7=;06;EcweD:6?730HlznA=3;:<=Ci}kJ0<718:FbpdG;97h0HlznA=03>58>3MkmL2=0?:8@drfI58546Jnt`C?7;>Bf|hK7;364D`vbE9>902NjxlO39?78@drfJ11OmyoM<1<:?AgsiK6:<374D`vbF976601OmyoM<00==>Bf|hH7=>06;EcweG:6<730HlznB=36:<=Ci}kI0<819:FbpdD;9>427Io{aC>2<;?99GeqgE484i7Io{aC>14?6912NjxlL321<;?AgsiK69255KaucA868?3MkmO2;>99GeqgE4<437Io{aC>5:==Ci}kI0:07;EcweG:?611OmyoM<8<;?@^SM[DJ@85IAMQF0>@F[]80JI84FQW@HNd89EWGYF48;556H\B^C?578>3OYISL2>3?;8BVDXI5;?245ISC]B843912LXNRO317<:?CUEWH6:;374FR@\E97?601M_OQN<0;=<>@TJVK7=374FR@\E947601M_OQN<33==>@TJVK7>?06;GQA[D:5;730J^LPA=07:<=A[KUJ0?;19:DPFZG;:?427K]M_@>13;d611M_OQN<3<:?CUEWH68<3l4FR@\E95629427K]M_@>05;>@TJVK7:364FR@\E91902LXNRO38?:8BVDXI53546H\B^F?4;?89EWGYC48;556H\B^F?578>3OYISI2>3?;8BVDXL5;?245ISC]G843912LXNRJ317<:?CUEWM6:;374FR@\@97?601M_OQK<0;=<>@TJVN7=374FR@\@947601M_OQK<33==>@TJVN7>?06;GQA[A:5;730J^LPD=07:<=A[KUO0?;19:DPFZB;:?427K]M_E>13;?89EWGYC4;3546H\B^F?6;?c9EWGYC4:;1<374FR@\@956611M_OQK<2<;?CUEWM6?255ISC]G808?3OYISI29>99EWGYC4>437K]M_E>;:==A[KUO040n;GQA[VO]494h7K]M_RKY84<76h1M_OQ\I[>2:4=@;2MEH95GIFJ2?L4JHKBOO;6B@GHABH==K^JGD_>=:;Lcwgm403Dida}o}_SFWeb]58TUYHLZngZ03YZcv}hfT9Ra>2:L36>H6991EK_QBESEF[KCBLLN?7CAAE09L1>ICL[8:7]m4P/0356779:UG86^NRUc8TLHXJ\YBHUl4PHL\FPUIIDO87]BC1d`8TIJ+keaT{dtPv2]5(~eheyeeiczPn`of9UJK$|ynS}fnsd]bhlaield1y|ze-wpaZknfVeTfdz{es?wvpc+}zoTalvPi^hjpqcu5okgh"zsd]g}kYw`hynS~fffu?eeiub$|ynSd`llj]pslrd`f|n~0hnlrg/qvcXlpdT~hm`ngkd9cgk{l&mihjmabi\wjsukl7MHOEPATVL[J@AKAC[ }|fmu{saZubd4ZG@RLNSD.mqhYh}}Uh`f3>,bnhmk7Xxlxbci3<0/234)ekc`d9S}k}inf>75(789&~hQovgq[aipf4lj`~k#urg\v`ub|4lj`~k#urg\hjelmm7~xk#urg\mkekcVyc}~jdbdjtm%xiRmck^tbhlb:nhfxi!hjee`bgnYsqyo6_D@HLD.`hjelWdmySywe>69Q@D:6:7=0^IO312<4?WBF48>5;6\KA=36:2=ULH6::394REC?52803[NJ0<617:PGE97>6>1YHL2=0?58VAG;:84<7_JN<30=3>TCI5882:5]D@>10;1808;SFB8709?2XOM1<8>89Q@D:50385;6\KA=0;:3=ULH692;5]D@>0:3=ULH6?2;5]D@>6:3=ULH6=2;5]D@>4:3=ULH632;5]D@>::3=ULM6;2:5]DE>24;169Q@A:6<7=0^IJ314<4?WBC48<5;6\KD=34:2=ULM6:4394REF?5<813[NO0<08;SFG8769?2XOH1<>>69Q@A:5:7=0^IJ322<4?WBC4;>5;6\KD=06:2=ULM69:394REF?62803[NO0?617:PG@94>6?1YHI2=>69Q@A:48730^IJ33083:2=ULM68=384REF?7;0R_dsveiY2Wfk0^HHPJHVWAW723[OXIYQ@N^NLWVYHNVFDOF=4RRV0?WRK02X_@RZVPD38Wg=TANH^_RGAFN68WLAIi2YBKRLZSHF[f>UNOVH^_COBE59PH@Q33ZFD[k5\OTP@AZ@NDLDJAHj4SNWQG@YKAGOEN;5\RU[SA1=TQGI?7Y\ZE59W]UC43_IH56XFEV]W]UC53^X<7Z\N<02=3>QUI5;:2:5XR@>26;1<_[K7=>08;VPB8429?2]YM1?:>69TVD:6>7=0[_O316<4?RTF4825;6Y]A=3::2=PZH69<394WSC?64803^XJ0?<17:UQE9446>1\^L2=4?58SWG;:<4<7Z\N<34=3>QUI58<245XR@>179TVD:56?1\^L2<>79TVD:36?1\^L2:>79TVD:16?1\^L28>79TVD:?6?1\^L26>79TVA:76>1\^I2>0?58SWB;984<7Z\K<00=3>QUL5;82:5XRE>20;1<_[N7=808;VPG8409?2]YH1?8>69TVA:607=0[_J318<5?RTC484<7Z\K<32=3>QUL58:2:5XRE>16;1<_[N7>>08;VPG8729?2]YH1<:>69TVA:5>7=0[_J326<4?RTC4;25;6Y]D=0::3=PZM692:5XRE>04;?<_[N7?<4?>69TVA:497<0[_J33?48SWB;<7<0[_J35?48SWB;>7<0[_J37?48SWB;07<0[_J39?48SWK;87<0[_C31?48SWK;:720[_C33;2=2>QUE595:6YnrqN@<>Qfq9Njxl94W`{3Pda6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO13QY_@DL8;YQW[BHC9l1S_YQFMQNFI@HSI]CDBRM@NRVQELHS[8:0T^ZPRUN\TWIWM[^R;6V\T^T@Gg=_WJEYIRGAFN48\adXAm;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa3:Zpp<=_{}MFcikc4:c?4;22k787>14:c?0;>18:cg`wg;9720mij}a=0=<>gcl{k7?364aefqe92902koho35?c8eabui5<1<364aefqe90902kohl30?:8eabuj5;546okds`?6;>gcl{h7:7>18:cg`wd;>780oj=4cmi7?fjli=1h`flj;bnhbgYe}omTcxz>0:aoocdXj|llSb{{_o32?fjlnkUiykiPotv\j4cekc`d:m6mckhl2[gsa?2iggb{{0c9`hnir|8Uiyk:4d=2=1>b;994>7i2>1?78`9756<1o0<=15:f?51823m6:93;4d=35:0=c48=596j319<6?a:617>0h1?15:f?65803m69=7>15:f?64833m69295k<2<7?a:36=1o080;;e>5:1=c4>4?7i27>59g8<803mcem1>18:fjjd:68720hd`n<03=<>bnfh6:>364dhlb845902nbbl2>4?:8`lhf48?546jfn`>22;>bnfh6:255kioc?658?3mcem1<>>99gmkg;:;437igaa=00:==cagk7>907;ekme942611oeco327<;?aoii58<255kioc?6=8?3mcem1<6>69gmkg;:720hd`n<22=e>bnfh68=7>18:fjjd:497=0hd`n<2<4?aoii5>5;6jfn`>6:2=cagk7:394dhlb82803mcem1617:fjjd:>6;n0hd}{_lgn[bciW=T>!.Wimkm|%EHZL*Lick}aumq$42)?11ocxzm<1<:?air|k6:<374dnwwf976601ocxzm<00==>bh}}h7=>06;emvpg:6<730hb{{b=36:<=cg|~i0<819:flqqd;9>427iaztc>2<;?99gkpre48427iaztc>14;?>89gkpre4;8556j`uu`?668>3me~xo2=4?;8`jssj58>245kotva870912ndyyl326<:?air|k694374dnwwf94>611ocxzm<3<:?air|k68<3l4dnwwf95629427iaztc>05;>bh}}h7:364dnwwf91902ndyyl38?:8`jssj535:6jo=2=3>bwg5;;2:5kpn>25;169gtj:6=7=0h}a317<4?avh48=5;6jo=3;:2=cxf6:5384dqm?5;1=06;erl877=87=0h}a320<5?avh4;4=7i~`<2<5?avh4=4=7i~`<4<5?avh4?4=7i~`<6<5?avh414=7i~`<8<4?avui5:5;6jr`>2:2=cx{k7>394dqpb868>3mzym1:50?58`utf4=487h`n3:gmf0=by|kg96b=psg;?k67::6;245a0100846912d;374n1217974601e<=<<<06==>h78;97=806;o2366:6>730b=>=3=34:<=i89880<619:l3475;90437c>?22>2:<=i89880?>1b:l3475;:80;245a0100877902d;720b=>=3=5=<>h78;974364n12179?9;2zg`i5lm]aevcXagy:6}o=2=3>uwg5;;2:5|pn>25;1<{ye7=?08;rrl8459?2y{c1?;>69ptj:6=7=0}a317<4?vvh48=5;6}o=3;:2=txf6:5384sqm?5;1<{ye7>=06;rrl877=87=0}a320<5?vvh4;4=7~~`<2<5?vvh4=4=7~~`<4<5?vvh4?4=7~~`<6<5?vvh414=7~~`<8<;?phtandnh?5xe79tad:76?1|il2>>79tad:5611|il2<:1<5?rcf4:4:<6vl6rn{=(4*n}m99;sO@q1;7>FGp8hm6K4=:0yP21<6jl0:<54>354612<5n=n2wc?mc;38j4dc2?1/=ol51c68yV3028hn6<>7:01720302;l?h45k1c394?7=9rY=87?me;33;72;:0n:187f?5=<;|&22g<6j81Q>l4<{07957<603wQ=;>52zf951b682!`028:?7p*>0c8ab>h68h0;76gme;29H4d028q/j:4>059~ 46e2kl0b<>n:098mge=83F:n:4>{%d4>4633t.:5L0`4>4}#n>0:<95r$02a>g`54ic;94?J6j>0:w)h8:027?x"68k0ij6`>0`87?>oe03:1@m:cd8j46f2<10eo950;N2f2<6s-l<6<>;;|&24g2=b682!`028:?7p*>0c8ab>h68h0376gm4;29H4d028q/j:4>059~ 46e2kl0b<>n:898mg5=83F:n:4>{%d4>4633t.:7>5L0`4>4}#n>0:<95r$02a>g`0:w)h8:027?x"68k0ij6`>0`8`?>ofn3:1@m:cd8j46f2m10elk50;N2f2<6s-l<6<>;;|&24gc=b682!`028:?7p*>0c8ab>h68h0:<65fac83>I7e?3;p(k951168y!77j3hm7c??a;32?>ofi3:1@m:cd8j46f28807do6:18O5g1=9r.m;7??4:'55d=jo1e==o51298md>=83F:n:4>{%d4>4633t.:40<3`i=6=4C1c595~"a?3;;86s+11`9fc=i99k1=:54ib794?J6j>0:w)h8:027?x"68k0ij6`>0`82<>=nk=0;6A?m7;3x c1=99>0q)??b;`e?k77i3;276gl3;29H4d028q/j:4>059~ 46e2kl0b<>n:0c8?le5290G=o951z&e3?77<2w/==l5bg9m55g=9k10en?50;N2f2<6s-l<6<>;;|&24gc:9jfa<72E;i;7?t$g595525L0`4>4}#n>0:<95r$02a>g`5{#99h1nk5a11c95c=b682!`028:?7p*>0c8fe>h68h0;76gj9;29H4d028q/j:4>059~ 46e2lk0b<>n:098m37=83F:n:4>{%d4>4633t1b?o4?:M3a3?7|,o=1==:4}:k0=?6=D8h<67p*>0c8f4>h68h0;76akf;29H4d02:q/j54ie:&5a?443-;=87?95:'55d=m91e==o51:9l`a<72E;i;7=t$g:9b`=#>l09?6*>658220=z,8:i6h>4n02b>7=b680!`?2oo0(;k5229'532=9??0q)??b;g3?k77i3907bjm:18O5g1=;r.m47hj;%4f>75<,8u+f98ea>"1m3887)?94;351>{#99h1i=5a11c91>=hl00;6A?m7;1x c>=nl1/:h4=3:&221<6><1v(<>m:d28j46f2?10ci650;N2f2<4s-l36kk4$7g966=#9?>1=;;4}%33f?c73g;;m794;nf4>50`8;?>ic>3:1@"6>=0::85r$02a>`608w)h7:gg8 3c=::1/=;:51778y!77j3o;7c??a;`8?jb5290G=o953z&e4023t.:5L0`4>6}#n10mi6*9e;00?!71<3;=96s+11`9a5=i99k1h65`d183>I7e?39p(k65fd9'2`<5;2.::94>649~ 46e2l:0b<>n:d98kf`=83F:n:4<{%d;>cc<,?o1>>5+17695337p*>0c8f4>h68h0:<65`ce83>I7e?39p(k65fd9'2`<5;2.::94>649~ 46e2l:0b<>n:038?jed290G=o953z&e4023t.:"6>=0::85r$02a>`650`820>=hk00;6A?m7;1x c>=nl1/:h4=3:&221<6><1v(<>m:d28j46f28?07bk7:18O5g1=;r.m47hj;%4f>75<,86:9la2<72E;i;7=t$g:9b`=#>l09?6*>658220=z,8:i6h>4n02b>41<3fo=6=4C1c597~"a03ln7)8j:318 40328<>7p*>0c8f4>h68h0:465`e483>I7e?39p(k65fd9'2`<5;2.::94>649~ 46e2l:0b<>n:0;8?jc3290G=o953z&e4023t.:4?:M3a3?5|,o21jh5+6d817>"6>=0::85r$02a>`650`82g>=hll0;6A?m7;1x c>=nl1/:h4=3:&221<6><1v(<>m:d28j46f28n07bj<:18O5g1=;r.m47hj;%4f>75<,8e:9lg=<72E;i;7=t$g:9b`=#>l09?6*>658220=z,8:i6h>4n02b>4`<3f<96=4C1c597~"a03ln7)8j:b9'532=1o1v7b;n:18O5g1=;r.m47hj;%4f>f=#9?>15k5r;nc2>53:1@<`I7e?39p(k65fd9'2`4}On01v@l50;9j7<<722e8m7>5;nc0>5<>if93:17b?>6;29?j7603:17o?m9;295?6=8r.::o4>6b9K5g36c8f`>N6j<1din4?::a62d=83?1m7ktL0`4>7}#=00:750;9le6<722e8m7>5;nd`>5<:183!71j3;=o6F>b49l551=831vn5<7s-;=n7kk;I3a1>ibk3:17pl>e783>7<729qG=o954z&06?0c3-l86=5+f5822d=#n10=m6sC1c:96~"6>?08=6`>66865>{#9?h1j?5feg83>>i68<0;66sm1ed94?4=83:p@4$g6953g<,o21:l5rL0`;>7}#9?<1?<5a17591<=z,85l2.:9o46e:&03?763-9n685+4387?!212=1/8l4;;%6f>1=#=;0?7)8m:59'7=<63-9h6<=4$2d97>"383;0(9=53:&70?7<,==1?6*;8;38 1d=;2.?o7?4$5d97>"283;0(8=53:&60?7<,8?j6?5rL0`;>7}#9?<1?<5a17593a=z,8j;h16>5<5;n72>5<5<5290;wA?m7;3x 3e=9?k0qA?m8;0x 4012:;0b<88:4g8y!71j3827dki:188k4622900qo?j3;296?6=8rF:n54={%352?563g;=;77;;|&22g<502cnj7>5;n331?6=3th:i54?:383>5}K9k21>v*>67805>h6>>0j:6s+17`96==nmo0;66a>0483>>{e9l>1<7650;2xH4d02:q/:44>059'b0<73-;=47?99:O5g>=:r.::;4<1:l222<>j2w/=;l51148m7e=831b>i4?::k07?6=3`<<6=44i7:94?=n98?1<75f14d94?=h98>1<75rb0f`>5<5290;w)?9b;d5?M7e=2cnj7>5;n331?6=3ty8n7>52z\0f>;6m?0nj6s|3883>7}Y;016=ih5eg9~w4c22909w0?j6;331>;5?j08n6s|1eg94?4|58nm6<>:;<3g`?ca3ty:i?4?:3y>62e=;?16=h=5eg9~w4c02909w0<8c;1g?87b03om7p}ic;296~Xak279;n4ic:p5`6=838p1?9l:27894bc28:>7p}>d`83>6}:9l91==;4=0g7>7e<58nh6hh4}rc0>5<5sWk870?j8;331>{t9mh1<77b<58nh6<>:;|q2a4<72;qU?l521d6954253z?2f<<68>16=h:5329>5`2=>>1v<>=:18687e>3oh70<8c;36g>;6m=0=463>e58250=:9l>1=8h4}|`6g?6=;3:16c8b4>N6j<1G=o652z&223<5m2d:::4>a:j6g<722c=:7>5;n320?6=3th>n7>58;294~J6j>08w)86:3a8 c3=82.::54=6:O5g>=:r.::;4=e:l222<512w/=;l51148m7e=831b>i4?::k07?6=3`<<6=44i7:94?=n98?1<75f14d94?=h98>1<75rb`094?>=83:p@4$04;>707c2c9o7>5;h0g>5<>o103:17d?>5;29?l72n3:17b?>4;29?xd69>0;654?:1yO5g1=;r.=575=#9?21>;5rL0`;>7}#9?<1>h5a175970=z,89;h0`>5<>o1?3:17d87:188m4722900e<;i:188k4732900qo?>9;297e<,o?1<6*>69812>{K9k21>v*>6781a>h6>>08n6s+17`9550>o4;3:17d88:188m3>=831b=<;50;9j50`=831d=<:50;9~fd3=8381<7>t$04a>c0<@8h>7dki:188k4622900qo8?:181>5<7s-;=n7h9;I3a1>obn3:17b??5;29?xu4j3:1>vPh1?45rs`194?>|Vh901?9m:`1890e=:k169o4=c:?b6?4d34;:;76hh4}r1b>5<5sW9j70<8b;1b?xu2i3:1>vP:a:?6f?76<2wxm94?:4y>1g<5l27j>74623tyj=7>52z\b5>;f:3;:86s|10494?4|V8;=70?>7;320>{t9821<70}Y=j169n4>159>e7<1?27j>787;<43>``30<5;183;;96s|11394?1|58h26<>8;<7a>65<5h81?>52105976=:98=1:55210;976=:9831:55rs021>547234?i6<;i;47234k96<;i;<323?0034;:;7?>5:?252<6=o16=<75669>54?=98?010:wEh6;|N2f=<5s-;=:7=?;o353?063t.::o4ia:X1e?3|m3l1=;4>c;3:>x\6>908w<>5b;3a>xo6:m0;6)??b;31g>h68h0;76g>2c83>!77j3;9o6`>0`82?>o6:h0;6)??b;31g>h68h0976g>2883>!77j3;9o6`>0`80?>o6:10;6)??b;31g>h68h0?76g>2683>!77j3;9o6`>0`86?>o6:?0;6)??b;31g>h68h0=76g>2483>!77j3;9o6`>0`84?>o6:=0;6)??b;31g>h68h0376g>2283>!77j3;9o6`>0`8:?>o6:;0;6)??b;31g>h68h0j76g>2083>!77j3;9o6`>0`8a?>o6:90;6)??b;31g>h68h0h76g>1g83>!77j3;9o6`>0`8g?>o69l0;6)??b;31g>h68h0n76g>1e83>!77j3;9o6`>0`8e?>o69j0;6)??b;31g>h68h0:<65f10`94?"68k0:>n5a11c954=4:9j565=83.:2b9m55g=9<10e<==:18'55d=9;i0b<>n:048?l7493:1(<>m:00`?k77i3;<76g>3183>!77j3;9o6`>0`82<>=n9;l1<7*>0c826f=i99k1=454i00f>5<#99h1=?m4n02b>4g<3`;3>7>5$02a>4>63g;;m7>4;h3;4?6=,8:i6<6>;o33e?7<3`;5$02a>4>63g;;m7<4;h34a?6=,8:i6<6>;o33e?5<3`;5$02a>4>63g;;m7:4;h34g?6=,8:i6<6>;o33e?3<3`;5$02a>4>63g;;m784;h34e?6=,8:i6<6>;o33e?1<3`;<57>5$02a>4>63g;;m764;h34;o33e??<3`;<;7>5$02a>4>63g;;m7o4;h342?6=,8:i6<6>;o33e?d<3`;<97>5$02a>4>63g;;m7m4;h340?6=,8:i6<6>;o33e?b<3`;5$02a>4>63g;;m7k4;h346?6=,8:i6<6>;o33e?`<3`;<=7>5$02a>4>63g;;m7??;:k235<72-;;n7?71:l24d<6921b=;h50;&24g<6081e==o51398m4>f290/==l51938j46f28907d?79;29 46e282:7c??a;37?>o6010;6)??b;3;5>h68h0:965f19594?"68k0:4<5a11c953=;o33e?7?32c:494?:%33f?7?92d:9:9j5=5=83.:809m55g=9h10en:198m4g5290/==l51`18j46f2810en:398m4?a290/==l51`18j46f2:10e<7j:18'55d=9h90b<>n:598m4?c290/==l51`18j46f2<10e<7l:18'55d=9h90b<>n:798m4?e290/==l51`18j46f2>10e<7n:18'55d=9h90b<>n:998m4?>290/==l51`18j46f2010e<77:18'55d=9h90b<>n:`98m4?0290/==l51`18j46f2k10e<7::18'55d=9h90b<>n:b98m4?3290/==l51`18j46f2m10e<7<:18'55d=9h90b<>n:d98m4?5290/==l51`18j46f2o10e<7>:18'55d=9h90b<>n:028?l7>83:1(<>m:0c0?k77i3;:76g>8g83>!77j3;j?6`>0`826>=n91o1<7*>0c82e6=i99k1=>54i0:g>5<#99h1=l=4n02b>42<3`;3o7>5$02a>4g43g;;m7?:;:k2eg<72-;;n7?n3:l24d<6>21b=lo50;&24g<6i:1e==o51698m4g>290/==l51`18j46f28207d?n8;29 46e28k87c??a;3:?>o6i>0;6)??b;3b7>h68h0:m65f1`494?"68k0:m>5a11c95g=6=4+11`95d5e:9j5=d=83.:a29m55g=9o10en:198m4gb290/==l51`d8j46f2810en:398m4gd290/==l51`d8j46f2:10e>:50;9j1f<722c::h4?::k62?6=3f;?j7>5$02a>42b3g;;m7>4;n37`?6=,8:i6<:j;o33e?7<3f;?n7>5$02a>42b3g;;m7<4;n37e?6=,8:i6<:j;o33e?5<3f;?57>5$02a>42b3g;;m7:4;n375$02a>42b3g;;m784;n372?6=,8:i6<:j;o33e?1<3f;?97>5$02a>42b3g;;m764;n370?6=,8:i6<:j;o33e??<3f;??7>5$02a>42b3g;;m7o4;n376?6=,8:i6<:j;o33e?d<3f;?<7>5$02a>42b3g;;m7m4;n30b?6=,8:i6<:j;o33e?b<3f;8i7>5$02a>42b3g;;m7k4;n30`?6=,8:i6<:j;o33e?`<3f;8o7>5$02a>42b3g;;m7??;:m27g<72-;;n7?;e:l24d<6921d=>o50;&24g<6290/==l515g8j46f28907b?<8;29 46e28>n7c??a;37?>i6;>0;6)??b;37a>h68h0:965`14494?"68k0:8h5a11c953=6=4+11`951c4?:%33f?73m2d:9:9l504=83.:4d9m55g=9h10c<;>:18'55d=9=o0b<>n:0`8?j7283:1(<>m:06f?k77i3;h76a>4b83>!77j3;?i6`>0`82`>=h9=;1<7*>0c820`=i99k1=h54o015>5<#99h1=9k4n02b>4`<3flm6=44b0`:>5<6290;w)?9b;35g>N6j<1d==950;9~f4d1290:6=4?{%35f?cc3A;i96ajc;29?xd6890;6?l50;2xH4d?2>q/==h56g9'546=0?1/=:3;7?!71>38m7c?97;3`?x"6>k0:<=5U2`813<02tc<<7>5$02a>2e5<#99h1;n5a11c956=o1<7*>0c84g>h68h0:>65f7g83>!77j3=h7c??a;32?>o?83:1(<>m:6a8j46f28:07d6>:18'55d=?j1e==o5f:9j<7<72-;;n79l;o33e?c<3`286=4+11`93f=i99k1h65f8583>!77j3=h7c??a;a8?l>2290/==l57b9m55g=j21b;<4?:%33f?1d3g;;m7o4;h51>5<#99h1;n5a11c9=>=n?:0;6)??b;5`?k77i3207d9;:18'55d=?j1e==o57:9j30<72-;;n79l;o33e?0<3`==6=4+11`93f=i99k1965f7683>!77j3=h7c??a;68?l1?290/==l57b9m55g=;21b;44?:%33f?1d3g;;m7<4;h5b>5<#99h1;n5a11c95>=n?m0;6)??b;5`?k77i3:07d68:18'55d=1:1e==o51598m<4=83.:47<3`3<6=4+11`9=6=i99k1==54i8:94?"68k02?6`>0`8e?>o>13:1(<>m:818j46f2l10e4o50;&24g<>;2d:f=0c8:7>h68h0i76g78;29 46e2090b<>n:`98m=?=83.:32c3m7>5$02a><50`84?>o?k3:1(<>m:818j46f2?10e5j50;&24g<>;2d:1=0c8:7>h68h0876g60;29 46e2090b<>n:398m<7=83.:5$02a><55<6=44}c366c811>N6j<1bj=4?::ke5?6=3f;;97>5;|`2f6<72=o1<7>tL0`4>4}On01v@=9o0j644ri0a6>5<#99h1=n:4n02b>5=5<#99h1=n:4n02b>7=54i0a3>5<#99h1=n:4n02b>1=5<#99h1=k84n02b>4=5<#99h1=k84n02b>6=5<#99h1=k84n02b>0=5<#99h1=k84n02b>2=5<#99h1=k84n02b><=5<#99h1=k84n02b>g=5<#99h1=k84n02b>a=5<#99h1=k84n02b>c=4;h3ee?6=,8:i62d:2:9j5c>=83.:f79m55g=9:10en:068?l7b13:1(<>m:0d5?k77i3;>76g=5g83>!77j38>i6`>0`83?>o5=m0;6)??b;06a>h68h0:76g=5b83>!77j38>i6`>0`81?>o5=k0;6)??b;06a>h68h0876g=5`83>!77j38>i6`>0`87?>o6l>0;66g=9483>>i50k0;6)??b;0;e>h68h0;76a=8883>!77j383m6`>0`82?>i50>0;6)??b;0;e>h68h0976a=8783>!77j383m6`>0`80?>i50<0;6)??b;0;e>h68h0?76a=8583>!77j383m6`>0`86?>i50:0;6)??b;0;e>h68h0=76a=8383>!77j383m6`>0`84?>i5080;6)??b;0;e>h68h0376a=8183>!77j383m6`>0`8:?>i5?o0;6)??b;0;e>h68h0j76a=7d83>!77j383m6`>0`8a?>i51:0;6)??b;0;e>h68h0h76a=9383>!77j383m6`>0`8g?>i5180;6)??b;0;e>h68h0n76a=9183>!77j383m6`>0`8e?>i50o0;6)??b;0;e>h68h0:<65`29g94?"68k094l5a11c954=4:9l62b=83.::18'55d=:<:0b<>n:198k72a290/==l52428j46f2810c?:k:18'55d=:<:0b<>n:398k72d290/==l52428j46f2:10c?:m:18'55d=:<:0b<>n:598k72f290/==l52428j46f2<10c?:6:18'55d=:<:0b<>n:798k72?290/==l52428j46f2>10c?:8:18'55d=:<:0b<>n:998k721290/==l52428j46f2010c?:::18'55d=:<:0b<>n:`98k723290/==l52428j46f2k10c?;6:18'55d=:<:0b<>n:b98k73?290/==l52428j46f2m10c?;8:18'55d=:<:0b<>n:d98k731290/==l52428j46f2o10c?;::18'55d=:<:0b<>n:028?j42<3:1(<>m:373?k77i3;:76a=5283>!77j38><6`>0`826>=h:<81<7*>0c8115=i99k1=>54o36f>5<#99h1>8>4n02b>42<3f8??7>5$02a>7373g;;m7?:;:a5g4=83?=6=4?{M3a3?7|@o30qA?m8;0x 4012;l0b<88:3:8y!71j3;:>6T=a;7x5a<593;<6?<51`8~m7?f290/==l528;8j46f2910e?77:18'55d=:030b<>n:098m7?0290/==l528;8j46f2;10e?79:18'55d=:030b<>n:298m4ec290/==l51ba8j46f2910en:098m4ef290/==l51ba8j46f2;10en:298m4e?290/==l51ba8j46f2=10en:498m4e1290/==l51ba8j46f2?10e??6:18'55d=:820b<>n:198m770290/==l520:8j46f2810e??::18'55d=:820b<>n:398m773290/==l520:8j46f2:10e??<:18'55d=:820b<>n:598m775290/==l520:8j46f2<10e??>:18'55d=:820b<>n:798m777290/==l520:8j46f2>10e?>i:18'55d=:820b<>n:998m76b290/==l520:8j46f2010e?>k:18'55d=:820b<>n:`98m76d290/==l520:8j46f2k10e?>n:18'55d=:820b<>n:b98m76>290/==l520:8j46f2m10e?>7:18'55d=:820b<>n:d98m760290/==l520:8j46f2o10e?>9:18'55d=:820b<>n:028?l47=3:1(<>m:33;?k77i3;:76g=0583>!77j38:46`>0`826>=n:991<7*>0c815==i99k1=>54i321>5<#99h1><64n02b>42<3`8;=7>5$02a>77?3g;;m7?:;:k165<72-;;n7<>8:l24d<6>21b>d;29 46e2;;37c??a;3:?>o59j0;6)??b;02<>h68h0:m65f20`94?"68k09=55a11c95g=e:9j656=83.:0b<>n:198m4b4290/==l51e68j46f2810e0b<>n:398m4b6290/==l51e68j46f2:10e0b<>n:598m4ea290/==l51e68j46f2<10e0b<>n:798m4b?2900e?8?:188m4b>2900e?8>:188k75e290/==l522c8j46f2910c?=6:18'55d=::k0b<>n:098k750290/==l522c8j46f2;10c?=9:18'55d=::k0b<>n:298k752290/==l522c8j46f2=10c?=;:18'55d=::k0b<>n:498k754290/==l522c8j46f2?10c?==:18'55d=::k0b<>n:698k756290/==l522c8j46f2110c?=?:18'55d=::k0b<>n:898k74a290/==l522c8j46f2h10c?n:c98k74d290/==l522c8j46f2j10c?n:e98k74f290/==l522c8j46f2l10c?<6:18'55d=::k0b<>n:g98k74?290/==l522c8j46f28:07b<=7;29 46e2;9j7c??a;32?>i5:?0;6)??b;00e>h68h0:>65`23794?"68k09?l5a11c956=6:9l617=83.:10c?:?:18'55d=::k0b<>n:0:8?j44n3:1(<>m:31b?k77i3;276a=3d83>!77j388m6`>0`82e>=h::n1<7*>0c817d=i99k1=o54o31`>5<#99h1>>o4n02b>4e<3f8847>5$02a>75f3g;;m7?k;:m16a<72-;;n7<?<50;&24g<5;h1e==o51g98yv75j3:1>vP>2c9>556=1=1/=;=513a8j405281v<54?:3y]57><58:;65h4$040>44d3g;=>7:4}r313?6=:rT:>:521129<`=#9?91=?m4n041>0=z{88=6=4={_312>;68903h6*>62826f=i9?81:6s|13794?4|V88>70??0;:`?!71;3;9o6`>6384?xu6:=0;6?uQ1368946721h0(<8<:00`?k71:320q~?=3;296~X6::16==>58`9'535=9;i0b<8=:89~w4452909wS?=2:?2454>2b9m534=i2wx=??50;0xZ44634;;<767;%357?75k2d::?4m;|q265<72;qU=?>4=023>52z\25c=:99:15o5+171957e5<5sW;:i63>018:e>"6>:0:>n5a1709a>{t98n1<7h6>;0m7p}>1b83>7}Y98i01<>?:8:8 404288h7c?92;33?xu69k0;6?uQ10`8946720=0(<8<:00`?k71:3;:7p}>1`83>7}Y98k01<>?:848 404288h7c?92;31?xu6;<0;6?uQ1278946720?0(<8<:00`?k71:3;87p}>3583>7}Y9:>01<>?:808 404288h7c?92;37?xu6;:0;6?uQ1218946721=0(<8<:00`?k71:3;>7p}>3383>6}Y9:8016*>62826f=i9?81=;5rs012>5<4sW;8=63>b2811a=:9k81=i?4$040>44d3g;=>7?8;|q275<72:qU=>>4=0`0>73d34;i>7?k0:&226<6:j1e=;<5199~w44a2908wS?=f:?2f6<5=k16=o<51bd8 404288h7c?92;3:?xu6:l0;6>uQ13g894d42;?j70?m2;3`a>"6>:0:>n5a17095d=z{:>1<7:t^26894d428n<70?m2;3g<>;6j;0:h45rs4a94?4|V628134=i9?81=6s|27g94?4|58:;6:o4=0`0>72c3-;=?7<81:l227<53ty9:i4?:3y>556=?016=o=525a8 4042;=:7c?92;18yv41k3:1>v3>0184<>;6j:098o5+17196275<5s4;;<798;<3a7?43i2.::>4=709m534==2wx>;o50;0x94672><016385?xu5>00;6?u2112930=:9k91>964$040>7163g;=>794}r051/=;=52638j405211v?88:18187783=870?m3;072>"6>:09;<5a1709=>{t:?<1<724<58h86?::;%357?4092d::?4n;|q120<72;q6==>5709>5g5=:=>0(<8<:352?k71:3h0q~<8a;296~;68903963>b2811<=#9?91>:?4n041>f=z{;=26=4={<334?>334;i?7<:8:&226<5?81e=;<5d:p62>=838p1<>?:91894d42;?<7)?93;045>h6>;0n7p}=7683>7}:99:14?521c19600<,8<86?9>;o356?`52z?2454=549'535=:>;0b<8=:028yv40=3:1>v3>018;4>;6j:09995+1719627b28117=#9?91>:?4n041>4552z?245<0j27:n>4=4d9'535=:>;0b<8=:068yv41<3:1>v3>01844>;6j:098>5+17196271v<:::181[73=27:n?4=309'535=9=o0b<8=:99~w4232909wS?;4:?2f7<5;91/=;=515g8j405201v<:<:181[73;27:n?4=2g9'535=9=o0b<8=:`9~w4252909wS?;2:?2f7<5:l1/=;=515g8j4052k1v<:?:181[73827:n?4=2b9'535=9=o0b<8=:b9~w45a2909wS?vP>3c9>5g4=:;=0(<8<:06f?k71:3;:7p}>3`83>7}Y9:k0163826>{t9:31<762820`=i9?81=>5rs01;>5<5sW;8463>b38161=#9?91=9k4n041>4252z\272=:9k81>?=4$040>42b3g;=>7?:;|q213<72;qU=884=0`1>7253-;=?7?;e:l227<6>2wx=8;50;0xZ43234;i>7<;1:&226<6n7c?92;3:?xu6=;0;6?uQ140894d52;9n7)?93;37a>h6>;0:m6s|14394?4|V8?:70?m2;00`>"6>:0:8h5a17095g=z{8?;6=4={_364>;6j;09?n5+171951c<,8<86<:j;o356?7c3ty:8<4?:3y]517<58h96?e:p560=838pR<=9;<3a6?45:2.::>4>4d9m534=9o1v8j50;0x90c=99?015<5sWlm70?:8;331>{t99;1<746034;i?7?i7:p5<0=83>jw0?m6;g`?87e;3;h963>b282g6=:9k91=n<4=0`0>4e634;i?7?l0:?2f6<6n<16=o=51g1894d428l970?m3;3e5>;6j:0:j=521c195``<58h864>eb9>5g5=9lh01b282b`=:9k91=kj4=0`0>4`d34;i?7?ib:?2f6<6nh16=o=51g;894d428l370?m3;3e0>;6j:0:i4521c196<3<58h96?7n;<3a6?4>027:n?4=969>5g4=:0<01b382gd=:9k81=n74=0`1>4e?34;i>7?l7:?2f7<6k?16=o<520;894d52;;<70?m2;021>;6j;09=9521c09645<58h96??=;<3a6?46927:n?4=119>5g4=:9l01b3814f=:9k81>=o4=0`1>76>34;i>716=o<5214894d52;:>70?m2;030>;6j;09<>521c09654<58h96?>>;<3a6?45827:n?4=1g9>5g4=:8o01b3815g=:9k81>77134;i>7{zj?91<7<50;2x 40e2o<0D5<6=44}r`a>5<5sWhi70<93;31`>J6j>0:w)h7:gg8y!71;3hj7c?92;28yvd>2909wSl6;<057?75j2F:n:4>{%d;>ccb682!`?2oo0q)?93;`b?k71:380q~l8:181[d0348=?7?=9:N2f2<6s-l36kk4}%357?df3g;=>7=4}r`5>5<5sWh=70<93;31<>J6j>0:w)h7:gg8y!71;3hj7c?92;68yvd22909wSl:;<057?75?2F:n:4>{%d;>ccb682!`?2oo0q)?93;`b?k71:3<0q~l<:181[d4348=?7?=5:N2f2<6s-l36kk4}%357?df3g;=>794}r`1>5<5sWh970<93;310>J6j>0:w)h7:gg8y!71;3hj7c?92;:8yvd72909wSl?;<057?75;2F:n:4>{%d;>cc6B>b682!`?2oo0q)?93;`b?k71:3k0q~oj:181[gb348=?7?=1:N2f2<6s-l36kk4}%357?df3g;=>7l4}rcg>5<5sWko70<93;314>J6j>0:w)h7:gg8y!71;3hj7c?92;a8yvgd2909wSol;<057?76n2F:n:4>{%d;>ccb682!`?2oo0q)?93;`b?k71:3o0q~on:181[gf348=?7?>d:N2f2<6s-l36kk4}%357?df3g;=>7h4}rc:>5<5sWk270<93;32g>J6j>0:w)h7:gg8y!71;3hj7c?92;33?xuf03:1>vPn8:?126<69k1G=o951z&e4ma:l227<692wxm:4?:3y]e2=::?91=4}#n10mi6s+1719fd=i9?81=?5rsb594?4|Vj=01?8<:016?I7e?3;p(k65fd9~ 4042kk0b<8=:018yve12909wSm9;<057?74<2F:n:4>{%d;>cc52z\`1>;5>:0:?>5C1c595~"a03ln7p*>628ae>h6>;0:96s|c583>7}Yk=16>;=51208H4d028q/j54ie:'535=jh1e=;<5179~wf5=838pRn=4=340>4563E;i;7?t$g:9b`=z,8<86oo4n041>41b682!`?2oo0q)?93;`b?k71:3;37p}l1;296~Xd9279:>4>2g9O5g1=9r.m47hj;|&2269:pfa<72;qUni52271957c{#9?91nl5a17095d=z{:h1<7750;0xZ6?<58n=6>74L0`4>4}#n10mi6srs2c94?4|V:k01o63=6286g>{t=h0;6?uQ5`9>5a0==h1vl?50;0xZd7<58n=6l?4}r322?6=:rT:=;521e4954052z\25==:9m<1=<64}rg2>5<5sWo:70<93;37b>J6j>0:w)8j:318y!71;3o;7c?92;28yvba2909wSji;<057?73l2F:n:4>{%4f>75b682!0b2;90q)?93;g3?k71:380q~jl:181[bd348=?7?;a:N2f2<6s-7=4}rfa>5<5sWni70<93;37=>J6j>0:w)8j:318y!71;3o;7c?92;68yvbf2909wSjn;<057?7302F:n:4>{%4f>75b682!0b2;90q)?93;g3?k71:3<0q~j7:181[b?348=?7?;6:N2f2<6s-794}rf4>5<5sWn<70<93;371>J6j>0:w)8j:318y!71;3o;7c?92;:8yvb12909wSj9;<057?73<2F:n:4>{%4f>756=4={_f6?841;3;??6B>b682!0b2;90q)?93;g3?k71:3k0q~j;:181[b3348=?7?;2:N2f2<6s-7l4}rf1>5<5sWn970<93;374>J6j>0:w)8j:318y!71;3o;7c?92;a8yvb62909wSj>;<057?74n2F:n:4>{%4f>75b682!0b2;90q)?93;g3?k71:3o0q~mi:181[ea348=?7?7h4}raf>5<5sWin70<93;30g>J6j>0:w)8j:318y!71;3o;7c?92;33?xudl3:1>vPld:?126<6;k1G=o951z&5a?443t.::>4j0:l227<692wxon4?:3y]gf=::?91=>o4L0`4>4}#>l09?6s+1719a5=i9?81=?5rsb`94?4|Vjh01?8<:01:?I7e?3;p(;k5229~ 4042l:0b<8=:018yvef2909wSmn;<057?7402F:n:4>{%4f>7552z\`=>;5>:0:?:5C1c595~"1m3887p*>628f4>h6>;0:96s|e983>7}Ym116>;=51448H4d028q/:h4=3:'535=m91e=;<5179~w`1=838pRh94=340>4323E;i;7?t$7g966=z,8<86h>4n041>4186B>b682!0b2;90q)?93;g3?k71:3;37p}j5;296~Xb=279:>4>529O5g1=9r.=i7<<;|&2269:pa1<72;qUi9522719504{#9?91i=5a17095d=z{l91<77?l;|qga?6=:rToi63=62820f=K9k=1=v*9e;00?x"6>:0n<6`>6382`>{tl:0;6?uQd29>635=9=;0@<5;<86<=9;M3a3?7|,?o1>>5r$040>`65<5s48=?7hi;<40>``7A?m7;3x 3c=k2wvqpsr@AAx7=5=:82>>|IOB|IO_L2N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A6|FSB_D<4>|IOB|IO_L4N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A7|FSB_D<6>|IOB|IO_L6N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A8|FSB_D<12>|IOB|IO_L33N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A9|FSB_D<14>|IOB|IO_L34N_GCLK18_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A10|FSB_D<16>|IOB|IO_L35N_GCLK16_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A11|FSB_D<22>|IOB|IO_L39N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A12|FSB_D<28>|IOB|IO_L62N_VREF_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A13|FSB_D<30>|IOB|IO_L63N_SCP6_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +A14|RAMCLK0|IOB|IO_L65N_SCP2_0|OUTPUT|LVCMOS33|0|24|FAST||||UNLOCATED|YES|NONE| A15|||TMS|||||||||||| A16|||GND|||||||||||| -B1|CPUCLK|IOB|IO_L50N_M3BA2_3|OUTPUT|LVCMOS33|3|24|FAST||||UNLOCATED|YES|NONE| +B1||IOBS|IO_L50N_M3BA2_3|UNUSED||3||||||||| B2||IOBM|IO_L52P_M3A8_3|UNUSED||3||||||||| B3||IOBM|IO_L83P_3|UNUSED||3||||||||| -B4|||VCCO_0|||0|||||any******|||| -B5||IOBM|IO_L2P_0|UNUSED||0||||||||| -B6||IOBM|IO_L4P_0|UNUSED||0||||||||| +B4|||VCCO_0|||0|||||3.30|||| +B5|CPUCLK|IOB|IO_L2P_0|OUTPUT|LVCMOS33|0|24|FAST||||UNLOCATED|YES|NONE| +B6|FSB_D<3>|IOB|IO_L4P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| B7|||GND|||||||||||| -B8||IOBM|IO_L33P_0|UNUSED||0||||||||| -B9|||VCCO_0|||0|||||any******|||| -B10||IOBM|IO_L35P_GCLK17_0|UNUSED||0||||||||| +B8|FSB_D<11>|IOB|IO_L33P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +B9|||VCCO_0|||0|||||3.30|||| +B10|FSB_D<15>|IOB|IO_L35P_GCLK17_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| B11|||GND|||||||||||| -B12||IOBM|IO_L62P_0|UNUSED||0||||||||| -B13|||VCCO_0|||0|||||any******|||| -B14||IOBM|IO_L65P_SCP3_0|UNUSED||0||||||||| -B15||IOBM|IO_L29P_A23_M1A13_1|UNUSED||1||||||||| -B16||IOBS|IO_L29N_A22_M1A14_1|UNUSED||1||||||||| -C1|FPUCLK|IOB|IO_L50P_M3WE_3|OUTPUT|LVCMOS33|3|24|FAST||||UNLOCATED|YES|NONE| -C2|INt|IOB|IO_L48N_M3BA1_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -C3|OUTt|IOB|IO_L48P_M3BA0_3|OUTPUT|LVCMOS33|3|24|FAST||||UNLOCATED|NO|NONE| -C4||IOBM|IO_L1P_HSWAPEN_0|UNUSED||0||||||||| -C5||IOBS|IO_L3N_0|UNUSED||0||||||||| -C6||IOBS|IO_L7N_0|UNUSED||0||||||||| -C7||IOBM|IO_L6P_0|UNUSED||0||||||||| -C8||IOBS|IO_L38N_VREF_0|UNUSED||0||||||||| -C9||IOBM|IO_L34P_GCLK19_0|UNUSED||0||||||||| -C10||IOBS|IO_L37N_GCLK12_0|UNUSED||0||||||||| -C11||IOBM|IO_L39P_0|UNUSED||0||||||||| +B12|FSB_D<27>|IOB|IO_L62P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +B13|||VCCO_0|||0|||||3.30|||| +B14|FSB_A<2>|IOB|IO_L65P_SCP3_0|INPUT|LVCMOS33|0||||NONE||UNLOCATED|NO|NONE| +B15|FSB_A<6>|IOB|IO_L29P_A23_M1A13_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +B16|FSB_A<7>|IOB|IO_L29N_A22_M1A14_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +C1||IOBM|IO_L50P_M3WE_3|UNUSED||3||||||||| +C2||IOBS|IO_L48N_M3BA1_3|UNUSED||3||||||||| +C3||IOBM|IO_L48P_M3BA0_3|UNUSED||3||||||||| +C4|RAMCLK1|IOB|IO_L1P_HSWAPEN_0|OUTPUT|LVCMOS33|0|24|FAST||||UNLOCATED|YES|NONE| +C5|FSB_D<2>|IOB|IO_L3N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +C6|FSB_D<10>|IOB|IO_L7N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +C7|FSB_D<7>|IOB|IO_L6P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +C8|FSB_D<24>|IOB|IO_L38N_VREF_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +C9|FSB_D<13>|IOB|IO_L34P_GCLK19_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +C10|FSB_D<20>|IOB|IO_L37N_GCLK12_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +C11|FSB_D<23>|IOB|IO_L39P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| C12|||TDI|||||||||||| -C13||IOBM|IO_L63P_SCP7_0|UNUSED||0||||||||| +C13|FSB_D<29>|IOB|IO_L63P_SCP7_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| C14|||TCK|||||||||||| -C15||IOBM|IO_L33P_A15_M1A10_1|UNUSED||1||||||||| -C16||IOBS|IO_L33N_A14_M1A4_1|UNUSED||1||||||||| -D1|RAMCLK0|IOB|IO_L49N_M3A2_3|OUTPUT|LVCMOS33|3|24|FAST||||UNLOCATED|YES|NONE| -D2|||VCCO_3|||3|||||3.30|||| -D3|RAMCLK1|IOB|IO_L49P_M3A7_3|OUTPUT|LVCMOS33|3|24|FAST||||UNLOCATED|YES|NONE| +C15|FSB_A<14>|IOB|IO_L33P_A15_M1A10_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +C16|FSB_A<20>|IOB|IO_L33N_A14_M1A4_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +D1||IOBS|IO_L49N_M3A2_3|UNUSED||3||||||||| +D2|||VCCO_3|||3|||||any******|||| +D3||IOBM|IO_L49P_M3A7_3|UNUSED||3||||||||| D4|||GND|||||||||||| -D5||IOBM|IO_L3P_0|UNUSED||0||||||||| -D6||IOBM|IO_L7P_0|UNUSED||0||||||||| -D7|||VCCO_0|||0|||||any******|||| -D8||IOBM|IO_L38P_0|UNUSED||0||||||||| -D9||IOBS|IO_L40N_0|UNUSED||0||||||||| -D10|||VCCO_0|||0|||||any******|||| -D11||IOBM|IO_L66P_SCP1_0|UNUSED||0||||||||| -D12||IOBS|IO_L66N_SCP0_0|UNUSED||0||||||||| +D5|FSB_D<1>|IOB|IO_L3P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +D6|FSB_D<9>|IOB|IO_L7P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +D7|||VCCO_0|||0|||||3.30|||| +D8|FSB_D<21>|IOB|IO_L38P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +D9|FSB_D<26>|IOB|IO_L40N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +D10|||VCCO_0|||0|||||3.30|||| +D11|FPUCLK|IOB|IO_L66P_SCP1_0|OUTPUT|LVCMOS33|0|24|FAST||||UNLOCATED|YES|NONE| +D12|CPU_nSTERM|IOB|IO_L66N_SCP0_0|OUTPUT|LVCMOS33|0|24|FAST||||UNLOCATED|NO|NONE| D13|||GND|||||||||||| -D14||IOBM|IO_L31P_A19_M1CKE_1|UNUSED||1||||||||| +D14|FSB_A<10>|IOB|IO_L31P_A19_M1CKE_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| D15|||VCCO_1|||1|||||any******|||| -D16||IOBS|IO_L31N_A18_M1A12_1|UNUSED||1||||||||| -E1|FSB_A<27>|IOB|IO_L46N_M3CLKN_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -E2|FSB_A<24>|IOB|IO_L46P_M3CLK_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +D16|FSB_A<11>|IOB|IO_L31N_A18_M1A12_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +E1||IOBS|IO_L46N_M3CLKN_3|UNUSED||3||||||||| +E2||IOBM|IO_L46P_M3CLK_3|UNUSED||3||||||||| E3||IOBS|IO_L54N_M3A11_3|UNUSED||3||||||||| E4||IOBM|IO_L54P_M3RESET_3|UNUSED||3||||||||| E5|||VCCAUX||||||||2.5|||| -E6||IOBS|IO_L5N_0|UNUSED||0||||||||| -E7||IOBM|IO_L36P_GCLK15_0|UNUSED||0||||||||| -E8||IOBS|IO_L36N_GCLK14_0|UNUSED||0||||||||| +E6|FSB_D<8>|IOB|IO_L5N_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +E7|FSB_D<17>|IOB|IO_L36P_GCLK15_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +E8|FSB_D<18>|IOB|IO_L36N_GCLK14_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| E9|||GND|||||||||||| -E10||IOBM|IO_L37P_GCLK13_0|UNUSED||0||||||||| -E11||IOBS|IO_L64N_SCP4_0|UNUSED||0||||||||| -E12||IOBS|IO_L1N_A24_VREF_1|UNUSED||1||||||||| -E13||IOBM|IO_L1P_A25_1|UNUSED||1||||||||| +E10|FSB_D<19>|IOB|IO_L37P_GCLK13_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +E11|FSB_A<3>|IOB|IO_L64N_SCP4_0|INPUT|LVCMOS33|0||||NONE||UNLOCATED|NO|NONE| +E12|FSB_A<5>|IOB|IO_L1N_A24_VREF_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +E13|FSB_A<4>|IOB|IO_L1P_A25_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| E14|||TDO|||||||||||| -E15||IOBM|IO_L34P_A13_M1WE_1|UNUSED||1||||||||| -E16||IOBS|IO_L34N_A12_M1BA2_1|UNUSED||1||||||||| -F1|FSB_A<23>|IOB|IO_L41N_GCLK26_M3DQ5_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -F2|FSB_A<22>|IOB|IO_L41P_GCLK27_M3DQ4_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +E15|FSB_A<21>|IOB|IO_L34P_A13_M1WE_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +E16|FSB_A<22>|IOB|IO_L34N_A12_M1BA2_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +F1||IOBS|IO_L41N_GCLK26_M3DQ5_3|UNUSED||3||||||||| +F2||IOBM|IO_L41P_GCLK27_M3DQ4_3|UNUSED||3||||||||| F3||IOBS|IO_L53N_M3A12_3|UNUSED||3||||||||| F4||IOBM|IO_L53P_M3CKE_3|UNUSED||3||||||||| F5||IOBS|IO_L55N_M3A14_3|UNUSED||3||||||||| F6||IOBM|IO_L55P_M3A13_3|UNUSED||3||||||||| -F7||IOBM|IO_L5P_0|UNUSED||0||||||||| +F7|FSB_D<5>|IOB|IO_L5P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| F8|||VCCAUX||||||||2.5|||| -F9||IOBM|IO_L40P_0|UNUSED||0||||||||| -F10||IOBM|IO_L64P_SCP5_0|UNUSED||0||||||||| +F9|FSB_D<25>|IOB|IO_L40P_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| +F10|FSB_D<31>|IOB|IO_L64P_SCP5_0|OUTPUT|LVCMOS33|0|8|SLOW||||UNLOCATED|NO|NONE| F11|||VCCAUX||||||||2.5|||| -F12||IOBM|IO_L30P_A21_M1RESET_1|UNUSED||1||||||||| -F13||IOBM|IO_L32P_A17_M1A8_1|UNUSED||1||||||||| -F14||IOBS|IO_L32N_A16_M1A9_1|UNUSED||1||||||||| -F15||IOBM|IO_L35P_A11_M1A7_1|UNUSED||1||||||||| -F16||IOBS|IO_L35N_A10_M1A2_1|UNUSED||1||||||||| -G1|FSB_A<29>|IOB|IO_L40N_M3DQ7_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +F12|FSB_A<8>|IOB|IO_L30P_A21_M1RESET_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +F13|FSB_A<12>|IOB|IO_L32P_A17_M1A8_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +F14|FSB_A<13>|IOB|IO_L32N_A16_M1A9_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +F15|FSB_A<23>|IOB|IO_L35P_A11_M1A7_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +F16|FSB_A<19>|IOB|IO_L35N_A10_M1A2_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +G1||IOBS|IO_L40N_M3DQ7_3|UNUSED||3||||||||| G2|||GND|||||||||||| -G3|FSB_A<19>|IOB|IO_L40P_M3DQ6_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -G4|||VCCO_3|||3|||||3.30|||| +G3||IOBM|IO_L40P_M3DQ6_3|UNUSED||3||||||||| +G4|||VCCO_3|||3|||||any******|||| G5||IOBS|IO_L51N_M3A4_3|UNUSED||3||||||||| -G6|CLKFB_OUT|IOB|IO_L51P_M3A10_3|OUTPUT|LVCMOS33|3|24|FAST||||UNLOCATED|YES|NONE| +G6||IOBM|IO_L51P_M3A10_3|UNUSED||3||||||||| G7|||VCCINT||||||||1.2|||| G8|||GND|||||||||||| G9|||VCCINT||||||||1.2|||| G10|||VCCAUX||||||||2.5|||| -G11||IOBS|IO_L30N_A20_M1A11_1|UNUSED||1||||||||| -G12||IOBM|IO_L38P_A5_M1CLK_1|UNUSED||1||||||||| +G11|FSB_A<9>|IOB|IO_L30N_A20_M1A11_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +G12|FSB_A<24>|IOB|IO_L38P_A5_M1CLK_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| G13|||VCCO_1|||1|||||any******|||| -G14||IOBM|IO_L36P_A9_M1BA0_1|UNUSED||1||||||||| +G14|FSB_A<15>|IOB|IO_L36P_A9_M1BA0_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| G15|||GND|||||||||||| -G16||IOBS|IO_L36N_A8_M1BA1_1|UNUSED||1||||||||| -H1|FSB_A<26>|IOB|IO_L39N_M3LDQSN_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -H2|FSB_A<25>|IOB|IO_L39P_M3LDQS_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -H3|CPU_nAS|IOB|IO_L44N_GCLK20_M3A6_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +G16|FSB_A<16>|IOB|IO_L36N_A8_M1BA1_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +H1||IOBS|IO_L39N_M3LDQSN_3|UNUSED||3||||||||| +H2||IOBM|IO_L39P_M3LDQS_3|UNUSED||3||||||||| +H3||IOBS|IO_L44N_GCLK20_M3A6_3|UNUSED||3||||||||| H4|CLKFB_IN|IOB|IO_L44P_GCLK21_M3A5_3|INPUT|LVCMOS25*|3||||NONE||UNLOCATED|NO|NONE| -H5|FSB_A<30>|IOB|IO_L43N_GCLK22_IRDY2_M3CASN_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +H5||IOBS|IO_L43N_GCLK22_IRDY2_M3CASN_3|UNUSED||3||||||||| H6|||VCCAUX||||||||2.5|||| H7|||GND|||||||||||| H8|||VCCINT||||||||1.2|||| H9|||GND|||||||||||| H10|||VCCINT||||||||1.2|||| -H11||IOBS|IO_L38N_A4_M1CLKN_1|UNUSED||1||||||||| +H11|FSB_A<25>|IOB|IO_L38N_A4_M1CLKN_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| H12|||GND|||||||||||| -H13||IOBM|IO_L39P_M1A3_1|UNUSED||1||||||||| -H14||IOBS|IO_L39N_M1ODT_1|UNUSED||1||||||||| -H15||IOBM|IO_L37P_A7_M1A0_1|UNUSED||1||||||||| -H16||IOBS|IO_L37N_A6_M1A1_1|UNUSED||1||||||||| -J1|FSB_A<17>|IOB|IO_L38N_M3DQ3_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -J2|||VCCO_3|||3|||||3.30|||| -J3|FSB_A<16>|IOB|IO_L38P_M3DQ2_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +H13|FSB_A<26>|IOB|IO_L39P_M1A3_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +H14|FSB_A<27>|IOB|IO_L39N_M1ODT_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +H15|FSB_A<17>|IOB|IO_L37P_A7_M1A0_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +H16|FSB_A<18>|IOB|IO_L37N_A6_M1A1_1|INPUT|LVCMOS33|1||||NONE||UNLOCATED|NO|NONE| +J1||IOBS|IO_L38N_M3DQ3_3|UNUSED||3||||||||| +J2|||VCCO_3|||3|||||any******|||| +J3||IOBM|IO_L38P_M3DQ2_3|UNUSED||3||||||||| J4|CLKIN|IOB|IO_L42N_GCLK24_M3LDM_3|INPUT|LVCMOS25*|3||||NONE||UNLOCATED|NO|NONE| J5|||GND|||||||||||| -J6|FSB_A<21>|IOB|IO_L43P_GCLK23_M3RASN_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +J6||IOBM|IO_L43P_GCLK23_M3RASN_3|UNUSED||3||||||||| J7|||VCCINT||||||||1.2|||| J8|||GND|||||||||||| J9|||VCCINT||||||||1.2|||| @@ -163,12 +163,12 @@ J13||IOBM|IO_L41P_GCLK9_IRDY1_M1RASN_1|UNUSED||1||||||||| J14||IOBM|IO_L43P_GCLK5_M1DQ4_1|UNUSED||1||||||||| J15|||VCCO_1|||1|||||any******|||| J16||IOBS|IO_L43N_GCLK4_M1DQ5_1|UNUSED||1||||||||| -K1|FSB_A<5>|IOB|IO_L37N_M3DQ1_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -K2|FSB_A<14>|IOB|IO_L37P_M3DQ0_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -K3|FSB_A<28>|IOB|IO_L42P_GCLK25_TRDY2_M3UDM_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -K4|||VCCO_3|||3|||||3.30|||| -K5|CPUCLKi|IOB|IO_L47P_M3A0_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -K6|FSB_A<18>|IOB|IO_L47N_M3A1_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +K1||IOBS|IO_L37N_M3DQ1_3|UNUSED||3||||||||| +K2||IOBM|IO_L37P_M3DQ0_3|UNUSED||3||||||||| +K3||IOBM|IO_L42P_GCLK25_TRDY2_M3UDM_3|UNUSED||3||||||||| +K4|||VCCO_3|||3|||||any******|||| +K5||IOBM|IO_L47P_M3A0_3|UNUSED||3||||||||| +K6||IOBS|IO_L47N_M3A1_3|UNUSED||3||||||||| K7|||GND|||||||||||| K8|||VCCINT||||||||1.2|||| K9|||GND|||||||||||| @@ -179,11 +179,11 @@ K13|||VCCO_1|||1|||||any******|||| K14||IOBS|IO_L41N_GCLK8_M1CASN_1|UNUSED||1||||||||| K15||IOBM|IO_L44P_A3_M1DQ6_1|UNUSED||1||||||||| K16||IOBS|IO_L44N_A2_M1DQ7_1|UNUSED||1||||||||| -L1|FSB_A<11>|IOB|IO_L36N_M3DQ9_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +L1||IOBS|IO_L36N_M3DQ9_3|UNUSED||3||||||||| L2|||GND|||||||||||| -L3|FSB_A<4>|IOB|IO_L36P_M3DQ8_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -L4|FSB_A<31>|IOB|IO_L45P_M3A3_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -L5|FSB_A<20>|IOB|IO_L45N_M3ODT_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +L3||IOBM|IO_L36P_M3DQ8_3|UNUSED||3||||||||| +L4||IOBM|IO_L45P_M3A3_3|UNUSED||3||||||||| +L5||IOBS|IO_L45N_M3ODT_3|UNUSED||3||||||||| L6|||VCCAUX||||||||2.5|||| L7||IOBS|IO_L62N_D6_2|UNUSED||2||||||||| L8||IOBM|IO_L62P_D5_2|UNUSED||2||||||||| @@ -195,11 +195,11 @@ L13||IOBS|IO_L53N_VREF_1|UNUSED||1||||||||| L14||IOBM|IO_L47P_FWE_B_M1DQ0_1|UNUSED||1||||||||| L15|||GND|||||||||||| L16||IOBS|IO_L47N_LDC_M1DQ1_1|UNUSED||1||||||||| -M1|FSB_A<1>|IOB|IO_L35N_M3DQ11_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -M2|FSB_A<2>|IOB|IO_L35P_M3DQ10_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -M3|FSB_A<6>|IOB|IO_L1N_VREF_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -M4|FSB_A<8>|IOB|IO_L1P_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -M5|FSB_A<15>|IOB|IO_L2P_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +M1||IOBS|IO_L35N_M3DQ11_3|UNUSED||3||||||||| +M2||IOBM|IO_L35P_M3DQ10_3|UNUSED||3||||||||| +M3||IOBS|IO_L1N_VREF_3|UNUSED||3||||||||| +M4||IOBM|IO_L1P_3|UNUSED||3||||||||| +M5||IOBM|IO_L2P_3|UNUSED||3||||||||| M6||IOBM|IO_L64P_D8_2|UNUSED||2||||||||| M7||IOBS|IO_L31N_GCLK30_D15_2|UNUSED||2||||||||| M8|||GND|||||||||||| @@ -211,10 +211,10 @@ M13||IOBM|IO_L74P_AWAKE_1|UNUSED||1||||||||| M14||IOBS|IO_L74N_DOUT_BUSY_1|UNUSED||1||||||||| M15||IOBM|IO_L46P_FCS_B_M1DQ2_1|UNUSED||1||||||||| M16||IOBS|IO_L46N_FOE_B_M1DQ3_1|UNUSED||1||||||||| -N1|FSB_A<10>|IOB|IO_L34N_M3UDQSN_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -N2|||VCCO_3|||3|||||3.30|||| -N3|FSB_A<13>|IOB|IO_L34P_M3UDQS_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -N4|FSB_A<0>|IOB|IO_L2N_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +N1||IOBS|IO_L34N_M3UDQSN_3|UNUSED||3||||||||| +N2|||VCCO_3|||3|||||any******|||| +N3||IOBM|IO_L34P_M3UDQS_3|UNUSED||3||||||||| +N4||IOBS|IO_L2N_3|UNUSED||3||||||||| N5||IOBM|IO_L49P_D3_2|UNUSED||2||||||||| N6||IOBS|IO_L64N_D9_2|UNUSED||2||||||||| N7|||VCCO_2|||2|||||any******|||| @@ -227,8 +227,8 @@ N13|||GND|||||||||||| N14||IOBM|IO_L45P_A1_M1LDQS_1|UNUSED||1||||||||| N15|||VCCO_1|||1|||||any******|||| N16||IOBS|IO_L45N_A0_M1LDQSN_1|UNUSED||1||||||||| -P1|FSB_A<7>|IOB|IO_L33N_M3DQ13_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -P2|FSB_A<12>|IOB|IO_L33P_M3DQ12_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +P1||IOBS|IO_L33N_M3DQ13_3|UNUSED||3||||||||| +P2||IOBM|IO_L33P_M3DQ12_3|UNUSED||3||||||||| P3|||GND|||||||||||| P4||IOBM|IO_L63P_2|UNUSED||2||||||||| P5||IOBS|IO_L49N_D4_2|UNUSED||2||||||||| @@ -243,8 +243,8 @@ P13|||DONE_2|||||||||||| P14|||SUSPEND|||||||||||| P15||IOBM|IO_L48P_HDC_M1DQ8_1|UNUSED||1||||||||| P16||IOBS|IO_L48N_M1DQ9_1|UNUSED||1||||||||| -R1|FSB_A<9>|IOB|IO_L32N_M3DQ15_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| -R2|FSB_A<3>|IOB|IO_L32P_M3DQ14_3|INPUT|LVCMOS33|3||||NONE||UNLOCATED|NO|NONE| +R1||IOBS|IO_L32N_M3DQ15_3|UNUSED||3||||||||| +R2||IOBM|IO_L32P_M3DQ14_3|UNUSED||3||||||||| R3||IOBM|IO_L65P_INIT_B_2|UNUSED||2||||||||| R4|||VCCO_2|||2|||||any******|||| R5||IOBM|IO_L48P_D7_2|UNUSED||2||||||||| diff --git a/fpga/WarpLC.par b/fpga/WarpLC.par index 616deb5..3a97d4e 100644 --- a/fpga/WarpLC.par +++ b/fpga/WarpLC.par @@ -1,28 +1,21 @@ -Release 14.7 par P.20131013 (nt) +Release 14.7 par P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -ZANEPC:: Fri Oct 29 17:59:50 2021 +DOG-PC:: Sun Oct 31 15:38:29 2021 -par -w -intstyle ise -ol high -mt off WarpLC_map.ncd WarpLC.ncd WarpLC.pcf +par -w -intstyle ise -ol high -xe c -mt 4 WarpLC_map.ncd WarpLC.ncd WarpLC.pcf Constraints file: WarpLC.pcf. Loading device for application Rf_Device from file '6slx9.nph' in environment C:\Xilinx\14.7\ISE_DS\ISE\. "WarpLC" is an NCD, version 3.2, device xc6slx9, package ftg256, speed -2 -vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv -INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set. -INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to -'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'. -INFO:Security:54 - 'xc6slx9' is a WebPack part. -INFO:Security:66 - Your license for 'ISE' is for evaluation use only. -WARNING:Security:43 - No license file was found in the standard Xilinx license directory. -WARNING:Security:44 - Since no license file was found, - please run the Xilinx License Configuration Manager - (xlcm or "Manage Xilinx Licenses") - to assist in obtaining a license. -WARNING:Security:40 - Your license for 'ISE' expires in 4 days. - ----------------------------------------------------------------------- +INFO:Par:338 - + Extra Effort Level "c"ontinue is not a runtime optimized effort level. It is intended to be used for designs that are + not meeting timing but where the designer wants the tools to continue iterating on the design until no further design + speed improvements are possible. This can result in very long runtimes since the tools will continue improving the + design even if the time specs can not be met. If you are looking for the best possible design speed available from a + long but reasonable runtime use Extra Effort Level "n"ormal. It will stop iterating on the design when the design + speed improvements have shrunk to the point that the time specs are not expected to be met. Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) @@ -35,30 +28,32 @@ Device speed data version: "PRODUCTION 1.23 2013-10-13". Device Utilization Summary: Slice Logic Utilization: - Number of Slice Registers: 34 out of 11,440 1% - Number used as Flip Flops: 34 + Number of Slice Registers: 1 out of 11,440 1% + Number used as Flip Flops: 1 Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 - Number of Slice LUTs: 17 out of 5,720 1% - Number used as logic: 13 out of 5,720 1% - Number using O6 output only: 11 - Number using O5 output only: 0 - Number using O5 and O6: 2 + Number of Slice LUTs: 33 out of 5,720 1% + Number used as logic: 9 out of 5,720 1% + Number using O6 output only: 8 + Number using O5 output only: 1 + Number using O5 and O6: 0 Number used as ROM: 0 - Number used as Memory: 0 out of 1,440 0% - Number used exclusively as route-thrus: 4 - Number with same-slice register load: 4 - Number with same-slice carry load: 0 - Number with other load: 0 + Number used as Memory: 24 out of 1,440 1% + Number used as Dual Port RAM: 24 + Number using O6 output only: 4 + Number using O5 output only: 0 + Number using O5 and O6: 20 + Number used as Single Port RAM: 0 + Number used as Shift Register: 0 Slice Logic Distribution: - Number of occupied Slices: 11 out of 1,430 1% - Number of MUXCYs used: 12 out of 2,860 1% - Number of LUT Flip Flop pairs used: 41 - Number with an unused Flip Flop: 11 out of 41 26% - Number with an unused LUT: 24 out of 41 58% - Number of fully used LUT-FF pairs: 6 out of 41 14% + Number of occupied Slices: 9 out of 1,430 1% + Number of MUXCYs used: 8 out of 2,860 1% + Number of LUT Flip Flop pairs used: 33 + Number with an unused Flip Flop: 32 out of 33 96% + Number with an unused LUT: 0 out of 33 0% + Number of fully used LUT-FF pairs: 1 out of 33 3% Number of slice register sites lost to control set restrictions: 0 out of 11,440 0% @@ -69,12 +64,12 @@ Slice Logic Distribution: over-mapped for a non-slice resource or if Placement fails. IO Utilization: - Number of bonded IOBs: 43 out of 186 23% + Number of bonded IOBs: 66 out of 186 35% IOB Flip Flops: 5 Specific Feature Utilization: Number of RAMB16BWERs: 0 out of 32 0% - Number of RAMB8BWERs: 0 out of 64 0% + Number of RAMB8BWERs: 1 out of 64 1% Number of BUFIO2/BUFIO2_2CLKs: 1 out of 32 3% Number used as BUFIO2s: 1 Number used as BUFIO2_2CLKs: 0 @@ -107,42 +102,35 @@ Specific Feature Utilization: Overall effort level (-ol): High Router effort level (-rl): High -Starting initial Timing Analysis. REAL time: 2 secs -Finished initial Timing Analysis. REAL time: 2 secs - -Starting Router +PAR will use up to 4 processors +Starting Multi-threaded Router -Phase 1 : 164 unrouted; REAL time: 2 secs +Phase 1 : 386 unrouted; REAL time: 3 secs -Phase 2 : 120 unrouted; REAL time: 2 secs +Phase 2 : 172 unrouted; REAL time: 3 secs -Phase 3 : 68 unrouted; REAL time: 2 secs +Phase 3 : 110 unrouted; REAL time: 3 secs -Phase 4 : 68 unrouted; (Setup:0, Hold:367, Component Switching Limit:0) REAL time: 2 secs +Phase 4 : 110 unrouted; (Setup:0, Hold:285, Component Switching Limit:0) REAL time: 4 secs Updating file: WarpLC.ncd with current fully routed design. -Phase 5 : 0 unrouted; (Setup:0, Hold:367, Component Switching Limit:0) REAL time: 2 secs +Phase 5 : 0 unrouted; (Setup:0, Hold:285, Component Switching Limit:0) REAL time: 4 secs -Phase 6 : 0 unrouted; (Setup:0, Hold:367, Component Switching Limit:0) REAL time: 2 secs +Phase 6 : 0 unrouted; (Setup:0, Hold:285, Component Switching Limit:0) REAL time: 4 secs -Phase 7 : 0 unrouted; (Setup:0, Hold:367, Component Switching Limit:0) REAL time: 2 secs +Phase 7 : 0 unrouted; (Setup:0, Hold:285, Component Switching Limit:0) REAL time: 4 secs -Phase 8 : 0 unrouted; (Setup:0, Hold:367, Component Switching Limit:0) REAL time: 2 secs +Phase 8 : 0 unrouted; (Setup:0, Hold:285, Component Switching Limit:0) REAL time: 4 secs -Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 2 secs +Phase 9 : 0 unrouted; (Setup:0, Hold:285, Component Switching Limit:0) REAL time: 4 secs -Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 2 secs -Total REAL time to Router completion: 2 secs -Total CPU time to Router completion: 2 secs +Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 4 secs -Partition Implementation Status -------------------------------- - - No Partitions were found in this design. - -------------------------------- +Phase 11 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 4 secs +Total REAL time to Router completion: 4 secs +Total CPU time to Router completion (all processors): 4 secs Generating "PAR" statistics. @@ -153,11 +141,10 @@ Generating Clock Report +---------------------+--------------+------+------+------------+-------------+ | Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| +---------------------+--------------+------+------+------------+-------------+ -|CLKGEN_inst/instance | | | | | | -|_name/clkfb_bufg_out | | | | | | -| | BUFGMUX_X3Y13| No | 2 | 0.000 | 2.077 | +| FSBCLK | BUFGMUX_X3Y13| No | 17 | 0.625 | 2.088 | +---------------------+--------------+------+------+------------+-------------+ -| FSBCLK | BUFGMUX_X2Y3| No | 17 | 0.700 | 2.135 | +|cg/pll/clkfb_bufg_ou | | | | | | +| t | BUFGMUX_X2Y3| No | 2 | 0.062 | 2.139 | +---------------------+--------------+------+------+------------+-------------+ * Net Skew is the difference between the minimum and maximum routing @@ -179,123 +166,17 @@ Asterisk (*) preceding a constraint indicates it was not met. Constraint | Check | Worst Case | Best Case | Timing | Timing | | Slack | Achievable | Errors | Score ---------------------------------------------------------------------------------------------------------- - COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 1 | SETUP | 1.520ns| 10.480ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.772ns| | 0| 0 + TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP " | MAXDELAY | 7.121ns| 7.879ns| 0| 0 + FSB_A" TO TIMEGRP "CPU_nSTERM" 15 ns | | | | | ---------------------------------------------------------------------------------------------------------- - COMP "FSB_A<27>" OFFSET = IN 12 ns VALID | SETUP | 1.666ns| 10.334ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.698ns| | 0| 0 + TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pl | SETUP | 11.311ns| 3.689ns| 0| 0 + l_clkout0" TS_CLKIN / 2 HIGH 50% | HOLD | 0.458ns| | 0| 0 ---------------------------------------------------------------------------------------------------------- - COMP "INt" OFFSET = IN 12 ns VALID 12 ns | SETUP | 1.668ns| 10.332ns| 0| 0 - BEFORE COMP "CLKIN" | HOLD | 4.292ns| | 0| 0 + TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns H | MINLOWPULSE | 20.000ns| 10.000ns| 0| 0 + IGH 50% | | | | | ---------------------------------------------------------------------------------------------------------- - COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 1 | SETUP | 1.713ns| 10.287ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.756ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<21>" OFFSET = IN 12 ns VALID | SETUP | 1.725ns| 10.275ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.718ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<28>" OFFSET = IN 12 ns VALID | SETUP | 1.739ns| 10.261ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.510ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<31>" OFFSET = IN 12 ns VALID | SETUP | 1.753ns| 10.247ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.707ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<15>" OFFSET = IN 12 ns VALID | SETUP | 1.904ns| 10.096ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.834ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<20>" OFFSET = IN 12 ns VALID | SETUP | 1.936ns| 10.064ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.578ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 1 | SETUP | 1.972ns| 10.028ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.706ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<24>" OFFSET = IN 12 ns VALID | SETUP | 1.974ns| 10.026ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.679ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<13>" OFFSET = IN 12 ns VALID | SETUP | 1.989ns| 10.011ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.709ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 1 | SETUP | 1.991ns| 10.009ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.618ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<19>" OFFSET = IN 12 ns VALID | SETUP | 2.005ns| 9.995ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.722ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 1 | SETUP | 2.081ns| 9.919ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.621ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<22>" OFFSET = IN 12 ns VALID | SETUP | 2.093ns| 9.907ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.637ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<25>" OFFSET = IN 12 ns VALID | SETUP | 2.117ns| 9.883ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.244ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 1 | SETUP | 2.124ns| 9.876ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.242ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<18>" OFFSET = IN 12 ns VALID | SETUP | 2.132ns| 9.868ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.829ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<29>" OFFSET = IN 12 ns VALID | SETUP | 2.136ns| 9.864ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.448ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<30>" OFFSET = IN 12 ns VALID | SETUP | 2.153ns| 9.847ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.725ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 1 | SETUP | 2.158ns| 9.842ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.237ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<12>" OFFSET = IN 12 ns VALID | SETUP | 2.179ns| 9.821ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.605ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 1 | SETUP | 2.234ns| 9.766ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.288ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 1 | SETUP | 2.243ns| 9.757ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.464ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<23>" OFFSET = IN 12 ns VALID | SETUP | 2.263ns| 9.737ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.472ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<26>" OFFSET = IN 12 ns VALID | SETUP | 2.343ns| 9.657ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.292ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 | SETUP | 2.359ns| 9.641ns| 0| 0 - ns BEFORE COMP "CLKIN" | HOLD | 3.896ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<16>" OFFSET = IN 12 ns VALID | SETUP | 2.435ns| 9.565ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.493ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<10>" OFFSET = IN 12 ns VALID | SETUP | 2.438ns| 9.562ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.463ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<11>" OFFSET = IN 12 ns VALID | SETUP | 2.478ns| 9.522ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.200ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 1 | SETUP | 2.735ns| 9.265ns| 0| 0 - 2 ns BEFORE COMP "CLKIN" | HOLD | 3.204ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<17>" OFFSET = IN 12 ns VALID | SETUP | 2.739ns| 9.261ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.474ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "FSB_A<14>" OFFSET = IN 12 ns VALID | SETUP | 2.916ns| 9.084ns| 0| 0 - 12 ns BEFORE COMP "CLKIN" | HOLD | 3.242ns| | 0| 0 ----------------------------------------------------------------------------------------------------------- - COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP | MAXDELAY | 2.960ns| 2.040ns| 0| 0 - "CLKIN" | | | | | ----------------------------------------------------------------------------------------------------------- - PERIOD analysis for net "CLKGEN_inst/inst | SETUP | 12.300ns| 2.700ns| 0| 0 - ance_name/clkout0" derived from NET "CLK | HOLD | 0.457ns| | 0| 0 - GEN_inst/instance_name/clkin1" PERIOD = 3 | | | | | - 0 ns HIGH 50% | | | | | ----------------------------------------------------------------------------------------------------------- - NET "CLKGEN_inst/instance_name/clkin1" PE | MINLOWPULSE | 20.000ns| 10.000ns| 0| 0 - RIOD = 30 ns HIGH 50% | | | | | ----------------------------------------------------------------------------------------------------------- - PERIOD analysis for net "CLKGEN_inst/inst | MINPERIOD | 27.334ns| 2.666ns| 0| 0 - ance_name/clkfbout" derived from NET "CL | | | | | - KGEN_inst/instance_name/clkin1" PERIOD = | | | | | - 30 ns HIGH 50% | | | | | + TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_p | MINPERIOD | 27.334ns| 2.666ns| 0| 0 + ll_clkfbout" TS_CLKIN HIGH 50% | | | | | ---------------------------------------------------------------------------------------------------------- @@ -303,18 +184,15 @@ Derived Constraint Report Review Timing Report for more details on the following derived constraints. To create a Timing Report, run "trce -v 12 -fastpaths -o design_timing_report design.ncd design.pcf" or "Run Timing Analysis" from Timing Analyzer (timingan). -Derived Constraints for CLKGEN_inst/instance_name/clkin1 +Derived Constraints for TS_CLKIN +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ | | Period | Actual Period | Timing Errors | Paths Analyzed | | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ -|CLKGEN_inst/instance_name/clkin| 30.000ns| 10.000ns| 5.400ns| 0| 0| 0| 35| -|1 | | | | | | | | -| CLKGEN_inst/instance_name/clko| 15.000ns| 2.700ns| N/A| 0| 0| 35| 0| -| ut0 | | | | | | | | -| CLKGEN_inst/instance_name/clkf| 30.000ns| 2.666ns| N/A| 0| 0| 0| 0| -| bout | | | | | | | | +|TS_CLKIN | 30.000ns| 10.000ns| 7.378ns| 0| 0| 0| 6| +| TS_cg_pll_clkfbout | 30.000ns| 2.666ns| N/A| 0| 0| 0| 0| +| TS_cg_pll_clkout0 | 15.000ns| 3.689ns| N/A| 0| 0| 6| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ All constraints were met. @@ -324,10 +202,10 @@ Generating Pad Report. All signals are completely routed. -Total REAL time to PAR completion: 3 secs -Total CPU time to PAR completion: 3 secs +Total REAL time to PAR completion: 4 secs +Total CPU time to PAR completion (all processors): 4 secs -Peak Memory Usage: 257 MB +Peak Memory Usage: 322 MB Placer: Placement generated during map. Routing: Completed - No errors found. @@ -335,7 +213,7 @@ Timing: Completed - No errors found. Number of error messages: 0 Number of warning messages: 0 -Number of info messages: 0 +Number of info messages: 1 Writing design to file WarpLC.ncd diff --git a/fpga/WarpLC.pcf b/fpga/WarpLC.pcf index e5e1a63..24212dd 100644 --- a/fpga/WarpLC.pcf +++ b/fpga/WarpLC.pcf @@ -1,44 +1,232 @@ //! ************************************************************************** -// Written by: Map P.20131013 on Fri Oct 29 17:59:48 2021 +// Written by: Map P.20131013 on Sun Oct 31 15:38:26 2021 //! ************************************************************************** SCHEMATIC START; -NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; -COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN"; +PIN + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram_pins<26> + = BEL + "l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram" + PINNAME CLKAWRCLK; +PIN + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram_pins<27> + = BEL + "l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram" + PINNAME CLKBRDCLK; +PIN cg/CPUCLK_inst_pins<1> = BEL "cg/CPUCLK_inst" PINNAME CK0; +PIN cg/CPUCLK_inst_pins<2> = BEL "cg/CPUCLK_inst" PINNAME CK1; +PIN cg/FPUCLK_inst_pins<1> = BEL "cg/FPUCLK_inst" PINNAME CK0; +PIN cg/FPUCLK_inst_pins<2> = BEL "cg/FPUCLK_inst" PINNAME CK1; +PIN cg/RAMCLK0_inst_pins<1> = BEL "cg/RAMCLK0_inst" PINNAME CK0; +PIN cg/RAMCLK0_inst_pins<2> = BEL "cg/RAMCLK0_inst" PINNAME CK1; +PIN cg/RAMCLK1_inst_pins<1> = BEL "cg/RAMCLK1_inst" PINNAME CK0; +PIN cg/RAMCLK1_inst_pins<2> = BEL "cg/RAMCLK1_inst" PINNAME CK1; +TIMEGRP cg_pll_clkout0 = BEL "cg/pll/clkout1_buf" PIN + "l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram_pins<26>" + PIN + "l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram_pins<27>" + BEL "cg/CPUCLKr" BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP" + PIN "cg/CPUCLK_inst_pins<1>" PIN "cg/CPUCLK_inst_pins<2>" PIN + "cg/CPUCLK_inst_pins<1>" PIN "cg/CPUCLK_inst_pins<2>" PIN + "cg/FPUCLK_inst_pins<1>" PIN "cg/FPUCLK_inst_pins<2>" PIN + "cg/FPUCLK_inst_pins<1>" PIN "cg/FPUCLK_inst_pins<2>" PIN + "cg/RAMCLK0_inst_pins<1>" PIN "cg/RAMCLK0_inst_pins<2>" PIN + "cg/RAMCLK0_inst_pins<1>" PIN "cg/RAMCLK0_inst_pins<2>" PIN + "cg/RAMCLK1_inst_pins<1>" PIN "cg/RAMCLK1_inst_pins<2>" PIN + "cg/RAMCLK1_inst_pins<1>" PIN "cg/RAMCLK1_inst_pins<2>"; +PIN cg/pll/clkfbout_oddr_pins<1> = BEL "cg/pll/clkfbout_oddr" PINNAME CK0; +PIN cg/pll/clkfbout_oddr_pins<2> = BEL "cg/pll/clkfbout_oddr" PINNAME CK1; +TIMEGRP cg_pll_clkfbout = BEL "cg/pll/clkfbout_bufg" PIN + "cg/pll/clkfbout_oddr_pins<1>" PIN "cg/pll/clkfbout_oddr_pins<2>" PIN + "cg/pll/clkfbout_oddr_pins<1>" PIN "cg/pll/clkfbout_oddr_pins<2>"; +TIMEGRP CPU_nSTERM = BEL "CPU_nSTERM"; +TIMEGRP FSB_A = BEL "CPU_nSTERM" PIN + "l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram_pins<27>" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/SP" + BEL + "l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP"; +PIN SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0_pins<0> = BEL + "SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0" PINNAME DIVCLK; +PIN cg/pll/pll_base_inst/PLL_ADV_pins<2> = BEL "cg/pll/pll_base_inst/PLL_ADV" + PINNAME CLKIN1; +TIMEGRP CLKIN = PIN "SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0_pins<0>" PIN + "cg/pll/pll_base_inst/PLL_ADV_pins<2>"; +TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%; +TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP "CPU_nSTERM" 15 ns; +TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%; +TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%; BEL "CLKFB_OUT" FEEDBACK = 0.16 ns BEL "CLKFB_IN"; SCHEMATIC END; diff --git a/fpga/WarpLC.prj b/fpga/WarpLC.prj index 151862d..cd94034 100644 --- a/fpga/WarpLC.prj +++ b/fpga/WarpLC.prj @@ -1,3 +1,7 @@ -verilog work "ipcore_dir/CLK.v" -verilog work "CLKGEN.v" +verilog work "ipcore_dir/PLL.v" +verilog work "ipcore_dir/PrefetchTagRAM.v" +verilog work "ipcore_dir/PrefetchDataRAM.v" +verilog work "SizeDecode.v" +verilog work "PrefetchBuf.v" +verilog work "ClkGen.v" verilog work "WarpLC.v" diff --git a/fpga/WarpLC.ptwx b/fpga/WarpLC.ptwx index 95ae8d0..c8da838 100644 --- a/fpga/WarpLC.ptwx +++ b/fpga/WarpLC.ptwx @@ -329,4 +329,4 @@ ]> -COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN"PERIOD analysis for net "CLKGEN_inst/instance_name/clkout0" derived from NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%PERIOD analysis for net "CLKGEN_inst/instance_name/clkfbout" derived from NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%0 +TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP "CPU_nSTERM" 15 nsTS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%0 diff --git a/fpga/WarpLC.syr b/fpga/WarpLC.syr index df9c5db..d877bc4 100644 --- a/fpga/WarpLC.syr +++ b/fpga/WarpLC.syr @@ -1,16 +1,16 @@ -Release 14.7 - xst P.20131013 (nt) +Release 14.7 - xst P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.08 secs +Total CPU time to Xst completion: 0.09 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.08 secs +Total CPU time to Xst completion: 0.09 secs --> Reading design: WarpLC.prj @@ -81,12 +81,12 @@ Equivalent register Removal : YES ---- General Options Optimization Goal : Speed -Optimization Effort : 1 +Optimization Effort : 2 Power Reduction : NO Keep Hierarchy : No Netlist Hierarchy : As_Optimized RTL Output : Yes -Global Optimization : AllClockNets +Global Optimization : Inpad_To_Outpad Read Cores : YES Write Timing Constraints : NO Cross Clock Analysis : NO @@ -108,11 +108,19 @@ Cores Search Directories : {"ipcore_dir" } ========================================================================= * HDL Parsing * ========================================================================= -Analyzing Verilog file "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" into library work -Parsing module . -Analyzing Verilog file "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\CLKGEN.v" into library work -Parsing module . -Analyzing Verilog file "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\WarpLC.v" into library work +Analyzing Verilog file "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" into library work +Parsing module . +Analyzing Verilog file "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PrefetchTagRAM.v" into library work +Parsing module . +Analyzing Verilog file "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PrefetchDataRAM.v" into library work +Parsing module . +Analyzing Verilog file "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\SizeDecode.v" into library work +Parsing module . +Analyzing Verilog file "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v" into library work +Parsing module . +Analyzing Verilog file "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ClkGen.v" into library work +Parsing module . +Analyzing Verilog file "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v" into library work Parsing module . ========================================================================= @@ -120,46 +128,62 @@ Parsing module . ========================================================================= Elaborating module . +WARNING:HDLCompiler:1016 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ClkGen.v" Line 32: Port LOCKED is not connected to this instance -Elaborating module . +Elaborating module . -Elaborating module . +Elaborating module . Elaborating module . Elaborating module . -Elaborating module . -WARNING:HDLCompiler:1127 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 128: Assignment to clkout1_unused ignored, since the identifier is never used -WARNING:HDLCompiler:1127 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 129: Assignment to clkout2_unused ignored, since the identifier is never used -WARNING:HDLCompiler:1127 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 130: Assignment to clkout3_unused ignored, since the identifier is never used -WARNING:HDLCompiler:1127 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 131: Assignment to clkout4_unused ignored, since the identifier is never used -WARNING:HDLCompiler:1127 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 132: Assignment to clkout5_unused ignored, since the identifier is never used -WARNING:HDLCompiler:1127 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 133: Assignment to locked_unused ignored, since the identifier is never used +Elaborating module . +WARNING:HDLCompiler:1127 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 129: Assignment to clkout1_unused ignored, since the identifier is never used +WARNING:HDLCompiler:1127 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 130: Assignment to clkout2_unused ignored, since the identifier is never used +WARNING:HDLCompiler:1127 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 131: Assignment to clkout3_unused ignored, since the identifier is never used +WARNING:HDLCompiler:1127 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 132: Assignment to clkout4_unused ignored, since the identifier is never used +WARNING:HDLCompiler:1127 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 133: Assignment to clkout5_unused ignored, since the identifier is never used Elaborating module . Elaborating module . Elaborating module . -WARNING:HDLCompiler:1127 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\WarpLC.v" Line 84: Assignment to CPUCLKr ignored, since the identifier is never used + +Elaborating module . +WARNING:HDLCompiler:1127 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v" Line 94: Assignment to FSB_B ignored, since the identifier is never used + +Elaborating module . + +Elaborating module . +WARNING:HDLCompiler:1499 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PrefetchTagRAM.v" Line 39: Empty module remains a black box. +WARNING:HDLCompiler:189 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v" Line 33: Size mismatch in connection of port . Formal port size is 5-bit while actual signal size is 7-bit. +WARNING:HDLCompiler:189 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v" Line 36: Size mismatch in connection of port . Formal port size is 5-bit while actual signal size is 7-bit. + +Elaborating module . +WARNING:HDLCompiler:1499 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PrefetchDataRAM.v" Line 39: Empty module remains a black box. +WARNING:HDLCompiler:189 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v" Line 44: Size mismatch in connection of port . Formal port size is 7-bit while actual signal size is 5-bit. +WARNING:Xst:2972 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v" line 91. All outputs of instance of block are unconnected in block . Underlying logic will be removed. ========================================================================= * HDL Synthesis * ========================================================================= Synthesizing Unit . - Related source file is "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\WarpLC.v". + Related source file is "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v". Set property "IOSTANDARD = LVCMOS33" for signal . Set property "IOBDELAY = NONE" for signal . + Set property "IOSTANDARD = LVCMOS33" for signal . + Set property "IOBDELAY = NONE" for signal . + Set property "IOSTANDARD = LVCMOS33" for signal . + Set property "DRIVE = 8" for signal . + Set property "SLEW = SLOW" for signal . Set property "IOSTANDARD = LVCMOS33" for signal . Set property "IOBDELAY = NONE" for signal . - Set property "IOSTANDARD = LVCMOS33" for signal . - Set property "IOBDELAY = NONE" for signal . - Set property "IOB = FALSE" for signal . - Set property "IOSTANDARD = LVCMOS33" for signal . - Set property "DRIVE = 24" for signal . - Set property "SLEW = FAST" for signal . + Set property "IOSTANDARD = LVCMOS33" for signal . + Set property "DRIVE = 24" for signal . + Set property "SLEW = FAST" for signal . Set property "IOSTANDARD = LVCMOS33" for signal . Set property "DRIVE = 24" for signal . Set property "SLEW = FAST" for signal . @@ -172,8 +196,6 @@ Synthesizing Unit . Set property "IOSTANDARD = LVCMOS33" for signal . Set property "DRIVE = 24" for signal . Set property "SLEW = FAST" for signal . - Set property "IOSTANDARD = LVCMOS33" for signal . - Set property "IOBDELAY = NONE" for signal . Set property "IOSTANDARD = LVCMOS33" for signal . Set property "IOBDELAY = NONE" for signal . Set property "IOSTANDARD = LVCMOS33" for signal . @@ -181,37 +203,44 @@ Synthesizing Unit . Set property "IOSTANDARD = LVCMOS33" for signal . Set property "DRIVE = 24" for signal . Set property "SLEW = FAST" for signal . -INFO:Xst:3210 - "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\WarpLC.v" line 79: Output port of the instance is unconnected or connected to loadless signal. - Found 32-bit register for signal . - Found 1-bit register for signal . - Found 32-bit comparator equal for signal created at line 92 +WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +INFO:Xst:3210 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v" line 91: Output port of the instance is unconnected or connected to loadless signal. Summary: - inferred 33 D-type flip-flop(s). - inferred 1 Comparator(s). + no macro. Unit synthesized. -Synthesizing Unit . - Related source file is "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\CLKGEN.v". +Synthesizing Unit . + Related source file is "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ClkGen.v". +INFO:Xst:3210 - "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ClkGen.v" line 32: Output port of the instance is unconnected or connected to loadless signal. Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). -Unit synthesized. +Unit synthesized. -Synthesizing Unit . - Related source file is "C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v". +Synthesizing Unit . + Related source file is "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v". Summary: no macro. -Unit synthesized. +Unit synthesized. + +Synthesizing Unit . + Related source file is "C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v". +WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + Found 21-bit comparator equal for signal created at line 28 + Summary: + inferred 1 Comparator(s). +Unit synthesized. ========================================================================= HDL Synthesis Report Macro Statistics -# Registers : 3 - 1-bit register : 2 - 32-bit register : 1 +# Registers : 1 + 1-bit register : 1 # Comparators : 1 - 32-bit comparator equal : 1 + 21-bit comparator equal : 1 ========================================================================= @@ -219,15 +248,19 @@ Macro Statistics * Advanced HDL Synthesis * ========================================================================= +Reading core . +Reading core . +Loading core for timing and area information for instance . +Loading core for timing and area information for instance . ========================================================================= Advanced HDL Synthesis Report Macro Statistics -# Registers : 34 - Flip-Flops : 34 +# Registers : 1 + Flip-Flops : 1 # Comparators : 1 - 32-bit comparator equal : 1 + 21-bit comparator equal : 1 ========================================================================= @@ -238,11 +271,13 @@ INFO:Xst:1901 - Instance pll_base_inst in unit pll_base_inst of type PLL_BASE ha Optimizing unit ... -Optimizing unit ... +Optimizing unit ... + +Optimizing unit ... Mapping all equations... Building and optimizing final netlist ... -Found area constraint ratio of 100 (+ 5) on block WarpLC, actual ratio is 1. +Found area constraint ratio of 100 (+ 5) on block WarpLC, actual ratio is 0. Final Macro Processing ... @@ -250,8 +285,8 @@ Final Macro Processing ... Final Register Report Macro Statistics -# Registers : 34 - Flip-Flops : 34 +# Registers : 1 + Flip-Flops : 1 ========================================================================= @@ -274,23 +309,26 @@ Top Level Output File Name : WarpLC.ngc Primitive and Black Box Usage: ------------------------------ -# BELS : 29 -# GND : 1 +# BELS : 22 +# GND : 2 # INV : 3 -# LUT3 : 1 -# LUT4 : 1 -# LUT6 : 10 -# MUXCY : 12 +# LUT1 : 1 +# LUT6 : 7 +# MUXCY : 8 # VCC : 1 -# FlipFlops/Latches : 39 -# FD : 34 +# FlipFlops/Latches : 50 +# FD : 44 +# FDR : 1 # ODDR2 : 5 +# RAMS : 23 +# RAM32X1D : 22 +# RAMB8BWER : 1 # Clock Buffers : 2 # BUFG : 2 -# IO Buffers : 43 -# IBUF : 35 +# IO Buffers : 66 +# IBUF : 26 # IBUFG : 2 -# OBUF : 6 +# OBUF : 38 # Others : 2 # BUFIO2FB : 1 # PLL_ADV : 1 @@ -302,22 +340,26 @@ Selected Device : 6slx9ftg256-2 Slice Logic Utilization: - Number of Slice Registers: 39 out of 11440 0% - Number of Slice LUTs: 15 out of 5720 0% - Number used as Logic: 15 out of 5720 0% + Number of Slice Registers: 50 out of 11440 0% + Number of Slice LUTs: 55 out of 5720 0% + Number used as Logic: 11 out of 5720 0% + Number used as Memory: 44 out of 1440 3% + Number used as RAM: 44 Slice Logic Distribution: - Number of LUT Flip Flop pairs used: 52 - Number with an unused Flip Flop: 13 out of 52 25% - Number with an unused LUT: 37 out of 52 71% - Number of fully used LUT-FF pairs: 2 out of 52 3% - Number of unique control sets: 1 + Number of LUT Flip Flop pairs used: 105 + Number with an unused Flip Flop: 55 out of 105 52% + Number with an unused LUT: 50 out of 105 47% + Number of fully used LUT-FF pairs: 0 out of 105 0% + Number of unique control sets: 2 IO Utilization: - Number of IOs: 43 - Number of bonded IOBs: 43 out of 186 23% + Number of IOs: 75 + Number of bonded IOBs: 66 out of 186 35% Specific Feature Utilization: + Number of Block RAM/FIFO: 1 out of 32 3% + Number using Block RAM only: 1 Number of BUFG/BUFGCTRLs: 2 out of 16 12% Number of PLL_ADVs: 1 out of 2 50% @@ -339,12 +381,12 @@ NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. Clock Information: ------------------ -------------------------------------------------+------------------------+-------+ -Clock Signal | Clock buffer(FF name) | Load | -------------------------------------------------+------------------------+-------+ -CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0 | BUFG | 42 | -CLKGEN_inst/instance_name/pll_base_inst/CLKFBOUT| BUFG | 2 | -------------------------------------------------+------------------------+-------+ +-----------------------------------+------------------------+-------+ +Clock Signal | Clock buffer(FF name) | Load | +-----------------------------------+------------------------+-------+ +cg/pll/pll_base_inst/CLKOUT0 | BUFG | 76 | +cg/pll/pll_base_inst/CLKFBOUT | BUFG | 2 | +-----------------------------------+------------------------+-------+ Asynchronous Control Signals Information: ---------------------------------------- @@ -354,129 +396,141 @@ Timing Summary: --------------- Speed Grade: -2 - Minimum period: 2.580ns (Maximum Frequency: 387.597MHz) - Minimum input arrival time before clock: 3.547ns - Maximum output required time after clock: 4.118ns - Maximum combinational path delay: 1.328ns + Minimum period: 4.696ns (Maximum Frequency: 212.947MHz) + Minimum input arrival time before clock: 3.719ns + Maximum output required time after clock: 6.384ns + Maximum combinational path delay: 8.292ns Timing Details: --------------- All values displayed in nanoseconds (ns) ========================================================================= -Timing constraint: Default period analysis for Clock 'CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0' - Clock period: 2.580ns (frequency: 387.597MHz) - Total number of paths / destination ports: 35 / 4 +Timing constraint: Default period analysis for Clock 'cg/pll/pll_base_inst/CLKOUT0' + Clock period: 4.696ns (frequency: 212.947MHz) + Total number of paths / destination ports: 50 / 50 ------------------------------------------------------------------------- -Delay: 1.290ns (Levels of Logic = 0) - Source: CLKGEN_inst/CPUCLKr (FF) - Destination: CLKGEN_inst/FPUCLK_inst (FF) - Source Clock: CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0 rising - Destination Clock: CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0 falling +Delay: 2.348ns (Levels of Logic = 1) + Source: cg/CPUCLKr (FF) + Destination: cg/CPUCLK_inst (FF) + Source Clock: cg/pll/pll_base_inst/CLKOUT0 rising + Destination Clock: cg/pll/pll_base_inst/CLKOUT0 falling - Data Path: CLKGEN_inst/CPUCLKr to CLKGEN_inst/FPUCLK_inst + Data Path: cg/CPUCLKr to cg/CPUCLK_inst Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ - FD:C->Q 3 0.525 0.765 CLKGEN_inst/CPUCLKr (CLKGEN_inst/CPUCLKr) - ODDR2:D1 0.000 CLKGEN_inst/FPUCLK_inst + FDR:C->Q 4 0.525 0.803 cg/CPUCLKr (cg/CPUCLKr) + INV:I->O 3 0.255 0.765 l2pre/CPUCLKr_INV_15_o1_INV_0 (l2pre/CPUCLKr_INV_15_o) + ODDR2:D1 0.000 cg/CPUCLK_inst ---------------------------------------- - Total 1.290ns (0.525ns logic, 0.765ns route) - (40.7% logic, 59.3% route) + Total 2.348ns (0.780ns logic, 1.568ns route) + (33.2% logic, 66.8% route) ========================================================================= -Timing constraint: Default OFFSET IN BEFORE for Clock 'CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0' - Total number of paths / destination ports: 67 / 33 +Timing constraint: Default OFFSET IN BEFORE for Clock 'cg/pll/pll_base_inst/CLKOUT0' + Total number of paths / destination ports: 225 / 137 ------------------------------------------------------------------------- -Offset: 3.547ns (Levels of Logic = 14) +Offset: 3.719ns (Levels of Logic = 3) Source: FSB_A<2> (PAD) - Destination: OUTt (FF) - Destination Clock: CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0 rising + Destination: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_21 (FF) + Destination Clock: cg/pll/pll_base_inst/CLKOUT0 rising - Data Path: FSB_A<2> to OUTt + Data Path: FSB_A<2> to l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_21 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ - IBUF:I->O 2 1.328 1.181 FSB_A_2_IBUF (FSB_A_2_IBUF) - LUT6:I0->O 1 0.254 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0>) - MUXCY:S->O 1 0.215 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<0> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<0>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<1> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<1>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<2> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<2>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<4> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<4>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<5> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<5>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<6> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<6>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<8> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<8>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<9> (Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<9>) - MUXCY:CI->O 1 0.023 0.000 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<10> (FSB_A[31]_AR[31]_equal_2_o) - MUXCY:CI->O 1 0.262 0.000 CPU_nAS_FSB_A[31]_AND_3_o1_cy (CPU_nAS_FSB_A[31]_AND_3_o) - FD:D 0.074 OUTt + IBUF:I->O 23 1.328 1.357 FSB_A_2_IBUF (FSB_A_2_IBUF) + begin scope: 'l2pre/Way0Tag:dpra<0>' + RAM32X1D:DPRA0->DPO 2 0.235 0.725 U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3 (dpo<2>) + FD:D 0.074 U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_2 ---------------------------------------- - Total 3.547ns (2.366ns logic, 1.181ns route) - (66.7% logic, 33.3% route) + Total 3.719ns (1.637ns logic, 2.082ns route) + (44.0% logic, 56.0% route) ========================================================================= -Timing constraint: Default OFFSET OUT AFTER for Clock 'CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0' - Total number of paths / destination ports: 1 / 1 +Timing constraint: Default OFFSET OUT AFTER for Clock 'cg/pll/pll_base_inst/CLKOUT0' + Total number of paths / destination ports: 54 / 33 ------------------------------------------------------------------------- -Offset: 4.118ns (Levels of Logic = 1) - Source: OUTt (FF) - Destination: OUTt (PAD) - Source Clock: CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0 rising +Offset: 6.384ns (Levels of Logic = 11) + Source: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3 (RAM) + Destination: CPU_nSTERM (PAD) + Source Clock: cg/pll/pll_base_inst/CLKOUT0 rising - Data Path: OUTt to OUTt + Data Path: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3 to CPU_nSTERM Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ - FD:C->Q 1 0.525 0.681 OUTt (OUTt_OBUF) - OBUF:I->O 2.912 OUTt_OBUF (OUTt) + RAM32X1D:WCLK->DPO 2 1.012 0.954 U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3 (dpo<2>) + end scope: 'l2pre/Way0Tag:dpo<2>' + LUT6:I3->O 1 0.235 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>) + MUXCY:S->O 1 0.215 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<0> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<0>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<1> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<1>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<2> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<2>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<4> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<4>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<5> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<5>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<6> (l2pre/RDTag[20]_RDATag[20]_equal_5_o) + MUXCY:CI->O 1 0.235 0.681 CPU_nSTERM1_cy (CPU_nSTERM_OBUF) + OBUF:I->O 2.912 CPU_nSTERM_OBUF (CPU_nSTERM) ---------------------------------------- - Total 4.118ns (3.437ns logic, 0.681ns route) - (83.5% logic, 16.5% route) + Total 6.384ns (4.749ns logic, 1.635ns route) + (74.4% logic, 25.6% route) ========================================================================= Timing constraint: Default path analysis - Total number of paths / destination ports: 1 / 1 + Total number of paths / destination ports: 132 / 2 ------------------------------------------------------------------------- -Delay: 1.328ns (Levels of Logic = 1) - Source: CLKFB_IN (PAD) - Destination: CLKGEN_inst/instance_name/clkfb_bufio2fb:I (PAD) +Delay: 8.292ns (Levels of Logic = 13) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) - Data Path: CLKFB_IN to CLKGEN_inst/instance_name/clkfb_bufio2fb:I + Data Path: FSB_A<2> to CPU_nSTERM Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ - IBUFG:I->O 0 1.328 0.000 CLKGEN_inst/instance_name/clkfb_ibufg (CLKGEN_inst/instance_name/clkfb_ibuf2bufio2fb) - BUFIO2FB:I 0.000 CLKGEN_inst/instance_name/clkfb_bufio2fb + IBUF:I->O 23 1.328 1.357 FSB_A_2_IBUF (FSB_A_2_IBUF) + begin scope: 'l2pre/Way0Tag:dpra<0>' + RAM32X1D:DPRA0->DPO 2 0.235 0.954 U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3 (dpo<2>) + end scope: 'l2pre/Way0Tag:dpo<2>' + LUT6:I3->O 1 0.235 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>) + MUXCY:S->O 1 0.215 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<0> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<0>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<1> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<1>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<2> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<2>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<4> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<4>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<5> (l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<5>) + MUXCY:CI->O 1 0.023 0.000 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<6> (l2pre/RDTag[20]_RDATag[20]_equal_5_o) + MUXCY:CI->O 1 0.235 0.681 CPU_nSTERM1_cy (CPU_nSTERM_OBUF) + OBUF:I->O 2.912 CPU_nSTERM_OBUF (CPU_nSTERM) ---------------------------------------- - Total 1.328ns (1.328ns logic, 0.000ns route) - (100.0% logic, 0.0% route) + Total 8.292ns (5.300ns logic, 2.992ns route) + (63.9% logic, 36.1% route) ========================================================================= Cross Clock Domains Report: -------------------------- -Clock to Setup on destination clock CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0 ------------------------------------------------+---------+---------+---------+---------+ - | Src:Rise| Src:Fall| Src:Rise| Src:Fall| -Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ------------------------------------------------+---------+---------+---------+---------+ -CLKGEN_inst/instance_name/pll_base_inst/CLKOUT0| 2.454| | 1.290| | ------------------------------------------------+---------+---------+---------+---------+ +Clock to Setup on destination clock cg/pll/pll_base_inst/CLKOUT0 +----------------------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +----------------------------+---------+---------+---------+---------+ +cg/pll/pll_base_inst/CLKOUT0| 2.568| | 2.348| | +----------------------------+---------+---------+---------+---------+ ========================================================================= -Total REAL time to Xst completion: 2.00 secs -Total CPU time to Xst completion: 2.72 secs +Total REAL time to Xst completion: 6.00 secs +Total CPU time to Xst completion: 6.67 secs --> -Total memory usage is 225148 kilobytes +Total memory usage is 258804 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 7 ( 0 filtered) -Number of infos : 2 ( 0 filtered) +Number of warnings : 17 ( 0 filtered) +Number of infos : 3 ( 0 filtered) diff --git a/fpga/WarpLC.twr b/fpga/WarpLC.twr index ed881bd..acd5657 100644 --- a/fpga/WarpLC.twr +++ b/fpga/WarpLC.twr @@ -1,32 +1,33 @@ -------------------------------------------------------------------------------- -Release 14.7 Trace (nt) +Release 14.7 Trace (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\trce.exe -intstyle ise -v 3 -s 2 -n -3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 +-timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o +WarpLC.twr WarpLC.pcf -ucf PLL.ucf Design file: WarpLC.ncd Physical constraint file: WarpLC.pcf Device,package,speed: xc6slx9,ftg256,C,-2 (PRODUCTION 1.23 2013-10-13) Report level: verbose report + unconstrained path report, limited to 1000 items per endpoint, 3 endpoints per path report Environment Variable Effect -------------------- ------ NONE No environment variables were set -------------------------------------------------------------------------------- +INFO:Timing:3386 - Intersecting Constraints found and resolved. For more + information, see the TSI report. Please consult the Xilinx Command Line + Tools User Guide for information on generating a TSI report. INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612). -INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths - option. All paths that are not constrained will be reported in the - unconstrained paths section(s) of the report. INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. ================================================================================ -Timing constraint: NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH -50%; +Timing constraint: TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints @@ -34,414 +35,209 @@ For more information, see Period Analysis in the Timing Closure User Guide (UG61 Minimum period is 10.000ns. -------------------------------------------------------------------------------- -Component Switching Limit Checks: NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; +Component Switching Limit Checks: TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%; +-------------------------------------------------------------------------------- +Slack: 13.948ns (period - min period limit) + Period: 15.000ns + Min period limit: 1.052ns (950.570MHz) (Tpllper_CLKOUT(Foutmax)) + Physical resource: cg/pll/pll_base_inst/PLL_ADV/CLKOUT0 + Logical resource: cg/pll/pll_base_inst/PLL_ADV/CLKOUT0 + Location pin: PLL_ADV_X0Y1.CLKOUT0 + Clock network: cg/pll/clkout0 -------------------------------------------------------------------------------- Slack: 20.000ns (period - (min low pulse limit / (low pulse / period))) Period: 30.000ns Low pulse: 15.000ns Low pulse limit: 5.000ns (Tdcmpw_CLKIN_25_50) - Physical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Logical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKIN1 + Physical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 + Logical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 Location pin: PLL_ADV_X0Y1.CLKIN2 - Clock network: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + Clock network: cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK -------------------------------------------------------------------------------- Slack: 20.000ns (period - (min high pulse limit / (high pulse / period))) Period: 30.000ns High pulse: 15.000ns High pulse limit: 5.000ns (Tdcmpw_CLKIN_25_50) - Physical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Logical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKIN1 + Physical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 + Logical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 Location pin: PLL_ADV_X0Y1.CLKIN2 - Clock network: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK --------------------------------------------------------------------------------- -Slack: 22.630ns (max period limit - period) - Period: 30.000ns - Max period limit: 52.630ns (19.001MHz) (Tpllper_CLKIN) - Physical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Logical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Location pin: PLL_ADV_X0Y1.CLKIN2 - Clock network: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + Clock network: cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK -------------------------------------------------------------------------------- ================================================================================ -Timing constraint: PERIOD analysis for net "CLKGEN_inst/instance_name/clkout0" -derived from NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; -divided by 2.00 to 15 nS -For more information, see Period Analysis in the Timing Closure User Guide (UG612). +Timing constraint: TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP +"CPU_nSTERM" 15 ns; +For more information, see From:To (Multicycle) Analysis in the Timing Closure User Guide (UG612). - 35 paths analyzed, 12 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) - Minimum period is 2.700ns. + 22 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Maximum delay is 7.879ns. -------------------------------------------------------------------------------- -Paths for end point OUTt (SLICE_X0Y21.CIN), 24 paths +Paths for end point CPU_nSTERM (D12.PAD), 22 paths -------------------------------------------------------------------------------- -Slack (setup path): 12.300ns (requirement - (data path - clock path skew + uncertainty)) - Source: AR_5 (FF) - Destination: OUTt (FF) +Slack (slowest paths): 7.121ns (requirement - data path) + Source: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP (RAM) + Destination: CPU_nSTERM (PAD) Requirement: 15.000ns - Data Path Delay: 2.534ns (Levels of Logic = 3) - Clock Path Skew: -0.018ns (0.188 - 0.206) + Data Path Delay: 7.879ns (Levels of Logic = 2) Source Clock: FSBCLK rising at 0.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.148ns - Clock Uncertainty: 0.148ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: AR_5 to OUTt + Maximum Data Path at Slow Process Corner: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP to CPU_nSTERM Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X0Y18.BQ Tcko 0.525 AR<7> - AR_5 - SLICE_X0Y19.B1 net (fanout=1) 1.129 AR<5> - SLICE_X0Y19.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt + SLICE_X16Y51.AMUX Tshcko 1.081 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + SLICE_X22Y51.A1 net (fanout=1) 1.261 l2pre/n0023<14> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM ------------------------------------------------- --------------------------- - Total 2.534ns (1.399ns logic, 1.135ns route) - (55.2% logic, 44.8% route) + Total 7.879ns (3.711ns logic, 4.168ns route) + (47.1% logic, 52.9% route) -------------------------------------------------------------------------------- -Slack (setup path): 12.375ns (requirement - (data path - clock path skew + uncertainty)) - Source: AR_9 (FF) - Destination: OUTt (FF) +Slack (slowest paths): 7.227ns (requirement - data path) + Source: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP (RAM) + Destination: CPU_nSTERM (PAD) Requirement: 15.000ns - Data Path Delay: 2.458ns (Levels of Logic = 3) - Clock Path Skew: -0.019ns (0.188 - 0.207) + Data Path Delay: 7.773ns (Levels of Logic = 2) Source Clock: FSBCLK rising at 0.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.148ns - Clock Uncertainty: 0.148ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: AR_9 to OUTt + Maximum Data Path at Slow Process Corner: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP to CPU_nSTERM Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X0Y17.BQ Tcko 0.525 AR<11> - AR_9 - SLICE_X0Y19.D1 net (fanout=1) 1.224 AR<9> - SLICE_X0Y19.COUT Topcyd 0.312 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt + SLICE_X16Y51.A Tshcko 1.012 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + SLICE_X22Y51.B2 net (fanout=1) 1.248 l2pre/n0023<16> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM ------------------------------------------------- --------------------------- - Total 2.458ns (1.228ns logic, 1.230ns route) + Total 7.773ns (3.618ns logic, 4.155ns route) + (46.5% logic, 53.5% route) + +-------------------------------------------------------------------------------- +Slack (slowest paths): 7.243ns (requirement - data path) + Source: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP (RAM) + Destination: CPU_nSTERM (PAD) + Requirement: 15.000ns + Data Path Delay: 7.757ns (Levels of Logic = 3) + Source Clock: FSBCLK rising at 0.000ns + + Maximum Data Path at Slow Process Corner: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y50.BMUX Tshcko 1.131 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + SLICE_X22Y50.B1 net (fanout=1) 1.019 l2pre/n0023<4> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 7.757ns (3.828ns logic, 3.929ns route) + (49.3% logic, 50.7% route) + +-------------------------------------------------------------------------------- +Hold Paths: TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP "CPU_nSTERM" 15 ns; +-------------------------------------------------------------------------------- + +Paths for end point CPU_nSTERM (D12.PAD), 22 paths +-------------------------------------------------------------------------------- +Delay (fastest path): 3.026ns (data path) + Source: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP (RAM) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 3.026ns (Levels of Logic = 2) + Source Clock: FSBCLK rising at 0.000ns + + Minimum Data Path at Fast Process Corner: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y51.AMUX Tshcko 0.490 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + SLICE_X22Y51.C6 net (fanout=1) 0.129 l2pre/n0023<18> + SLICE_X22Y51.DMUX Topcd 0.307 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 1.401 CPU_nSTERM_OBUF + D12.PAD Tioop 0.699 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 3.026ns (1.496ns logic, 1.530ns route) + (49.4% logic, 50.6% route) +-------------------------------------------------------------------------------- +Delay (fastest path): 3.039ns (data path) + Source: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP (RAM) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 3.039ns (Levels of Logic = 2) + Source Clock: FSBCLK rising at 0.000ns + + Minimum Data Path at Fast Process Corner: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y51.B Tshcko 0.449 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + SLICE_X22Y51.B6 net (fanout=1) 0.119 l2pre/n0023<15> + SLICE_X22Y51.DMUX Topbd 0.371 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 1.401 CPU_nSTERM_OBUF + D12.PAD Tioop 0.699 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 3.039ns (1.519ns logic, 1.520ns route) (50.0% logic, 50.0% route) - -------------------------------------------------------------------------------- -Slack (setup path): 12.408ns (requirement - (data path - clock path skew + uncertainty)) - Source: AR_4 (FF) - Destination: OUTt (FF) - Requirement: 15.000ns - Data Path Delay: 2.426ns (Levels of Logic = 3) - Clock Path Skew: -0.018ns (0.188 - 0.206) +Delay (fastest path): 3.074ns (data path) + Source: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP (RAM) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 3.074ns (Levels of Logic = 2) Source Clock: FSBCLK rising at 0.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.148ns - Clock Uncertainty: 0.148ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: AR_4 to OUTt + Minimum Data Path at Fast Process Corner: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP to CPU_nSTERM Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X0Y18.AQ Tcko 0.525 AR<7> - AR_4 - SLICE_X0Y19.B5 net (fanout=1) 1.021 AR<4> - SLICE_X0Y19.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt + SLICE_X20Y51.BMUX Tshcko 0.495 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + SLICE_X22Y51.C5 net (fanout=1) 0.172 l2pre/n0023<19> + SLICE_X22Y51.DMUX Topcd 0.307 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 1.401 CPU_nSTERM_OBUF + D12.PAD Tioop 0.699 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM ------------------------------------------------- --------------------------- - Total 2.426ns (1.399ns logic, 1.027ns route) - (57.7% logic, 42.3% route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.B3), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 12.492ns (requirement - (data path - clock path skew + uncertainty)) - Source: AR_27 (FF) - Destination: OUTt (FF) - Requirement: 15.000ns - Data Path Delay: 2.348ns (Levels of Logic = 1) - Clock Path Skew: -0.012ns (0.333 - 0.345) - Source Clock: FSBCLK rising at 0.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.148ns - - Clock Uncertainty: 0.148ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: AR_27 to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X0Y24.DQ Tcko 0.525 AR<27> - AR_27 - SLICE_X0Y21.B3 net (fanout=1) 1.135 AR<27> - SLICE_X0Y21.CLK Tas 0.688 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.348ns (1.213ns logic, 1.135ns route) - (51.7% logic, 48.3% route) - --------------------------------------------------------------------------------- - -Paths for end point CLKGEN_inst/FPUCLK_inst (OLOGIC_X0Y47.D2), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 12.531ns (requirement - (data path - clock path skew + uncertainty)) - Source: CLKGEN_inst/CPUCLKr (FF) - Destination: CLKGEN_inst/FPUCLK_inst (FF) - Requirement: 15.000ns - Data Path Delay: 2.853ns (Levels of Logic = 0) - Clock Path Skew: 0.532ns (0.882 - 0.350) - Source Clock: FSBCLK rising at 0.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.148ns - - Clock Uncertainty: 0.148ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: CLKGEN_inst/CPUCLKr to CLKGEN_inst/FPUCLK_inst - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X0Y53.DQ Tcko 0.525 CLKGEN_inst/CPUCLKr - CLKGEN_inst/CPUCLKr - OLOGIC_X0Y47.D2 net (fanout=3) 1.497 CLKGEN_inst/CPUCLKr - OLOGIC_X0Y47.CLK0 Todck 0.831 FPUCLK_OBUF - CLKGEN_inst/FPUCLK_inst - ------------------------------------------------- --------------------------- - Total 2.853ns (1.356ns logic, 1.497ns route) - (47.5% logic, 52.5% route) - --------------------------------------------------------------------------------- - -Hold Paths: PERIOD analysis for net "CLKGEN_inst/instance_name/clkout0" derived from - NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; - divided by 2.00 to 15 nS - --------------------------------------------------------------------------------- - -Paths for end point CLKGEN_inst/CPUCLKr (SLICE_X0Y53.D6), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 0.457ns (requirement - (clock path skew + uncertainty - data path)) - Source: CLKGEN_inst/CPUCLKr (FF) - Destination: CLKGEN_inst/CPUCLKr (FF) - Requirement: 0.000ns - Data Path Delay: 0.457ns (Levels of Logic = 1) - Clock Path Skew: 0.000ns - Source Clock: FSBCLK rising at 15.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.000ns - - Minimum Data Path at Fast Process Corner: CLKGEN_inst/CPUCLKr to CLKGEN_inst/CPUCLKr - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X0Y53.DQ Tcko 0.234 CLKGEN_inst/CPUCLKr - CLKGEN_inst/CPUCLKr - SLICE_X0Y53.D6 net (fanout=3) 0.026 CLKGEN_inst/CPUCLKr - SLICE_X0Y53.CLK Tah (-Th) -0.197 CLKGEN_inst/CPUCLKr - CLKGEN_inst/CPUCLKr_rstpot1_INV_0 - CLKGEN_inst/CPUCLKr - ------------------------------------------------- --------------------------- - Total 0.457ns (0.431ns logic, 0.026ns route) - (94.3% logic, 5.7% route) - --------------------------------------------------------------------------------- - -Paths for end point CLKGEN_inst/FPUCLK_inst (OLOGIC_X0Y47.D1), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 0.582ns (requirement - (clock path skew + uncertainty - data path)) - Source: CLKGEN_inst/CPUCLKr (FF) - Destination: CLKGEN_inst/FPUCLK_inst (FF) - Requirement: 0.000ns - Data Path Delay: 0.838ns (Levels of Logic = 0) - Clock Path Skew: 0.256ns (0.366 - 0.110) - Source Clock: FSBCLK rising at 15.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.000ns - - Minimum Data Path at Fast Process Corner: CLKGEN_inst/CPUCLKr to CLKGEN_inst/FPUCLK_inst - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X0Y53.DQ Tcko 0.234 CLKGEN_inst/CPUCLKr - CLKGEN_inst/CPUCLKr - OLOGIC_X0Y47.D1 net (fanout=3) 0.274 CLKGEN_inst/CPUCLKr - OLOGIC_X0Y47.CLK0 Tockd (-Th) -0.330 FPUCLK_OBUF - CLKGEN_inst/FPUCLK_inst - ------------------------------------------------- --------------------------- - Total 0.838ns (0.564ns logic, 0.274ns route) - (67.3% logic, 32.7% route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 24 paths --------------------------------------------------------------------------------- -Slack (hold path): 0.691ns (requirement - (clock path skew + uncertainty - data path)) - Source: AR_13 (FF) - Destination: OUTt (FF) - Requirement: 0.000ns - Data Path Delay: 0.690ns (Levels of Logic = 2) - Clock Path Skew: -0.001ns (0.036 - 0.037) - Source Clock: FSBCLK rising at 15.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.000ns - - Minimum Data Path at Fast Process Corner: AR_13 to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X1Y20.BQ Tcko 0.198 AR<15> - AR_13 - SLICE_X0Y20.A5 net (fanout=1) 0.070 AR<13> - SLICE_X0Y20.COUT Topcya 0.272 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 0.690ns (0.619ns logic, 0.071ns route) - (89.7% logic, 10.3% route) - --------------------------------------------------------------------------------- -Slack (hold path): 0.781ns (requirement - (clock path skew + uncertainty - data path)) - Source: AR_6 (FF) - Destination: OUTt (FF) - Requirement: 0.000ns - Data Path Delay: 0.776ns (Levels of Logic = 3) - Clock Path Skew: -0.005ns (0.036 - 0.041) - Source Clock: FSBCLK rising at 15.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.000ns - - Minimum Data Path at Fast Process Corner: AR_6 to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X0Y18.CQ Tcko 0.234 AR<7> - AR_6 - SLICE_X0Y19.C5 net (fanout=1) 0.156 AR<6> - SLICE_X0Y19.COUT Topcyc 0.203 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 0.776ns (0.618ns logic, 0.158ns route) - (79.6% logic, 20.4% route) - --------------------------------------------------------------------------------- -Slack (hold path): 0.863ns (requirement - (clock path skew + uncertainty - data path)) - Source: AR_15 (FF) - Destination: OUTt (FF) - Requirement: 0.000ns - Data Path Delay: 0.862ns (Levels of Logic = 2) - Clock Path Skew: -0.001ns (0.036 - 0.037) - Source Clock: FSBCLK rising at 15.000ns - Destination Clock: FSBCLK rising at 15.000ns - Clock Uncertainty: 0.000ns - - Minimum Data Path at Fast Process Corner: AR_15 to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X1Y20.DQ Tcko 0.198 AR<15> - AR_15 - SLICE_X0Y20.B1 net (fanout=1) 0.250 AR<15> - SLICE_X0Y20.COUT Topcyb 0.264 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 0.862ns (0.611ns logic, 0.251ns route) - (70.9% logic, 29.1% route) - --------------------------------------------------------------------------------- - -Component Switching Limit Checks: PERIOD analysis for net "CLKGEN_inst/instance_name/clkout0" derived from - NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; - divided by 2.00 to 15 nS - --------------------------------------------------------------------------------- -Slack: 12.334ns (period - min period limit) - Period: 15.000ns - Min period limit: 2.666ns (375.094MHz) (Tbcper_I) - Physical resource: CLKGEN_inst/instance_name/clkout1_buf/I0 - Logical resource: CLKGEN_inst/instance_name/clkout1_buf/I0 - Location pin: BUFGMUX_X2Y3.I0 - Clock network: CLKGEN_inst/instance_name/clkout0 --------------------------------------------------------------------------------- -Slack: 12.751ns (period - min period limit) - Period: 15.000ns - Min period limit: 2.249ns (444.642MHz) (Tockper) - Physical resource: RAMCLK0_OBUF/CLK0 - Logical resource: CLKGEN_inst/RAMCLK0_inst/CK0 - Location pin: OLOGIC_X0Y44.CLK0 - Clock network: FSBCLK --------------------------------------------------------------------------------- -Slack: 12.751ns (period - min period limit) - Period: 15.000ns - Min period limit: 2.249ns (444.642MHz) (Tockper) - Physical resource: RAMCLK1_OBUF/CLK0 - Logical resource: CLKGEN_inst/RAMCLK1_inst/CK0 - Location pin: OLOGIC_X0Y45.CLK0 - Clock network: FSBCLK + Total 3.074ns (1.501ns logic, 1.573ns route) + (48.8% logic, 51.2% route) -------------------------------------------------------------------------------- ================================================================================ -Timing constraint: PERIOD analysis for net "CLKGEN_inst/instance_name/clkfbout" -derived from NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; -duty cycle corrected to 30 nS HIGH 15 nS +Timing constraint: TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" +TS_CLKIN HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints @@ -449,8097 +245,5260 @@ For more information, see Period Analysis in the Timing Closure User Guide (UG61 Minimum period is 2.666ns. -------------------------------------------------------------------------------- -Component Switching Limit Checks: PERIOD analysis for net "CLKGEN_inst/instance_name/clkfbout" derived from - NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; - duty cycle corrected to 30 nS HIGH 15 nS - --------------------------------------------------------------------------------- -Slack: 22.630ns (max period limit - period) - Period: 30.000ns - Max period limit: 52.630ns (19.001MHz) (Tpllper_CLKFB) - Physical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKFBOUT - Logical resource: CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV/CLKFBOUT - Location pin: PLL_ADV_X0Y1.CLKFBOUT - Clock network: CLKGEN_inst/instance_name/clkfbout +Component Switching Limit Checks: TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%; -------------------------------------------------------------------------------- Slack: 27.334ns (period - min period limit) Period: 30.000ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) - Physical resource: CLKGEN_inst/instance_name/clkfbout_bufg/I0 - Logical resource: CLKGEN_inst/instance_name/clkfbout_bufg/I0 - Location pin: BUFGMUX_X3Y13.I0 - Clock network: CLKGEN_inst/instance_name/clkfbout + Physical resource: cg/pll/clkfbout_bufg/I0 + Logical resource: cg/pll/clkfbout_bufg/I0 + Location pin: BUFGMUX_X2Y3.I0 + Clock network: cg/pll/clkfbout -------------------------------------------------------------------------------- Slack: 27.751ns (period - min period limit) Period: 30.000ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: CLKFB_OUT_OBUF/CLK0 - Logical resource: CLKGEN_inst/instance_name/clkfbout_oddr/CK0 - Location pin: OLOGIC_X0Y49.CLK0 - Clock network: CLKGEN_inst/instance_name/clkfb_bufg_out + Logical resource: cg/pll/clkfbout_oddr/CK0 + Location pin: OLOGIC_X1Y62.CLK0 + Clock network: cg/pll/clkfb_bufg_out +-------------------------------------------------------------------------------- +Slack: 27.960ns (period - min period limit) + Period: 30.000ns + Min period limit: 2.040ns (490.196MHz) (Tockper) + Physical resource: CLKFB_OUT_OBUF/CLK1 + Logical resource: cg/pll/clkfbout_oddr/CK1 + Location pin: OLOGIC_X1Y62.CLK1 + Clock network: cg/pll/clkfb_bufg_out -------------------------------------------------------------------------------- ================================================================================ -Timing constraint: COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). +Timing constraint: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN +/ 2 HIGH 50%; +For more information, see Period Analysis in the Timing Closure User Guide (UG612). - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.247ns. + 6 paths analyzed, 6 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) + Minimum period is 3.689ns. -------------------------------------------------------------------------------- -Paths for end point OUTt (SLICE_X0Y21.C5), 1 path +Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y61.D1), 1 path -------------------------------------------------------------------------------- -Slack (setup path): 1.753ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<31> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.930ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<31> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L4.I Tiopi 1.557 FSB_A<31> - FSB_A<31> - FSB_A_31_IBUF - ProtoComp10.IMUX.13 - SLICE_X0Y21.C5 net (fanout=2) 3.840 FSB_A_31_IBUF - SLICE_X0Y21.CLK Tas 0.533 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.930ns (2.090ns logic, 3.840ns route) - (35.2% logic, 64.8% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_31 (SLICE_X0Y22.DX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.593ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<31> (PAD) - Destination: AR_31 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.087ns (Levels of Logic = 1) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<31> to AR_31 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L4.I Tiopi 1.557 FSB_A<31> - FSB_A<31> - FSB_A_31_IBUF - ProtoComp10.IMUX.13 - SLICE_X0Y22.DX net (fanout=2) 3.445 FSB_A_31_IBUF - SLICE_X0Y22.CLK Tdick 0.085 AR<31> - AR_31 - ------------------------------------------------- --------------------------- - Total 5.087ns (1.642ns logic, 3.445ns route) - (32.3% logic, 67.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_31 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_31 (SLICE_X0Y22.DX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.707ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<31> (PAD) - Destination: AR_31 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.421ns (Levels of Logic = 1) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<31> to AR_31 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L4.I Tiopi 0.763 FSB_A<31> - FSB_A<31> - FSB_A_31_IBUF - ProtoComp10.IMUX.13 - SLICE_X0Y22.DX net (fanout=2) 1.617 FSB_A_31_IBUF - SLICE_X0Y22.CLK Tckdi (-Th) -0.041 AR<31> - AR_31 - ------------------------------------------------- --------------------------- - Total 2.421ns (0.804ns logic, 1.617ns route) - (33.2% logic, 66.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_31 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.C5), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.160ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<31> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.877ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<31> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L4.I Tiopi 0.763 FSB_A<31> - FSB_A<31> - FSB_A_31_IBUF - ProtoComp10.IMUX.13 - SLICE_X0Y21.C5 net (fanout=2) 1.794 FSB_A_31_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.320 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.877ns (1.083ns logic, 1.794ns route) - (37.6% logic, 62.4% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.847ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.C4), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.153ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<30> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.530ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<30> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H5.I Tiopi 1.557 FSB_A<30> - FSB_A<30> - FSB_A_30_IBUF - ProtoComp10.IMUX.10 - SLICE_X0Y21.C4 net (fanout=2) 3.440 FSB_A_30_IBUF - SLICE_X0Y21.CLK Tas 0.533 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.530ns (2.090ns logic, 3.440ns route) - (37.8% logic, 62.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_30 (SLICE_X0Y22.CX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.628ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<30> (PAD) - Destination: AR_30 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.052ns (Levels of Logic = 1) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<30> to AR_30 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H5.I Tiopi 1.557 FSB_A<30> - FSB_A<30> - FSB_A_30_IBUF - ProtoComp10.IMUX.10 - SLICE_X0Y22.CX net (fanout=2) 3.410 FSB_A_30_IBUF - SLICE_X0Y22.CLK Tdick 0.085 AR<31> - AR_30 - ------------------------------------------------- --------------------------- - Total 5.052ns (1.642ns logic, 3.410ns route) - (32.5% logic, 67.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_30 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_30 (SLICE_X0Y22.CX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.725ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<30> (PAD) - Destination: AR_30 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.439ns (Levels of Logic = 1) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<30> to AR_30 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H5.I Tiopi 0.763 FSB_A<30> - FSB_A<30> - FSB_A_30_IBUF - ProtoComp10.IMUX.10 - SLICE_X0Y22.CX net (fanout=2) 1.635 FSB_A_30_IBUF - SLICE_X0Y22.CLK Tckdi (-Th) -0.041 AR<31> - AR_30 - ------------------------------------------------- --------------------------- - Total 2.439ns (0.804ns logic, 1.635ns route) - (33.0% logic, 67.0% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_30 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.C4), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.050ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<30> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.767ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<30> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H5.I Tiopi 0.763 FSB_A<30> - FSB_A<30> - FSB_A_30_IBUF - ProtoComp10.IMUX.10 - SLICE_X0Y21.C4 net (fanout=2) 1.684 FSB_A_30_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.320 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.767ns (1.083ns logic, 1.684ns route) - (39.1% logic, 60.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.864ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.B4), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.136ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<29> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.547ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<29> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G1.I Tiopi 1.557 FSB_A<29> - FSB_A<29> - FSB_A_29_IBUF - ProtoComp10.IMUX.24 - SLICE_X0Y21.B4 net (fanout=2) 3.302 FSB_A_29_IBUF - SLICE_X0Y21.CLK Tas 0.688 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.547ns (2.245ns logic, 3.302ns route) - (40.5% logic, 59.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_29 (SLICE_X0Y22.BX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.127ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<29> (PAD) - Destination: AR_29 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.553ns (Levels of Logic = 1) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<29> to AR_29 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G1.I Tiopi 1.557 FSB_A<29> - FSB_A<29> - FSB_A_29_IBUF - ProtoComp10.IMUX.24 - SLICE_X0Y22.BX net (fanout=2) 2.911 FSB_A_29_IBUF - SLICE_X0Y22.CLK Tdick 0.085 AR<31> - AR_29 - ------------------------------------------------- --------------------------- - Total 4.553ns (1.642ns logic, 2.911ns route) - (36.1% logic, 63.9% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_29 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_29 (SLICE_X0Y22.BX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.448ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<29> (PAD) - Destination: AR_29 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.162ns (Levels of Logic = 1) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<29> to AR_29 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G1.I Tiopi 0.763 FSB_A<29> - FSB_A<29> - FSB_A_29_IBUF - ProtoComp10.IMUX.24 - SLICE_X0Y22.BX net (fanout=2) 1.358 FSB_A_29_IBUF - SLICE_X0Y22.CLK Tckdi (-Th) -0.041 AR<31> - AR_29 - ------------------------------------------------- --------------------------- - Total 2.162ns (0.804ns logic, 1.358ns route) - (37.2% logic, 62.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_29 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.B4), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.001ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<29> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.718ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<29> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G1.I Tiopi 0.763 FSB_A<29> - FSB_A<29> - FSB_A_29_IBUF - ProtoComp10.IMUX.24 - SLICE_X0Y21.B4 net (fanout=2) 1.574 FSB_A_29_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.381 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.718ns (1.144ns logic, 1.574ns route) - (42.1% logic, 57.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.261ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.B2), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.739ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<28> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.944ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<28> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K3.I Tiopi 1.557 FSB_A<28> - FSB_A<28> - FSB_A_28_IBUF - ProtoComp10.IMUX.23 - SLICE_X0Y21.B2 net (fanout=2) 3.699 FSB_A_28_IBUF - SLICE_X0Y21.CLK Tas 0.688 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.944ns (2.245ns logic, 3.699ns route) - (37.8% logic, 62.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_28 (SLICE_X0Y22.AX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.044ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<28> (PAD) - Destination: AR_28 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.636ns (Levels of Logic = 1) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<28> to AR_28 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K3.I Tiopi 1.557 FSB_A<28> - FSB_A<28> - FSB_A_28_IBUF - ProtoComp10.IMUX.23 - SLICE_X0Y22.AX net (fanout=2) 2.994 FSB_A_28_IBUF - SLICE_X0Y22.CLK Tdick 0.085 AR<31> - AR_28 - ------------------------------------------------- --------------------------- - Total 4.636ns (1.642ns logic, 2.994ns route) - (35.4% logic, 64.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_28 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_28 (SLICE_X0Y22.AX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.510ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<28> (PAD) - Destination: AR_28 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.224ns (Levels of Logic = 1) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<28> to AR_28 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K3.I Tiopi 0.763 FSB_A<28> - FSB_A<28> - FSB_A_28_IBUF - ProtoComp10.IMUX.23 - SLICE_X0Y22.AX net (fanout=2) 1.420 FSB_A_28_IBUF - SLICE_X0Y22.CLK Tckdi (-Th) -0.041 AR<31> - AR_28 - ------------------------------------------------- --------------------------- - Total 2.224ns (0.804ns logic, 1.420ns route) - (36.2% logic, 63.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_28 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.B2), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.203ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<28> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.920ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<28> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K3.I Tiopi 0.763 FSB_A<28> - FSB_A<28> - FSB_A_28_IBUF - ProtoComp10.IMUX.23 - SLICE_X0Y21.B2 net (fanout=2) 1.776 FSB_A_28_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.381 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.920ns (1.144ns logic, 1.776ns route) - (39.2% logic, 60.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.334ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.B6), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.666ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<27> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 6.017ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<27> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E1.I Tiopi 1.557 FSB_A<27> - FSB_A<27> - FSB_A_27_IBUF - ProtoComp10.IMUX.22 - SLICE_X0Y21.B6 net (fanout=2) 3.772 FSB_A_27_IBUF - SLICE_X0Y21.CLK Tas 0.688 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 6.017ns (2.245ns logic, 3.772ns route) - (37.3% logic, 62.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_27 (SLICE_X0Y24.DX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.639ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<27> (PAD) - Destination: AR_27 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.039ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<27> to AR_27 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E1.I Tiopi 1.557 FSB_A<27> - FSB_A<27> - FSB_A_27_IBUF - ProtoComp10.IMUX.22 - SLICE_X0Y24.DX net (fanout=2) 3.397 FSB_A_27_IBUF - SLICE_X0Y24.CLK Tdick 0.085 AR<27> - AR_27 - ------------------------------------------------- --------------------------- - Total 5.039ns (1.642ns logic, 3.397ns route) - (32.6% logic, 67.4% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_27 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_27 (SLICE_X0Y24.DX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.698ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<27> (PAD) - Destination: AR_27 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.410ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<27> to AR_27 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E1.I Tiopi 0.763 FSB_A<27> - FSB_A<27> - FSB_A_27_IBUF - ProtoComp10.IMUX.22 - SLICE_X0Y24.DX net (fanout=2) 1.606 FSB_A_27_IBUF - SLICE_X0Y24.CLK Tckdi (-Th) -0.041 AR<27> - AR_27 - ------------------------------------------------- --------------------------- - Total 2.410ns (0.804ns logic, 1.606ns route) - (33.4% logic, 66.6% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_27 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.B6), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.280ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<27> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.997ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<27> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E1.I Tiopi 0.763 FSB_A<27> - FSB_A<27> - FSB_A_27_IBUF - ProtoComp10.IMUX.22 - SLICE_X0Y21.B6 net (fanout=2) 1.853 FSB_A_27_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.381 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.997ns (1.144ns logic, 1.853ns route) - (38.2% logic, 61.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.657ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.A3), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.343ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<26> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.340ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<26> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H1.I Tiopi 1.557 FSB_A<26> - FSB_A<26> - FSB_A_26_IBUF - ProtoComp10.IMUX.19 - SLICE_X0Y21.A3 net (fanout=2) 3.104 FSB_A_26_IBUF - SLICE_X0Y21.CLK Tas 0.679 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.340ns (2.236ns logic, 3.104ns route) - (41.9% logic, 58.1% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_26 (SLICE_X0Y24.CX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.412ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<26> (PAD) - Destination: AR_26 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.266ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<26> to AR_26 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H1.I Tiopi 1.557 FSB_A<26> - FSB_A<26> - FSB_A_26_IBUF - ProtoComp10.IMUX.19 - SLICE_X0Y24.CX net (fanout=2) 2.624 FSB_A_26_IBUF - SLICE_X0Y24.CLK Tdick 0.085 AR<27> - AR_26 - ------------------------------------------------- --------------------------- - Total 4.266ns (1.642ns logic, 2.624ns route) - (38.5% logic, 61.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_26 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_26 (SLICE_X0Y24.CX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.292ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<26> (PAD) - Destination: AR_26 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.004ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<26> to AR_26 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H1.I Tiopi 0.763 FSB_A<26> - FSB_A<26> - FSB_A_26_IBUF - ProtoComp10.IMUX.19 - SLICE_X0Y24.CX net (fanout=2) 1.200 FSB_A_26_IBUF - SLICE_X0Y24.CLK Tckdi (-Th) -0.041 AR<27> - AR_26 - ------------------------------------------------- --------------------------- - Total 2.004ns (0.804ns logic, 1.200ns route) - (40.1% logic, 59.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_26 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.A3), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.901ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<26> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.618ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<26> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H1.I Tiopi 0.763 FSB_A<26> - FSB_A<26> - FSB_A_26_IBUF - ProtoComp10.IMUX.19 - SLICE_X0Y21.A3 net (fanout=2) 1.466 FSB_A_26_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.389 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.618ns (1.152ns logic, 1.466ns route) - (44.0% logic, 56.0% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.883ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.A2), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.117ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<25> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.566ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<25> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H2.I Tiopi 1.557 FSB_A<25> - FSB_A<25> - FSB_A_25_IBUF - ProtoComp10.IMUX.17 - SLICE_X0Y21.A2 net (fanout=2) 3.330 FSB_A_25_IBUF - SLICE_X0Y21.CLK Tas 0.679 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.566ns (2.236ns logic, 3.330ns route) - (40.2% logic, 59.8% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_25 (SLICE_X0Y24.BX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.388ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<25> (PAD) - Destination: AR_25 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.290ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<25> to AR_25 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H2.I Tiopi 1.557 FSB_A<25> - FSB_A<25> - FSB_A_25_IBUF - ProtoComp10.IMUX.17 - SLICE_X0Y24.BX net (fanout=2) 2.648 FSB_A_25_IBUF - SLICE_X0Y24.CLK Tdick 0.085 AR<27> - AR_25 - ------------------------------------------------- --------------------------- - Total 4.290ns (1.642ns logic, 2.648ns route) - (38.3% logic, 61.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_25 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_25 (SLICE_X0Y24.BX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.244ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<25> (PAD) - Destination: AR_25 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 1.956ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<25> to AR_25 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H2.I Tiopi 0.763 FSB_A<25> - FSB_A<25> - FSB_A_25_IBUF - ProtoComp10.IMUX.17 - SLICE_X0Y24.BX net (fanout=2) 1.152 FSB_A_25_IBUF - SLICE_X0Y24.CLK Tckdi (-Th) -0.041 AR<27> - AR_25 - ------------------------------------------------- --------------------------- - Total 1.956ns (0.804ns logic, 1.152ns route) - (41.1% logic, 58.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_25 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.A2), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.974ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<25> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.691ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<25> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H2.I Tiopi 0.763 FSB_A<25> - FSB_A<25> - FSB_A_25_IBUF - ProtoComp10.IMUX.17 - SLICE_X0Y21.A2 net (fanout=2) 1.539 FSB_A_25_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.389 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.691ns (1.152ns logic, 1.539ns route) - (42.8% logic, 57.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.026ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.A6), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.974ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<24> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.709ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<24> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E2.I Tiopi 1.557 FSB_A<24> - FSB_A<24> - FSB_A_24_IBUF - ProtoComp10.IMUX.15 - SLICE_X0Y21.A6 net (fanout=2) 3.473 FSB_A_24_IBUF - SLICE_X0Y21.CLK Tas 0.679 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.709ns (2.236ns logic, 3.473ns route) - (39.2% logic, 60.8% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_24 (SLICE_X0Y24.AX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.633ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<24> (PAD) - Destination: AR_24 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.045ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<24> to AR_24 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E2.I Tiopi 1.557 FSB_A<24> - FSB_A<24> - FSB_A_24_IBUF - ProtoComp10.IMUX.15 - SLICE_X0Y24.AX net (fanout=2) 3.403 FSB_A_24_IBUF - SLICE_X0Y24.CLK Tdick 0.085 AR<27> - AR_24 - ------------------------------------------------- --------------------------- - Total 5.045ns (1.642ns logic, 3.403ns route) - (32.5% logic, 67.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_24 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_24 (SLICE_X0Y24.AX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.679ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<24> (PAD) - Destination: AR_24 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.391ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<24> to AR_24 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E2.I Tiopi 0.763 FSB_A<24> - FSB_A<24> - FSB_A_24_IBUF - ProtoComp10.IMUX.15 - SLICE_X0Y24.AX net (fanout=2) 1.587 FSB_A_24_IBUF - SLICE_X0Y24.CLK Tckdi (-Th) -0.041 AR<27> - AR_24 - ------------------------------------------------- --------------------------- - Total 2.391ns (0.804ns logic, 1.587ns route) - (33.6% logic, 66.4% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_24 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y24.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.A6), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.040ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<24> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.757ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<24> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - E2.I Tiopi 0.763 FSB_A<24> - FSB_A<24> - FSB_A_24_IBUF - ProtoComp10.IMUX.15 - SLICE_X0Y21.A6 net (fanout=2) 1.605 FSB_A_24_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.389 OUTt_OBUF - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8> - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.757ns (1.152ns logic, 1.605ns route) - (41.8% logic, 58.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.737ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.263ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<23> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.420ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<23> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F1.I Tiopi 1.557 FSB_A<23> - FSB_A<23> - FSB_A_23_IBUF - ProtoComp10.IMUX.12 - SLICE_X0Y20.D5 net (fanout=2) 3.250 FSB_A_23_IBUF - SLICE_X0Y20.COUT Topcyd 0.312 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.420ns (2.167ns logic, 3.253ns route) - (40.0% logic, 60.0% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_23 (SLICE_X0Y23.DX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.095ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<23> (PAD) - Destination: AR_23 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.583ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<23> to AR_23 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F1.I Tiopi 1.557 FSB_A<23> - FSB_A<23> - FSB_A_23_IBUF - ProtoComp10.IMUX.12 - SLICE_X0Y23.DX net (fanout=2) 2.941 FSB_A_23_IBUF - SLICE_X0Y23.CLK Tdick 0.085 AR<23> - AR_23 - ------------------------------------------------- --------------------------- - Total 4.583ns (1.642ns logic, 2.941ns route) - (35.8% logic, 64.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_23 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_23 (SLICE_X0Y23.DX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.472ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<23> (PAD) - Destination: AR_23 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.184ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<23> to AR_23 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F1.I Tiopi 0.763 FSB_A<23> - FSB_A<23> - FSB_A_23_IBUF - ProtoComp10.IMUX.12 - SLICE_X0Y23.DX net (fanout=2) 1.380 FSB_A_23_IBUF - SLICE_X0Y23.CLK Tckdi (-Th) -0.041 AR<23> - AR_23 - ------------------------------------------------- --------------------------- - Total 2.184ns (0.804ns logic, 1.380ns route) - (36.8% logic, 63.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_23 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.916ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<23> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.633ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<23> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F1.I Tiopi 0.763 FSB_A<23> - FSB_A<23> - FSB_A_23_IBUF - ProtoComp10.IMUX.12 - SLICE_X0Y20.D5 net (fanout=2) 1.533 FSB_A_23_IBUF - SLICE_X0Y20.COUT Topcyd 0.187 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.633ns (1.099ns logic, 1.534ns route) - (41.7% logic, 58.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.907ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.093ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<22> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.590ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<22> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F2.I Tiopi 1.557 FSB_A<22> - FSB_A<22> - FSB_A_22_IBUF - ProtoComp10.IMUX.9 - SLICE_X0Y20.D3 net (fanout=2) 3.420 FSB_A_22_IBUF - SLICE_X0Y20.COUT Topcyd 0.312 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.590ns (2.167ns logic, 3.423ns route) - (38.8% logic, 61.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_22 (SLICE_X0Y23.CX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.712ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<22> (PAD) - Destination: AR_22 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.966ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<22> to AR_22 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F2.I Tiopi 1.557 FSB_A<22> - FSB_A<22> - FSB_A_22_IBUF - ProtoComp10.IMUX.9 - SLICE_X0Y23.CX net (fanout=2) 3.324 FSB_A_22_IBUF - SLICE_X0Y23.CLK Tdick 0.085 AR<23> - AR_22 - ------------------------------------------------- --------------------------- - Total 4.966ns (1.642ns logic, 3.324ns route) - (33.1% logic, 66.9% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_22 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_22 (SLICE_X0Y23.CX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.637ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<22> (PAD) - Destination: AR_22 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.349ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<22> to AR_22 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F2.I Tiopi 0.763 FSB_A<22> - FSB_A<22> - FSB_A_22_IBUF - ProtoComp10.IMUX.9 - SLICE_X0Y23.CX net (fanout=2) 1.545 FSB_A_22_IBUF - SLICE_X0Y23.CLK Tckdi (-Th) -0.041 AR<23> - AR_22 - ------------------------------------------------- --------------------------- - Total 2.349ns (0.804ns logic, 1.545ns route) - (34.2% logic, 65.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_22 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.965ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<22> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.682ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<22> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - F2.I Tiopi 0.763 FSB_A<22> - FSB_A<22> - FSB_A_22_IBUF - ProtoComp10.IMUX.9 - SLICE_X0Y20.D3 net (fanout=2) 1.582 FSB_A_22_IBUF - SLICE_X0Y20.COUT Topcyd 0.187 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.682ns (1.099ns logic, 1.583ns route) - (41.0% logic, 59.0% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.275ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.725ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<21> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.958ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<21> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J6.I Tiopi 1.557 FSB_A<21> - FSB_A<21> - FSB_A_21_IBUF - ProtoComp10.IMUX.7 - SLICE_X0Y20.D6 net (fanout=2) 3.788 FSB_A_21_IBUF - SLICE_X0Y20.COUT Topcyd 0.312 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.958ns (2.167ns logic, 3.791ns route) - (36.4% logic, 63.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_21 (SLICE_X0Y23.BX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.601ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<21> (PAD) - Destination: AR_21 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.077ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<21> to AR_21 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J6.I Tiopi 1.557 FSB_A<21> - FSB_A<21> - FSB_A_21_IBUF - ProtoComp10.IMUX.7 - SLICE_X0Y23.BX net (fanout=2) 3.435 FSB_A_21_IBUF - SLICE_X0Y23.CLK Tdick 0.085 AR<23> - AR_21 - ------------------------------------------------- --------------------------- - Total 5.077ns (1.642ns logic, 3.435ns route) - (32.3% logic, 67.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_21 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_21 (SLICE_X0Y23.BX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.718ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<21> (PAD) - Destination: AR_21 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.430ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<21> to AR_21 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J6.I Tiopi 0.763 FSB_A<21> - FSB_A<21> - FSB_A_21_IBUF - ProtoComp10.IMUX.7 - SLICE_X0Y23.BX net (fanout=2) 1.626 FSB_A_21_IBUF - SLICE_X0Y23.CLK Tckdi (-Th) -0.041 AR<23> - AR_21 - ------------------------------------------------- --------------------------- - Total 2.430ns (0.804ns logic, 1.626ns route) - (33.1% logic, 66.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_21 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.203ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<21> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.920ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<21> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J6.I Tiopi 0.763 FSB_A<21> - FSB_A<21> - FSB_A_21_IBUF - ProtoComp10.IMUX.7 - SLICE_X0Y20.D6 net (fanout=2) 1.820 FSB_A_21_IBUF - SLICE_X0Y20.COUT Topcyd 0.187 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.920ns (1.099ns logic, 1.821ns route) - (37.6% logic, 62.4% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.064ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.936ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<20> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.747ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<20> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L5.I Tiopi 1.557 FSB_A<20> - FSB_A<20> - FSB_A_20_IBUF - ProtoComp10.IMUX.4 - SLICE_X0Y20.C5 net (fanout=2) 3.561 FSB_A_20_IBUF - SLICE_X0Y20.COUT Topcyc 0.328 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.747ns (2.183ns logic, 3.564ns route) - (38.0% logic, 62.0% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_20 (SLICE_X0Y23.AX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.969ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<20> (PAD) - Destination: AR_20 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.709ns (Levels of Logic = 1) - Clock Path Delay: -3.908ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<20> to AR_20 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L5.I Tiopi 1.557 FSB_A<20> - FSB_A<20> - FSB_A_20_IBUF - ProtoComp10.IMUX.4 - SLICE_X0Y23.AX net (fanout=2) 3.067 FSB_A_20_IBUF - SLICE_X0Y23.CLK Tdick 0.085 AR<23> - AR_20 - ------------------------------------------------- --------------------------- - Total 4.709ns (1.642ns logic, 3.067ns route) - (34.9% logic, 65.1% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_20 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.851 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.908ns (-6.300ns logic, 2.392ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_20 (SLICE_X0Y23.AX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.578ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<20> (PAD) - Destination: AR_20 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.290ns (Levels of Logic = 1) - Clock Path Delay: -1.702ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<20> to AR_20 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L5.I Tiopi 0.763 FSB_A<20> - FSB_A<20> - FSB_A_20_IBUF - ProtoComp10.IMUX.4 - SLICE_X0Y23.AX net (fanout=2) 1.486 FSB_A_20_IBUF - SLICE_X0Y23.CLK Tckdi (-Th) -0.041 AR<23> - AR_20 - ------------------------------------------------- --------------------------- - Total 2.290ns (0.804ns logic, 1.486ns route) - (35.1% logic, 64.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_20 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y23.CLK net (fanout=17) 0.793 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.702ns (-3.199ns logic, 1.497ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.126ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<20> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.843ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<20> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L5.I Tiopi 0.763 FSB_A<20> - FSB_A<20> - FSB_A_20_IBUF - ProtoComp10.IMUX.4 - SLICE_X0Y20.C5 net (fanout=2) 1.727 FSB_A_20_IBUF - SLICE_X0Y20.COUT Topcyc 0.203 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.843ns (1.115ns logic, 1.728ns route) - (39.2% logic, 60.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.995ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.005ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<19> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.678ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<19> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G3.I Tiopi 1.557 FSB_A<19> - FSB_A<19> - FSB_A_19_IBUF - ProtoComp10.IMUX.21 - SLICE_X0Y20.C3 net (fanout=2) 3.492 FSB_A_19_IBUF - SLICE_X0Y20.COUT Topcyc 0.328 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.678ns (2.183ns logic, 3.495ns route) - (38.4% logic, 61.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_19 (SLICE_X0Y22.D4), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.556ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<19> (PAD) - Destination: AR_19 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.124ns (Levels of Logic = 2) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<19> to AR_19 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G3.I Tiopi 1.557 FSB_A<19> - FSB_A<19> - FSB_A_19_IBUF - ProtoComp10.IMUX.21 - SLICE_X0Y22.D4 net (fanout=2) 3.367 FSB_A_19_IBUF - SLICE_X0Y22.CLK Tas 0.200 AR<31> - FSB_A_19_IBUF_rt - AR_19 - ------------------------------------------------- --------------------------- - Total 5.124ns (1.757ns logic, 3.367ns route) - (34.3% logic, 65.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_19 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_19 (SLICE_X0Y22.D4), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.722ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<19> (PAD) - Destination: AR_19 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.436ns (Levels of Logic = 2) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<19> to AR_19 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G3.I Tiopi 0.763 FSB_A<19> - FSB_A<19> - FSB_A_19_IBUF - ProtoComp10.IMUX.21 - SLICE_X0Y22.D4 net (fanout=2) 1.542 FSB_A_19_IBUF - SLICE_X0Y22.CLK Tah (-Th) -0.131 AR<31> - FSB_A_19_IBUF_rt - AR_19 - ------------------------------------------------- --------------------------- - Total 2.436ns (0.894ns logic, 1.542ns route) - (36.7% logic, 63.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_19 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.047ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<19> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.764ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<19> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - G3.I Tiopi 0.763 FSB_A<19> - FSB_A<19> - FSB_A_19_IBUF - ProtoComp10.IMUX.21 - SLICE_X0Y20.C3 net (fanout=2) 1.648 FSB_A_19_IBUF - SLICE_X0Y20.COUT Topcyc 0.203 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.764ns (1.115ns logic, 1.649ns route) - (40.3% logic, 59.7% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.868ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.132ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<18> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.551ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<18> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K6.I Tiopi 1.557 FSB_A<18> - FSB_A<18> - FSB_A_18_IBUF - ProtoComp10.IMUX.18 - SLICE_X0Y20.C6 net (fanout=2) 3.365 FSB_A_18_IBUF - SLICE_X0Y20.COUT Topcyc 0.328 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.551ns (2.183ns logic, 3.368ns route) - (39.3% logic, 60.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_18 (SLICE_X0Y22.C5), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.537ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<18> (PAD) - Destination: AR_18 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.143ns (Levels of Logic = 2) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<18> to AR_18 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K6.I Tiopi 1.557 FSB_A<18> - FSB_A<18> - FSB_A_18_IBUF - ProtoComp10.IMUX.18 - SLICE_X0Y22.C5 net (fanout=2) 3.386 FSB_A_18_IBUF - SLICE_X0Y22.CLK Tas 0.200 AR<31> - FSB_A_18_IBUF_rt - AR_18 - ------------------------------------------------- --------------------------- - Total 5.143ns (1.757ns logic, 3.386ns route) - (34.2% logic, 65.8% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_18 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_18 (SLICE_X0Y22.C5), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.829ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<18> (PAD) - Destination: AR_18 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.543ns (Levels of Logic = 2) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<18> to AR_18 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K6.I Tiopi 0.763 FSB_A<18> - FSB_A<18> - FSB_A_18_IBUF - ProtoComp10.IMUX.18 - SLICE_X0Y22.C5 net (fanout=2) 1.649 FSB_A_18_IBUF - SLICE_X0Y22.CLK Tah (-Th) -0.131 AR<31> - FSB_A_18_IBUF_rt - AR_18 - ------------------------------------------------- --------------------------- - Total 2.543ns (0.894ns logic, 1.649ns route) - (35.2% logic, 64.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_18 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.024ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<18> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.741ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<18> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K6.I Tiopi 0.763 FSB_A<18> - FSB_A<18> - FSB_A_18_IBUF - ProtoComp10.IMUX.18 - SLICE_X0Y20.C6 net (fanout=2) 1.625 FSB_A_18_IBUF - SLICE_X0Y20.COUT Topcyc 0.203 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.741ns (1.115ns logic, 1.626ns route) - (40.7% logic, 59.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.261ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.739ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<17> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.944ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<17> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J1.I Tiopi 1.557 FSB_A<17> - FSB_A<17> - FSB_A_17_IBUF - ProtoComp10.IMUX.16 - SLICE_X0Y20.B5 net (fanout=2) 2.603 FSB_A_17_IBUF - SLICE_X0Y20.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 4.944ns (2.338ns logic, 2.606ns route) - (47.3% logic, 52.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_17 (SLICE_X0Y22.B5), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.107ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<17> (PAD) - Destination: AR_17 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.573ns (Levels of Logic = 2) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<17> to AR_17 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J1.I Tiopi 1.557 FSB_A<17> - FSB_A<17> - FSB_A_17_IBUF - ProtoComp10.IMUX.16 - SLICE_X0Y22.B5 net (fanout=2) 2.816 FSB_A_17_IBUF - SLICE_X0Y22.CLK Tas 0.200 AR<31> - FSB_A_17_IBUF_rt - AR_17 - ------------------------------------------------- --------------------------- - Total 4.573ns (1.757ns logic, 2.816ns route) - (38.4% logic, 61.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_17 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_17 (SLICE_X0Y22.B5), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.474ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<17> (PAD) - Destination: AR_17 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.188ns (Levels of Logic = 2) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<17> to AR_17 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J1.I Tiopi 0.763 FSB_A<17> - FSB_A<17> - FSB_A_17_IBUF - ProtoComp10.IMUX.16 - SLICE_X0Y22.B5 net (fanout=2) 1.294 FSB_A_17_IBUF - SLICE_X0Y22.CLK Tah (-Th) -0.131 AR<31> - FSB_A_17_IBUF_rt - AR_17 - ------------------------------------------------- --------------------------- - Total 2.188ns (0.894ns logic, 1.294ns route) - (40.9% logic, 59.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_17 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.642ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<17> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.359ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<17> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J1.I Tiopi 0.763 FSB_A<17> - FSB_A<17> - FSB_A_17_IBUF - ProtoComp10.IMUX.16 - SLICE_X0Y20.B5 net (fanout=2) 1.182 FSB_A_17_IBUF - SLICE_X0Y20.COUT Topcyb 0.264 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.359ns (1.176ns logic, 1.183ns route) - (49.9% logic, 50.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.565ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.435ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<16> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.248ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<16> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J3.I Tiopi 1.557 FSB_A<16> - FSB_A<16> - FSB_A_16_IBUF - ProtoComp10.IMUX.14 - SLICE_X0Y20.B2 net (fanout=2) 2.907 FSB_A_16_IBUF - SLICE_X0Y20.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.248ns (2.338ns logic, 2.910ns route) - (44.6% logic, 55.4% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_16 (SLICE_X0Y22.A2), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.047ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<16> (PAD) - Destination: AR_16 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.633ns (Levels of Logic = 2) - Clock Path Delay: -3.906ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<16> to AR_16 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J3.I Tiopi 1.557 FSB_A<16> - FSB_A<16> - FSB_A_16_IBUF - ProtoComp10.IMUX.14 - SLICE_X0Y22.A2 net (fanout=2) 2.876 FSB_A_16_IBUF - SLICE_X0Y22.CLK Tas 0.200 AR<31> - FSB_A_16_IBUF_rt - AR_16 - ------------------------------------------------- --------------------------- - Total 4.633ns (1.757ns logic, 2.876ns route) - (37.9% logic, 62.1% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_16 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.853 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.906ns (-6.300ns logic, 2.394ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_16 (SLICE_X0Y22.A2), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.493ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<16> (PAD) - Destination: AR_16 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.207ns (Levels of Logic = 2) - Clock Path Delay: -1.700ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<16> to AR_16 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J3.I Tiopi 0.763 FSB_A<16> - FSB_A<16> - FSB_A_16_IBUF - ProtoComp10.IMUX.14 - SLICE_X0Y22.A2 net (fanout=2) 1.313 FSB_A_16_IBUF - SLICE_X0Y22.CLK Tah (-Th) -0.131 AR<31> - FSB_A_16_IBUF_rt - AR_16 - ------------------------------------------------- --------------------------- - Total 2.207ns (0.894ns logic, 1.313ns route) - (40.5% logic, 59.5% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_16 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y22.CLK net (fanout=17) 0.795 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.700ns (-3.199ns logic, 1.499ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.745ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<16> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.462ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<16> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J3.I Tiopi 0.763 FSB_A<16> - FSB_A<16> - FSB_A_16_IBUF - ProtoComp10.IMUX.14 - SLICE_X0Y20.B2 net (fanout=2) 1.285 FSB_A_16_IBUF - SLICE_X0Y20.COUT Topcyb 0.264 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.462ns (1.176ns logic, 1.286ns route) - (47.8% logic, 52.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.096ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.904ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<15> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.779ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<15> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M5.I Tiopi 1.557 FSB_A<15> - FSB_A<15> - FSB_A_15_IBUF - ProtoComp10.IMUX.11 - SLICE_X0Y20.B6 net (fanout=2) 3.438 FSB_A_15_IBUF - SLICE_X0Y20.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.779ns (2.338ns logic, 3.441ns route) - (40.5% logic, 59.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_15 (SLICE_X1Y20.DX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.288ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<15> (PAD) - Destination: AR_15 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.398ns (Levels of Logic = 1) - Clock Path Delay: -3.900ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<15> to AR_15 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M5.I Tiopi 1.557 FSB_A<15> - FSB_A<15> - FSB_A_15_IBUF - ProtoComp10.IMUX.11 - SLICE_X1Y20.DX net (fanout=2) 3.727 FSB_A_15_IBUF - SLICE_X1Y20.CLK Tdick 0.114 AR<15> - AR_15 - ------------------------------------------------- --------------------------- - Total 5.398ns (1.671ns logic, 3.727ns route) - (31.0% logic, 69.0% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_15 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.859 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.900ns (-6.300ns logic, 2.400ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_15 (SLICE_X1Y20.DX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.834ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<15> (PAD) - Destination: AR_15 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.554ns (Levels of Logic = 1) - Clock Path Delay: -1.694ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<15> to AR_15 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M5.I Tiopi 0.763 FSB_A<15> - FSB_A<15> - FSB_A_15_IBUF - ProtoComp10.IMUX.11 - SLICE_X1Y20.DX net (fanout=2) 1.732 FSB_A_15_IBUF - SLICE_X1Y20.CLK Tckdi (-Th) -0.059 AR<15> - AR_15 - ------------------------------------------------- --------------------------- - Total 2.554ns (0.822ns logic, 1.732ns route) - (32.2% logic, 67.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_15 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.801 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.694ns (-3.199ns logic, 1.505ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.030ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<15> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.747ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<15> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M5.I Tiopi 0.763 FSB_A<15> - FSB_A<15> - FSB_A_15_IBUF - ProtoComp10.IMUX.11 - SLICE_X0Y20.B6 net (fanout=2) 1.570 FSB_A_15_IBUF - SLICE_X0Y20.COUT Topcyb 0.264 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.747ns (1.176ns logic, 1.571ns route) - (42.8% logic, 57.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.084ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.916ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<14> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.767ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<14> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K2.I Tiopi 1.557 FSB_A<14> - FSB_A<14> - FSB_A_14_IBUF - ProtoComp10.IMUX.8 - SLICE_X0Y20.A4 net (fanout=2) 2.435 FSB_A_14_IBUF - SLICE_X0Y20.COUT Topcya 0.474 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 4.767ns (2.329ns logic, 2.438ns route) - (48.9% logic, 51.1% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_14 (SLICE_X1Y20.CX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.418ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<14> (PAD) - Destination: AR_14 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.268ns (Levels of Logic = 1) - Clock Path Delay: -3.900ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<14> to AR_14 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K2.I Tiopi 1.557 FSB_A<14> - FSB_A<14> - FSB_A_14_IBUF - ProtoComp10.IMUX.8 - SLICE_X1Y20.CX net (fanout=2) 2.597 FSB_A_14_IBUF - SLICE_X1Y20.CLK Tdick 0.114 AR<15> - AR_14 - ------------------------------------------------- --------------------------- - Total 4.268ns (1.671ns logic, 2.597ns route) - (39.2% logic, 60.8% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_14 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.859 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.900ns (-6.300ns logic, 2.400ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_14 (SLICE_X1Y20.CX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.242ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<14> (PAD) - Destination: AR_14 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 1.962ns (Levels of Logic = 1) - Clock Path Delay: -1.694ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<14> to AR_14 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K2.I Tiopi 0.763 FSB_A<14> - FSB_A<14> - FSB_A_14_IBUF - ProtoComp10.IMUX.8 - SLICE_X1Y20.CX net (fanout=2) 1.140 FSB_A_14_IBUF - SLICE_X1Y20.CLK Tckdi (-Th) -0.059 AR<15> - AR_14 - ------------------------------------------------- --------------------------- - Total 1.962ns (0.822ns logic, 1.140ns route) - (41.9% logic, 58.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_14 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.801 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.694ns (-3.199ns logic, 1.505ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.489ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<14> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.206ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<14> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K2.I Tiopi 0.763 FSB_A<14> - FSB_A<14> - FSB_A_14_IBUF - ProtoComp10.IMUX.8 - SLICE_X0Y20.A4 net (fanout=2) 1.021 FSB_A_14_IBUF - SLICE_X0Y20.COUT Topcya 0.272 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.206ns (1.184ns logic, 1.022ns route) - (53.7% logic, 46.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.011ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.989ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<13> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.694ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<13> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N3.I Tiopi 1.557 FSB_A<13> - FSB_A<13> - FSB_A_13_IBUF - ProtoComp10.IMUX.6 - SLICE_X0Y20.A3 net (fanout=2) 3.362 FSB_A_13_IBUF - SLICE_X0Y20.COUT Topcya 0.474 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.694ns (2.329ns logic, 3.365ns route) - (40.9% logic, 59.1% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_13 (SLICE_X1Y20.BX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.551ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<13> (PAD) - Destination: AR_13 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.135ns (Levels of Logic = 1) - Clock Path Delay: -3.900ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<13> to AR_13 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N3.I Tiopi 1.557 FSB_A<13> - FSB_A<13> - FSB_A_13_IBUF - ProtoComp10.IMUX.6 - SLICE_X1Y20.BX net (fanout=2) 3.464 FSB_A_13_IBUF - SLICE_X1Y20.CLK Tdick 0.114 AR<15> - AR_13 - ------------------------------------------------- --------------------------- - Total 5.135ns (1.671ns logic, 3.464ns route) - (32.5% logic, 67.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_13 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.859 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.900ns (-6.300ns logic, 2.400ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_13 (SLICE_X1Y20.BX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.709ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<13> (PAD) - Destination: AR_13 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.429ns (Levels of Logic = 1) - Clock Path Delay: -1.694ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<13> to AR_13 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N3.I Tiopi 0.763 FSB_A<13> - FSB_A<13> - FSB_A_13_IBUF - ProtoComp10.IMUX.6 - SLICE_X1Y20.BX net (fanout=2) 1.607 FSB_A_13_IBUF - SLICE_X1Y20.CLK Tckdi (-Th) -0.059 AR<15> - AR_13 - ------------------------------------------------- --------------------------- - Total 2.429ns (0.822ns logic, 1.607ns route) - (33.8% logic, 66.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_13 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.801 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.694ns (-3.199ns logic, 1.505ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.009ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<13> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.726ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<13> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N3.I Tiopi 0.763 FSB_A<13> - FSB_A<13> - FSB_A_13_IBUF - ProtoComp10.IMUX.6 - SLICE_X0Y20.A3 net (fanout=2) 1.541 FSB_A_13_IBUF - SLICE_X0Y20.COUT Topcya 0.272 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.726ns (1.184ns logic, 1.542ns route) - (43.4% logic, 56.6% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.821ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.179ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<12> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.504ns (Levels of Logic = 3) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<12> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P2.I Tiopi 1.557 FSB_A<12> - FSB_A<12> - FSB_A_12_IBUF - ProtoComp10.IMUX.3 - SLICE_X0Y20.A6 net (fanout=2) 3.172 FSB_A_12_IBUF - SLICE_X0Y20.COUT Topcya 0.474 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.504ns (2.329ns logic, 3.175ns route) - (42.3% logic, 57.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_12 (SLICE_X1Y20.AX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.724ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<12> (PAD) - Destination: AR_12 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.962ns (Levels of Logic = 1) - Clock Path Delay: -3.900ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<12> to AR_12 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P2.I Tiopi 1.557 FSB_A<12> - FSB_A<12> - FSB_A_12_IBUF - ProtoComp10.IMUX.3 - SLICE_X1Y20.AX net (fanout=2) 3.291 FSB_A_12_IBUF - SLICE_X1Y20.CLK Tdick 0.114 AR<15> - AR_12 - ------------------------------------------------- --------------------------- - Total 4.962ns (1.671ns logic, 3.291ns route) - (33.7% logic, 66.3% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_12 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.859 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.900ns (-6.300ns logic, 2.400ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_12 (SLICE_X1Y20.AX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.605ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<12> (PAD) - Destination: AR_12 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.325ns (Levels of Logic = 1) - Clock Path Delay: -1.694ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<12> to AR_12 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P2.I Tiopi 0.763 FSB_A<12> - FSB_A<12> - FSB_A_12_IBUF - ProtoComp10.IMUX.3 - SLICE_X1Y20.AX net (fanout=2) 1.503 FSB_A_12_IBUF - SLICE_X1Y20.CLK Tckdi (-Th) -0.059 AR<15> - AR_12 - ------------------------------------------------- --------------------------- - Total 2.325ns (0.822ns logic, 1.503ns route) - (35.4% logic, 64.6% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_12 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X1Y20.CLK net (fanout=17) 0.801 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.694ns (-3.199ns logic, 1.505ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.895ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<12> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.612ns (Levels of Logic = 3) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<12> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P2.I Tiopi 0.763 FSB_A<12> - FSB_A<12> - FSB_A_12_IBUF - ProtoComp10.IMUX.3 - SLICE_X0Y20.A6 net (fanout=2) 1.427 FSB_A_12_IBUF - SLICE_X0Y20.COUT Topcya 0.272 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.612ns (1.184ns logic, 1.428ns route) - (45.3% logic, 54.7% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.522ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.478ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<11> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.205ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<11> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L1.I Tiopi 1.557 FSB_A<11> - FSB_A<11> - FSB_A_11_IBUF - ProtoComp10.IMUX.2 - SLICE_X0Y19.D3 net (fanout=2) 2.939 FSB_A_11_IBUF - SLICE_X0Y19.COUT Topcyd 0.312 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.205ns (2.260ns logic, 2.945ns route) - (43.4% logic, 56.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_11 (SLICE_X0Y17.DX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.494ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<11> (PAD) - Destination: AR_11 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.197ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<11> to AR_11 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L1.I Tiopi 1.557 FSB_A<11> - FSB_A<11> - FSB_A_11_IBUF - ProtoComp10.IMUX.2 - SLICE_X0Y17.DX net (fanout=2) 2.555 FSB_A_11_IBUF - SLICE_X0Y17.CLK Tdick 0.085 AR<11> - AR_11 - ------------------------------------------------- --------------------------- - Total 4.197ns (1.642ns logic, 2.555ns route) - (39.1% logic, 60.9% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_11 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_11 (SLICE_X0Y17.DX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.200ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<11> (PAD) - Destination: AR_11 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 1.925ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<11> to AR_11 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L1.I Tiopi 0.763 FSB_A<11> - FSB_A<11> - FSB_A_11_IBUF - ProtoComp10.IMUX.2 - SLICE_X0Y17.DX net (fanout=2) 1.121 FSB_A_11_IBUF - SLICE_X0Y17.CLK Tckdi (-Th) -0.041 AR<11> - AR_11 - ------------------------------------------------- --------------------------- - Total 1.925ns (0.804ns logic, 1.121ns route) - (41.8% logic, 58.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_11 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.798ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<11> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.515ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<11> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L1.I Tiopi 0.763 FSB_A<11> - FSB_A<11> - FSB_A_11_IBUF - ProtoComp10.IMUX.2 - SLICE_X0Y19.D3 net (fanout=2) 1.382 FSB_A_11_IBUF - SLICE_X0Y19.COUT Topcyd 0.187 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.515ns (1.131ns logic, 1.384ns route) - (45.0% logic, 55.0% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.562ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.438ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<10> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.245ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<10> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N1.I Tiopi 1.557 FSB_A<10> - FSB_A<10> - FSB_A_10_IBUF - ProtoComp10.IMUX - SLICE_X0Y19.D4 net (fanout=2) 2.979 FSB_A_10_IBUF - SLICE_X0Y19.COUT Topcyd 0.312 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.245ns (2.260ns logic, 2.985ns route) - (43.1% logic, 56.9% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_10 (SLICE_X0Y17.CX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.113ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<10> (PAD) - Destination: AR_10 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.578ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<10> to AR_10 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N1.I Tiopi 1.557 FSB_A<10> - FSB_A<10> - FSB_A_10_IBUF - ProtoComp10.IMUX - SLICE_X0Y17.CX net (fanout=2) 2.936 FSB_A_10_IBUF - SLICE_X0Y17.CLK Tdick 0.085 AR<11> - AR_10 - ------------------------------------------------- --------------------------- - Total 4.578ns (1.642ns logic, 2.936ns route) - (35.9% logic, 64.1% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_10 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_10 (SLICE_X0Y17.CX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.463ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<10> (PAD) - Destination: AR_10 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.188ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<10> to AR_10 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N1.I Tiopi 0.763 FSB_A<10> - FSB_A<10> - FSB_A_10_IBUF - ProtoComp10.IMUX - SLICE_X0Y17.CX net (fanout=2) 1.384 FSB_A_10_IBUF - SLICE_X0Y17.CLK Tckdi (-Th) -0.041 AR<11> - AR_10 - ------------------------------------------------- --------------------------- - Total 2.188ns (0.804ns logic, 1.384ns route) - (36.7% logic, 63.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_10 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.800ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<10> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.517ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<10> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N1.I Tiopi 0.763 FSB_A<10> - FSB_A<10> - FSB_A_10_IBUF - ProtoComp10.IMUX - SLICE_X0Y19.D4 net (fanout=2) 1.384 FSB_A_10_IBUF - SLICE_X0Y19.COUT Topcyd 0.187 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.517ns (1.131ns logic, 1.386ns route) - (44.9% logic, 55.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.009ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.991ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<9> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.692ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<9> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R1.I Tiopi 1.557 FSB_A<9> - FSB_A<9> - FSB_A_9_IBUF - ProtoComp10.IMUX.34 - SLICE_X0Y19.D6 net (fanout=2) 3.426 FSB_A_9_IBUF - SLICE_X0Y19.COUT Topcyd 0.312 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.692ns (2.260ns logic, 3.432ns route) - (39.7% logic, 60.3% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_9 (SLICE_X0Y17.BX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.827ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<9> (PAD) - Destination: AR_9 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.864ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<9> to AR_9 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R1.I Tiopi 1.557 FSB_A<9> - FSB_A<9> - FSB_A_9_IBUF - ProtoComp10.IMUX.34 - SLICE_X0Y17.BX net (fanout=2) 3.222 FSB_A_9_IBUF - SLICE_X0Y17.CLK Tdick 0.085 AR<11> - AR_9 - ------------------------------------------------- --------------------------- - Total 4.864ns (1.642ns logic, 3.222ns route) - (33.8% logic, 66.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_9 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_9 (SLICE_X0Y17.BX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.618ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<9> (PAD) - Destination: AR_9 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.343ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<9> to AR_9 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R1.I Tiopi 0.763 FSB_A<9> - FSB_A<9> - FSB_A_9_IBUF - ProtoComp10.IMUX.34 - SLICE_X0Y17.BX net (fanout=2) 1.539 FSB_A_9_IBUF - SLICE_X0Y17.CLK Tckdi (-Th) -0.041 AR<11> - AR_9 - ------------------------------------------------- --------------------------- - Total 2.343ns (0.804ns logic, 1.539ns route) - (34.3% logic, 65.7% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_9 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.045ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<9> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.762ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<9> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R1.I Tiopi 0.763 FSB_A<9> - FSB_A<9> - FSB_A_9_IBUF - ProtoComp10.IMUX.34 - SLICE_X0Y19.D6 net (fanout=2) 1.629 FSB_A_9_IBUF - SLICE_X0Y19.COUT Topcyd 0.187 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.762ns (1.131ns logic, 1.631ns route) - (40.9% logic, 59.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.287ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.713ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<8> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.970ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<8> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M4.I Tiopi 1.557 FSB_A<8> - FSB_A<8> - FSB_A_8_IBUF - ProtoComp10.IMUX.33 - SLICE_X0Y19.C6 net (fanout=2) 3.688 FSB_A_8_IBUF - SLICE_X0Y19.COUT Topcyc 0.328 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.970ns (2.276ns logic, 3.694ns route) - (38.1% logic, 61.9% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_8 (SLICE_X0Y17.AX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.446ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<8> (PAD) - Destination: AR_8 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.245ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<8> to AR_8 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M4.I Tiopi 1.557 FSB_A<8> - FSB_A<8> - FSB_A_8_IBUF - ProtoComp10.IMUX.33 - SLICE_X0Y17.AX net (fanout=2) 3.603 FSB_A_8_IBUF - SLICE_X0Y17.CLK Tdick 0.085 AR<11> - AR_8 - ------------------------------------------------- --------------------------- - Total 5.245ns (1.642ns logic, 3.603ns route) - (31.3% logic, 68.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_8 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_8 (SLICE_X0Y17.AX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.756ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<8> (PAD) - Destination: AR_8 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.481ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<8> to AR_8 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M4.I Tiopi 0.763 FSB_A<8> - FSB_A<8> - FSB_A_8_IBUF - ProtoComp10.IMUX.33 - SLICE_X0Y17.AX net (fanout=2) 1.677 FSB_A_8_IBUF - SLICE_X0Y17.CLK Tckdi (-Th) -0.041 AR<11> - AR_8 - ------------------------------------------------- --------------------------- - Total 2.481ns (0.804ns logic, 1.677ns route) - (32.4% logic, 67.6% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_8 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y17.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.144ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<8> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.861ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<8> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M4.I Tiopi 0.763 FSB_A<8> - FSB_A<8> - FSB_A_8_IBUF - ProtoComp10.IMUX.33 - SLICE_X0Y19.C6 net (fanout=2) 1.712 FSB_A_8_IBUF - SLICE_X0Y19.COUT Topcyc 0.203 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.861ns (1.147ns logic, 1.714ns route) - (40.1% logic, 59.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.757ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.243ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<7> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.440ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<7> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P1.I Tiopi 1.557 FSB_A<7> - FSB_A<7> - FSB_A_7_IBUF - ProtoComp10.IMUX.32 - SLICE_X0Y19.C4 net (fanout=2) 3.158 FSB_A_7_IBUF - SLICE_X0Y19.COUT Topcyc 0.328 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.440ns (2.276ns logic, 3.164ns route) - (41.8% logic, 58.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_7 (SLICE_X0Y18.DX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.106ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<7> (PAD) - Destination: AR_7 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.584ns (Levels of Logic = 1) - Clock Path Delay: -3.896ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<7> to AR_7 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P1.I Tiopi 1.557 FSB_A<7> - FSB_A<7> - FSB_A_7_IBUF - ProtoComp10.IMUX.32 - SLICE_X0Y18.DX net (fanout=2) 2.942 FSB_A_7_IBUF - SLICE_X0Y18.CLK Tdick 0.085 AR<7> - AR_7 - ------------------------------------------------- --------------------------- - Total 4.584ns (1.642ns logic, 2.942ns route) - (35.8% logic, 64.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_7 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.863 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.896ns (-6.300ns logic, 2.404ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_7 (SLICE_X0Y18.DX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.464ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<7> (PAD) - Destination: AR_7 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.188ns (Levels of Logic = 1) - Clock Path Delay: -1.690ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<7> to AR_7 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P1.I Tiopi 0.763 FSB_A<7> - FSB_A<7> - FSB_A_7_IBUF - ProtoComp10.IMUX.32 - SLICE_X0Y18.DX net (fanout=2) 1.384 FSB_A_7_IBUF - SLICE_X0Y18.CLK Tckdi (-Th) -0.041 AR<7> - AR_7 - ------------------------------------------------- --------------------------- - Total 2.188ns (0.804ns logic, 1.384ns route) - (36.7% logic, 63.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_7 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.805 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.690ns (-3.199ns logic, 1.509ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.935ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<7> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.652ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<7> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - P1.I Tiopi 0.763 FSB_A<7> - FSB_A<7> - FSB_A_7_IBUF - ProtoComp10.IMUX.32 - SLICE_X0Y19.C4 net (fanout=2) 1.503 FSB_A_7_IBUF - SLICE_X0Y19.COUT Topcyc 0.203 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.652ns (1.147ns logic, 1.505ns route) - (43.3% logic, 56.7% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.919ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.081ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<6> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.602ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<6> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M3.I Tiopi 1.557 FSB_A<6> - FSB_A<6> - FSB_A_6_IBUF - ProtoComp10.IMUX.31 - SLICE_X0Y19.C3 net (fanout=2) 3.320 FSB_A_6_IBUF - SLICE_X0Y19.COUT Topcyc 0.328 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.602ns (2.276ns logic, 3.326ns route) - (40.6% logic, 59.4% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_6 (SLICE_X0Y18.CX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.819ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<6> (PAD) - Destination: AR_6 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.871ns (Levels of Logic = 1) - Clock Path Delay: -3.896ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<6> to AR_6 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M3.I Tiopi 1.557 FSB_A<6> - FSB_A<6> - FSB_A_6_IBUF - ProtoComp10.IMUX.31 - SLICE_X0Y18.CX net (fanout=2) 3.229 FSB_A_6_IBUF - SLICE_X0Y18.CLK Tdick 0.085 AR<7> - AR_6 - ------------------------------------------------- --------------------------- - Total 4.871ns (1.642ns logic, 3.229ns route) - (33.7% logic, 66.3% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_6 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.863 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.896ns (-6.300ns logic, 2.404ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_6 (SLICE_X0Y18.CX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.621ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<6> (PAD) - Destination: AR_6 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.345ns (Levels of Logic = 1) - Clock Path Delay: -1.690ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<6> to AR_6 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M3.I Tiopi 0.763 FSB_A<6> - FSB_A<6> - FSB_A_6_IBUF - ProtoComp10.IMUX.31 - SLICE_X0Y18.CX net (fanout=2) 1.541 FSB_A_6_IBUF - SLICE_X0Y18.CLK Tckdi (-Th) -0.041 AR<7> - AR_6 - ------------------------------------------------- --------------------------- - Total 2.345ns (0.804ns logic, 1.541ns route) - (34.3% logic, 65.7% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_6 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.805 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.690ns (-3.199ns logic, 1.509ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.035ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<6> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.752ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<6> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M3.I Tiopi 0.763 FSB_A<6> - FSB_A<6> - FSB_A_6_IBUF - ProtoComp10.IMUX.31 - SLICE_X0Y19.C3 net (fanout=2) 1.603 FSB_A_6_IBUF - SLICE_X0Y19.COUT Topcyc 0.203 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.752ns (1.147ns logic, 1.605ns route) - (41.7% logic, 58.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.265ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.735ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<5> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.948ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<5> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K1.I Tiopi 1.557 FSB_A<5> - FSB_A<5> - FSB_A_5_IBUF - ProtoComp10.IMUX.30 - SLICE_X0Y19.B2 net (fanout=2) 2.511 FSB_A_5_IBUF - SLICE_X0Y19.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 4.948ns (2.431ns logic, 2.517ns route) - (49.1% logic, 50.9% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_5 (SLICE_X0Y18.BX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.569ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<5> (PAD) - Destination: AR_5 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.121ns (Levels of Logic = 1) - Clock Path Delay: -3.896ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<5> to AR_5 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K1.I Tiopi 1.557 FSB_A<5> - FSB_A<5> - FSB_A_5_IBUF - ProtoComp10.IMUX.30 - SLICE_X0Y18.BX net (fanout=2) 2.479 FSB_A_5_IBUF - SLICE_X0Y18.CLK Tdick 0.085 AR<7> - AR_5 - ------------------------------------------------- --------------------------- - Total 4.121ns (1.642ns logic, 2.479ns route) - (39.8% logic, 60.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_5 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.863 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.896ns (-6.300ns logic, 2.404ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_5 (SLICE_X0Y18.BX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.204ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<5> (PAD) - Destination: AR_5 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 1.928ns (Levels of Logic = 1) - Clock Path Delay: -1.690ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<5> to AR_5 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K1.I Tiopi 0.763 FSB_A<5> - FSB_A<5> - FSB_A_5_IBUF - ProtoComp10.IMUX.30 - SLICE_X0Y18.BX net (fanout=2) 1.124 FSB_A_5_IBUF - SLICE_X0Y18.CLK Tckdi (-Th) -0.041 AR<7> - AR_5 - ------------------------------------------------- --------------------------- - Total 1.928ns (0.804ns logic, 1.124ns route) - (41.7% logic, 58.3% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_5 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.805 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.690ns (-3.199ns logic, 1.509ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.631ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<5> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.348ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<5> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - K1.I Tiopi 0.763 FSB_A<5> - FSB_A<5> - FSB_A_5_IBUF - ProtoComp10.IMUX.30 - SLICE_X0Y19.B2 net (fanout=2) 1.138 FSB_A_5_IBUF - SLICE_X0Y19.COUT Topcyb 0.264 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.348ns (1.208ns logic, 1.140ns route) - (51.4% logic, 48.6% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.766ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.234ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<4> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.449ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<4> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L3.I Tiopi 1.557 FSB_A<4> - FSB_A<4> - FSB_A_4_IBUF - ProtoComp10.IMUX.29 - SLICE_X0Y19.B3 net (fanout=2) 3.012 FSB_A_4_IBUF - SLICE_X0Y19.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.449ns (2.431ns logic, 3.018ns route) - (44.6% logic, 55.4% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_4 (SLICE_X0Y18.AX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.246ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<4> (PAD) - Destination: AR_4 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.444ns (Levels of Logic = 1) - Clock Path Delay: -3.896ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<4> to AR_4 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L3.I Tiopi 1.557 FSB_A<4> - FSB_A<4> - FSB_A_4_IBUF - ProtoComp10.IMUX.29 - SLICE_X0Y18.AX net (fanout=2) 2.802 FSB_A_4_IBUF - SLICE_X0Y18.CLK Tdick 0.085 AR<7> - AR_4 - ------------------------------------------------- --------------------------- - Total 4.444ns (1.642ns logic, 2.802ns route) - (36.9% logic, 63.1% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_4 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.863 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.896ns (-6.300ns logic, 2.404ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_4 (SLICE_X0Y18.AX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.288ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<4> (PAD) - Destination: AR_4 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.012ns (Levels of Logic = 1) - Clock Path Delay: -1.690ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<4> to AR_4 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L3.I Tiopi 0.763 FSB_A<4> - FSB_A<4> - FSB_A_4_IBUF - ProtoComp10.IMUX.29 - SLICE_X0Y18.AX net (fanout=2) 1.208 FSB_A_4_IBUF - SLICE_X0Y18.CLK Tckdi (-Th) -0.041 AR<7> - AR_4 - ------------------------------------------------- --------------------------- - Total 2.012ns (0.804ns logic, 1.208ns route) - (40.0% logic, 60.0% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_4 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y18.CLK net (fanout=17) 0.805 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.690ns (-3.199ns logic, 1.509ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.832ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<4> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.549ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<4> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - L3.I Tiopi 0.763 FSB_A<4> - FSB_A<4> - FSB_A_4_IBUF - ProtoComp10.IMUX.29 - SLICE_X0Y19.B3 net (fanout=2) 1.339 FSB_A_4_IBUF - SLICE_X0Y19.COUT Topcyb 0.264 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.549ns (1.208ns logic, 1.341ns route) - (47.4% logic, 52.6% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.480ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.520ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<3> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 6.163ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<3> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R2.I Tiopi 1.557 FSB_A<3> - FSB_A<3> - FSB_A_3_IBUF - ProtoComp10.IMUX.28 - SLICE_X0Y19.B6 net (fanout=2) 3.726 FSB_A_3_IBUF - SLICE_X0Y19.COUT Topcyb 0.483 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 6.163ns (2.431ns logic, 3.732ns route) - (39.4% logic, 60.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_3 (SLICE_X0Y16.DX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.439ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<3> (PAD) - Destination: AR_3 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.252ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<3> to AR_3 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R2.I Tiopi 1.557 FSB_A<3> - FSB_A<3> - FSB_A_3_IBUF - ProtoComp10.IMUX.28 - SLICE_X0Y16.DX net (fanout=2) 3.610 FSB_A_3_IBUF - SLICE_X0Y16.CLK Tdick 0.085 AR<3> - AR_3 - ------------------------------------------------- --------------------------- - Total 5.252ns (1.642ns logic, 3.610ns route) - (31.3% logic, 68.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_3 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_3 (SLICE_X0Y16.DX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.772ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<3> (PAD) - Destination: AR_3 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.497ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<3> to AR_3 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R2.I Tiopi 0.763 FSB_A<3> - FSB_A<3> - FSB_A_3_IBUF - ProtoComp10.IMUX.28 - SLICE_X0Y16.DX net (fanout=2) 1.693 FSB_A_3_IBUF - SLICE_X0Y16.CLK Tckdi (-Th) -0.041 AR<3> - AR_3 - ------------------------------------------------- --------------------------- - Total 2.497ns (0.804ns logic, 1.693ns route) - (32.2% logic, 67.8% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_3 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.244ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<3> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.961ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<3> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - R2.I Tiopi 0.763 FSB_A<3> - FSB_A<3> - FSB_A_3_IBUF - ProtoComp10.IMUX.28 - SLICE_X0Y19.B6 net (fanout=2) 1.751 FSB_A_3_IBUF - SLICE_X0Y19.COUT Topcyb 0.264 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.961ns (1.208ns logic, 1.753ns route) - (40.8% logic, 59.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.842ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.158ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<2> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.525ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<2> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M2.I Tiopi 1.557 FSB_A<2> - FSB_A<2> - FSB_A_2_IBUF - ProtoComp10.IMUX.27 - SLICE_X0Y19.A3 net (fanout=2) 3.097 FSB_A_2_IBUF - SLICE_X0Y19.COUT Topcya 0.474 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.525ns (2.422ns logic, 3.103ns route) - (43.8% logic, 56.2% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_2 (SLICE_X0Y16.CX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.407ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<2> (PAD) - Destination: AR_2 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.284ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<2> to AR_2 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M2.I Tiopi 1.557 FSB_A<2> - FSB_A<2> - FSB_A_2_IBUF - ProtoComp10.IMUX.27 - SLICE_X0Y16.CX net (fanout=2) 2.642 FSB_A_2_IBUF - SLICE_X0Y16.CLK Tdick 0.085 AR<3> - AR_2 - ------------------------------------------------- --------------------------- - Total 4.284ns (1.642ns logic, 2.642ns route) - (38.3% logic, 61.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_2 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_2 (SLICE_X0Y16.CX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.237ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<2> (PAD) - Destination: AR_2 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 1.962ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<2> to AR_2 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M2.I Tiopi 0.763 FSB_A<2> - FSB_A<2> - FSB_A_2_IBUF - ProtoComp10.IMUX.27 - SLICE_X0Y16.CX net (fanout=2) 1.158 FSB_A_2_IBUF - SLICE_X0Y16.CLK Tckdi (-Th) -0.041 AR<3> - AR_2 - ------------------------------------------------- --------------------------- - Total 1.962ns (0.804ns logic, 1.158ns route) - (41.0% logic, 59.0% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_2 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.900ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<2> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.617ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<2> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M2.I Tiopi 0.763 FSB_A<2> - FSB_A<2> - FSB_A_2_IBUF - ProtoComp10.IMUX.27 - SLICE_X0Y19.A3 net (fanout=2) 1.399 FSB_A_2_IBUF - SLICE_X0Y19.COUT Topcya 0.272 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.617ns (1.216ns logic, 1.401ns route) - (46.5% logic, 53.5% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.876ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.124ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<1> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.559ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<1> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M1.I Tiopi 1.557 FSB_A<1> - FSB_A<1> - FSB_A_1_IBUF - ProtoComp10.IMUX.26 - SLICE_X0Y19.A2 net (fanout=2) 3.131 FSB_A_1_IBUF - SLICE_X0Y19.COUT Topcya 0.474 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.559ns (2.422ns logic, 3.137ns route) - (43.6% logic, 56.4% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_1 (SLICE_X0Y16.BX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 3.520ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<1> (PAD) - Destination: AR_1 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 4.171ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<1> to AR_1 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M1.I Tiopi 1.557 FSB_A<1> - FSB_A<1> - FSB_A_1_IBUF - ProtoComp10.IMUX.26 - SLICE_X0Y16.BX net (fanout=2) 2.529 FSB_A_1_IBUF - SLICE_X0Y16.CLK Tdick 0.085 AR<3> - AR_1 - ------------------------------------------------- --------------------------- - Total 4.171ns (1.642ns logic, 2.529ns route) - (39.4% logic, 60.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_1 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_1 (SLICE_X0Y16.BX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.242ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<1> (PAD) - Destination: AR_1 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 1.967ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<1> to AR_1 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M1.I Tiopi 0.763 FSB_A<1> - FSB_A<1> - FSB_A_1_IBUF - ProtoComp10.IMUX.26 - SLICE_X0Y16.BX net (fanout=2) 1.163 FSB_A_1_IBUF - SLICE_X0Y16.CLK Tckdi (-Th) -0.041 AR<3> - AR_1 - ------------------------------------------------- --------------------------- - Total 1.967ns (0.804ns logic, 1.163ns route) - (40.9% logic, 59.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_1 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.992ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<1> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.709ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<1> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M1.I Tiopi 0.763 FSB_A<1> - FSB_A<1> - FSB_A_1_IBUF - ProtoComp10.IMUX.26 - SLICE_X0Y19.A2 net (fanout=2) 1.491 FSB_A_1_IBUF - SLICE_X0Y19.COUT Topcya 0.272 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.709ns (1.216ns logic, 1.493ns route) - (44.9% logic, 55.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 2 paths analyzed, 2 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.028ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.972ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<0> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.711ns (Levels of Logic = 4) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<0> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N4.I Tiopi 1.557 FSB_A<0> - FSB_A<0> - FSB_A_0_IBUF - ProtoComp10.IMUX.25 - SLICE_X0Y19.A6 net (fanout=2) 3.283 FSB_A_0_IBUF - SLICE_X0Y19.COUT Topcya 0.474 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.093 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.003 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tcinck 0.298 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.711ns (2.422ns logic, 3.289ns route) - (42.4% logic, 57.6% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Paths for end point AR_0 (SLICE_X0Y16.AX), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.661ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: FSB_A<0> (PAD) - Destination: AR_0 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.030ns (Levels of Logic = 1) - Clock Path Delay: -3.895ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: FSB_A<0> to AR_0 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N4.I Tiopi 1.557 FSB_A<0> - FSB_A<0> - FSB_A_0_IBUF - ProtoComp10.IMUX.25 - SLICE_X0Y16.AX net (fanout=2) 3.388 FSB_A_0_IBUF - SLICE_X0Y16.CLK Tdick 0.085 AR<3> - AR_0 - ------------------------------------------------- --------------------------- - Total 5.030ns (1.642ns logic, 3.388ns route) - (32.6% logic, 67.4% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to AR_0 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.864 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.895ns (-6.300ns logic, 2.405ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point AR_0 (SLICE_X0Y16.AX), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.706ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<0> (PAD) - Destination: AR_0 (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.431ns (Levels of Logic = 1) - Clock Path Delay: -1.689ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<0> to AR_0 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N4.I Tiopi 0.763 FSB_A<0> - FSB_A<0> - FSB_A_0_IBUF - ProtoComp10.IMUX.25 - SLICE_X0Y16.AX net (fanout=2) 1.627 FSB_A_0_IBUF - SLICE_X0Y16.CLK Tckdi (-Th) -0.041 AR<3> - AR_0 - ------------------------------------------------- --------------------------- - Total 2.431ns (0.804ns logic, 1.627ns route) - (33.1% logic, 66.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to AR_0 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y16.CLK net (fanout=17) 0.806 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.689ns (-3.199ns logic, 1.510ns route) - --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.057ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: FSB_A<0> (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.774ns (Levels of Logic = 4) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: FSB_A<0> to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N4.I Tiopi 0.763 FSB_A<0> - FSB_A<0> - FSB_A_0_IBUF - ProtoComp10.IMUX.25 - SLICE_X0Y19.A6 net (fanout=2) 1.556 FSB_A_0_IBUF - SLICE_X0Y19.COUT Topcya 0.272 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3> - SLICE_X0Y20.COUT Tbyp 0.032 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CIN net (fanout=1) 0.001 Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7> - SLICE_X0Y21.CLK Tckcin (-Th) -0.149 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.774ns (1.216ns logic, 1.558ns route) - (43.8% logic, 56.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.641ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.D4), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 2.359ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: CPU_nAS (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 5.324ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: CPU_nAS to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H3.I Tiopi 1.557 CPU_nAS - CPU_nAS - CPU_nAS_IBUF - ProtoComp10.IMUX.20 - SLICE_X0Y21.D4 net (fanout=1) 3.250 CPU_nAS_IBUF - SLICE_X0Y21.CLK Tas 0.517 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_lut - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 5.324ns (2.074ns logic, 3.250ns route) - (39.0% logic, 61.0% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.D4), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 3.896ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: CPU_nAS (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 2.613ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: CPU_nAS to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - H3.I Tiopi 0.763 CPU_nAS - CPU_nAS - CPU_nAS_IBUF - ProtoComp10.IMUX.20 - SLICE_X0Y21.D4 net (fanout=1) 1.546 CPU_nAS_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.304 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_lut - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 2.613ns (1.067ns logic, 1.546ns route) - (40.8% logic, 59.2% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 10.332ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.D5), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.668ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: INt (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 12.000ns - Data Path Delay: 6.015ns (Levels of Logic = 2) - Clock Path Delay: -3.903ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: INt to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - C2.I Tiopi 1.557 INt - INt - INt_IBUF - ProtoComp10.IMUX.35 - SLICE_X0Y21.D5 net (fanout=1) 3.941 INt_IBUF - SLICE_X0Y21.CLK Tas 0.517 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_lut - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 6.015ns (2.074ns logic, 3.941ns route) - (34.5% logic, 65.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.368 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.578 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.197 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.856 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.903ns (-6.300ns logic, 2.397ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point OUTt (SLICE_X0Y21.D5), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 4.292ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: INt (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 0.000ns - Data Path Delay: 3.009ns (Levels of Logic = 2) - Clock Path Delay: -1.697ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: INt to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - C2.I Tiopi 0.763 INt - INt - INt_IBUF - ProtoComp10.IMUX.35 - SLICE_X0Y21.D5 net (fanout=1) 1.942 INt_IBUF - SLICE_X0Y21.CLK Tah (-Th) -0.304 OUTt_OBUF - CPU_nAS_FSB_A[31]_AND_3_o1_lut - CPU_nAS_FSB_A[31]_AND_3_o1_cy - OUTt - ------------------------------------------------- --------------------------- - Total 3.009ns (1.067ns logic, 1.942ns route) - (35.5% logic, 64.5% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.230 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.759 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.063 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.798 FSBCLK - ------------------------------------------------- --------------------------- - Total -1.697ns (-3.199ns logic, 1.502ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN"; -For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). - - 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints - 0 timing errors detected. - Minimum allowable offset is 2.040ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (C3.PAD), 1 path --------------------------------------------------------------------------------- -Slack (slowest paths): 2.960ns (requirement - (clock arrival + clock path + data path + uncertainty)) - Source: OUTt (FF) - Destination: OUTt (PAD) +Slack (setup path): 11.311ns (requirement - (data path - clock path skew + uncertainty)) + Source: cg/CPUCLKr (FF) + Destination: cg/CPUCLK_inst (FF) + Requirement: 15.000ns + Data Path Delay: 4.087ns (Levels of Logic = 1) + Clock Path Skew: 0.514ns (1.205 - 0.691) Source Clock: FSBCLK rising at 0.000ns - Requirement: 5.000ns - Data Path Delay: 5.799ns (Levels of Logic = 1) - Clock Path Delay: -4.173ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.116ns - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Uncertainty: 0.116ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: cg/CPUCLKr to cg/CPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y61.DQ Tcko 0.525 cg/CPUCLKr + cg/CPUCLKr + SLICE_X20Y61.D6 net (fanout=3) 0.156 cg/CPUCLKr + SLICE_X20Y61.D Tilo 0.254 cg/CPUCLKr + ][292_3_INV_0 + OLOGIC_X1Y61.D1 net (fanout=3) 2.186 ][292_3 + OLOGIC_X1Y61.CLK0 Todck 0.966 CPUCLK_OBUF + cg/CPUCLK_inst + ------------------------------------------------- --------------------------- + Total 4.087ns (1.745ns logic, 2.342ns route) + (42.7% logic, 57.3% route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y61.D2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.624ns (requirement - (data path - clock path skew + uncertainty)) + Source: cg/CPUCLKr (FF) + Destination: cg/CPUCLK_inst (FF) + Requirement: 15.000ns + Data Path Delay: 3.774ns (Levels of Logic = 1) + Clock Path Skew: 0.514ns (1.205 - 0.691) + Source Clock: FSBCLK rising at 0.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.116ns + + Clock Uncertainty: 0.116ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: cg/CPUCLKr to cg/CPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y61.DQ Tcko 0.525 cg/CPUCLKr + cg/CPUCLKr + SLICE_X20Y61.D6 net (fanout=3) 0.156 cg/CPUCLKr + SLICE_X20Y61.D Tilo 0.254 cg/CPUCLKr + ][292_3_INV_0 + OLOGIC_X1Y61.D2 net (fanout=3) 2.008 ][292_3 + OLOGIC_X1Y61.CLK0 Todck 0.831 CPUCLK_OBUF + cg/CPUCLK_inst + ------------------------------------------------- --------------------------- + Total 3.774ns (1.610ns logic, 2.164ns route) + (42.7% logic, 57.3% route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y61.D2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.401ns (requirement - (data path - clock path skew + uncertainty)) + Source: cg/CPUCLKr (FF) + Destination: cg/FPUCLK_inst (FF) + Requirement: 15.000ns + Data Path Delay: 2.966ns (Levels of Logic = 0) + Clock Path Skew: 0.483ns (0.800 - 0.317) + Source Clock: FSBCLK rising at 0.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.116ns + + Clock Uncertainty: 0.116ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: cg/CPUCLKr to cg/FPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y61.DQ Tcko 0.525 cg/CPUCLKr + cg/CPUCLKr + OLOGIC_X11Y61.D2 net (fanout=3) 1.610 cg/CPUCLKr + OLOGIC_X11Y61.CLK0 Todck 0.831 FPUCLK_OBUF + cg/FPUCLK_inst + ------------------------------------------------- --------------------------- + Total 2.966ns (1.356ns logic, 1.610ns route) + (45.7% logic, 54.3% route) + +-------------------------------------------------------------------------------- + +Hold Paths: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%; +-------------------------------------------------------------------------------- + +Paths for end point cg/CPUCLKr (SLICE_X20Y61.D6), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 0.458ns (requirement - (clock path skew + uncertainty - data path)) + Source: cg/CPUCLKr (FF) + Destination: cg/CPUCLKr (FF) + Requirement: 0.000ns + Data Path Delay: 0.458ns (Levels of Logic = 1) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 15.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: cg/CPUCLKr to cg/CPUCLKr + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y61.DQ Tcko 0.234 cg/CPUCLKr + cg/CPUCLKr + SLICE_X20Y61.D6 net (fanout=3) 0.027 cg/CPUCLKr + SLICE_X20Y61.CLK Tah (-Th) -0.197 cg/CPUCLKr + ][292_3_INV_0 + cg/CPUCLKr + ------------------------------------------------- --------------------------- + Total 0.458ns (0.431ns logic, 0.027ns route) + (94.1% logic, 5.9% route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y61.D1), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 0.665ns (requirement - (clock path skew + uncertainty - data path)) + Source: cg/CPUCLKr (FF) + Destination: cg/FPUCLK_inst (FF) + Requirement: 0.000ns + Data Path Delay: 0.857ns (Levels of Logic = 0) + Clock Path Skew: 0.192ns (0.269 - 0.077) + Source Clock: FSBCLK rising at 15.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: cg/CPUCLKr to cg/FPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y61.DQ Tcko 0.234 cg/CPUCLKr + cg/CPUCLKr + OLOGIC_X11Y61.D1 net (fanout=3) 0.293 cg/CPUCLKr + OLOGIC_X11Y61.CLK0 Tockd (-Th) -0.330 FPUCLK_OBUF + cg/FPUCLK_inst + ------------------------------------------------- --------------------------- + Total 0.857ns (0.564ns logic, 0.293ns route) + (65.8% logic, 34.2% route) + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ENBRDEN), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 0.736ns (requirement - (clock path skew + uncertainty - data path)) + Source: cg/CPUCLKr (FF) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Requirement: 0.000ns + Data Path Delay: 0.736ns (Levels of Logic = 1) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 15.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: cg/CPUCLKr to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X20Y61.DQ Tcko 0.234 cg/CPUCLKr + cg/CPUCLKr + SLICE_X20Y61.D6 net (fanout=3) 0.027 cg/CPUCLKr + SLICE_X20Y61.D Tilo 0.156 cg/CPUCLKr + ][292_3_INV_0 + RAMB8_X1Y31.ENBRDEN net (fanout=3) 0.363 ][292_3 + RAMB8_X1Y31.CLKBRDCLKTrckc_ENB (-Th) 0.044 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ------------------------------------------------- --------------------------- + Total 0.736ns (0.346ns logic, 0.390ns route) + (47.0% logic, 53.0% route) + +-------------------------------------------------------------------------------- + +Component Switching Limit Checks: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%; +-------------------------------------------------------------------------------- +Slack: 11.430ns (period - min period limit) + Period: 15.000ns + Min period limit: 3.570ns (280.112MHz) (Trper_CLKA(Fmax)) + Physical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKAWRCLK + Logical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKAWRCLK + Location pin: RAMB8_X1Y31.CLKAWRCLK + Clock network: FSBCLK +-------------------------------------------------------------------------------- +Slack: 11.430ns (period - min period limit) + Period: 15.000ns + Min period limit: 3.570ns (280.112MHz) (Trper_CLKB(Fmax)) + Physical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKBRDCLK + Logical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKBRDCLK + Location pin: RAMB8_X1Y31.CLKBRDCLK + Clock network: FSBCLK +-------------------------------------------------------------------------------- +Slack: 12.334ns (period - min period limit) + Period: 15.000ns + Min period limit: 2.666ns (375.094MHz) (Tbcper_I) + Physical resource: cg/pll/clkout1_buf/I0 + Logical resource: cg/pll/clkout1_buf/I0 + Location pin: BUFGMUX_X3Y13.I0 + Clock network: cg/pll/clkout0 +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: Unconstrained OFFSET IN BEFORE analysis for clock "FSBCLK" + + 5 paths analyzed, 5 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. + Minimum allowable offset is 8.910ns. +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR9), 1 path +-------------------------------------------------------------------------------- +Offset (setup paths): 8.910ns (data path - clock path + uncertainty) + Source: FSB_A<6> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Data Path Delay: 4.600ns (Levels of Logic = 1) + Clock Path Delay: -4.014ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns - Maximum Clock Path at Slow Process Corner: CLKIN to OUTt + Maximum Data Path at Slow Process Corner: FSB_A<6> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + RAMB8_X1Y31.ADDRBRDADDR9 net (fanout=13) 2.643 FSB_A_6_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.600ns (1.957ns logic, 2.643ns route) + (42.5% logic, 57.5% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 0.882 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.014ns (-6.437ns logic, 2.423ns route) + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +-------------------------------------------------------------------------------- +Offset (setup paths): 8.905ns (data path - clock path + uncertainty) + Source: FSB_A<4> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Data Path Delay: 4.595ns (Levels of Logic = 1) + Clock Path Delay: -4.014ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Data Path at Slow Process Corner: FSB_A<4> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + RAMB8_X1Y31.ADDRBRDADDR7 net (fanout=13) 2.638 FSB_A_4_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.595ns (1.957ns logic, 2.638ns route) + (42.6% logic, 57.4% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 0.882 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.014ns (-6.437ns logic, 2.423ns route) + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR8), 1 path +-------------------------------------------------------------------------------- +Offset (setup paths): 8.878ns (data path - clock path + uncertainty) + Source: FSB_A<5> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Data Path Delay: 4.568ns (Levels of Logic = 1) + Clock Path Delay: -4.014ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Data Path at Slow Process Corner: FSB_A<5> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + RAMB8_X1Y31.ADDRBRDADDR8 net (fanout=13) 2.611 FSB_A_5_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.568ns (1.957ns logic, 2.611ns route) + (42.8% logic, 57.2% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 0.882 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.014ns (-6.437ns logic, 2.423ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: Unconstrained OFFSET IN BEFORE analysis for clock "FSBCLK" + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +-------------------------------------------------------------------------------- +Offset (hold paths): 4.005ns (data path - clock path + uncertainty) + Source: FSB_A<4> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Data Path Delay: 1.886ns (Levels of Logic = 1) + Clock Path Delay: -1.823ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Data Path at Fast Process Corner: FSB_A<4> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E13.I Tiopi 0.763 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + RAMB8_X1Y31.ADDRBRDADDR7 net (fanout=13) 1.189 FSB_A_4_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 1.886ns (0.697ns logic, 1.189ns route) + (37.0% logic, 63.0% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.230 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.911 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.178 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 0.824 FSBCLK + ------------------------------------------------- --------------------------- + Total -1.823ns (-3.351ns logic, 1.528ns route) + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR6), 1 path +-------------------------------------------------------------------------------- +Offset (hold paths): 4.007ns (data path - clock path + uncertainty) + Source: FSB_A<3> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Data Path Delay: 1.888ns (Levels of Logic = 1) + Clock Path Delay: -1.823ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Data Path at Fast Process Corner: FSB_A<3> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E11.I Tiopi 0.763 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + RAMB8_X1Y31.ADDRBRDADDR6 net (fanout=13) 1.191 FSB_A_3_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 1.888ns (0.697ns logic, 1.191ns route) + (36.9% logic, 63.1% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.230 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.911 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.178 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 0.824 FSBCLK + ------------------------------------------------- --------------------------- + Total -1.823ns (-3.351ns logic, 1.528ns route) + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR5), 1 path +-------------------------------------------------------------------------------- +Offset (hold paths): 4.008ns (data path - clock path + uncertainty) + Source: FSB_A<2> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Data Path Delay: 1.889ns (Levels of Logic = 1) + Clock Path Delay: -1.823ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Data Path at Fast Process Corner: FSB_A<2> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + B14.I Tiopi 0.763 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + RAMB8_X1Y31.ADDRBRDADDR5 net (fanout=13) 1.192 FSB_A_2_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 1.889ns (0.697ns logic, 1.192ns route) + (36.9% logic, 63.1% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.230 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.911 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.178 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 0.824 FSBCLK + ------------------------------------------------- --------------------------- + Total -1.823ns (-3.351ns logic, 1.528ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: Unconstrained OFFSET OUT AFTER analysis for clock "FSBCLK" + + 36 paths analyzed, 36 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. + Maximum allowable offset is 5.139ns. +-------------------------------------------------------------------------------- + +Paths for end point FSB_D<2> (C5.PAD), 1 path +-------------------------------------------------------------------------------- +Offset (slowest paths): 5.139ns (clock path + data path + uncertainty) + Source: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination: FSB_D<2> (PAD) + Source Clock: FSBCLK rising at 0.000ns + Data Path Delay: 9.129ns (Levels of Logic = 1) + Clock Path Delay: -4.286ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- J4.I Tiopi 1.037 CLKIN CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.643 CLKGEN_inst/instance_name/clkin1 + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.643 cg/pll/clkin1 BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.842 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -9.039 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.505 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.209 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 1.440 FSBCLK + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.842 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -9.178 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.209 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 1.466 FSBCLK ------------------------------------------------- --------------------------- - Total -4.173ns (-7.603ns logic, 3.430ns route) + Total -4.286ns (-7.742ns logic, 3.456ns route) - Maximum Data Path at Slow Process Corner: OUTt to OUTt + Maximum Data Path at Slow Process Corner: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram to FSB_D<2> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X0Y21.DQ Tcko 0.525 OUTt_OBUF - OUTt - C3.O net (fanout=1) 3.292 OUTt_OBUF - C3.PAD Tioop 1.982 OUTt - OUTt_OBUF - OUTt + RAMB8_X1Y31.DOADO2 Trcko_DOA 2.100 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + C5.O net (fanout=1) 4.047 FSB_D_2_OBUF + C5.PAD Tioop 2.982 FSB_D<2> + FSB_D_2_OBUF + FSB_D<2> ------------------------------------------------- --------------------------- - Total 5.799ns (2.507ns logic, 3.292ns route) - (43.2% logic, 56.8% route) + Total 9.129ns (5.082ns logic, 4.047ns route) + (55.7% logic, 44.3% route) -------------------------------------------------------------------------------- -Fastest Paths: COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN"; +Paths for end point FSB_D<4> (A6.PAD), 1 path -------------------------------------------------------------------------------- - -Paths for end point OUTt (C3.PAD), 1 path --------------------------------------------------------------------------------- -Delay (fastest paths): 0.500ns (clock arrival + clock path + data path - uncertainty) - Source: OUTt (FF) - Destination: OUTt (PAD) +Offset (slowest paths): 5.039ns (clock path + data path + uncertainty) + Source: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination: FSB_D<4> (PAD) Source Clock: FSBCLK rising at 0.000ns - Data Path Delay: 2.520ns (Levels of Logic = 1) - Clock Path Delay: -1.606ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns + Data Path Delay: 9.029ns (Levels of Logic = 1) + Clock Path Delay: -4.286ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns - Minimum Clock Path at Fast Process Corner: CLKIN to OUTt + Maximum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - J4.I Tiopi 0.321 CLKIN + J4.I Tiopi 1.037 CLKIN CLKIN - CLKGEN_inst/instance_name/clkin1_buf - ProtoComp10.IMUX.36 - BUFIO2_X0Y23.I net (fanout=1) 0.220 CLKGEN_inst/instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.643 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.263 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.246 CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X2Y3.I0 net (fanout=1) 0.158 CLKGEN_inst/instance_name/clkout0 - BUFGMUX_X2Y3.O Tgi0o 0.059 CLKGEN_inst/instance_name/clkout1_buf - CLKGEN_inst/instance_name/clkout1_buf - SLICE_X0Y21.CLK net (fanout=17) 0.497 FSBCLK + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.842 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -9.178 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.209 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 1.466 FSBCLK ------------------------------------------------- --------------------------- - Total -1.606ns (-2.744ns logic, 1.138ns route) + Total -4.286ns (-7.742ns logic, 3.456ns route) - Minimum Data Path at Fast Process Corner: OUTt to OUTt + Maximum Data Path at Slow Process Corner: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram to FSB_D<4> Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X0Y21.DQ Tcko 0.234 OUTt_OBUF - OUTt - C3.O net (fanout=1) 1.587 OUTt_OBUF - C3.PAD Tioop 0.699 OUTt - OUTt_OBUF - OUTt + RAMB8_X1Y31.DOADO4 Trcko_DOA 2.100 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + A6.O net (fanout=1) 3.947 FSB_D_4_OBUF + A6.PAD Tioop 2.982 FSB_D<4> + FSB_D_4_OBUF + FSB_D<4> ------------------------------------------------- --------------------------- - Total 2.520ns (0.933ns logic, 1.587ns route) + Total 9.029ns (5.082ns logic, 3.947ns route) + (56.3% logic, 43.7% route) + +-------------------------------------------------------------------------------- + +Paths for end point FSB_D<0> (A5.PAD), 1 path +-------------------------------------------------------------------------------- +Offset (slowest paths): 4.996ns (clock path + data path + uncertainty) + Source: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination: FSB_D<0> (PAD) + Source Clock: FSBCLK rising at 0.000ns + Data Path Delay: 8.986ns (Levels of Logic = 1) + Clock Path Delay: -4.286ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 1.037 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.643 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.842 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -9.178 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.209 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) 1.466 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.286ns (-7.742ns logic, 3.456ns route) + + Maximum Data Path at Slow Process Corner: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram to FSB_D<0> + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + RAMB8_X1Y31.DOADO0 Trcko_DOA 2.100 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + A5.O net (fanout=1) 3.904 FSB_D_0_OBUF + A5.PAD Tioop 2.982 FSB_D<0> + FSB_D_0_OBUF + FSB_D<0> + ------------------------------------------------- --------------------------- + Total 8.986ns (5.082ns logic, 3.904ns route) + (56.6% logic, 43.4% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: Unconstrained OFFSET OUT AFTER analysis for clock "FSBCLK" + +-------------------------------------------------------------------------------- + +Paths for end point RAMCLK0 (A14.PAD), 1 path +-------------------------------------------------------------------------------- +Offset (fastest paths): -0.475ns (clock path + data path - uncertainty) + Source: cg/RAMCLK0_inst (FF) + Destination: RAMCLK0 (PAD) + Source Clock: FSBCLK rising at 0.000ns + Data Path Delay: 3.331ns (Levels of Logic = 1) + Clock Path Delay: -3.510ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Clock Path at Slow Process Corner: CLKIN to cg/RAMCLK0_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + OLOGIC_X11Y62.CLK0 net (fanout=17) 1.386 FSBCLK + ------------------------------------------------- --------------------------- + Total -3.510ns (-6.437ns logic, 2.927ns route) + + Minimum Data Path at Slow Process Corner: cg/RAMCLK0_inst to RAMCLK0 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X11Y62.OQ Tockq 1.090 RAMCLK0_OBUF + cg/RAMCLK0_inst + A14.O net (fanout=1) 0.375 RAMCLK0_OBUF + A14.PAD Tioop 1.866 RAMCLK0 + RAMCLK0_OBUF + RAMCLK0 + ------------------------------------------------- --------------------------- + Total 3.331ns (2.956ns logic, 0.375ns route) + (88.7% logic, 11.3% route) + +-------------------------------------------------------------------------------- + +Paths for end point RAMCLK1 (C4.PAD), 1 path +-------------------------------------------------------------------------------- +Offset (fastest paths): -0.436ns (clock path + data path - uncertainty) + Source: cg/RAMCLK1_inst (FF) + Destination: RAMCLK1 (PAD) + Source Clock: FSBCLK rising at 0.000ns + Data Path Delay: 3.331ns (Levels of Logic = 1) + Clock Path Delay: -3.471ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Clock Path at Slow Process Corner: CLKIN to cg/RAMCLK1_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + OLOGIC_X1Y63.CLK0 net (fanout=17) 1.425 FSBCLK + ------------------------------------------------- --------------------------- + Total -3.471ns (-6.437ns logic, 2.966ns route) + + Minimum Data Path at Slow Process Corner: cg/RAMCLK1_inst to RAMCLK1 + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X1Y63.OQ Tockq 1.090 RAMCLK1_OBUF + cg/RAMCLK1_inst + C4.O net (fanout=1) 0.375 RAMCLK1_OBUF + C4.PAD Tioop 1.866 RAMCLK1 + RAMCLK1_OBUF + RAMCLK1 + ------------------------------------------------- --------------------------- + Total 3.331ns (2.956ns logic, 0.375ns route) + (88.7% logic, 11.3% route) + +-------------------------------------------------------------------------------- + +Paths for end point FPUCLK (D11.PAD), 1 path +-------------------------------------------------------------------------------- +Offset (fastest paths): -0.424ns (clock path + data path - uncertainty) + Source: cg/FPUCLK_inst (FF) + Destination: FPUCLK (PAD) + Source Clock: FSBCLK rising at 0.000ns + Data Path Delay: 3.382ns (Levels of Logic = 1) + Clock Path Delay: -3.510ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Clock Path at Slow Process Corner: CLKIN to cg/FPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + OLOGIC_X11Y61.CLK0 net (fanout=17) 1.386 FSBCLK + ------------------------------------------------- --------------------------- + Total -3.510ns (-6.437ns logic, 2.927ns route) + + Minimum Data Path at Slow Process Corner: cg/FPUCLK_inst to FPUCLK + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X11Y61.OQ Tockq 1.090 FPUCLK_OBUF + cg/FPUCLK_inst + D11.O net (fanout=1) 0.426 FPUCLK_OBUF + D11.PAD Tioop 1.866 FPUCLK + FPUCLK_OBUF + FPUCLK + ------------------------------------------------- --------------------------- + Total 3.382ns (2.956ns logic, 0.426ns route) + (87.4% logic, 12.6% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: Unconstrained OFFSET OUT AFTER analysis for clock +"cg/pll/clkfb_bufg_out" + + 2 paths analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. + Maximum allowable offset is 15.120ns. +-------------------------------------------------------------------------------- + +Paths for end point CLKFB_OUT (A4.PAD), 2 paths +-------------------------------------------------------------------------------- +Offset (slowest paths): 15.120ns (clock path + data path + uncertainty) + Source: cg/pll/clkfbout_oddr (FF) + Destination: CLKFB_OUT (PAD) + Source Clock: cg/pll/clkfb_bufg_out falling at 15.000ns + Data Path Delay: 1.466ns (Levels of Logic = 1) + Clock Path Delay: -1.603ns (Levels of Logic = 4) + Clock Uncertainty: 0.257ns + + Clock Uncertainty: 0.257ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.141ns + Phase Error (PE): 0.182ns + + Maximum Clock Path at Fast Process Corner: CLKIN to cg/pll/clkfbout_oddr + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.230 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT -3.911 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 cg/pll/clkfbout + BUFGMUX_X2Y3.O Tgi0o 0.063 cg/pll/clkfbout_bufg + cg/pll/clkfbout_bufg + OLOGIC_X1Y62.CLK1 net (fanout=2) 1.044 cg/pll/clkfb_bufg_out + ------------------------------------------------- --------------------------- + Total -1.603ns (-3.351ns logic, 1.748ns route) + + Maximum Data Path at Fast Process Corner: cg/pll/clkfbout_oddr to CLKFB_OUT + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X1Y62.OQ Tockq 0.451 CLKFB_OUT_OBUF + cg/pll/clkfbout_oddr + A4.O net (fanout=1) 0.273 CLKFB_OUT_OBUF + A4.PAD Tioop 0.742 CLKFB_OUT + CLKFB_OUT_OBUF + CLKFB_OUT + ------------------------------------------------- --------------------------- + Total 1.466ns (1.193ns logic, 0.273ns route) + (81.4% logic, 18.6% route) + +-------------------------------------------------------------------------------- +Offset (slowest paths): 0.189ns (clock path + data path + uncertainty) + Source: cg/pll/clkfbout_oddr (FF) + Destination: CLKFB_OUT (PAD) + Source Clock: cg/pll/clkfb_bufg_out rising at 0.000ns + Data Path Delay: 1.460ns (Levels of Logic = 1) + Clock Path Delay: -1.528ns (Levels of Logic = 4) + Clock Uncertainty: 0.257ns + + Clock Uncertainty: 0.257ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.141ns + Phase Error (PE): 0.182ns + + Maximum Clock Path at Fast Process Corner: CLKIN to cg/pll/clkfbout_oddr + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.230 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT -3.911 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 cg/pll/clkfbout + BUFGMUX_X2Y3.O Tgi0o 0.063 cg/pll/clkfbout_bufg + cg/pll/clkfbout_bufg + OLOGIC_X1Y62.CLK0 net (fanout=2) 1.119 cg/pll/clkfb_bufg_out + ------------------------------------------------- --------------------------- + Total -1.528ns (-3.351ns logic, 1.823ns route) + + Maximum Data Path at Fast Process Corner: cg/pll/clkfbout_oddr to CLKFB_OUT + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X1Y62.OQ Tockq 0.445 CLKFB_OUT_OBUF + cg/pll/clkfbout_oddr + A4.O net (fanout=1) 0.273 CLKFB_OUT_OBUF + A4.PAD Tioop 0.742 CLKFB_OUT + CLKFB_OUT_OBUF + CLKFB_OUT + ------------------------------------------------- --------------------------- + Total 1.460ns (1.187ns logic, 0.273ns route) + (81.3% logic, 18.7% route) + +-------------------------------------------------------------------------------- + +Fastest Paths: Unconstrained OFFSET OUT AFTER analysis for clock "cg/pll/clkfb_bufg_out" + +-------------------------------------------------------------------------------- + +Paths for end point CLKFB_OUT (A4.PAD), 2 paths +-------------------------------------------------------------------------------- +Offset (fastest paths): -0.348ns (clock path + data path - uncertainty) + Source: cg/pll/clkfbout_oddr (FF) + Destination: CLKFB_OUT (PAD) + Source Clock: cg/pll/clkfb_bufg_out rising at 0.000ns + Data Path Delay: 3.331ns (Levels of Logic = 1) + Clock Path Delay: -3.422ns (Levels of Logic = 4) + Clock Uncertainty: 0.257ns + + Clock Uncertainty: 0.257ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.141ns + Phase Error (PE): 0.182ns + + Minimum Clock Path at Slow Process Corner: CLKIN to cg/pll/clkfbout_oddr + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 cg/pll/clkfbout + BUFGMUX_X2Y3.O Tgi0o 0.197 cg/pll/clkfbout_bufg + cg/pll/clkfbout_bufg + OLOGIC_X1Y62.CLK0 net (fanout=2) 1.474 cg/pll/clkfb_bufg_out + ------------------------------------------------- --------------------------- + Total -3.422ns (-6.437ns logic, 3.015ns route) + + Minimum Data Path at Slow Process Corner: cg/pll/clkfbout_oddr to CLKFB_OUT + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X1Y62.OQ Tockq 1.090 CLKFB_OUT_OBUF + cg/pll/clkfbout_oddr + A4.O net (fanout=1) 0.375 CLKFB_OUT_OBUF + A4.PAD Tioop 1.866 CLKFB_OUT + CLKFB_OUT_OBUF + CLKFB_OUT + ------------------------------------------------- --------------------------- + Total 3.331ns (2.956ns logic, 0.375ns route) + (88.7% logic, 11.3% route) + +-------------------------------------------------------------------------------- +Offset (fastest paths): 14.592ns (clock path + data path - uncertainty) + Source: cg/pll/clkfbout_oddr (FF) + Destination: CLKFB_OUT (PAD) + Source Clock: cg/pll/clkfb_bufg_out falling at 15.000ns + Data Path Delay: 3.331ns (Levels of Logic = 1) + Clock Path Delay: -3.482ns (Levels of Logic = 4) + Clock Uncertainty: 0.257ns + + Clock Uncertainty: 0.257ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.141ns + Phase Error (PE): 0.182ns + + Minimum Clock Path at Slow Process Corner: CLKIN to cg/pll/clkfbout_oddr + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X2Y3.I0 net (fanout=1) 0.440 cg/pll/clkfbout + BUFGMUX_X2Y3.O Tgi0o 0.197 cg/pll/clkfbout_bufg + cg/pll/clkfbout_bufg + OLOGIC_X1Y62.CLK1 net (fanout=2) 1.414 cg/pll/clkfb_bufg_out + ------------------------------------------------- --------------------------- + Total -3.482ns (-6.437ns logic, 2.955ns route) + + Minimum Data Path at Slow Process Corner: cg/pll/clkfbout_oddr to CLKFB_OUT + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + OLOGIC_X1Y62.OQ Tockq 1.090 CLKFB_OUT_OBUF + cg/pll/clkfbout_oddr + A4.O net (fanout=1) 0.375 CLKFB_OUT_OBUF + A4.PAD Tioop 1.866 CLKFB_OUT + CLKFB_OUT_OBUF + CLKFB_OUT + ------------------------------------------------- --------------------------- + Total 3.331ns (2.956ns logic, 0.375ns route) + (88.7% logic, 11.3% route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: Unconstrained path analysis + + 188 paths analyzed, 58 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Maximum delay is 12.945ns. +-------------------------------------------------------------------------------- + +Paths for end point CPU_nSTERM (D12.PAD), 131 paths +-------------------------------------------------------------------------------- +Delay (setup path): 12.945ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.945ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X16Y51.A3 net (fanout=13) 4.264 FSB_A_4_IBUF + SLICE_X16Y51.AMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + SLICE_X22Y51.A1 net (fanout=1) 1.261 l2pre/n0023<14> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.945ns (4.513ns logic, 8.432ns route) + (34.9% logic, 65.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.836ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.836ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X16Y51.A3 net (fanout=13) 4.264 FSB_A_4_IBUF + SLICE_X16Y51.A Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + SLICE_X22Y51.B2 net (fanout=1) 1.248 l2pre/n0023<16> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.836ns (4.417ns logic, 8.419ns route) + (34.4% logic, 65.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.820ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.820ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X16Y51.A1 net (fanout=13) 4.139 FSB_A_2_IBUF + SLICE_X16Y51.AMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + SLICE_X22Y51.A1 net (fanout=1) 1.261 l2pre/n0023<14> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.820ns (4.513ns logic, 8.307ns route) + (35.2% logic, 64.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.811ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.811ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X16Y51.B1 net (fanout=13) 4.312 FSB_A_2_IBUF + SLICE_X16Y51.BMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + SLICE_X22Y51.A3 net (fanout=1) 1.079 l2pre/n0023<12> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.811ns (4.513ns logic, 8.298ns route) + (35.2% logic, 64.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.711ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.711ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X16Y51.A1 net (fanout=13) 4.139 FSB_A_2_IBUF + SLICE_X16Y51.A Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + SLICE_X22Y51.B2 net (fanout=1) 1.248 l2pre/n0023<16> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.711ns (4.417ns logic, 8.294ns route) + (34.7% logic, 65.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.708ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.708ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X16Y51.A2 net (fanout=13) 4.027 FSB_A_3_IBUF + SLICE_X16Y51.AMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + SLICE_X22Y51.A1 net (fanout=1) 1.261 l2pre/n0023<14> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.708ns (4.513ns logic, 8.195ns route) + (35.5% logic, 64.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.691ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.691ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X16Y51.B1 net (fanout=13) 4.312 FSB_A_2_IBUF + SLICE_X16Y51.B Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP + SLICE_X22Y50.D1 net (fanout=1) 1.119 l2pre/n0023<11> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.691ns (4.350ns logic, 8.341ns route) + (34.3% logic, 65.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.659ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.659ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X16Y51.B3 net (fanout=13) 4.160 FSB_A_4_IBUF + SLICE_X16Y51.BMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + SLICE_X22Y51.A3 net (fanout=1) 1.079 l2pre/n0023<12> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.659ns (4.513ns logic, 8.146ns route) + (35.7% logic, 64.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.599ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.599ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X16Y51.A2 net (fanout=13) 4.027 FSB_A_3_IBUF + SLICE_X16Y51.A Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + SLICE_X22Y51.B2 net (fanout=1) 1.248 l2pre/n0023<16> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.599ns (4.417ns logic, 8.182ns route) + (35.1% logic, 64.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.539ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.539ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X16Y51.B3 net (fanout=13) 4.160 FSB_A_4_IBUF + SLICE_X16Y51.B Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP + SLICE_X22Y50.D1 net (fanout=1) 1.119 l2pre/n0023<11> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.539ns (4.350ns logic, 8.189ns route) + (34.7% logic, 65.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.537ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.537ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X16Y51.B2 net (fanout=13) 4.038 FSB_A_3_IBUF + SLICE_X16Y51.BMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + SLICE_X22Y51.A3 net (fanout=1) 1.079 l2pre/n0023<12> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.537ns (4.513ns logic, 8.024ns route) + (36.0% logic, 64.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.517ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.517ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y50.B1 net (fanout=13) 4.008 FSB_A_2_IBUF + SLICE_X20Y50.BMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + SLICE_X22Y50.B1 net (fanout=1) 1.019 l2pre/n0023<4> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.517ns (4.580ns logic, 7.937ns route) + (36.6% logic, 63.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.435ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.435ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X16Y51.A4 net (fanout=13) 3.754 FSB_A_5_IBUF + SLICE_X16Y51.AMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + SLICE_X22Y51.A1 net (fanout=1) 1.261 l2pre/n0023<14> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.435ns (4.513ns logic, 7.922ns route) + (36.3% logic, 63.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.417ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.417ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X16Y51.B2 net (fanout=13) 4.038 FSB_A_3_IBUF + SLICE_X16Y51.B Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP + SLICE_X22Y50.D1 net (fanout=1) 1.119 l2pre/n0023<11> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.417ns (4.350ns logic, 8.067ns route) + (35.0% logic, 65.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.398ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.398ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y50.B3 net (fanout=13) 3.889 FSB_A_4_IBUF + SLICE_X20Y50.BMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + SLICE_X22Y50.B1 net (fanout=1) 1.019 l2pre/n0023<4> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.398ns (4.580ns logic, 7.818ns route) + (36.9% logic, 63.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.356ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.356ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X16Y51.A5 net (fanout=13) 3.675 FSB_A_6_IBUF + SLICE_X16Y51.AMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + SLICE_X22Y51.A1 net (fanout=1) 1.261 l2pre/n0023<14> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.356ns (4.513ns logic, 7.843ns route) + (36.5% logic, 63.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.345ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.345ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y49.A3 net (fanout=13) 4.019 FSB_A_4_IBUF + SLICE_X20Y49.A Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP + SLICE_X22Y50.A2 net (fanout=1) 0.884 l2pre/n0023<1> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.345ns (4.532ns logic, 7.813ns route) + (36.7% logic, 63.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.326ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.326ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X16Y51.A4 net (fanout=13) 3.754 FSB_A_5_IBUF + SLICE_X16Y51.A Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + SLICE_X22Y51.B2 net (fanout=1) 1.248 l2pre/n0023<16> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.326ns (4.417ns logic, 7.909ns route) + (35.8% logic, 64.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.323ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.323ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X16Y51.B5 net (fanout=13) 3.824 FSB_A_6_IBUF + SLICE_X16Y51.BMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + SLICE_X22Y51.A3 net (fanout=1) 1.079 l2pre/n0023<12> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.323ns (4.513ns logic, 7.810ns route) + (36.6% logic, 63.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.274ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.274ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X16Y51.B4 net (fanout=13) 3.775 FSB_A_5_IBUF + SLICE_X16Y51.BMUX Tilo 0.326 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + SLICE_X22Y51.A3 net (fanout=1) 1.079 l2pre/n0023<12> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.274ns (4.513ns logic, 7.761ns route) + (36.8% logic, 63.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.247ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.247ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X16Y51.A5 net (fanout=13) 3.675 FSB_A_6_IBUF + SLICE_X16Y51.A Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + SLICE_X22Y51.B2 net (fanout=1) 1.248 l2pre/n0023<16> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.247ns (4.417ns logic, 7.830ns route) + (36.1% logic, 63.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.205ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.205ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y49.A1 net (fanout=13) 3.879 FSB_A_2_IBUF + SLICE_X20Y49.A Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP + SLICE_X22Y50.A2 net (fanout=1) 0.884 l2pre/n0023<1> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.205ns (4.532ns logic, 7.673ns route) + (37.1% logic, 62.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.203ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.203ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X16Y51.B5 net (fanout=13) 3.824 FSB_A_6_IBUF + SLICE_X16Y51.B Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP + SLICE_X22Y50.D1 net (fanout=1) 1.119 l2pre/n0023<11> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.203ns (4.350ns logic, 7.853ns route) + (35.6% logic, 64.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.173ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.173ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y50.B1 net (fanout=13) 4.008 FSB_A_2_IBUF + SLICE_X20Y50.B Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP + SLICE_X22Y50.B2 net (fanout=1) 0.747 l2pre/n0023<3> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.173ns (4.508ns logic, 7.665ns route) (37.0% logic, 63.0% route) -------------------------------------------------------------------------------- +Delay (setup path): 12.154ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.154ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X16Y51.B4 net (fanout=13) 3.775 FSB_A_5_IBUF + SLICE_X16Y51.B Tilo 0.254 l2pre/n0023<11> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP + SLICE_X22Y50.D1 net (fanout=1) 1.119 l2pre/n0023<11> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.154ns (4.350ns logic, 7.804ns route) + (35.8% logic, 64.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.141ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.141ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y49.B3 net (fanout=13) 4.080 FSB_A_4_IBUF + SLICE_X20Y49.BMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP + SLICE_X22Y50.A4 net (fanout=1) 0.547 l2pre/n0023<0> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.141ns (4.604ns logic, 7.537ns route) + (37.9% logic, 62.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.113ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.113ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y49.B1 net (fanout=13) 4.052 FSB_A_2_IBUF + SLICE_X20Y49.BMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP + SLICE_X22Y50.A4 net (fanout=1) 0.547 l2pre/n0023<0> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.113ns (4.604ns logic, 7.509ns route) + (38.0% logic, 62.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.072ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.072ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y49.A3 net (fanout=13) 4.019 FSB_A_4_IBUF + SLICE_X20Y49.AMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP + SLICE_X22Y50.B4 net (fanout=1) 0.563 l2pre/n0023<5> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.072ns (4.580ns logic, 7.492ns route) + (37.9% logic, 62.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.054ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.054ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y50.B3 net (fanout=13) 3.889 FSB_A_4_IBUF + SLICE_X20Y50.B Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP + SLICE_X22Y50.B2 net (fanout=1) 0.747 l2pre/n0023<3> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.054ns (4.508ns logic, 7.546ns route) + (37.4% logic, 62.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.014ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.014ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y49.A4 net (fanout=13) 3.688 FSB_A_5_IBUF + SLICE_X20Y49.A Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP + SLICE_X22Y50.A2 net (fanout=1) 0.884 l2pre/n0023<1> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.014ns (4.532ns logic, 7.482ns route) + (37.7% logic, 62.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 12.007ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 12.007ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y50.B4 net (fanout=13) 3.498 FSB_A_5_IBUF + SLICE_X20Y50.BMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + SLICE_X22Y50.B1 net (fanout=1) 1.019 l2pre/n0023<4> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 12.007ns (4.580ns logic, 7.427ns route) + (38.1% logic, 61.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.943ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.943ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y49.A5 net (fanout=13) 3.617 FSB_A_6_IBUF + SLICE_X20Y49.A Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP + SLICE_X22Y50.A2 net (fanout=1) 0.884 l2pre/n0023<1> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.943ns (4.532ns logic, 7.411ns route) + (37.9% logic, 62.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.932ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.932ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y49.A1 net (fanout=13) 3.879 FSB_A_2_IBUF + SLICE_X20Y49.AMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP + SLICE_X22Y50.B4 net (fanout=1) 0.563 l2pre/n0023<5> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.932ns (4.580ns logic, 7.352ns route) + (38.4% logic, 61.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.927ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.927ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y49.A2 net (fanout=13) 3.601 FSB_A_3_IBUF + SLICE_X20Y49.A Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP + SLICE_X22Y50.A2 net (fanout=1) 0.884 l2pre/n0023<1> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.927ns (4.532ns logic, 7.395ns route) + (38.0% logic, 62.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.926ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.926ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y50.A1 net (fanout=13) 3.835 FSB_A_2_IBUF + SLICE_X20Y50.AMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP + SLICE_X22Y50.C2 net (fanout=1) 0.724 l2pre/n0023<6> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.926ns (4.457ns logic, 7.469ns route) + (37.4% logic, 62.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.919ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.919ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y50.A3 net (fanout=13) 3.828 FSB_A_4_IBUF + SLICE_X20Y50.AMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP + SLICE_X22Y50.C2 net (fanout=1) 0.724 l2pre/n0023<6> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.919ns (4.457ns logic, 7.462ns route) + (37.4% logic, 62.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.901ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.901ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y50.B2 net (fanout=13) 3.392 FSB_A_3_IBUF + SLICE_X20Y50.BMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + SLICE_X22Y50.B1 net (fanout=1) 1.019 l2pre/n0023<4> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.901ns (4.580ns logic, 7.321ns route) + (38.5% logic, 61.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.900ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.900ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y50.B5 net (fanout=13) 3.391 FSB_A_6_IBUF + SLICE_X20Y50.BMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + SLICE_X22Y50.B1 net (fanout=1) 1.019 l2pre/n0023<4> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.900ns (4.580ns logic, 7.320ns route) + (38.5% logic, 61.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.900ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.900ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y49.B3 net (fanout=13) 4.080 FSB_A_4_IBUF + SLICE_X20Y49.B Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP + SLICE_X22Y50.A6 net (fanout=1) 0.378 l2pre/n0023<2> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.900ns (4.532ns logic, 7.368ns route) + (38.1% logic, 61.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.872ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.872ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y49.B1 net (fanout=13) 4.052 FSB_A_2_IBUF + SLICE_X20Y49.B Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP + SLICE_X22Y50.A6 net (fanout=1) 0.378 l2pre/n0023<2> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.872ns (4.532ns logic, 7.340ns route) + (38.2% logic, 61.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.749ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.749ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y49.B4 net (fanout=13) 3.688 FSB_A_5_IBUF + SLICE_X20Y49.BMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP + SLICE_X22Y50.A4 net (fanout=1) 0.547 l2pre/n0023<0> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.749ns (4.604ns logic, 7.145ns route) + (39.2% logic, 60.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.741ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.741ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y49.A4 net (fanout=13) 3.688 FSB_A_5_IBUF + SLICE_X20Y49.AMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP + SLICE_X22Y50.B4 net (fanout=1) 0.563 l2pre/n0023<5> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.741ns (4.580ns logic, 7.161ns route) + (39.0% logic, 61.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.720ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.720ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y52.A1 net (fanout=13) 3.666 FSB_A_2_IBUF + SLICE_X20Y52.A Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP + SLICE_X22Y50.D3 net (fanout=1) 0.794 l2pre/n0023<10> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.720ns (4.350ns logic, 7.370ns route) + (37.1% logic, 62.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.705ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.705ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y50.A1 net (fanout=13) 3.835 FSB_A_2_IBUF + SLICE_X20Y50.A Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP + SLICE_X22Y50.C4 net (fanout=1) 0.575 l2pre/n0023<8> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.705ns (4.385ns logic, 7.320ns route) + (37.5% logic, 62.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.698ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.698ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y50.A3 net (fanout=13) 3.828 FSB_A_4_IBUF + SLICE_X20Y50.A Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP + SLICE_X22Y50.C4 net (fanout=1) 0.575 l2pre/n0023<8> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.698ns (4.385ns logic, 7.313ns route) + (37.5% logic, 62.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.696ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.696ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y51.A1 net (fanout=13) 3.660 FSB_A_2_IBUF + SLICE_X20Y51.A Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP + SLICE_X22Y51.B4 net (fanout=1) 0.712 l2pre/n0023<17> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.696ns (4.417ns logic, 7.279ns route) + (37.8% logic, 62.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.673ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.673ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y49.B2 net (fanout=13) 3.612 FSB_A_3_IBUF + SLICE_X20Y49.BMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP + SLICE_X22Y50.A4 net (fanout=1) 0.547 l2pre/n0023<0> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.673ns (4.604ns logic, 7.069ns route) + (39.4% logic, 60.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.670ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.670ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y52.A3 net (fanout=13) 3.616 FSB_A_4_IBUF + SLICE_X20Y52.A Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP + SLICE_X22Y50.D3 net (fanout=1) 0.794 l2pre/n0023<10> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.670ns (4.350ns logic, 7.320ns route) + (37.3% logic, 62.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.670ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.670ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y49.A5 net (fanout=13) 3.617 FSB_A_6_IBUF + SLICE_X20Y49.AMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP + SLICE_X22Y50.B4 net (fanout=1) 0.563 l2pre/n0023<5> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.670ns (4.580ns logic, 7.090ns route) + (39.2% logic, 60.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.668ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.668ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y51.A3 net (fanout=13) 3.632 FSB_A_4_IBUF + SLICE_X20Y51.A Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP + SLICE_X22Y51.B4 net (fanout=1) 0.712 l2pre/n0023<17> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.668ns (4.417ns logic, 7.251ns route) + (37.9% logic, 62.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.663ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.663ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y50.B4 net (fanout=13) 3.498 FSB_A_5_IBUF + SLICE_X20Y50.B Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP + SLICE_X22Y50.B2 net (fanout=1) 0.747 l2pre/n0023<3> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.663ns (4.508ns logic, 7.155ns route) + (38.7% logic, 61.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.654ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.654ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y49.A2 net (fanout=13) 3.601 FSB_A_3_IBUF + SLICE_X20Y49.AMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP + SLICE_X22Y50.B4 net (fanout=1) 0.563 l2pre/n0023<5> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.654ns (4.580ns logic, 7.074ns route) + (39.3% logic, 60.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.642ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.642ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y49.B5 net (fanout=13) 3.581 FSB_A_6_IBUF + SLICE_X20Y49.BMUX Tilo 0.326 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP + SLICE_X22Y50.A4 net (fanout=1) 0.547 l2pre/n0023<0> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.642ns (4.604ns logic, 7.038ns route) + (39.5% logic, 60.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.633ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.633ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y52.A1 net (fanout=13) 3.666 FSB_A_2_IBUF + SLICE_X20Y52.AMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP + SLICE_X22Y51.A4 net (fanout=1) 0.547 l2pre/n0023<13> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.633ns (4.513ns logic, 7.120ns route) + (38.8% logic, 61.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.589ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.589ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y50.A4 net (fanout=13) 3.498 FSB_A_5_IBUF + SLICE_X20Y50.AMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP + SLICE_X22Y50.C2 net (fanout=1) 0.724 l2pre/n0023<6> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.589ns (4.457ns logic, 7.132ns route) + (38.5% logic, 61.5% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.583ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.583ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y52.A3 net (fanout=13) 3.616 FSB_A_4_IBUF + SLICE_X20Y52.AMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP + SLICE_X22Y51.A4 net (fanout=1) 0.547 l2pre/n0023<13> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.583ns (4.513ns logic, 7.070ns route) + (39.0% logic, 61.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.560ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.560ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y51.B1 net (fanout=13) 3.833 FSB_A_2_IBUF + SLICE_X20Y51.BMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + SLICE_X22Y51.C5 net (fanout=1) 0.454 l2pre/n0023<19> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.560ns (4.366ns logic, 7.194ns route) + (37.8% logic, 62.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.557ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.557ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y50.B2 net (fanout=13) 3.392 FSB_A_3_IBUF + SLICE_X20Y50.B Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP + SLICE_X22Y50.B2 net (fanout=1) 0.747 l2pre/n0023<3> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.557ns (4.508ns logic, 7.049ns route) + (39.0% logic, 61.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.556ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.556ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y50.B5 net (fanout=13) 3.391 FSB_A_6_IBUF + SLICE_X20Y50.B Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP + SLICE_X22Y50.B2 net (fanout=1) 0.747 l2pre/n0023<3> + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.556ns (4.508ns logic, 7.048ns route) + (39.0% logic, 61.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.553ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.553ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y52.B3 net (fanout=13) 3.496 FSB_A_4_IBUF + SLICE_X20Y52.BMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP + SLICE_X22Y50.D4 net (fanout=1) 0.725 l2pre/n0023<9> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.553ns (4.422ns logic, 7.131ns route) + (38.3% logic, 61.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.518ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.518ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y50.A5 net (fanout=13) 3.427 FSB_A_6_IBUF + SLICE_X20Y50.AMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP + SLICE_X22Y50.C2 net (fanout=1) 0.724 l2pre/n0023<6> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.518ns (4.457ns logic, 7.061ns route) + (38.7% logic, 61.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.508ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.508ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y49.B4 net (fanout=13) 3.688 FSB_A_5_IBUF + SLICE_X20Y49.B Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP + SLICE_X22Y50.A6 net (fanout=1) 0.378 l2pre/n0023<2> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.508ns (4.532ns logic, 6.976ns route) + (39.4% logic, 60.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.504ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.504ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y51.B1 net (fanout=13) 3.833 FSB_A_2_IBUF + SLICE_X20Y51.B Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + SLICE_X22Y51.B6 net (fanout=1) 0.347 l2pre/n0023<15> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.504ns (4.417ns logic, 7.087ns route) + (38.4% logic, 61.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.472ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.472ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y50.A2 net (fanout=13) 3.381 FSB_A_3_IBUF + SLICE_X20Y50.AMUX Tilo 0.326 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP + SLICE_X22Y50.C2 net (fanout=1) 0.724 l2pre/n0023<6> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.472ns (4.457ns logic, 7.015ns route) + (38.9% logic, 61.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.454ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.454ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y52.B1 net (fanout=13) 3.397 FSB_A_2_IBUF + SLICE_X20Y52.BMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP + SLICE_X22Y50.D4 net (fanout=1) 0.725 l2pre/n0023<9> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.454ns (4.422ns logic, 7.032ns route) + (38.6% logic, 61.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.435ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.435ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y52.B3 net (fanout=13) 3.496 FSB_A_4_IBUF + SLICE_X20Y52.B Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP + SLICE_X22Y50.C5 net (fanout=1) 0.644 l2pre/n0023<7> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.435ns (4.385ns logic, 7.050ns route) + (38.3% logic, 61.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.432ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.432ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y49.B2 net (fanout=13) 3.612 FSB_A_3_IBUF + SLICE_X20Y49.B Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP + SLICE_X22Y50.A6 net (fanout=1) 0.378 l2pre/n0023<2> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.432ns (4.532ns logic, 6.900ns route) + (39.6% logic, 60.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.424ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.424ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y52.B2 net (fanout=13) 3.367 FSB_A_3_IBUF + SLICE_X20Y52.BMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP + SLICE_X22Y50.D4 net (fanout=1) 0.725 l2pre/n0023<9> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.424ns (4.422ns logic, 7.002ns route) + (38.7% logic, 61.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.420ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.420ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y51.B3 net (fanout=13) 3.693 FSB_A_4_IBUF + SLICE_X20Y51.BMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + SLICE_X22Y51.C5 net (fanout=1) 0.454 l2pre/n0023<19> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.420ns (4.366ns logic, 7.054ns route) + (38.2% logic, 61.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.418ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.418ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y51.A2 net (fanout=13) 3.382 FSB_A_3_IBUF + SLICE_X20Y51.A Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP + SLICE_X22Y51.B4 net (fanout=1) 0.712 l2pre/n0023<17> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.418ns (4.417ns logic, 7.001ns route) + (38.7% logic, 61.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.410ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.410ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y52.A2 net (fanout=13) 3.356 FSB_A_3_IBUF + SLICE_X20Y52.A Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP + SLICE_X22Y50.D3 net (fanout=1) 0.794 l2pre/n0023<10> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.410ns (4.350ns logic, 7.060ns route) + (38.1% logic, 61.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.401ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.401ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y49.B5 net (fanout=13) 3.581 FSB_A_6_IBUF + SLICE_X20Y49.B Tilo 0.254 l2pre/n0023<2> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP + SLICE_X22Y50.A6 net (fanout=1) 0.378 l2pre/n0023<2> + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.401ns (4.532ns logic, 6.869ns route) + (39.8% logic, 60.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.398ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.398ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y53.B4 net (fanout=13) 3.374 FSB_A_4_IBUF + SLICE_X20Y53.B Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP + SLICE_X22Y51.C4 net (fanout=1) 0.823 l2pre/n0023<20> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.398ns (4.294ns logic, 7.104ns route) + (37.7% logic, 62.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.368ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.368ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y50.A4 net (fanout=13) 3.498 FSB_A_5_IBUF + SLICE_X20Y50.A Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP + SLICE_X22Y50.C4 net (fanout=1) 0.575 l2pre/n0023<8> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.368ns (4.385ns logic, 6.983ns route) + (38.6% logic, 61.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.364ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.364ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y51.B3 net (fanout=13) 3.693 FSB_A_4_IBUF + SLICE_X20Y51.B Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + SLICE_X22Y51.B6 net (fanout=1) 0.347 l2pre/n0023<15> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.364ns (4.417ns logic, 6.947ns route) + (38.9% logic, 61.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.337ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.337ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y51.A4 net (fanout=13) 3.301 FSB_A_5_IBUF + SLICE_X20Y51.A Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP + SLICE_X22Y51.B4 net (fanout=1) 0.712 l2pre/n0023<17> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.337ns (4.417ns logic, 6.920ns route) + (39.0% logic, 61.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.336ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.336ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y52.B1 net (fanout=13) 3.397 FSB_A_2_IBUF + SLICE_X20Y52.B Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP + SLICE_X22Y50.C5 net (fanout=1) 0.644 l2pre/n0023<7> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.336ns (4.385ns logic, 6.951ns route) + (38.7% logic, 61.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.323ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.323ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y52.A2 net (fanout=13) 3.356 FSB_A_3_IBUF + SLICE_X20Y52.AMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP + SLICE_X22Y51.A4 net (fanout=1) 0.547 l2pre/n0023<13> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.323ns (4.513ns logic, 6.810ns route) + (39.9% logic, 60.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.306ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.306ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y52.B2 net (fanout=13) 3.367 FSB_A_3_IBUF + SLICE_X20Y52.B Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP + SLICE_X22Y50.C5 net (fanout=1) 0.644 l2pre/n0023<7> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.306ns (4.385ns logic, 6.921ns route) + (38.8% logic, 61.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.304ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.304ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y51.A1 net (fanout=13) 3.660 FSB_A_2_IBUF + SLICE_X20Y51.AMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + SLICE_X22Y51.C6 net (fanout=1) 0.371 l2pre/n0023<18> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.304ns (4.366ns logic, 6.938ns route) + (38.6% logic, 61.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.297ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.297ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y50.A5 net (fanout=13) 3.427 FSB_A_6_IBUF + SLICE_X20Y50.A Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP + SLICE_X22Y50.C4 net (fanout=1) 0.575 l2pre/n0023<8> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.297ns (4.385ns logic, 6.912ns route) + (38.8% logic, 61.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.276ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.276ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y51.A3 net (fanout=13) 3.632 FSB_A_4_IBUF + SLICE_X20Y51.AMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + SLICE_X22Y51.C6 net (fanout=1) 0.371 l2pre/n0023<18> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.276ns (4.366ns logic, 6.910ns route) + (38.7% logic, 61.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.266ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.266ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y51.A5 net (fanout=13) 3.230 FSB_A_6_IBUF + SLICE_X20Y51.A Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP + SLICE_X22Y51.B4 net (fanout=1) 0.712 l2pre/n0023<17> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.266ns (4.417ns logic, 6.849ns route) + (39.2% logic, 60.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.251ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.251ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y50.A2 net (fanout=13) 3.381 FSB_A_3_IBUF + SLICE_X20Y50.A Tilo 0.254 l2pre/n0023<3> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP + SLICE_X22Y50.C4 net (fanout=1) 0.575 l2pre/n0023<8> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.251ns (4.385ns logic, 6.866ns route) + (39.0% logic, 61.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.245ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.245ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y53.B2 net (fanout=13) 3.221 FSB_A_2_IBUF + SLICE_X20Y53.B Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP + SLICE_X22Y51.C4 net (fanout=1) 0.823 l2pre/n0023<20> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.245ns (4.294ns logic, 6.951ns route) + (38.2% logic, 61.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.209ns (data path) + Source: FSB_A<4> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.209ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<4> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + SLICE_X20Y53.A4 net (fanout=13) 3.374 FSB_A_4_IBUF + SLICE_X20Y53.A Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP + SLICE_X22Y51.D5 net (fanout=1) 0.669 l2pre/n0023<21> + SLICE_X22Y51.DMUX Topdd 0.466 CPU_nSTERM_OBUF + l2pre/n0023<21>_rt + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.209ns (4.259ns logic, 6.950ns route) + (38.0% logic, 62.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.159ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.159ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y52.A4 net (fanout=13) 3.105 FSB_A_5_IBUF + SLICE_X20Y52.A Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP + SLICE_X22Y50.D3 net (fanout=1) 0.794 l2pre/n0023<10> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.159ns (4.350ns logic, 6.809ns route) + (39.0% logic, 61.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.128ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.128ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y52.B4 net (fanout=13) 3.071 FSB_A_5_IBUF + SLICE_X20Y52.BMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP + SLICE_X22Y50.D4 net (fanout=1) 0.725 l2pre/n0023<9> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.128ns (4.422ns logic, 6.706ns route) + (39.7% logic, 60.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.120ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.120ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y51.B2 net (fanout=13) 3.393 FSB_A_3_IBUF + SLICE_X20Y51.BMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + SLICE_X22Y51.C5 net (fanout=1) 0.454 l2pre/n0023<19> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.120ns (4.366ns logic, 6.754ns route) + (39.3% logic, 60.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.092ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.092ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y53.B3 net (fanout=13) 3.068 FSB_A_3_IBUF + SLICE_X20Y53.B Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP + SLICE_X22Y51.C4 net (fanout=1) 0.823 l2pre/n0023<20> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.092ns (4.294ns logic, 6.798ns route) + (38.7% logic, 61.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.081ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.081ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y52.A5 net (fanout=13) 3.027 FSB_A_6_IBUF + SLICE_X20Y52.A Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP + SLICE_X22Y50.D3 net (fanout=1) 0.794 l2pre/n0023<10> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.081ns (4.350ns logic, 6.731ns route) + (39.3% logic, 60.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.072ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.072ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y52.A4 net (fanout=13) 3.105 FSB_A_5_IBUF + SLICE_X20Y52.AMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP + SLICE_X22Y51.A4 net (fanout=1) 0.547 l2pre/n0023<13> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.072ns (4.513ns logic, 6.559ns route) + (40.8% logic, 59.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.064ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.064ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y51.B2 net (fanout=13) 3.393 FSB_A_3_IBUF + SLICE_X20Y51.B Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + SLICE_X22Y51.B6 net (fanout=1) 0.347 l2pre/n0023<15> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.064ns (4.417ns logic, 6.647ns route) + (39.9% logic, 60.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.045ns (data path) + Source: FSB_A<2> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.045ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<2> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B14.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + SLICE_X20Y53.A2 net (fanout=13) 3.210 FSB_A_2_IBUF + SLICE_X20Y53.A Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP + SLICE_X22Y51.D5 net (fanout=1) 0.669 l2pre/n0023<21> + SLICE_X22Y51.DMUX Topdd 0.466 CPU_nSTERM_OBUF + l2pre/n0023<21>_rt + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.045ns (4.259ns logic, 6.786ns route) + (38.6% logic, 61.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.028ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.028ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y51.B4 net (fanout=13) 3.301 FSB_A_5_IBUF + SLICE_X20Y51.BMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + SLICE_X22Y51.C5 net (fanout=1) 0.454 l2pre/n0023<19> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.028ns (4.366ns logic, 6.662ns route) + (39.6% logic, 60.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.026ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.026ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y51.A2 net (fanout=13) 3.382 FSB_A_3_IBUF + SLICE_X20Y51.AMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + SLICE_X22Y51.C6 net (fanout=1) 0.371 l2pre/n0023<18> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.026ns (4.366ns logic, 6.660ns route) + (39.6% logic, 60.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.019ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.019ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y52.B5 net (fanout=13) 2.962 FSB_A_6_IBUF + SLICE_X20Y52.BMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP + SLICE_X22Y50.D4 net (fanout=1) 0.725 l2pre/n0023<9> + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.019ns (4.422ns logic, 6.597ns route) + (40.1% logic, 59.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 11.010ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 11.010ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y52.B4 net (fanout=13) 3.071 FSB_A_5_IBUF + SLICE_X20Y52.B Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP + SLICE_X22Y50.C5 net (fanout=1) 0.644 l2pre/n0023<7> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 11.010ns (4.385ns logic, 6.625ns route) + (39.8% logic, 60.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.994ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.994ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y52.A5 net (fanout=13) 3.027 FSB_A_6_IBUF + SLICE_X20Y52.AMUX Tilo 0.326 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP + SLICE_X22Y51.A4 net (fanout=1) 0.547 l2pre/n0023<13> + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.994ns (4.513ns logic, 6.481ns route) + (41.0% logic, 59.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.972ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.972ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y51.B4 net (fanout=13) 3.301 FSB_A_5_IBUF + SLICE_X20Y51.B Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + SLICE_X22Y51.B6 net (fanout=1) 0.347 l2pre/n0023<15> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.972ns (4.417ns logic, 6.555ns route) + (40.3% logic, 59.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.945ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.945ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y51.A4 net (fanout=13) 3.301 FSB_A_5_IBUF + SLICE_X20Y51.AMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + SLICE_X22Y51.C6 net (fanout=1) 0.371 l2pre/n0023<18> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.945ns (4.366ns logic, 6.579ns route) + (39.9% logic, 60.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.921ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.921ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y51.B5 net (fanout=13) 3.194 FSB_A_6_IBUF + SLICE_X20Y51.BMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + SLICE_X22Y51.C5 net (fanout=1) 0.454 l2pre/n0023<19> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.921ns (4.366ns logic, 6.555ns route) + (40.0% logic, 60.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.901ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.901ns (Levels of Logic = 5) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y52.B5 net (fanout=13) 2.962 FSB_A_6_IBUF + SLICE_X20Y52.B Tilo 0.254 l2pre/n0023<7> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP + SLICE_X22Y50.C5 net (fanout=1) 0.644 l2pre/n0023<7> + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.901ns (4.385ns logic, 6.516ns route) + (40.2% logic, 59.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.874ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.874ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y51.A5 net (fanout=13) 3.230 FSB_A_6_IBUF + SLICE_X20Y51.AMUX Tilo 0.326 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + SLICE_X22Y51.C6 net (fanout=1) 0.371 l2pre/n0023<18> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.874ns (4.366ns logic, 6.508ns route) + (40.2% logic, 59.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.865ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.865ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y51.B5 net (fanout=13) 3.194 FSB_A_6_IBUF + SLICE_X20Y51.B Tilo 0.254 l2pre/n0023<15> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + SLICE_X22Y51.B6 net (fanout=1) 0.347 l2pre/n0023<15> + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.865ns (4.417ns logic, 6.448ns route) + (40.7% logic, 59.3% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.842ns (data path) + Source: FSB_A<3> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.842ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<3> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E11.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + SLICE_X20Y53.A3 net (fanout=13) 3.007 FSB_A_3_IBUF + SLICE_X20Y53.A Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP + SLICE_X22Y51.D5 net (fanout=1) 0.669 l2pre/n0023<21> + SLICE_X22Y51.DMUX Topdd 0.466 CPU_nSTERM_OBUF + l2pre/n0023<21>_rt + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.842ns (4.259ns logic, 6.583ns route) + (39.3% logic, 60.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.832ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.832ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y53.B5 net (fanout=13) 2.808 FSB_A_5_IBUF + SLICE_X20Y53.B Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP + SLICE_X22Y51.C4 net (fanout=1) 0.823 l2pre/n0023<20> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.832ns (4.294ns logic, 6.538ns route) + (39.6% logic, 60.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.748ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.748ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y53.B6 net (fanout=13) 2.724 FSB_A_6_IBUF + SLICE_X20Y53.B Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP + SLICE_X22Y51.C4 net (fanout=1) 0.823 l2pre/n0023<20> + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.748ns (4.294ns logic, 6.454ns route) + (40.0% logic, 60.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.679ns (data path) + Source: FSB_A<5> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.679ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<5> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + SLICE_X20Y53.A5 net (fanout=13) 2.844 FSB_A_5_IBUF + SLICE_X20Y53.A Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP + SLICE_X22Y51.D5 net (fanout=1) 0.669 l2pre/n0023<21> + SLICE_X22Y51.DMUX Topdd 0.466 CPU_nSTERM_OBUF + l2pre/n0023<21>_rt + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.679ns (4.259ns logic, 6.420ns route) + (39.9% logic, 60.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.629ns (data path) + Source: FSB_A<24> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.629ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<24> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + G12.I Tiopi 1.557 FSB_A<24> + FSB_A<24> + FSB_A_24_IBUF + ProtoComp0.IMUX.13 + SLICE_X22Y51.B1 net (fanout=1) 3.559 FSB_A_24_IBUF + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.629ns (4.163ns logic, 6.466ns route) + (39.2% logic, 60.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.559ns (data path) + Source: FSB_A<6> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.559ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<6> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + SLICE_X20Y53.A6 net (fanout=13) 2.724 FSB_A_6_IBUF + SLICE_X20Y53.A Tilo 0.254 l2pre/n0023<20> + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP + SLICE_X22Y51.D5 net (fanout=1) 0.669 l2pre/n0023<21> + SLICE_X22Y51.DMUX Topdd 0.466 CPU_nSTERM_OBUF + l2pre/n0023<21>_rt + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.559ns (4.259ns logic, 6.300ns route) + (40.3% logic, 59.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.397ns (data path) + Source: FSB_A<26> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.397ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<26> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + H13.I Tiopi 1.557 FSB_A<26> + FSB_A<26> + FSB_A_26_IBUF + ProtoComp0.IMUX.17 + SLICE_X22Y51.C3 net (fanout=1) 3.450 FSB_A_26_IBUF + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.397ns (4.040ns logic, 6.357ns route) + (38.9% logic, 61.1% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.386ns (data path) + Source: FSB_A<15> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.386ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<15> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + G14.I Tiopi 1.557 FSB_A<15> + FSB_A<15> + FSB_A_15_IBUF + ProtoComp0.IMUX.10 + SLICE_X22Y50.C1 net (fanout=1) 3.345 FSB_A_15_IBUF + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.386ns (4.131ns logic, 6.255ns route) + (39.8% logic, 60.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.342ns (data path) + Source: FSB_A<18> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.342ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<18> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + H16.I Tiopi 1.557 FSB_A<18> + FSB_A<18> + FSB_A_18_IBUF + ProtoComp0.IMUX.16 + SLICE_X22Y50.D2 net (fanout=1) 3.336 FSB_A_18_IBUF + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.342ns (4.096ns logic, 6.246ns route) + (39.6% logic, 60.4% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.341ns (data path) + Source: FSB_A<7> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.341ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<7> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + B16.I Tiopi 1.557 FSB_A<7> + FSB_A<7> + FSB_A_7_IBUF + ProtoComp0.IMUX.25 + SLICE_X22Y50.A1 net (fanout=1) 3.153 FSB_A_7_IBUF + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.341ns (4.278ns logic, 6.063ns route) + (41.4% logic, 58.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.331ns (data path) + Source: FSB_A<27> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.331ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<27> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + H14.I Tiopi 1.557 FSB_A<27> + FSB_A<27> + FSB_A_27_IBUF + ProtoComp0.IMUX.19 + SLICE_X22Y51.C1 net (fanout=1) 3.384 FSB_A_27_IBUF + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.331ns (4.040ns logic, 6.291ns route) + (39.1% logic, 60.9% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.285ns (data path) + Source: FSB_A<25> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.285ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<25> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + H11.I Tiopi 1.557 FSB_A<25> + FSB_A<25> + FSB_A_25_IBUF + ProtoComp0.IMUX.15 + SLICE_X22Y51.C2 net (fanout=1) 3.338 FSB_A_25_IBUF + SLICE_X22Y51.DMUX Topcd 0.501 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.285ns (4.040ns logic, 6.245ns route) + (39.3% logic, 60.7% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.186ns (data path) + Source: FSB_A<17> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.186ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<17> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + H15.I Tiopi 1.557 FSB_A<17> + FSB_A<17> + FSB_A_17_IBUF + ProtoComp0.IMUX.14 + SLICE_X22Y50.D6 net (fanout=1) 3.180 FSB_A_17_IBUF + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.186ns (4.096ns logic, 6.090ns route) + (40.2% logic, 59.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.091ns (data path) + Source: FSB_A<8> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.091ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<8> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + F12.I Tiopi 1.557 FSB_A<8> + FSB_A<8> + FSB_A_8_IBUF + ProtoComp0.IMUX.26 + SLICE_X22Y50.A3 net (fanout=1) 2.903 FSB_A_8_IBUF + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.091ns (4.278ns logic, 5.813ns route) + (42.4% logic, 57.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 10.036ns (data path) + Source: FSB_A<16> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 10.036ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<16> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + G16.I Tiopi 1.557 FSB_A<16> + FSB_A<16> + FSB_A_16_IBUF + ProtoComp0.IMUX.12 + SLICE_X22Y50.D5 net (fanout=1) 3.030 FSB_A_16_IBUF + SLICE_X22Y50.COUT Topcyd 0.290 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 10.036ns (4.096ns logic, 5.940ns route) + (40.8% logic, 59.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.996ns (data path) + Source: FSB_A<9> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.996ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<9> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + G11.I Tiopi 1.557 FSB_A<9> + FSB_A<9> + FSB_A_9_IBUF + ProtoComp0.IMUX.27 + SLICE_X22Y50.A5 net (fanout=1) 2.808 FSB_A_9_IBUF + SLICE_X22Y50.COUT Topcya 0.472 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.996ns (4.278ns logic, 5.718ns route) + (42.8% logic, 57.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.938ns (data path) + Source: FSB_A<11> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.938ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<11> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + D16.I Tiopi 1.557 FSB_A<11> + FSB_A<11> + FSB_A_11_IBUF + ProtoComp0.IMUX.2 + SLICE_X22Y50.B3 net (fanout=1) 2.774 FSB_A_11_IBUF + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.938ns (4.254ns logic, 5.684ns route) + (42.8% logic, 57.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.929ns (data path) + Source: FSB_A<10> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.929ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<10> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + D14.I Tiopi 1.557 FSB_A<10> + FSB_A<10> + FSB_A_10_IBUF + ProtoComp0.IMUX.1 + SLICE_X22Y50.B5 net (fanout=1) 2.765 FSB_A_10_IBUF + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.929ns (4.254ns logic, 5.675ns route) + (42.8% logic, 57.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.879ns (data path) + Source: FSB_A<20> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.879ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<20> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + C16.I Tiopi 1.557 FSB_A<20> + FSB_A<20> + FSB_A_20_IBUF + ProtoComp0.IMUX.4 + SLICE_X22Y51.A2 net (fanout=1) 2.785 FSB_A_20_IBUF + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.879ns (4.187ns logic, 5.692ns route) + (42.4% logic, 57.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.750ns (data path) + Source: FSB_A<14> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.750ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<14> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + C15.I Tiopi 1.557 FSB_A<14> + FSB_A<14> + FSB_A_14_IBUF + ProtoComp0.IMUX.8 + SLICE_X22Y50.C3 net (fanout=1) 2.709 FSB_A_14_IBUF + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.750ns (4.131ns logic, 5.619ns route) + (42.4% logic, 57.6% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.717ns (data path) + Source: FSB_A<23> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.717ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<23> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + F15.I Tiopi 1.557 FSB_A<23> + FSB_A<23> + FSB_A_23_IBUF + ProtoComp0.IMUX.11 + SLICE_X22Y51.B3 net (fanout=1) 2.647 FSB_A_23_IBUF + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.717ns (4.163ns logic, 5.554ns route) + (42.8% logic, 57.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.634ns (data path) + Source: FSB_A<12> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.634ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<12> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + F13.I Tiopi 1.557 FSB_A<12> + FSB_A<12> + FSB_A_12_IBUF + ProtoComp0.IMUX.3 + SLICE_X22Y50.B6 net (fanout=1) 2.470 FSB_A_12_IBUF + SLICE_X22Y50.COUT Topcyb 0.448 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.634ns (4.254ns logic, 5.380ns route) + (44.2% logic, 55.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.596ns (data path) + Source: FSB_A<13> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.596ns (Levels of Logic = 4) + + Maximum Data Path at Slow Process Corner: FSB_A<13> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + F14.I Tiopi 1.557 FSB_A<13> + FSB_A<13> + FSB_A_13_IBUF + ProtoComp0.IMUX.6 + SLICE_X22Y50.C6 net (fanout=1) 2.555 FSB_A_13_IBUF + SLICE_X22Y50.COUT Topcyc 0.325 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2> + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.CIN net (fanout=1) 0.003 l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3> + SLICE_X22Y51.DMUX Tcind 0.267 CPU_nSTERM_OBUF + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.596ns (4.131ns logic, 5.465ns route) + (43.0% logic, 57.0% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.567ns (data path) + Source: FSB_A<21> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.567ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<21> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E15.I Tiopi 1.557 FSB_A<21> + FSB_A<21> + FSB_A_21_IBUF + ProtoComp0.IMUX.7 + SLICE_X22Y51.A5 net (fanout=1) 2.473 FSB_A_21_IBUF + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.567ns (4.187ns logic, 5.380ns route) + (43.8% logic, 56.2% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.409ns (data path) + Source: FSB_A<22> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.409ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<22> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + E16.I Tiopi 1.557 FSB_A<22> + FSB_A<22> + FSB_A_22_IBUF + ProtoComp0.IMUX.9 + SLICE_X22Y51.B5 net (fanout=1) 2.339 FSB_A_22_IBUF + SLICE_X22Y51.DMUX Topbd 0.624 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.409ns (4.163ns logic, 5.246ns route) + (44.2% logic, 55.8% route) + +-------------------------------------------------------------------------------- +Delay (setup path): 9.160ns (data path) + Source: FSB_A<19> (PAD) + Destination: CPU_nSTERM (PAD) + Data Path Delay: 9.160ns (Levels of Logic = 3) + + Maximum Data Path at Slow Process Corner: FSB_A<19> to CPU_nSTERM + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + F16.I Tiopi 1.557 FSB_A<19> + FSB_A<19> + FSB_A_19_IBUF + ProtoComp0.IMUX.18 + SLICE_X22Y51.A6 net (fanout=1) 2.066 FSB_A_19_IBUF + SLICE_X22Y51.DMUX Topad 0.648 CPU_nSTERM_OBUF + l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4> + CPU_nSTERM1_cy + D12.O net (fanout=1) 2.907 CPU_nSTERM_OBUF + D12.PAD Tioop 1.982 CPU_nSTERM + CPU_nSTERM_OBUF + CPU_nSTERM + ------------------------------------------------- --------------------------- + Total 9.160ns (4.187ns logic, 4.973ns route) + (45.7% logic, 54.3% route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/pll/clkfbout_oddr (OLOGIC_X1Y62.CLK0), 1 path +-------------------------------------------------------------------------------- +Delay (setup path): -1.528ns (data path) + Source: CLKIN (PAD) + Destination: cg/pll/clkfbout_oddr (FF) + Data Path Delay: -1.528ns (Levels of Logic = 4) + + Maximum Data Path at Fast Process Corner: CLKIN to cg/pll/clkfbout_oddr + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.230 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT -3.911 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X2Y3.I0 net (fanout=1) 0.178 cg/pll/clkfbout + BUFGMUX_X2Y3.O Tgi0o 0.063 cg/pll/clkfbout_bufg + cg/pll/clkfbout_bufg + OLOGIC_X1Y62.CLK0 net (fanout=2) 1.119 cg/pll/clkfb_bufg_out + ------------------------------------------------- --------------------------- + Total -1.528ns (-3.351ns logic, 1.823ns route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/RAMCLK1_inst (OLOGIC_X1Y63.CLK0), 1 path +-------------------------------------------------------------------------------- +Delay (setup path): -1.592ns (data path) + Source: CLKIN (PAD) + Destination: cg/RAMCLK1_inst (FF) + Data Path Delay: -1.592ns (Levels of Logic = 4) + + Maximum Data Path at Fast Process Corner: CLKIN to cg/RAMCLK1_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.230 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.296 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -3.911 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.178 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + OLOGIC_X1Y63.CLK0 net (fanout=17) 1.055 FSBCLK + ------------------------------------------------- --------------------------- + Total -1.592ns (-3.351ns logic, 1.759ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: Unconstrained path analysis + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP (SLICE_X16Y51.CLK), 1 path +-------------------------------------------------------------------------------- +Delay (hold path): -4.017ns (data path) + Source: CLKIN (PAD) + Destination: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP (RAM) + Requirement: 0.000ns + Data Path Delay: -4.017ns (Levels of Logic = 4) + + Minimum Data Path at Slow Process Corner: CLKIN to l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + SLICE_X16Y51.CLK net (fanout=17) 0.879 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.017ns (-6.437ns logic, 2.420ns route) + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP (SLICE_X16Y51.CLK), 1 path +-------------------------------------------------------------------------------- +Delay (hold path): -4.017ns (data path) + Source: CLKIN (PAD) + Destination: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP (RAM) + Requirement: 0.000ns + Data Path Delay: -4.017ns (Levels of Logic = 4) + + Minimum Data Path at Slow Process Corner: CLKIN to l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + SLICE_X16Y51.CLK net (fanout=17) 0.879 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.017ns (-6.437ns logic, 2.420ns route) + +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP (SLICE_X16Y51.CLK), 1 path +-------------------------------------------------------------------------------- +Delay (hold path): -4.017ns (data path) + Source: CLKIN (PAD) + Destination: l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP (RAM) + Requirement: 0.000ns + Data Path Delay: -4.017ns (Levels of Logic = 4) + + Minimum Data Path at Slow Process Corner: CLKIN to l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) 0.368 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN2 net (fanout=1) 0.733 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -7.715 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) 0.440 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + SLICE_X16Y51.CLK net (fanout=17) 0.879 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.017ns (-6.437ns logic, 2.420ns route) + +-------------------------------------------------------------------------------- Derived Constraint Report -Derived Constraints for CLKGEN_inst/instance_name/clkin1 +Derived Constraints for TS_CLKIN +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ | | Period | Actual Period | Timing Errors | Paths Analyzed | | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ -|CLKGEN_inst/instance_name/clkin| 30.000ns| 10.000ns| 5.400ns| 0| 0| 0| 35| -|1 | | | | | | | | -| CLKGEN_inst/instance_name/clko| 15.000ns| 2.700ns| N/A| 0| 0| 35| 0| -| ut0 | | | | | | | | -| CLKGEN_inst/instance_name/clkf| 30.000ns| 2.666ns| N/A| 0| 0| 0| 0| -| bout | | | | | | | | +|TS_CLKIN | 30.000ns| 10.000ns| 7.378ns| 0| 0| 0| 6| +| TS_cg_pll_clkfbout | 30.000ns| 2.666ns| N/A| 0| 0| 0| 0| +| TS_cg_pll_clkout0 | 15.000ns| 3.689ns| N/A| 0| 0| 6| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ All constraints were met. @@ -8554,440 +5513,230 @@ Setup/Hold to clock CLKIN |Max Setup to| Process |Max Hold to | Process | | Clock | Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase | ------------+------------+------------+------------+------------+------------------+--------+ -CPU_nAS | 9.641(R)| SLOW | -3.896(R)| FAST |FSBCLK | 0.000| -FSB_A<0> | 10.028(R)| SLOW | -3.706(R)| FAST |FSBCLK | 0.000| -FSB_A<1> | 9.876(R)| SLOW | -3.242(R)| FAST |FSBCLK | 0.000| -FSB_A<2> | 9.842(R)| SLOW | -3.237(R)| FAST |FSBCLK | 0.000| -FSB_A<3> | 10.480(R)| SLOW | -3.772(R)| FAST |FSBCLK | 0.000| -FSB_A<4> | 9.766(R)| SLOW | -3.288(R)| FAST |FSBCLK | 0.000| -FSB_A<5> | 9.265(R)| SLOW | -3.204(R)| FAST |FSBCLK | 0.000| -FSB_A<6> | 9.919(R)| SLOW | -3.621(R)| FAST |FSBCLK | 0.000| -FSB_A<7> | 9.757(R)| SLOW | -3.464(R)| FAST |FSBCLK | 0.000| -FSB_A<8> | 10.287(R)| SLOW | -3.756(R)| FAST |FSBCLK | 0.000| -FSB_A<9> | 10.009(R)| SLOW | -3.618(R)| FAST |FSBCLK | 0.000| -FSB_A<10> | 9.562(R)| SLOW | -3.463(R)| FAST |FSBCLK | 0.000| -FSB_A<11> | 9.522(R)| SLOW | -3.200(R)| FAST |FSBCLK | 0.000| -FSB_A<12> | 9.821(R)| SLOW | -3.605(R)| FAST |FSBCLK | 0.000| -FSB_A<13> | 10.011(R)| SLOW | -3.709(R)| FAST |FSBCLK | 0.000| -FSB_A<14> | 9.084(R)| SLOW | -3.242(R)| FAST |FSBCLK | 0.000| -FSB_A<15> | 10.096(R)| SLOW | -3.834(R)| FAST |FSBCLK | 0.000| -FSB_A<16> | 9.565(R)| SLOW | -3.493(R)| FAST |FSBCLK | 0.000| -FSB_A<17> | 9.261(R)| SLOW | -3.474(R)| FAST |FSBCLK | 0.000| -FSB_A<18> | 9.868(R)| SLOW | -3.829(R)| FAST |FSBCLK | 0.000| -FSB_A<19> | 9.995(R)| SLOW | -3.722(R)| FAST |FSBCLK | 0.000| -FSB_A<20> | 10.064(R)| SLOW | -3.578(R)| FAST |FSBCLK | 0.000| -FSB_A<21> | 10.275(R)| SLOW | -3.718(R)| FAST |FSBCLK | 0.000| -FSB_A<22> | 9.907(R)| SLOW | -3.637(R)| FAST |FSBCLK | 0.000| -FSB_A<23> | 9.737(R)| SLOW | -3.472(R)| FAST |FSBCLK | 0.000| -FSB_A<24> | 10.026(R)| SLOW | -3.679(R)| FAST |FSBCLK | 0.000| -FSB_A<25> | 9.883(R)| SLOW | -3.244(R)| FAST |FSBCLK | 0.000| -FSB_A<26> | 9.657(R)| SLOW | -3.292(R)| FAST |FSBCLK | 0.000| -FSB_A<27> | 10.334(R)| SLOW | -3.698(R)| FAST |FSBCLK | 0.000| -FSB_A<28> | 10.261(R)| SLOW | -3.510(R)| FAST |FSBCLK | 0.000| -FSB_A<29> | 9.864(R)| SLOW | -3.448(R)| FAST |FSBCLK | 0.000| -FSB_A<30> | 9.847(R)| SLOW | -3.725(R)| FAST |FSBCLK | 0.000| -FSB_A<31> | 10.247(R)| SLOW | -3.707(R)| FAST |FSBCLK | 0.000| -INt | 10.332(R)| SLOW | -4.292(R)| FAST |FSBCLK | 0.000| +FSB_A<2> | 8.756(R)| SLOW | -3.416(R)| FAST |FSBCLK | 0.000| +FSB_A<3> | 8.745(R)| SLOW | -3.415(R)| FAST |FSBCLK | 0.000| +FSB_A<4> | 8.905(R)| SLOW | -3.413(R)| FAST |FSBCLK | 0.000| +FSB_A<5> | 8.878(R)| SLOW | -3.447(R)| FAST |FSBCLK | 0.000| +FSB_A<6> | 8.910(R)| SLOW | -3.417(R)| FAST |FSBCLK | 0.000| ------------+------------+------------+------------+------------+------------------+--------+ Clock CLKIN to Pad -------------+-----------------+------------+-----------------+------------+------------------+--------+ - |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | -Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | -------------+-----------------+------------+-----------------+------------+------------------+--------+ -OUTt | 2.040(R)| SLOW | 0.500(R)| FAST |FSBCLK | 0.000| -------------+-----------------+------------+-----------------+------------+------------------+--------+ +------------+-----------------+------------+-----------------+------------+---------------------+--------+ + |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | +Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | +------------+-----------------+------------+-----------------+------------+---------------------+--------+ +CLKFB_OUT | 0.189(R)| FAST | -0.348(R)| SLOW |cg/pll/clkfb_bufg_out| 0.000| + | 15.120(F)| FAST | 14.592(F)| SLOW |cg/pll/clkfb_bufg_out| 15.000| +CPUCLK | 0.214(R)| FAST | -0.386(R)| SLOW |FSBCLK | 0.000| +CPU_nSTERM | 3.599(R)| SLOW | 1.294(R)| FAST |FSBCLK | 0.000| +FPUCLK | 0.176(R)| FAST | -0.424(R)| SLOW |FSBCLK | 0.000| +FSB_D<0> | 4.996(R)| SLOW | 2.943(R)| FAST |FSBCLK | 0.000| +FSB_D<1> | 4.679(R)| SLOW | 2.722(R)| FAST |FSBCLK | 0.000| +FSB_D<2> | 5.139(R)| SLOW | 2.993(R)| FAST |FSBCLK | 0.000| +FSB_D<3> | 4.796(R)| SLOW | 2.838(R)| FAST |FSBCLK | 0.000| +FSB_D<4> | 5.039(R)| SLOW | 2.943(R)| FAST |FSBCLK | 0.000| +FSB_D<5> | 4.575(R)| SLOW | 2.599(R)| FAST |FSBCLK | 0.000| +FSB_D<6> | 4.192(R)| SLOW | 2.432(R)| FAST |FSBCLK | 0.000| +FSB_D<7> | 4.413(R)| SLOW | 2.551(R)| FAST |FSBCLK | 0.000| +FSB_D<8> | 4.142(R)| SLOW | 2.382(R)| FAST |FSBCLK | 0.000| +FSB_D<9> | 4.553(R)| SLOW | 2.601(R)| FAST |FSBCLK | 0.000| +FSB_D<10> | 4.549(R)| SLOW | 2.620(R)| FAST |FSBCLK | 0.000| +FSB_D<11> | 4.191(R)| SLOW | 2.462(R)| FAST |FSBCLK | 0.000| +FSB_D<12> | 4.180(R)| SLOW | 2.447(R)| FAST |FSBCLK | 0.000| +FSB_D<13> | 4.313(R)| SLOW | 2.482(R)| FAST |FSBCLK | 0.000| +FSB_D<14> | 4.442(R)| SLOW | 2.602(R)| FAST |FSBCLK | 0.000| +FSB_D<15> | 3.945(R)| SLOW | 2.313(R)| FAST |FSBCLK | 0.000| +FSB_D<16> | 3.945(R)| SLOW | 2.313(R)| FAST |FSBCLK | 0.000| +FSB_D<17> | 3.939(R)| SLOW | 2.192(R)| FAST |FSBCLK | 0.000| +FSB_D<18> | 3.964(R)| SLOW | 2.177(R)| FAST |FSBCLK | 0.000| +FSB_D<19> | 3.713(R)| SLOW | 2.080(R)| FAST |FSBCLK | 0.000| +FSB_D<20> | 4.145(R)| SLOW | 2.333(R)| FAST |FSBCLK | 0.000| +FSB_D<21> | 3.789(R)| SLOW | 2.149(R)| FAST |FSBCLK | 0.000| +FSB_D<22> | 3.647(R)| SLOW | 2.048(R)| FAST |FSBCLK | 0.000| +FSB_D<23> | 3.508(R)| SLOW | 1.976(R)| FAST |FSBCLK | 0.000| +FSB_D<24> | 3.458(R)| SLOW | 1.926(R)| FAST |FSBCLK | 0.000| +FSB_D<25> | 3.598(R)| SLOW | 2.019(R)| FAST |FSBCLK | 0.000| +FSB_D<26> | 3.393(R)| SLOW | 1.890(R)| FAST |FSBCLK | 0.000| +FSB_D<27> | 3.678(R)| SLOW | 2.094(R)| FAST |FSBCLK | 0.000| +FSB_D<28> | 3.678(R)| SLOW | 2.094(R)| FAST |FSBCLK | 0.000| +FSB_D<29> | 3.552(R)| SLOW | 2.049(R)| FAST |FSBCLK | 0.000| +FSB_D<30> | 3.552(R)| SLOW | 2.049(R)| FAST |FSBCLK | 0.000| +FSB_D<31> | 3.621(R)| SLOW | 2.113(R)| FAST |FSBCLK | 0.000| +RAMCLK0 | 0.125(R)| FAST | -0.475(R)| SLOW |FSBCLK | 0.000| +RAMCLK1 | 0.164(R)| FAST | -0.436(R)| SLOW |FSBCLK | 0.000| +------------+-----------------+------------+-----------------+------------+---------------------+--------+ Clock to Setup on destination clock CLKIN ---------------+---------+---------+---------+---------+ | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ -CLKIN | 2.700| | | | +CLKIN | 3.689| | | | ---------------+---------+---------+---------+---------+ -COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.540; Ideal Clock Offset To Actual Clock 0.977; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<31> | 10.247(R)| SLOW | -3.707(R)| FAST | 1.753| 3.707| -0.977| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.247| - | -3.707| - | 1.753| 3.707| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ +Pad to Pad +---------------+---------------+---------+ +Source Pad |Destination Pad| Delay | +---------------+---------------+---------+ +FSB_A<2> |CPU_nSTERM | 12.820| +FSB_A<3> |CPU_nSTERM | 12.708| +FSB_A<4> |CPU_nSTERM | 12.945| +FSB_A<5> |CPU_nSTERM | 12.435| +FSB_A<6> |CPU_nSTERM | 12.356| +FSB_A<7> |CPU_nSTERM | 10.341| +FSB_A<8> |CPU_nSTERM | 10.091| +FSB_A<9> |CPU_nSTERM | 9.996| +FSB_A<10> |CPU_nSTERM | 9.929| +FSB_A<11> |CPU_nSTERM | 9.938| +FSB_A<12> |CPU_nSTERM | 9.634| +FSB_A<13> |CPU_nSTERM | 9.596| +FSB_A<14> |CPU_nSTERM | 9.750| +FSB_A<15> |CPU_nSTERM | 10.386| +FSB_A<16> |CPU_nSTERM | 10.036| +FSB_A<17> |CPU_nSTERM | 10.186| +FSB_A<18> |CPU_nSTERM | 10.342| +FSB_A<19> |CPU_nSTERM | 9.160| +FSB_A<20> |CPU_nSTERM | 9.879| +FSB_A<21> |CPU_nSTERM | 9.567| +FSB_A<22> |CPU_nSTERM | 9.409| +FSB_A<23> |CPU_nSTERM | 9.717| +FSB_A<24> |CPU_nSTERM | 10.629| +FSB_A<25> |CPU_nSTERM | 10.285| +FSB_A<26> |CPU_nSTERM | 10.397| +FSB_A<27> |CPU_nSTERM | 10.331| +---------------+---------------+---------+ -COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.122; Ideal Clock Offset To Actual Clock 0.786; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<30> | 9.847(R)| SLOW | -3.725(R)| FAST | 2.153| 3.725| -0.786| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.847| - | -3.725| - | 2.153| 3.725| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.416; Ideal Clock Offset To Actual Clock 0.656; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<29> | 9.864(R)| SLOW | -3.448(R)| FAST | 2.136| 3.448| -0.656| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.864| - | -3.448| - | 2.136| 3.448| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.751; Ideal Clock Offset To Actual Clock 0.885; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<28> | 10.261(R)| SLOW | -3.510(R)| FAST | 1.739| 3.510| -0.885| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.261| - | -3.510| - | 1.739| 3.510| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ +Table of Timegroups: +------------------- +TimeGroup cg_pll_clkout0: +Blocks + cg/pll/clkout1_buf + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/SP + cg/CPUCLKr -COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.636; Ideal Clock Offset To Actual Clock 1.016; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<27> | 10.334(R)| SLOW | -3.698(R)| FAST | 1.666| 3.698| -1.016| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.334| - | -3.698| - | 1.666| 3.698| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ +Pins + cg\/RAMCLK0_inst.CK0 + cg\/RAMCLK0_inst.CK1 + cg\/RAMCLK1_inst.CK0 + cg\/RAMCLK1_inst.CK1 + cg\/FPUCLK_inst.CK0 + cg\/FPUCLK_inst.CK1 + cg\/CPUCLK_inst.CK0 + cg\/CPUCLK_inst.CK1 + l2pre\/Way0Data\/U0\/xst_blk_mem_generator\/gnativebmg.native_blk_mem_gen\/valid.cstr\/ramloop[0].ram.r\/s6_noinit.ram\/SDP.WIDE_PRIM9.ram.CLKAWRCLK + l2pre\/Way0Data\/U0\/xst_blk_mem_generator\/gnativebmg.native_blk_mem_gen\/valid.cstr\/ramloop[0].ram.r\/s6_noinit.ram\/SDP.WIDE_PRIM9.ram.CLKBRDCLK -COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.365; Ideal Clock Offset To Actual Clock 0.474; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<26> | 9.657(R)| SLOW | -3.292(R)| FAST | 2.343| 3.292| -0.474| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.657| - | -3.292| - | 2.343| 3.292| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.639; Ideal Clock Offset To Actual Clock 0.564; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<25> | 9.883(R)| SLOW | -3.244(R)| FAST | 2.117| 3.244| -0.564| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.883| - | -3.244| - | 2.117| 3.244| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ +TimeGroup cg_pll_clkfbout: +Blocks + cg/pll/clkfbout_bufg +Pins + cg\/pll\/clkfbout_oddr.CK0 cg\/pll\/clkfbout_oddr.CK1 -COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.347; Ideal Clock Offset To Actual Clock 0.853; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<24> | 10.026(R)| SLOW | -3.679(R)| FAST | 1.974| 3.679| -0.853| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.026| - | -3.679| - | 1.974| 3.679| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ +TimeGroup CPU_nSTERM: +Blocks + CPU_nSTERM -COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.265; Ideal Clock Offset To Actual Clock 0.605; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<23> | 9.737(R)| SLOW | -3.472(R)| FAST | 2.263| 3.472| -0.605| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.737| - | -3.472| - | 2.263| 3.472| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ +TimeGroup FSB_A: +Blocks + CPU_nSTERM + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/SP + l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/SP -COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.270; Ideal Clock Offset To Actual Clock 0.772; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<22> | 9.907(R)| SLOW | -3.637(R)| FAST | 2.093| 3.637| -0.772| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.907| - | -3.637| - | 2.093| 3.637| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ +Pins + l2pre\/Way0Data\/U0\/xst_blk_mem_generator\/gnativebmg.native_blk_mem_gen\/valid.cstr\/ramloop[0].ram.r\/s6_noinit.ram\/SDP.WIDE_PRIM9.ram.CLKBRDCLK -COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.557; Ideal Clock Offset To Actual Clock 0.997; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<21> | 10.275(R)| SLOW | -3.718(R)| FAST | 1.725| 3.718| -0.997| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.275| - | -3.718| - | 1.725| 3.718| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.486; Ideal Clock Offset To Actual Clock 0.821; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<20> | 10.064(R)| SLOW | -3.578(R)| FAST | 1.936| 3.578| -0.821| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.064| - | -3.578| - | 1.936| 3.578| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.273; Ideal Clock Offset To Actual Clock 0.859; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<19> | 9.995(R)| SLOW | -3.722(R)| FAST | 2.005| 3.722| -0.859| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.995| - | -3.722| - | 2.005| 3.722| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.039; Ideal Clock Offset To Actual Clock 0.849; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<18> | 9.868(R)| SLOW | -3.829(R)| FAST | 2.132| 3.829| -0.849| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.868| - | -3.829| - | 2.132| 3.829| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 5.787; Ideal Clock Offset To Actual Clock 0.368; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<17> | 9.261(R)| SLOW | -3.474(R)| FAST | 2.739| 3.474| -0.368| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.261| - | -3.474| - | 2.739| 3.474| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.072; Ideal Clock Offset To Actual Clock 0.529; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<16> | 9.565(R)| SLOW | -3.493(R)| FAST | 2.435| 3.493| -0.529| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.565| - | -3.493| - | 2.435| 3.493| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.262; Ideal Clock Offset To Actual Clock 0.965; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<15> | 10.096(R)| SLOW | -3.834(R)| FAST | 1.904| 3.834| -0.965| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.096| - | -3.834| - | 1.904| 3.834| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 5.842; Ideal Clock Offset To Actual Clock 0.163; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<14> | 9.084(R)| SLOW | -3.242(R)| FAST | 2.916| 3.242| -0.163| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.084| - | -3.242| - | 2.916| 3.242| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.302; Ideal Clock Offset To Actual Clock 0.860; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<13> | 10.011(R)| SLOW | -3.709(R)| FAST | 1.989| 3.709| -0.860| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.011| - | -3.709| - | 1.989| 3.709| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.216; Ideal Clock Offset To Actual Clock 0.713; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<12> | 9.821(R)| SLOW | -3.605(R)| FAST | 2.179| 3.605| -0.713| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.821| - | -3.605| - | 2.179| 3.605| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.322; Ideal Clock Offset To Actual Clock 0.361; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<11> | 9.522(R)| SLOW | -3.200(R)| FAST | 2.478| 3.200| -0.361| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.522| - | -3.200| - | 2.478| 3.200| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.099; Ideal Clock Offset To Actual Clock 0.513; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<10> | 9.562(R)| SLOW | -3.463(R)| FAST | 2.438| 3.463| -0.513| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.562| - | -3.463| - | 2.438| 3.463| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.391; Ideal Clock Offset To Actual Clock 0.813; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<9> | 10.009(R)| SLOW | -3.618(R)| FAST | 1.991| 3.618| -0.813| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.009| - | -3.618| - | 1.991| 3.618| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.531; Ideal Clock Offset To Actual Clock 1.021; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<8> | 10.287(R)| SLOW | -3.756(R)| FAST | 1.713| 3.756| -1.021| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.287| - | -3.756| - | 1.713| 3.756| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.293; Ideal Clock Offset To Actual Clock 0.611; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<7> | 9.757(R)| SLOW | -3.464(R)| FAST | 2.243| 3.464| -0.611| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.757| - | -3.464| - | 2.243| 3.464| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.298; Ideal Clock Offset To Actual Clock 0.770; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<6> | 9.919(R)| SLOW | -3.621(R)| FAST | 2.081| 3.621| -0.770| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.919| - | -3.621| - | 2.081| 3.621| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.061; Ideal Clock Offset To Actual Clock 0.235; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<5> | 9.265(R)| SLOW | -3.204(R)| FAST | 2.735| 3.204| -0.235| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.265| - | -3.204| - | 2.735| 3.204| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.478; Ideal Clock Offset To Actual Clock 0.527; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<4> | 9.766(R)| SLOW | -3.288(R)| FAST | 2.234| 3.288| -0.527| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.766| - | -3.288| - | 2.234| 3.288| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.708; Ideal Clock Offset To Actual Clock 1.126; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<3> | 10.480(R)| SLOW | -3.772(R)| FAST | 1.520| 3.772| -1.126| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.480| - | -3.772| - | 1.520| 3.772| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.605; Ideal Clock Offset To Actual Clock 0.540; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<2> | 9.842(R)| SLOW | -3.237(R)| FAST | 2.158| 3.237| -0.540| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.842| - | -3.237| - | 2.158| 3.237| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.634; Ideal Clock Offset To Actual Clock 0.559; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<1> | 9.876(R)| SLOW | -3.242(R)| FAST | 2.124| 3.242| -0.559| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.876| - | -3.242| - | 2.124| 3.242| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.322; Ideal Clock Offset To Actual Clock 0.867; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -FSB_A<0> | 10.028(R)| SLOW | -3.706(R)| FAST | 1.972| 3.706| -0.867| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.028| - | -3.706| - | 1.972| 3.706| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 5.745; Ideal Clock Offset To Actual Clock 0.769; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -CPU_nAS | 9.641(R)| SLOW | -3.896(R)| FAST | 2.359| 3.896| -0.769| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.641| - | -3.896| - | 2.359| 3.896| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 6.040; Ideal Clock Offset To Actual Clock 1.312; -------------------+------------+------------+------------+------------+---------+---------+-------------+ - | | Process | | Process | Setup | Hold |Source Offset| -Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | -------------------+------------+------------+------------+------------+---------+---------+-------------+ -INt | 10.332(R)| SLOW | -4.292(R)| FAST | 1.668| 4.292| -1.312| -------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 10.332| - | -4.292| - | 1.668| 4.292| | -------------------+------------+------------+------------+------------+---------+---------+-------------+ - -COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN"; -Bus Skew: 0.000 ns; ------------------------------------------------+-------------+------------+-------------+------------+--------------+ - |Max (slowest)| Process |Min (fastest)| Process | | -PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| ------------------------------------------------+-------------+------------+-------------+------------+--------------+ -OUTt | 2.040| SLOW | 0.500| FAST | 0.000| ------------------------------------------------+-------------+------------+-------------+------------+--------------+ +TimeGroup CLKIN: +Pins + cg\/pll\/pll_base_inst\/PLL_ADV.CLKIN1 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0.DIVCLK Timing summary: @@ -8995,25 +5744,27 @@ Timing summary: Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) -Constraints cover 102 paths, 0 nets, and 122 connections +Constraints cover 259 paths, 0 nets, and 178 connections Design statistics: Minimum period: 10.000ns{1} (Maximum frequency: 100.000MHz) - Minimum input required time before clock: 10.480ns - Minimum output required time after clock: 2.040ns + Maximum combinational path delay: 12.945ns + Maximum path delay from/to any node: 7.879ns + Minimum input required time before clock: 8.910ns + Maximum output delay after clock: 15.120ns ------------------------------------Footnotes----------------------------------- 1) The minimum period statistic assumes all single cycle delays. -Analysis completed Fri Oct 29 17:59:57 2021 +Analysis completed Sun Oct 31 15:38:38 2021 -------------------------------------------------------------------------------- Trace Settings: ------------------------- Trace Settings -Peak Memory Usage: 170 MB +Peak Memory Usage: 214 MB diff --git a/fpga/WarpLC.twx b/fpga/WarpLC.twx index a5ad975..bb731a3 100644 --- a/fpga/WarpLC.twx +++ b/fpga/WarpLC.twx @@ -329,195 +329,49 @@ ]> -Release 14.7 Trace (nt)Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\trce.exe -intstyle ise -v 3 -s 2 -n -3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf PLL.ucf +Release 14.7 Trace (nt64)Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 +-timegroups -s 2 -u 1000 -n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o +WarpLC.twr WarpLC.pcf -ucf PLL.ucf -WarpLC.ncdWarpLC.ncdWarpLC.pcfWarpLC.pcfxc6slx9C-2PRODUCTION 1.23 2013-10-1313INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%;000000010.000Component Switching Limit Checks: NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%;PERIOD analysis for net "CLKGEN_inst/instance_name/clkout0" derived from NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; divided by 2.00 to 15 nS 3500001202.700Paths for end point OUTt (SLICE_X0Y21.CIN), 24 paths -12.300AR_5OUTt2.5340.01815.0000.148AR_5OUTt3SLICE_X0Y18.CLKFSBCLKSLICE_X0Y18.BQTcko0.525AR<7>AR_5SLICE_X0Y19.B1net11.129AR<5>SLICE_X0Y19.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.3991.1352.534FSBCLK55.244.812.375AR_9OUTt2.4580.01915.0000.148AR_9OUTt3SLICE_X0Y17.CLKFSBCLKSLICE_X0Y17.BQTcko0.525AR<11>AR_9SLICE_X0Y19.D1net11.224AR<9>SLICE_X0Y19.COUTTopcyd0.312Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2281.2302.458FSBCLK50.050.012.408AR_4OUTt2.4260.01815.0000.148AR_4OUTt3SLICE_X0Y18.CLKFSBCLKSLICE_X0Y18.AQTcko0.525AR<7>AR_4SLICE_X0Y19.B5net11.021AR<4>SLICE_X0Y19.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.3991.0272.426FSBCLK57.742.3Paths for end point OUTt (SLICE_X0Y21.B3), 1 path -12.492AR_27OUTt2.3480.01215.0000.148AR_27OUTt1SLICE_X0Y24.CLKFSBCLKSLICE_X0Y24.DQTcko0.525AR<27>AR_27SLICE_X0Y21.B3net11.135AR<27>SLICE_X0Y21.CLKTas0.688OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2131.1352.348FSBCLK51.748.3Paths for end point CLKGEN_inst/FPUCLK_inst (OLOGIC_X0Y47.D2), 1 path -12.531CLKGEN_inst/CPUCLKrCLKGEN_inst/FPUCLK_inst2.853-0.53215.0000.148CLKGEN_inst/CPUCLKrCLKGEN_inst/FPUCLK_inst0SLICE_X0Y53.CLKFSBCLKSLICE_X0Y53.DQTcko0.525CLKGEN_inst/CPUCLKrCLKGEN_inst/CPUCLKrOLOGIC_X0Y47.D2net31.497CLKGEN_inst/CPUCLKrOLOGIC_X0Y47.CLK0Todck0.831FPUCLK_OBUFCLKGEN_inst/FPUCLK_inst1.3561.4972.853FSBCLK47.552.5Hold Paths: PERIOD analysis for net "CLKGEN_inst/instance_name/clkout0" derived from - NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; - divided by 2.00 to 15 nS +WarpLC.ncdWarpLC.ncdWarpLC.pcfWarpLC.pcfxc6slx9C-2PRODUCTION 1.23 2013-10-13110003INFO:Timing:3386 - Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report.INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%;000000010.000Component Switching Limit Checks: TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%;TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP "CPU_nSTERM" 15 ns;220000107.879Paths for end point CPU_nSTERM (D12.PAD), 22 paths +7.121l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPCPU_nSTERM7.8790.00015.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPCPU_nSTERM2SLICE_X16Y51.CLKFSBCLKSLICE_X16Y51.AMUXTshcko1.081l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPSLICE_X22Y51.A1net11.261l2pre/n0023<14>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM3.7114.1687.87947.152.97.227l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPCPU_nSTERM7.7730.00015.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPCPU_nSTERM2SLICE_X16Y51.CLKFSBCLKSLICE_X16Y51.ATshcko1.012l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPSLICE_X22Y51.B2net11.248l2pre/n0023<16>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM3.6184.1557.77346.553.57.243l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPCPU_nSTERM7.7570.00015.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPCPU_nSTERM3SLICE_X20Y50.CLKFSBCLKSLICE_X20Y50.BMUXTshcko1.131l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPSLICE_X22Y50.B1net11.019l2pre/n0023<4>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM3.8283.9297.75749.350.7Fastest Paths: TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP "CPU_nSTERM" 15 ns; +Paths for end point CPU_nSTERM (D12.PAD), 22 paths +3.026l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPCPU_nSTERM0.0000.0000.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPCPU_nSTERM2SLICE_X20Y51.CLKFSBCLKSLICE_X20Y51.AMUXTshcko0.490l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPSLICE_X22Y51.C6net10.129l2pre/n0023<18>SLICE_X22Y51.DMUXTopcd0.307CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet11.401CPU_nSTERM_OBUFD12.PADTioop0.699CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM1.4961.5303.02649.450.63.039l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPCPU_nSTERM0.0000.0000.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPCPU_nSTERM2SLICE_X20Y51.CLKFSBCLKSLICE_X20Y51.BTshcko0.449l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPSLICE_X22Y51.B6net10.119l2pre/n0023<15>SLICE_X22Y51.DMUXTopbd0.371CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet11.401CPU_nSTERM_OBUFD12.PADTioop0.699CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM1.5191.5203.03950.050.03.074l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPCPU_nSTERM0.0000.0000.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPCPU_nSTERM2SLICE_X20Y51.CLKFSBCLKSLICE_X20Y51.BMUXTshcko0.495l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPSLICE_X22Y51.C5net10.172l2pre/n0023<19>SLICE_X22Y51.DMUXTopcd0.307CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet11.401CPU_nSTERM_OBUFD12.PADTioop0.699CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM1.5011.5733.07448.851.2TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%;00000002.666Component Switching Limit Checks: TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%;TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%;60000603.689Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y61.D1), 1 path +11.311cg/CPUCLKrcg/CPUCLK_inst4.087-0.51415.0000.116cg/CPUCLKrcg/CPUCLK_inst1SLICE_X20Y61.CLKFSBCLKSLICE_X20Y61.DQTcko0.525cg/CPUCLKrcg/CPUCLKrSLICE_X20Y61.D6net30.156cg/CPUCLKrSLICE_X20Y61.DTilo0.254cg/CPUCLKr][292_3_INV_0OLOGIC_X1Y61.D1net32.186][292_3OLOGIC_X1Y61.CLK0Todck0.966CPUCLK_OBUFcg/CPUCLK_inst1.7452.3424.087FSBCLK42.757.3Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y61.D2), 1 path +11.624cg/CPUCLKrcg/CPUCLK_inst3.774-0.51415.0000.116cg/CPUCLKrcg/CPUCLK_inst1SLICE_X20Y61.CLKFSBCLKSLICE_X20Y61.DQTcko0.525cg/CPUCLKrcg/CPUCLKrSLICE_X20Y61.D6net30.156cg/CPUCLKrSLICE_X20Y61.DTilo0.254cg/CPUCLKr][292_3_INV_0OLOGIC_X1Y61.D2net32.008][292_3OLOGIC_X1Y61.CLK0Todck0.831CPUCLK_OBUFcg/CPUCLK_inst1.6102.1643.774FSBCLK42.757.3Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y61.D2), 1 path +12.401cg/CPUCLKrcg/FPUCLK_inst2.966-0.48315.0000.116cg/CPUCLKrcg/FPUCLK_inst0SLICE_X20Y61.CLKFSBCLKSLICE_X20Y61.DQTcko0.525cg/CPUCLKrcg/CPUCLKrOLOGIC_X11Y61.D2net31.610cg/CPUCLKrOLOGIC_X11Y61.CLK0Todck0.831FPUCLK_OBUFcg/FPUCLK_inst1.3561.6102.966FSBCLK45.754.3Hold Paths: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%; +Paths for end point cg/CPUCLKr (SLICE_X20Y61.D6), 1 path +0.458cg/CPUCLKrcg/CPUCLKr0.4580.0000.0000.000cg/CPUCLKrcg/CPUCLKr1SLICE_X20Y61.CLKFSBCLKSLICE_X20Y61.DQTcko0.234cg/CPUCLKrcg/CPUCLKrSLICE_X20Y61.D6net30.027cg/CPUCLKrSLICE_X20Y61.CLKTah0.197cg/CPUCLKr][292_3_INV_0cg/CPUCLKr0.4310.0270.458FSBCLK94.15.9Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y61.D1), 1 path +0.665cg/CPUCLKrcg/FPUCLK_inst0.857-0.1920.0000.000cg/CPUCLKrcg/FPUCLK_inst0SLICE_X20Y61.CLKFSBCLKSLICE_X20Y61.DQTcko0.234cg/CPUCLKrcg/CPUCLKrOLOGIC_X11Y61.D1net30.293cg/CPUCLKrOLOGIC_X11Y61.CLK0Tockd0.330FPUCLK_OBUFcg/FPUCLK_inst0.5640.2930.857FSBCLK65.834.2Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ENBRDEN), 1 path +0.736cg/CPUCLKrl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.7360.0000.0000.000cg/CPUCLKrl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1SLICE_X20Y61.CLKFSBCLKSLICE_X20Y61.DQTcko0.234cg/CPUCLKrcg/CPUCLKrSLICE_X20Y61.D6net30.027cg/CPUCLKrSLICE_X20Y61.DTilo0.156cg/CPUCLKr][292_3_INV_0RAMB8_X1Y31.ENBRDENnet30.363][292_3RAMB8_X1Y31.CLKBRDCLKTrckc_ENB-0.044l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.3460.3900.736FSBCLK47.053.0Component Switching Limit Checks: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%;Unconstrained OFFSET IN BEFORE analysis for clock "FSBCLK" 50000508.910Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR9), 1 path +8.910FSB_A<6>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.296FSB_A<6>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24RAMB8_X1Y31.ADDRBRDADDR9net132.643FSB_A_6_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.6434.600FSBCLK42.557.5CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet170.882FSBCLK-6.4372.423-4.014Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +8.905FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.296FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22RAMB8_X1Y31.ADDRBRDADDR7net132.638FSB_A_4_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.6384.595FSBCLK42.657.4CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet170.882FSBCLK-6.4372.423-4.014Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR8), 1 path +8.878FSB_A<5>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.296FSB_A<5>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23RAMB8_X1Y31.ADDRBRDADDR8net132.611FSB_A_5_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.6114.568FSBCLK42.857.2CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet170.882FSBCLK-6.4372.423-4.014Hold Paths: Unconstrained OFFSET IN BEFORE analysis for clock "FSBCLK" -Paths for end point CLKGEN_inst/CPUCLKr (SLICE_X0Y53.D6), 1 path -0.457CLKGEN_inst/CPUCLKrCLKGEN_inst/CPUCLKr0.4570.0000.0000.000CLKGEN_inst/CPUCLKrCLKGEN_inst/CPUCLKr1SLICE_X0Y53.CLKFSBCLKSLICE_X0Y53.DQTcko0.234CLKGEN_inst/CPUCLKrCLKGEN_inst/CPUCLKrSLICE_X0Y53.D6net30.026CLKGEN_inst/CPUCLKrSLICE_X0Y53.CLKTah0.197CLKGEN_inst/CPUCLKrCLKGEN_inst/CPUCLKr_rstpot1_INV_0CLKGEN_inst/CPUCLKr0.4310.0260.457FSBCLK94.35.7Paths for end point CLKGEN_inst/FPUCLK_inst (OLOGIC_X0Y47.D1), 1 path -0.582CLKGEN_inst/CPUCLKrCLKGEN_inst/FPUCLK_inst0.838-0.2560.0000.000CLKGEN_inst/CPUCLKrCLKGEN_inst/FPUCLK_inst0SLICE_X0Y53.CLKFSBCLKSLICE_X0Y53.DQTcko0.234CLKGEN_inst/CPUCLKrCLKGEN_inst/CPUCLKrOLOGIC_X0Y47.D1net30.274CLKGEN_inst/CPUCLKrOLOGIC_X0Y47.CLK0Tockd0.330FPUCLK_OBUFCLKGEN_inst/FPUCLK_inst0.5640.2740.838FSBCLK67.332.7Paths for end point OUTt (SLICE_X0Y21.CIN), 24 paths -0.691AR_13OUTt0.6900.0010.0000.000AR_13OUTt2SLICE_X1Y20.CLKFSBCLKSLICE_X1Y20.BQTcko0.198AR<15>AR_13SLICE_X0Y20.A5net10.070AR<13>SLICE_X0Y20.COUTTopcya0.272Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt0.6190.0710.690FSBCLK89.710.30.781AR_6OUTt0.7760.0050.0000.000AR_6OUTt3SLICE_X0Y18.CLKFSBCLKSLICE_X0Y18.CQTcko0.234AR<7>AR_6SLICE_X0Y19.C5net10.156AR<6>SLICE_X0Y19.COUTTopcyc0.203Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt0.6180.1580.776FSBCLK79.620.40.863AR_15OUTt0.8620.0010.0000.000AR_15OUTt2SLICE_X1Y20.CLKFSBCLKSLICE_X1Y20.DQTcko0.198AR<15>AR_15SLICE_X0Y20.B1net10.250AR<15>SLICE_X0Y20.COUTTopcyb0.264Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt0.6110.2510.862FSBCLK70.929.1Component Switching Limit Checks: PERIOD analysis for net "CLKGEN_inst/instance_name/clkout0" derived from - NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; - divided by 2.00 to 15 nS -PERIOD analysis for net "CLKGEN_inst/instance_name/clkfbout" derived from NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; duty cycle corrected to 30 nS HIGH 15 nS 00000002.666Component Switching Limit Checks: PERIOD analysis for net "CLKGEN_inst/instance_name/clkfbout" derived from - NET "CLKGEN_inst/instance_name/clkin1" PERIOD = 30 ns HIGH 50%; - duty cycle corrected to 30 nS HIGH 15 nS -COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.247Paths for end point OUTt (SLICE_X0Y21.C5), 1 path -1.753FSB_A<31>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<31>CLKIN0.414FSB_A<31>OUTt2L4.PADL4.ITiopi1.557FSB_A<31>FSB_A<31>FSB_A_31_IBUFProtoComp10.IMUX.13SLICE_X0Y21.C5net23.840FSB_A_31_IBUFSLICE_X0Y21.CLKTas0.533OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.0903.8405.930FSBCLK35.264.8CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_31 (SLICE_X0Y22.DX), 1 path -2.593FSB_A<31>AR_31-3.906CLKINAR<31>12.000FSB_A<31>CLKIN0.414FSB_A<31>AR_311L4.PADL4.ITiopi1.557FSB_A<31>FSB_A<31>FSB_A_31_IBUFProtoComp10.IMUX.13SLICE_X0Y22.DXnet23.445FSB_A_31_IBUFSLICE_X0Y22.CLKTdick0.085AR<31>AR_311.6423.4455.087FSBCLK32.367.7CLKINAR_314J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_31 (SLICE_X0Y22.DX), 1 path -3.707FSB_A<31>AR_31-1.700CLKINAR<31>0.000FSB_A<31>CLKIN0.414FSB_A<31>AR_311L4.PADL4.ITiopi0.763FSB_A<31>FSB_A<31>FSB_A_31_IBUFProtoComp10.IMUX.13SLICE_X0Y22.DXnet21.617FSB_A_31_IBUFSLICE_X0Y22.CLKTckdi0.041AR<31>AR_310.8041.6172.421FSBCLK33.266.8CLKINAR_314J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.C5), 1 path -4.160FSB_A<31>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<31>CLKIN0.414FSB_A<31>OUTt2L4.PADL4.ITiopi0.763FSB_A<31>FSB_A<31>FSB_A_31_IBUFProtoComp10.IMUX.13SLICE_X0Y21.C5net21.794FSB_A_31_IBUFSLICE_X0Y21.CLKTah0.320OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.0831.7942.877FSBCLK37.662.4CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.847Paths for end point OUTt (SLICE_X0Y21.C4), 1 path -2.153FSB_A<30>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<30>CLKIN0.414FSB_A<30>OUTt2H5.PADH5.ITiopi1.557FSB_A<30>FSB_A<30>FSB_A_30_IBUFProtoComp10.IMUX.10SLICE_X0Y21.C4net23.440FSB_A_30_IBUFSLICE_X0Y21.CLKTas0.533OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.0903.4405.530FSBCLK37.862.2CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_30 (SLICE_X0Y22.CX), 1 path -2.628FSB_A<30>AR_30-3.906CLKINAR<31>12.000FSB_A<30>CLKIN0.414FSB_A<30>AR_301H5.PADH5.ITiopi1.557FSB_A<30>FSB_A<30>FSB_A_30_IBUFProtoComp10.IMUX.10SLICE_X0Y22.CXnet23.410FSB_A_30_IBUFSLICE_X0Y22.CLKTdick0.085AR<31>AR_301.6423.4105.052FSBCLK32.567.5CLKINAR_304J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_30 (SLICE_X0Y22.CX), 1 path -3.725FSB_A<30>AR_30-1.700CLKINAR<31>0.000FSB_A<30>CLKIN0.414FSB_A<30>AR_301H5.PADH5.ITiopi0.763FSB_A<30>FSB_A<30>FSB_A_30_IBUFProtoComp10.IMUX.10SLICE_X0Y22.CXnet21.635FSB_A_30_IBUFSLICE_X0Y22.CLKTckdi0.041AR<31>AR_300.8041.6352.439FSBCLK33.067.0CLKINAR_304J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.C4), 1 path -4.050FSB_A<30>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<30>CLKIN0.414FSB_A<30>OUTt2H5.PADH5.ITiopi0.763FSB_A<30>FSB_A<30>FSB_A_30_IBUFProtoComp10.IMUX.10SLICE_X0Y21.C4net21.684FSB_A_30_IBUFSLICE_X0Y21.CLKTah0.320OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<10>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.0831.6842.767FSBCLK39.160.9CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.864Paths for end point OUTt (SLICE_X0Y21.B4), 1 path -2.136FSB_A<29>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<29>CLKIN0.414FSB_A<29>OUTt2G1.PADG1.ITiopi1.557FSB_A<29>FSB_A<29>FSB_A_29_IBUFProtoComp10.IMUX.24SLICE_X0Y21.B4net23.302FSB_A_29_IBUFSLICE_X0Y21.CLKTas0.688OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2453.3025.547FSBCLK40.559.5CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_29 (SLICE_X0Y22.BX), 1 path -3.127FSB_A<29>AR_29-3.906CLKINAR<31>12.000FSB_A<29>CLKIN0.414FSB_A<29>AR_291G1.PADG1.ITiopi1.557FSB_A<29>FSB_A<29>FSB_A_29_IBUFProtoComp10.IMUX.24SLICE_X0Y22.BXnet22.911FSB_A_29_IBUFSLICE_X0Y22.CLKTdick0.085AR<31>AR_291.6422.9114.553FSBCLK36.163.9CLKINAR_294J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_29 (SLICE_X0Y22.BX), 1 path -3.448FSB_A<29>AR_29-1.700CLKINAR<31>0.000FSB_A<29>CLKIN0.414FSB_A<29>AR_291G1.PADG1.ITiopi0.763FSB_A<29>FSB_A<29>FSB_A_29_IBUFProtoComp10.IMUX.24SLICE_X0Y22.BXnet21.358FSB_A_29_IBUFSLICE_X0Y22.CLKTckdi0.041AR<31>AR_290.8041.3582.162FSBCLK37.262.8CLKINAR_294J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.B4), 1 path -4.001FSB_A<29>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<29>CLKIN0.414FSB_A<29>OUTt2G1.PADG1.ITiopi0.763FSB_A<29>FSB_A<29>FSB_A_29_IBUFProtoComp10.IMUX.24SLICE_X0Y21.B4net21.574FSB_A_29_IBUFSLICE_X0Y21.CLKTah0.381OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1441.5742.718FSBCLK42.157.9CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.261Paths for end point OUTt (SLICE_X0Y21.B2), 1 path -1.739FSB_A<28>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<28>CLKIN0.414FSB_A<28>OUTt2K3.PADK3.ITiopi1.557FSB_A<28>FSB_A<28>FSB_A_28_IBUFProtoComp10.IMUX.23SLICE_X0Y21.B2net23.699FSB_A_28_IBUFSLICE_X0Y21.CLKTas0.688OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2453.6995.944FSBCLK37.862.2CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_28 (SLICE_X0Y22.AX), 1 path -3.044FSB_A<28>AR_28-3.906CLKINAR<31>12.000FSB_A<28>CLKIN0.414FSB_A<28>AR_281K3.PADK3.ITiopi1.557FSB_A<28>FSB_A<28>FSB_A_28_IBUFProtoComp10.IMUX.23SLICE_X0Y22.AXnet22.994FSB_A_28_IBUFSLICE_X0Y22.CLKTdick0.085AR<31>AR_281.6422.9944.636FSBCLK35.464.6CLKINAR_284J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_28 (SLICE_X0Y22.AX), 1 path -3.510FSB_A<28>AR_28-1.700CLKINAR<31>0.000FSB_A<28>CLKIN0.414FSB_A<28>AR_281K3.PADK3.ITiopi0.763FSB_A<28>FSB_A<28>FSB_A_28_IBUFProtoComp10.IMUX.23SLICE_X0Y22.AXnet21.420FSB_A_28_IBUFSLICE_X0Y22.CLKTckdi0.041AR<31>AR_280.8041.4202.224FSBCLK36.263.8CLKINAR_284J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.B2), 1 path -4.203FSB_A<28>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<28>CLKIN0.414FSB_A<28>OUTt2K3.PADK3.ITiopi0.763FSB_A<28>FSB_A<28>FSB_A_28_IBUFProtoComp10.IMUX.23SLICE_X0Y21.B2net21.776FSB_A_28_IBUFSLICE_X0Y21.CLKTah0.381OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1441.7762.920FSBCLK39.260.8CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.334Paths for end point OUTt (SLICE_X0Y21.B6), 1 path -1.666FSB_A<27>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<27>CLKIN0.414FSB_A<27>OUTt2E1.PADE1.ITiopi1.557FSB_A<27>FSB_A<27>FSB_A_27_IBUFProtoComp10.IMUX.22SLICE_X0Y21.B6net23.772FSB_A_27_IBUFSLICE_X0Y21.CLKTas0.688OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2453.7726.017FSBCLK37.362.7CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_27 (SLICE_X0Y24.DX), 1 path -2.639FSB_A<27>AR_27-3.908CLKINAR<27>12.000FSB_A<27>CLKIN0.414FSB_A<27>AR_271E1.PADE1.ITiopi1.557FSB_A<27>FSB_A<27>FSB_A_27_IBUFProtoComp10.IMUX.22SLICE_X0Y24.DXnet23.397FSB_A_27_IBUFSLICE_X0Y24.CLKTdick0.085AR<27>AR_271.6423.3975.039FSBCLK32.667.4CLKINAR_274J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_27 (SLICE_X0Y24.DX), 1 path -3.698FSB_A<27>AR_27-1.702CLKINAR<27>0.000FSB_A<27>CLKIN0.414FSB_A<27>AR_271E1.PADE1.ITiopi0.763FSB_A<27>FSB_A<27>FSB_A_27_IBUFProtoComp10.IMUX.22SLICE_X0Y24.DXnet21.606FSB_A_27_IBUFSLICE_X0Y24.CLKTckdi0.041AR<27>AR_270.8041.6062.410FSBCLK33.466.6CLKINAR_274J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.B6), 1 path -4.280FSB_A<27>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<27>CLKIN0.414FSB_A<27>OUTt2E1.PADE1.ITiopi0.763FSB_A<27>FSB_A<27>FSB_A_27_IBUFProtoComp10.IMUX.22SLICE_X0Y21.B6net21.853FSB_A_27_IBUFSLICE_X0Y21.CLKTah0.381OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<9>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1441.8532.997FSBCLK38.261.8CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.657Paths for end point OUTt (SLICE_X0Y21.A3), 1 path -2.343FSB_A<26>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<26>CLKIN0.414FSB_A<26>OUTt2H1.PADH1.ITiopi1.557FSB_A<26>FSB_A<26>FSB_A_26_IBUFProtoComp10.IMUX.19SLICE_X0Y21.A3net23.104FSB_A_26_IBUFSLICE_X0Y21.CLKTas0.679OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2363.1045.340FSBCLK41.958.1CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_26 (SLICE_X0Y24.CX), 1 path -3.412FSB_A<26>AR_26-3.908CLKINAR<27>12.000FSB_A<26>CLKIN0.414FSB_A<26>AR_261H1.PADH1.ITiopi1.557FSB_A<26>FSB_A<26>FSB_A_26_IBUFProtoComp10.IMUX.19SLICE_X0Y24.CXnet22.624FSB_A_26_IBUFSLICE_X0Y24.CLKTdick0.085AR<27>AR_261.6422.6244.266FSBCLK38.561.5CLKINAR_264J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_26 (SLICE_X0Y24.CX), 1 path -3.292FSB_A<26>AR_26-1.702CLKINAR<27>0.000FSB_A<26>CLKIN0.414FSB_A<26>AR_261H1.PADH1.ITiopi0.763FSB_A<26>FSB_A<26>FSB_A_26_IBUFProtoComp10.IMUX.19SLICE_X0Y24.CXnet21.200FSB_A_26_IBUFSLICE_X0Y24.CLKTckdi0.041AR<27>AR_260.8041.2002.004FSBCLK40.159.9CLKINAR_264J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.A3), 1 path -3.901FSB_A<26>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<26>CLKIN0.414FSB_A<26>OUTt2H1.PADH1.ITiopi0.763FSB_A<26>FSB_A<26>FSB_A_26_IBUFProtoComp10.IMUX.19SLICE_X0Y21.A3net21.466FSB_A_26_IBUFSLICE_X0Y21.CLKTah0.389OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1521.4662.618FSBCLK44.056.0CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.883Paths for end point OUTt (SLICE_X0Y21.A2), 1 path -2.117FSB_A<25>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<25>CLKIN0.414FSB_A<25>OUTt2H2.PADH2.ITiopi1.557FSB_A<25>FSB_A<25>FSB_A_25_IBUFProtoComp10.IMUX.17SLICE_X0Y21.A2net23.330FSB_A_25_IBUFSLICE_X0Y21.CLKTas0.679OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2363.3305.566FSBCLK40.259.8CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_25 (SLICE_X0Y24.BX), 1 path -3.388FSB_A<25>AR_25-3.908CLKINAR<27>12.000FSB_A<25>CLKIN0.414FSB_A<25>AR_251H2.PADH2.ITiopi1.557FSB_A<25>FSB_A<25>FSB_A_25_IBUFProtoComp10.IMUX.17SLICE_X0Y24.BXnet22.648FSB_A_25_IBUFSLICE_X0Y24.CLKTdick0.085AR<27>AR_251.6422.6484.290FSBCLK38.361.7CLKINAR_254J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_25 (SLICE_X0Y24.BX), 1 path -3.244FSB_A<25>AR_25-1.702CLKINAR<27>0.000FSB_A<25>CLKIN0.414FSB_A<25>AR_251H2.PADH2.ITiopi0.763FSB_A<25>FSB_A<25>FSB_A_25_IBUFProtoComp10.IMUX.17SLICE_X0Y24.BXnet21.152FSB_A_25_IBUFSLICE_X0Y24.CLKTckdi0.041AR<27>AR_250.8041.1521.956FSBCLK41.158.9CLKINAR_254J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.A2), 1 path -3.974FSB_A<25>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<25>CLKIN0.414FSB_A<25>OUTt2H2.PADH2.ITiopi0.763FSB_A<25>FSB_A<25>FSB_A_25_IBUFProtoComp10.IMUX.17SLICE_X0Y21.A2net21.539FSB_A_25_IBUFSLICE_X0Y21.CLKTah0.389OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1521.5392.691FSBCLK42.857.2CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.026Paths for end point OUTt (SLICE_X0Y21.A6), 1 path -1.974FSB_A<24>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<24>CLKIN0.414FSB_A<24>OUTt2E2.PADE2.ITiopi1.557FSB_A<24>FSB_A<24>FSB_A_24_IBUFProtoComp10.IMUX.15SLICE_X0Y21.A6net23.473FSB_A_24_IBUFSLICE_X0Y21.CLKTas0.679OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2363.4735.709FSBCLK39.260.8CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_24 (SLICE_X0Y24.AX), 1 path -2.633FSB_A<24>AR_24-3.908CLKINAR<27>12.000FSB_A<24>CLKIN0.414FSB_A<24>AR_241E2.PADE2.ITiopi1.557FSB_A<24>FSB_A<24>FSB_A_24_IBUFProtoComp10.IMUX.15SLICE_X0Y24.AXnet23.403FSB_A_24_IBUFSLICE_X0Y24.CLKTdick0.085AR<27>AR_241.6423.4035.045FSBCLK32.567.5CLKINAR_244J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_24 (SLICE_X0Y24.AX), 1 path -3.679FSB_A<24>AR_24-1.702CLKINAR<27>0.000FSB_A<24>CLKIN0.414FSB_A<24>AR_241E2.PADE2.ITiopi0.763FSB_A<24>FSB_A<24>FSB_A_24_IBUFProtoComp10.IMUX.15SLICE_X0Y24.AXnet21.587FSB_A_24_IBUFSLICE_X0Y24.CLKTckdi0.041AR<27>AR_240.8041.5872.391FSBCLK33.666.4CLKINAR_244J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y24.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.A6), 1 path -4.040FSB_A<24>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<24>CLKIN0.414FSB_A<24>OUTt2E2.PADE2.ITiopi0.763FSB_A<24>FSB_A<24>FSB_A_24_IBUFProtoComp10.IMUX.15SLICE_X0Y21.A6net21.605FSB_A_24_IBUFSLICE_X0Y21.CLKTah0.389OUTt_OBUFMcompar_FSB_A[31]_AR[31]_equal_2_o_lut<8>CPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1521.6052.757FSBCLK41.858.2CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.737Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.263FSB_A<23>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<23>CLKIN0.414FSB_A<23>OUTt3F1.PADF1.ITiopi1.557FSB_A<23>FSB_A<23>FSB_A_23_IBUFProtoComp10.IMUX.12SLICE_X0Y20.D5net23.250FSB_A_23_IBUFSLICE_X0Y20.COUTTopcyd0.312Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.1673.2535.420FSBCLK40.060.0CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_23 (SLICE_X0Y23.DX), 1 path -3.095FSB_A<23>AR_23-3.908CLKINAR<23>12.000FSB_A<23>CLKIN0.414FSB_A<23>AR_231F1.PADF1.ITiopi1.557FSB_A<23>FSB_A<23>FSB_A_23_IBUFProtoComp10.IMUX.12SLICE_X0Y23.DXnet22.941FSB_A_23_IBUFSLICE_X0Y23.CLKTdick0.085AR<23>AR_231.6422.9414.583FSBCLK35.864.2CLKINAR_234J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_23 (SLICE_X0Y23.DX), 1 path -3.472FSB_A<23>AR_23-1.702CLKINAR<23>0.000FSB_A<23>CLKIN0.414FSB_A<23>AR_231F1.PADF1.ITiopi0.763FSB_A<23>FSB_A<23>FSB_A_23_IBUFProtoComp10.IMUX.12SLICE_X0Y23.DXnet21.380FSB_A_23_IBUFSLICE_X0Y23.CLKTckdi0.041AR<23>AR_230.8041.3802.184FSBCLK36.863.2CLKINAR_234J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.916FSB_A<23>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<23>CLKIN0.414FSB_A<23>OUTt3F1.PADF1.ITiopi0.763FSB_A<23>FSB_A<23>FSB_A_23_IBUFProtoComp10.IMUX.12SLICE_X0Y20.D5net21.533FSB_A_23_IBUFSLICE_X0Y20.COUTTopcyd0.187Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.0991.5342.633FSBCLK41.758.3CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.907Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.093FSB_A<22>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<22>CLKIN0.414FSB_A<22>OUTt3F2.PADF2.ITiopi1.557FSB_A<22>FSB_A<22>FSB_A_22_IBUFProtoComp10.IMUX.9SLICE_X0Y20.D3net23.420FSB_A_22_IBUFSLICE_X0Y20.COUTTopcyd0.312Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.1673.4235.590FSBCLK38.861.2CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_22 (SLICE_X0Y23.CX), 1 path -2.712FSB_A<22>AR_22-3.908CLKINAR<23>12.000FSB_A<22>CLKIN0.414FSB_A<22>AR_221F2.PADF2.ITiopi1.557FSB_A<22>FSB_A<22>FSB_A_22_IBUFProtoComp10.IMUX.9SLICE_X0Y23.CXnet23.324FSB_A_22_IBUFSLICE_X0Y23.CLKTdick0.085AR<23>AR_221.6423.3244.966FSBCLK33.166.9CLKINAR_224J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_22 (SLICE_X0Y23.CX), 1 path -3.637FSB_A<22>AR_22-1.702CLKINAR<23>0.000FSB_A<22>CLKIN0.414FSB_A<22>AR_221F2.PADF2.ITiopi0.763FSB_A<22>FSB_A<22>FSB_A_22_IBUFProtoComp10.IMUX.9SLICE_X0Y23.CXnet21.545FSB_A_22_IBUFSLICE_X0Y23.CLKTckdi0.041AR<23>AR_220.8041.5452.349FSBCLK34.265.8CLKINAR_224J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.965FSB_A<22>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<22>CLKIN0.414FSB_A<22>OUTt3F2.PADF2.ITiopi0.763FSB_A<22>FSB_A<22>FSB_A_22_IBUFProtoComp10.IMUX.9SLICE_X0Y20.D3net21.582FSB_A_22_IBUFSLICE_X0Y20.COUTTopcyd0.187Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.0991.5832.682FSBCLK41.059.0CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.275Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.725FSB_A<21>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<21>CLKIN0.414FSB_A<21>OUTt3J6.PADJ6.ITiopi1.557FSB_A<21>FSB_A<21>FSB_A_21_IBUFProtoComp10.IMUX.7SLICE_X0Y20.D6net23.788FSB_A_21_IBUFSLICE_X0Y20.COUTTopcyd0.312Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.1673.7915.958FSBCLK36.463.6CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_21 (SLICE_X0Y23.BX), 1 path -2.601FSB_A<21>AR_21-3.908CLKINAR<23>12.000FSB_A<21>CLKIN0.414FSB_A<21>AR_211J6.PADJ6.ITiopi1.557FSB_A<21>FSB_A<21>FSB_A_21_IBUFProtoComp10.IMUX.7SLICE_X0Y23.BXnet23.435FSB_A_21_IBUFSLICE_X0Y23.CLKTdick0.085AR<23>AR_211.6423.4355.077FSBCLK32.367.7CLKINAR_214J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_21 (SLICE_X0Y23.BX), 1 path -3.718FSB_A<21>AR_21-1.702CLKINAR<23>0.000FSB_A<21>CLKIN0.414FSB_A<21>AR_211J6.PADJ6.ITiopi0.763FSB_A<21>FSB_A<21>FSB_A_21_IBUFProtoComp10.IMUX.7SLICE_X0Y23.BXnet21.626FSB_A_21_IBUFSLICE_X0Y23.CLKTckdi0.041AR<23>AR_210.8041.6262.430FSBCLK33.166.9CLKINAR_214J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.203FSB_A<21>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<21>CLKIN0.414FSB_A<21>OUTt3J6.PADJ6.ITiopi0.763FSB_A<21>FSB_A<21>FSB_A_21_IBUFProtoComp10.IMUX.7SLICE_X0Y20.D6net21.820FSB_A_21_IBUFSLICE_X0Y20.COUTTopcyd0.187Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.0991.8212.920FSBCLK37.662.4CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.064Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.936FSB_A<20>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<20>CLKIN0.414FSB_A<20>OUTt3L5.PADL5.ITiopi1.557FSB_A<20>FSB_A<20>FSB_A_20_IBUFProtoComp10.IMUX.4SLICE_X0Y20.C5net23.561FSB_A_20_IBUFSLICE_X0Y20.COUTTopcyc0.328Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.1833.5645.747FSBCLK38.062.0CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_20 (SLICE_X0Y23.AX), 1 path -2.969FSB_A<20>AR_20-3.908CLKINAR<23>12.000FSB_A<20>CLKIN0.414FSB_A<20>AR_201L5.PADL5.ITiopi1.557FSB_A<20>FSB_A<20>FSB_A_20_IBUFProtoComp10.IMUX.4SLICE_X0Y23.AXnet23.067FSB_A_20_IBUFSLICE_X0Y23.CLKTdick0.085AR<23>AR_201.6423.0674.709FSBCLK34.965.1CLKINAR_204J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.851FSBCLK-6.3002.392-3.908Hold Paths: COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_20 (SLICE_X0Y23.AX), 1 path -3.578FSB_A<20>AR_20-1.702CLKINAR<23>0.000FSB_A<20>CLKIN0.414FSB_A<20>AR_201L5.PADL5.ITiopi0.763FSB_A<20>FSB_A<20>FSB_A_20_IBUFProtoComp10.IMUX.4SLICE_X0Y23.AXnet21.486FSB_A_20_IBUFSLICE_X0Y23.CLKTckdi0.041AR<23>AR_200.8041.4862.290FSBCLK35.164.9CLKINAR_204J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y23.CLKnet170.793FSBCLK-3.1991.497-1.702Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.126FSB_A<20>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<20>CLKIN0.414FSB_A<20>OUTt3L5.PADL5.ITiopi0.763FSB_A<20>FSB_A<20>FSB_A_20_IBUFProtoComp10.IMUX.4SLICE_X0Y20.C5net21.727FSB_A_20_IBUFSLICE_X0Y20.COUTTopcyc0.203Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1151.7282.843FSBCLK39.260.8CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.995Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.005FSB_A<19>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<19>CLKIN0.414FSB_A<19>OUTt3G3.PADG3.ITiopi1.557FSB_A<19>FSB_A<19>FSB_A_19_IBUFProtoComp10.IMUX.21SLICE_X0Y20.C3net23.492FSB_A_19_IBUFSLICE_X0Y20.COUTTopcyc0.328Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.1833.4955.678FSBCLK38.461.6CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_19 (SLICE_X0Y22.D4), 1 path -2.556FSB_A<19>AR_19-3.906CLKINAR<31>12.000FSB_A<19>CLKIN0.414FSB_A<19>AR_192G3.PADG3.ITiopi1.557FSB_A<19>FSB_A<19>FSB_A_19_IBUFProtoComp10.IMUX.21SLICE_X0Y22.D4net23.367FSB_A_19_IBUFSLICE_X0Y22.CLKTas0.200AR<31>FSB_A_19_IBUF_rtAR_191.7573.3675.124FSBCLK34.365.7CLKINAR_194J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_19 (SLICE_X0Y22.D4), 1 path -3.722FSB_A<19>AR_19-1.700CLKINAR<31>0.000FSB_A<19>CLKIN0.414FSB_A<19>AR_192G3.PADG3.ITiopi0.763FSB_A<19>FSB_A<19>FSB_A_19_IBUFProtoComp10.IMUX.21SLICE_X0Y22.D4net21.542FSB_A_19_IBUFSLICE_X0Y22.CLKTah0.131AR<31>FSB_A_19_IBUF_rtAR_190.8941.5422.436FSBCLK36.763.3CLKINAR_194J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.047FSB_A<19>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<19>CLKIN0.414FSB_A<19>OUTt3G3.PADG3.ITiopi0.763FSB_A<19>FSB_A<19>FSB_A_19_IBUFProtoComp10.IMUX.21SLICE_X0Y20.C3net21.648FSB_A_19_IBUFSLICE_X0Y20.COUTTopcyc0.203Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1151.6492.764FSBCLK40.359.7CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.868Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.132FSB_A<18>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<18>CLKIN0.414FSB_A<18>OUTt3K6.PADK6.ITiopi1.557FSB_A<18>FSB_A<18>FSB_A_18_IBUFProtoComp10.IMUX.18SLICE_X0Y20.C6net23.365FSB_A_18_IBUFSLICE_X0Y20.COUTTopcyc0.328Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.1833.3685.551FSBCLK39.360.7CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_18 (SLICE_X0Y22.C5), 1 path -2.537FSB_A<18>AR_18-3.906CLKINAR<31>12.000FSB_A<18>CLKIN0.414FSB_A<18>AR_182K6.PADK6.ITiopi1.557FSB_A<18>FSB_A<18>FSB_A_18_IBUFProtoComp10.IMUX.18SLICE_X0Y22.C5net23.386FSB_A_18_IBUFSLICE_X0Y22.CLKTas0.200AR<31>FSB_A_18_IBUF_rtAR_181.7573.3865.143FSBCLK34.265.8CLKINAR_184J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_18 (SLICE_X0Y22.C5), 1 path -3.829FSB_A<18>AR_18-1.700CLKINAR<31>0.000FSB_A<18>CLKIN0.414FSB_A<18>AR_182K6.PADK6.ITiopi0.763FSB_A<18>FSB_A<18>FSB_A_18_IBUFProtoComp10.IMUX.18SLICE_X0Y22.C5net21.649FSB_A_18_IBUFSLICE_X0Y22.CLKTah0.131AR<31>FSB_A_18_IBUF_rtAR_180.8941.6492.543FSBCLK35.264.8CLKINAR_184J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.024FSB_A<18>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<18>CLKIN0.414FSB_A<18>OUTt3K6.PADK6.ITiopi0.763FSB_A<18>FSB_A<18>FSB_A_18_IBUFProtoComp10.IMUX.18SLICE_X0Y20.C6net21.625FSB_A_18_IBUFSLICE_X0Y20.COUTTopcyc0.203Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<6>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1151.6262.741FSBCLK40.759.3CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.261Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.739FSB_A<17>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<17>CLKIN0.414FSB_A<17>OUTt3J1.PADJ1.ITiopi1.557FSB_A<17>FSB_A<17>FSB_A_17_IBUFProtoComp10.IMUX.16SLICE_X0Y20.B5net22.603FSB_A_17_IBUFSLICE_X0Y20.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.3382.6064.944FSBCLK47.352.7CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_17 (SLICE_X0Y22.B5), 1 path -3.107FSB_A<17>AR_17-3.906CLKINAR<31>12.000FSB_A<17>CLKIN0.414FSB_A<17>AR_172J1.PADJ1.ITiopi1.557FSB_A<17>FSB_A<17>FSB_A_17_IBUFProtoComp10.IMUX.16SLICE_X0Y22.B5net22.816FSB_A_17_IBUFSLICE_X0Y22.CLKTas0.200AR<31>FSB_A_17_IBUF_rtAR_171.7572.8164.573FSBCLK38.461.6CLKINAR_174J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_17 (SLICE_X0Y22.B5), 1 path -3.474FSB_A<17>AR_17-1.700CLKINAR<31>0.000FSB_A<17>CLKIN0.414FSB_A<17>AR_172J1.PADJ1.ITiopi0.763FSB_A<17>FSB_A<17>FSB_A_17_IBUFProtoComp10.IMUX.16SLICE_X0Y22.B5net21.294FSB_A_17_IBUFSLICE_X0Y22.CLKTah0.131AR<31>FSB_A_17_IBUF_rtAR_170.8941.2942.188FSBCLK40.959.1CLKINAR_174J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.642FSB_A<17>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<17>CLKIN0.414FSB_A<17>OUTt3J1.PADJ1.ITiopi0.763FSB_A<17>FSB_A<17>FSB_A_17_IBUFProtoComp10.IMUX.16SLICE_X0Y20.B5net21.182FSB_A_17_IBUFSLICE_X0Y20.COUTTopcyb0.264Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1761.1832.359FSBCLK49.950.1CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.565Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.435FSB_A<16>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<16>CLKIN0.414FSB_A<16>OUTt3J3.PADJ3.ITiopi1.557FSB_A<16>FSB_A<16>FSB_A_16_IBUFProtoComp10.IMUX.14SLICE_X0Y20.B2net22.907FSB_A_16_IBUFSLICE_X0Y20.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.3382.9105.248FSBCLK44.655.4CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_16 (SLICE_X0Y22.A2), 1 path -3.047FSB_A<16>AR_16-3.906CLKINAR<31>12.000FSB_A<16>CLKIN0.414FSB_A<16>AR_162J3.PADJ3.ITiopi1.557FSB_A<16>FSB_A<16>FSB_A_16_IBUFProtoComp10.IMUX.14SLICE_X0Y22.A2net22.876FSB_A_16_IBUFSLICE_X0Y22.CLKTas0.200AR<31>FSB_A_16_IBUF_rtAR_161.7572.8764.633FSBCLK37.962.1CLKINAR_164J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.853FSBCLK-6.3002.394-3.906Hold Paths: COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_16 (SLICE_X0Y22.A2), 1 path -3.493FSB_A<16>AR_16-1.700CLKINAR<31>0.000FSB_A<16>CLKIN0.414FSB_A<16>AR_162J3.PADJ3.ITiopi0.763FSB_A<16>FSB_A<16>FSB_A_16_IBUFProtoComp10.IMUX.14SLICE_X0Y22.A2net21.313FSB_A_16_IBUFSLICE_X0Y22.CLKTah0.131AR<31>FSB_A_16_IBUF_rtAR_160.8941.3132.207FSBCLK40.559.5CLKINAR_164J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y22.CLKnet170.795FSBCLK-3.1991.499-1.700Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.745FSB_A<16>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<16>CLKIN0.414FSB_A<16>OUTt3J3.PADJ3.ITiopi0.763FSB_A<16>FSB_A<16>FSB_A_16_IBUFProtoComp10.IMUX.14SLICE_X0Y20.B2net21.285FSB_A_16_IBUFSLICE_X0Y20.COUTTopcyb0.264Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1761.2862.462FSBCLK47.852.2CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.096Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.904FSB_A<15>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<15>CLKIN0.414FSB_A<15>OUTt3M5.PADM5.ITiopi1.557FSB_A<15>FSB_A<15>FSB_A_15_IBUFProtoComp10.IMUX.11SLICE_X0Y20.B6net23.438FSB_A_15_IBUFSLICE_X0Y20.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.3383.4415.779FSBCLK40.559.5CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_15 (SLICE_X1Y20.DX), 1 path -2.288FSB_A<15>AR_15-3.900CLKINAR<15>12.000FSB_A<15>CLKIN0.414FSB_A<15>AR_151M5.PADM5.ITiopi1.557FSB_A<15>FSB_A<15>FSB_A_15_IBUFProtoComp10.IMUX.11SLICE_X1Y20.DXnet23.727FSB_A_15_IBUFSLICE_X1Y20.CLKTdick0.114AR<15>AR_151.6713.7275.398FSBCLK31.069.0CLKINAR_154J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.859FSBCLK-6.3002.400-3.900Hold Paths: COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_15 (SLICE_X1Y20.DX), 1 path -3.834FSB_A<15>AR_15-1.694CLKINAR<15>0.000FSB_A<15>CLKIN0.414FSB_A<15>AR_151M5.PADM5.ITiopi0.763FSB_A<15>FSB_A<15>FSB_A_15_IBUFProtoComp10.IMUX.11SLICE_X1Y20.DXnet21.732FSB_A_15_IBUFSLICE_X1Y20.CLKTckdi0.059AR<15>AR_150.8221.7322.554FSBCLK32.267.8CLKINAR_154J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.801FSBCLK-3.1991.505-1.694Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.030FSB_A<15>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<15>CLKIN0.414FSB_A<15>OUTt3M5.PADM5.ITiopi0.763FSB_A<15>FSB_A<15>FSB_A_15_IBUFProtoComp10.IMUX.11SLICE_X0Y20.B6net21.570FSB_A_15_IBUFSLICE_X0Y20.COUTTopcyb0.264Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<5>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1761.5712.747FSBCLK42.857.2CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.084Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.916FSB_A<14>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<14>CLKIN0.414FSB_A<14>OUTt3K2.PADK2.ITiopi1.557FSB_A<14>FSB_A<14>FSB_A_14_IBUFProtoComp10.IMUX.8SLICE_X0Y20.A4net22.435FSB_A_14_IBUFSLICE_X0Y20.COUTTopcya0.474Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.3292.4384.767FSBCLK48.951.1CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_14 (SLICE_X1Y20.CX), 1 path -3.418FSB_A<14>AR_14-3.900CLKINAR<15>12.000FSB_A<14>CLKIN0.414FSB_A<14>AR_141K2.PADK2.ITiopi1.557FSB_A<14>FSB_A<14>FSB_A_14_IBUFProtoComp10.IMUX.8SLICE_X1Y20.CXnet22.597FSB_A_14_IBUFSLICE_X1Y20.CLKTdick0.114AR<15>AR_141.6712.5974.268FSBCLK39.260.8CLKINAR_144J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.859FSBCLK-6.3002.400-3.900Hold Paths: COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_14 (SLICE_X1Y20.CX), 1 path -3.242FSB_A<14>AR_14-1.694CLKINAR<15>0.000FSB_A<14>CLKIN0.414FSB_A<14>AR_141K2.PADK2.ITiopi0.763FSB_A<14>FSB_A<14>FSB_A_14_IBUFProtoComp10.IMUX.8SLICE_X1Y20.CXnet21.140FSB_A_14_IBUFSLICE_X1Y20.CLKTckdi0.059AR<15>AR_140.8221.1401.962FSBCLK41.958.1CLKINAR_144J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.801FSBCLK-3.1991.505-1.694Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.489FSB_A<14>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<14>CLKIN0.414FSB_A<14>OUTt3K2.PADK2.ITiopi0.763FSB_A<14>FSB_A<14>FSB_A_14_IBUFProtoComp10.IMUX.8SLICE_X0Y20.A4net21.021FSB_A_14_IBUFSLICE_X0Y20.COUTTopcya0.272Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1841.0222.206FSBCLK53.746.3CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.011Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.989FSB_A<13>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<13>CLKIN0.414FSB_A<13>OUTt3N3.PADN3.ITiopi1.557FSB_A<13>FSB_A<13>FSB_A_13_IBUFProtoComp10.IMUX.6SLICE_X0Y20.A3net23.362FSB_A_13_IBUFSLICE_X0Y20.COUTTopcya0.474Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.3293.3655.694FSBCLK40.959.1CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_13 (SLICE_X1Y20.BX), 1 path -2.551FSB_A<13>AR_13-3.900CLKINAR<15>12.000FSB_A<13>CLKIN0.414FSB_A<13>AR_131N3.PADN3.ITiopi1.557FSB_A<13>FSB_A<13>FSB_A_13_IBUFProtoComp10.IMUX.6SLICE_X1Y20.BXnet23.464FSB_A_13_IBUFSLICE_X1Y20.CLKTdick0.114AR<15>AR_131.6713.4645.135FSBCLK32.567.5CLKINAR_134J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.859FSBCLK-6.3002.400-3.900Hold Paths: COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_13 (SLICE_X1Y20.BX), 1 path -3.709FSB_A<13>AR_13-1.694CLKINAR<15>0.000FSB_A<13>CLKIN0.414FSB_A<13>AR_131N3.PADN3.ITiopi0.763FSB_A<13>FSB_A<13>FSB_A_13_IBUFProtoComp10.IMUX.6SLICE_X1Y20.BXnet21.607FSB_A_13_IBUFSLICE_X1Y20.CLKTckdi0.059AR<15>AR_130.8221.6072.429FSBCLK33.866.2CLKINAR_134J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.801FSBCLK-3.1991.505-1.694Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.009FSB_A<13>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<13>CLKIN0.414FSB_A<13>OUTt3N3.PADN3.ITiopi0.763FSB_A<13>FSB_A<13>FSB_A_13_IBUFProtoComp10.IMUX.6SLICE_X0Y20.A3net21.541FSB_A_13_IBUFSLICE_X0Y20.COUTTopcya0.272Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1841.5422.726FSBCLK43.456.6CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.821Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.179FSB_A<12>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<12>CLKIN0.414FSB_A<12>OUTt3P2.PADP2.ITiopi1.557FSB_A<12>FSB_A<12>FSB_A_12_IBUFProtoComp10.IMUX.3SLICE_X0Y20.A6net23.172FSB_A_12_IBUFSLICE_X0Y20.COUTTopcya0.474Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.3293.1755.504FSBCLK42.357.7CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_12 (SLICE_X1Y20.AX), 1 path -2.724FSB_A<12>AR_12-3.900CLKINAR<15>12.000FSB_A<12>CLKIN0.414FSB_A<12>AR_121P2.PADP2.ITiopi1.557FSB_A<12>FSB_A<12>FSB_A_12_IBUFProtoComp10.IMUX.3SLICE_X1Y20.AXnet23.291FSB_A_12_IBUFSLICE_X1Y20.CLKTdick0.114AR<15>AR_121.6713.2914.962FSBCLK33.766.3CLKINAR_124J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.859FSBCLK-6.3002.400-3.900Hold Paths: COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_12 (SLICE_X1Y20.AX), 1 path -3.605FSB_A<12>AR_12-1.694CLKINAR<15>0.000FSB_A<12>CLKIN0.414FSB_A<12>AR_121P2.PADP2.ITiopi0.763FSB_A<12>FSB_A<12>FSB_A_12_IBUFProtoComp10.IMUX.3SLICE_X1Y20.AXnet21.503FSB_A_12_IBUFSLICE_X1Y20.CLKTckdi0.059AR<15>AR_120.8221.5032.325FSBCLK35.464.6CLKINAR_124J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X1Y20.CLKnet170.801FSBCLK-3.1991.505-1.694Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.895FSB_A<12>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<12>CLKIN0.414FSB_A<12>OUTt3P2.PADP2.ITiopi0.763FSB_A<12>FSB_A<12>FSB_A_12_IBUFProtoComp10.IMUX.3SLICE_X0Y20.A6net21.427FSB_A_12_IBUFSLICE_X0Y20.COUTTopcya0.272Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<4>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1841.4282.612FSBCLK45.354.7CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.522Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.478FSB_A<11>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<11>CLKIN0.414FSB_A<11>OUTt4L1.PADL1.ITiopi1.557FSB_A<11>FSB_A<11>FSB_A_11_IBUFProtoComp10.IMUX.2SLICE_X0Y19.D3net22.939FSB_A_11_IBUFSLICE_X0Y19.COUTTopcyd0.312Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2602.9455.205FSBCLK43.456.6CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_11 (SLICE_X0Y17.DX), 1 path -3.494FSB_A<11>AR_11-3.895CLKINAR<11>12.000FSB_A<11>CLKIN0.414FSB_A<11>AR_111L1.PADL1.ITiopi1.557FSB_A<11>FSB_A<11>FSB_A_11_IBUFProtoComp10.IMUX.2SLICE_X0Y17.DXnet22.555FSB_A_11_IBUFSLICE_X0Y17.CLKTdick0.085AR<11>AR_111.6422.5554.197FSBCLK39.160.9CLKINAR_114J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_11 (SLICE_X0Y17.DX), 1 path -3.200FSB_A<11>AR_11-1.689CLKINAR<11>0.000FSB_A<11>CLKIN0.414FSB_A<11>AR_111L1.PADL1.ITiopi0.763FSB_A<11>FSB_A<11>FSB_A_11_IBUFProtoComp10.IMUX.2SLICE_X0Y17.DXnet21.121FSB_A_11_IBUFSLICE_X0Y17.CLKTckdi0.041AR<11>AR_110.8041.1211.925FSBCLK41.858.2CLKINAR_114J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.798FSB_A<11>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<11>CLKIN0.414FSB_A<11>OUTt4L1.PADL1.ITiopi0.763FSB_A<11>FSB_A<11>FSB_A_11_IBUFProtoComp10.IMUX.2SLICE_X0Y19.D3net21.382FSB_A_11_IBUFSLICE_X0Y19.COUTTopcyd0.187Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1311.3842.515FSBCLK45.055.0CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.562Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.438FSB_A<10>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<10>CLKIN0.414FSB_A<10>OUTt4N1.PADN1.ITiopi1.557FSB_A<10>FSB_A<10>FSB_A_10_IBUFProtoComp10.IMUXSLICE_X0Y19.D4net22.979FSB_A_10_IBUFSLICE_X0Y19.COUTTopcyd0.312Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2602.9855.245FSBCLK43.156.9CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_10 (SLICE_X0Y17.CX), 1 path -3.113FSB_A<10>AR_10-3.895CLKINAR<11>12.000FSB_A<10>CLKIN0.414FSB_A<10>AR_101N1.PADN1.ITiopi1.557FSB_A<10>FSB_A<10>FSB_A_10_IBUFProtoComp10.IMUXSLICE_X0Y17.CXnet22.936FSB_A_10_IBUFSLICE_X0Y17.CLKTdick0.085AR<11>AR_101.6422.9364.578FSBCLK35.964.1CLKINAR_104J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_10 (SLICE_X0Y17.CX), 1 path -3.463FSB_A<10>AR_10-1.689CLKINAR<11>0.000FSB_A<10>CLKIN0.414FSB_A<10>AR_101N1.PADN1.ITiopi0.763FSB_A<10>FSB_A<10>FSB_A_10_IBUFProtoComp10.IMUXSLICE_X0Y17.CXnet21.384FSB_A_10_IBUFSLICE_X0Y17.CLKTckdi0.041AR<11>AR_100.8041.3842.188FSBCLK36.763.3CLKINAR_104J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.800FSB_A<10>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<10>CLKIN0.414FSB_A<10>OUTt4N1.PADN1.ITiopi0.763FSB_A<10>FSB_A<10>FSB_A_10_IBUFProtoComp10.IMUXSLICE_X0Y19.D4net21.384FSB_A_10_IBUFSLICE_X0Y19.COUTTopcyd0.187Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1311.3862.517FSBCLK44.955.1CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.009Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.991FSB_A<9>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<9>CLKIN0.414FSB_A<9>OUTt4R1.PADR1.ITiopi1.557FSB_A<9>FSB_A<9>FSB_A_9_IBUFProtoComp10.IMUX.34SLICE_X0Y19.D6net23.426FSB_A_9_IBUFSLICE_X0Y19.COUTTopcyd0.312Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2603.4325.692FSBCLK39.760.3CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_9 (SLICE_X0Y17.BX), 1 path -2.827FSB_A<9>AR_9-3.895CLKINAR<11>12.000FSB_A<9>CLKIN0.414FSB_A<9>AR_91R1.PADR1.ITiopi1.557FSB_A<9>FSB_A<9>FSB_A_9_IBUFProtoComp10.IMUX.34SLICE_X0Y17.BXnet23.222FSB_A_9_IBUFSLICE_X0Y17.CLKTdick0.085AR<11>AR_91.6423.2224.864FSBCLK33.866.2CLKINAR_94J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_9 (SLICE_X0Y17.BX), 1 path -3.618FSB_A<9>AR_9-1.689CLKINAR<11>0.000FSB_A<9>CLKIN0.414FSB_A<9>AR_91R1.PADR1.ITiopi0.763FSB_A<9>FSB_A<9>FSB_A_9_IBUFProtoComp10.IMUX.34SLICE_X0Y17.BXnet21.539FSB_A_9_IBUFSLICE_X0Y17.CLKTckdi0.041AR<11>AR_90.8041.5392.343FSBCLK34.365.7CLKINAR_94J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.045FSB_A<9>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<9>CLKIN0.414FSB_A<9>OUTt4R1.PADR1.ITiopi0.763FSB_A<9>FSB_A<9>FSB_A_9_IBUFProtoComp10.IMUX.34SLICE_X0Y19.D6net21.629FSB_A_9_IBUFSLICE_X0Y19.COUTTopcyd0.187Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1311.6312.762FSBCLK40.959.1CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.287Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.713FSB_A<8>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<8>CLKIN0.414FSB_A<8>OUTt4M4.PADM4.ITiopi1.557FSB_A<8>FSB_A<8>FSB_A_8_IBUFProtoComp10.IMUX.33SLICE_X0Y19.C6net23.688FSB_A_8_IBUFSLICE_X0Y19.COUTTopcyc0.328Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2763.6945.970FSBCLK38.161.9CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_8 (SLICE_X0Y17.AX), 1 path -2.446FSB_A<8>AR_8-3.895CLKINAR<11>12.000FSB_A<8>CLKIN0.414FSB_A<8>AR_81M4.PADM4.ITiopi1.557FSB_A<8>FSB_A<8>FSB_A_8_IBUFProtoComp10.IMUX.33SLICE_X0Y17.AXnet23.603FSB_A_8_IBUFSLICE_X0Y17.CLKTdick0.085AR<11>AR_81.6423.6035.245FSBCLK31.368.7CLKINAR_84J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_8 (SLICE_X0Y17.AX), 1 path -3.756FSB_A<8>AR_8-1.689CLKINAR<11>0.000FSB_A<8>CLKIN0.414FSB_A<8>AR_81M4.PADM4.ITiopi0.763FSB_A<8>FSB_A<8>FSB_A_8_IBUFProtoComp10.IMUX.33SLICE_X0Y17.AXnet21.677FSB_A_8_IBUFSLICE_X0Y17.CLKTckdi0.041AR<11>AR_80.8041.6772.481FSBCLK32.467.6CLKINAR_84J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y17.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.144FSB_A<8>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<8>CLKIN0.414FSB_A<8>OUTt4M4.PADM4.ITiopi0.763FSB_A<8>FSB_A<8>FSB_A_8_IBUFProtoComp10.IMUX.33SLICE_X0Y19.C6net21.712FSB_A_8_IBUFSLICE_X0Y19.COUTTopcyc0.203Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1471.7142.861FSBCLK40.159.9CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.757Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.243FSB_A<7>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<7>CLKIN0.414FSB_A<7>OUTt4P1.PADP1.ITiopi1.557FSB_A<7>FSB_A<7>FSB_A_7_IBUFProtoComp10.IMUX.32SLICE_X0Y19.C4net23.158FSB_A_7_IBUFSLICE_X0Y19.COUTTopcyc0.328Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2763.1645.440FSBCLK41.858.2CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_7 (SLICE_X0Y18.DX), 1 path -3.106FSB_A<7>AR_7-3.896CLKINAR<7>12.000FSB_A<7>CLKIN0.414FSB_A<7>AR_71P1.PADP1.ITiopi1.557FSB_A<7>FSB_A<7>FSB_A_7_IBUFProtoComp10.IMUX.32SLICE_X0Y18.DXnet22.942FSB_A_7_IBUFSLICE_X0Y18.CLKTdick0.085AR<7>AR_71.6422.9424.584FSBCLK35.864.2CLKINAR_74J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.863FSBCLK-6.3002.404-3.896Hold Paths: COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_7 (SLICE_X0Y18.DX), 1 path -3.464FSB_A<7>AR_7-1.690CLKINAR<7>0.000FSB_A<7>CLKIN0.414FSB_A<7>AR_71P1.PADP1.ITiopi0.763FSB_A<7>FSB_A<7>FSB_A_7_IBUFProtoComp10.IMUX.32SLICE_X0Y18.DXnet21.384FSB_A_7_IBUFSLICE_X0Y18.CLKTckdi0.041AR<7>AR_70.8041.3842.188FSBCLK36.763.3CLKINAR_74J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.805FSBCLK-3.1991.509-1.690Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.935FSB_A<7>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<7>CLKIN0.414FSB_A<7>OUTt4P1.PADP1.ITiopi0.763FSB_A<7>FSB_A<7>FSB_A_7_IBUFProtoComp10.IMUX.32SLICE_X0Y19.C4net21.503FSB_A_7_IBUFSLICE_X0Y19.COUTTopcyc0.203Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1471.5052.652FSBCLK43.356.7CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.919Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.081FSB_A<6>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<6>CLKIN0.414FSB_A<6>OUTt4M3.PADM3.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp10.IMUX.31SLICE_X0Y19.C3net23.320FSB_A_6_IBUFSLICE_X0Y19.COUTTopcyc0.328Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.2763.3265.602FSBCLK40.659.4CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_6 (SLICE_X0Y18.CX), 1 path -2.819FSB_A<6>AR_6-3.896CLKINAR<7>12.000FSB_A<6>CLKIN0.414FSB_A<6>AR_61M3.PADM3.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp10.IMUX.31SLICE_X0Y18.CXnet23.229FSB_A_6_IBUFSLICE_X0Y18.CLKTdick0.085AR<7>AR_61.6423.2294.871FSBCLK33.766.3CLKINAR_64J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.863FSBCLK-6.3002.404-3.896Hold Paths: COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_6 (SLICE_X0Y18.CX), 1 path -3.621FSB_A<6>AR_6-1.690CLKINAR<7>0.000FSB_A<6>CLKIN0.414FSB_A<6>AR_61M3.PADM3.ITiopi0.763FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp10.IMUX.31SLICE_X0Y18.CXnet21.541FSB_A_6_IBUFSLICE_X0Y18.CLKTckdi0.041AR<7>AR_60.8041.5412.345FSBCLK34.365.7CLKINAR_64J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.805FSBCLK-3.1991.509-1.690Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.035FSB_A<6>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<6>CLKIN0.414FSB_A<6>OUTt4M3.PADM3.ITiopi0.763FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp10.IMUX.31SLICE_X0Y19.C3net21.603FSB_A_6_IBUFSLICE_X0Y19.COUTTopcyc0.203Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<2>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.1471.6052.752FSBCLK41.758.3CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.265Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.735FSB_A<5>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<5>CLKIN0.414FSB_A<5>OUTt4K1.PADK1.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp10.IMUX.30SLICE_X0Y19.B2net22.511FSB_A_5_IBUFSLICE_X0Y19.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.4312.5174.948FSBCLK49.150.9CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_5 (SLICE_X0Y18.BX), 1 path -3.569FSB_A<5>AR_5-3.896CLKINAR<7>12.000FSB_A<5>CLKIN0.414FSB_A<5>AR_51K1.PADK1.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp10.IMUX.30SLICE_X0Y18.BXnet22.479FSB_A_5_IBUFSLICE_X0Y18.CLKTdick0.085AR<7>AR_51.6422.4794.121FSBCLK39.860.2CLKINAR_54J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.863FSBCLK-6.3002.404-3.896Hold Paths: COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_5 (SLICE_X0Y18.BX), 1 path -3.204FSB_A<5>AR_5-1.690CLKINAR<7>0.000FSB_A<5>CLKIN0.414FSB_A<5>AR_51K1.PADK1.ITiopi0.763FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp10.IMUX.30SLICE_X0Y18.BXnet21.124FSB_A_5_IBUFSLICE_X0Y18.CLKTckdi0.041AR<7>AR_50.8041.1241.928FSBCLK41.758.3CLKINAR_54J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.805FSBCLK-3.1991.509-1.690Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.631FSB_A<5>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<5>CLKIN0.414FSB_A<5>OUTt4K1.PADK1.ITiopi0.763FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp10.IMUX.30SLICE_X0Y19.B2net21.138FSB_A_5_IBUFSLICE_X0Y19.COUTTopcyb0.264Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2081.1402.348FSBCLK51.448.6CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.766Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.234FSB_A<4>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<4>CLKIN0.414FSB_A<4>OUTt4L3.PADL3.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp10.IMUX.29SLICE_X0Y19.B3net23.012FSB_A_4_IBUFSLICE_X0Y19.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.4313.0185.449FSBCLK44.655.4CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_4 (SLICE_X0Y18.AX), 1 path -3.246FSB_A<4>AR_4-3.896CLKINAR<7>12.000FSB_A<4>CLKIN0.414FSB_A<4>AR_41L3.PADL3.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp10.IMUX.29SLICE_X0Y18.AXnet22.802FSB_A_4_IBUFSLICE_X0Y18.CLKTdick0.085AR<7>AR_41.6422.8024.444FSBCLK36.963.1CLKINAR_44J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.863FSBCLK-6.3002.404-3.896Hold Paths: COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_4 (SLICE_X0Y18.AX), 1 path -3.288FSB_A<4>AR_4-1.690CLKINAR<7>0.000FSB_A<4>CLKIN0.414FSB_A<4>AR_41L3.PADL3.ITiopi0.763FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp10.IMUX.29SLICE_X0Y18.AXnet21.208FSB_A_4_IBUFSLICE_X0Y18.CLKTckdi0.041AR<7>AR_40.8041.2082.012FSBCLK40.060.0CLKINAR_44J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y18.CLKnet170.805FSBCLK-3.1991.509-1.690Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.832FSB_A<4>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<4>CLKIN0.414FSB_A<4>OUTt4L3.PADL3.ITiopi0.763FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp10.IMUX.29SLICE_X0Y19.B3net21.339FSB_A_4_IBUFSLICE_X0Y19.COUTTopcyb0.264Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2081.3412.549FSBCLK47.452.6CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.480Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.520FSB_A<3>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<3>CLKIN0.414FSB_A<3>OUTt4R2.PADR2.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp10.IMUX.28SLICE_X0Y19.B6net23.726FSB_A_3_IBUFSLICE_X0Y19.COUTTopcyb0.483Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.4313.7326.163FSBCLK39.460.6CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_3 (SLICE_X0Y16.DX), 1 path -2.439FSB_A<3>AR_3-3.895CLKINAR<3>12.000FSB_A<3>CLKIN0.414FSB_A<3>AR_31R2.PADR2.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp10.IMUX.28SLICE_X0Y16.DXnet23.610FSB_A_3_IBUFSLICE_X0Y16.CLKTdick0.085AR<3>AR_31.6423.6105.252FSBCLK31.368.7CLKINAR_34J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_3 (SLICE_X0Y16.DX), 1 path -3.772FSB_A<3>AR_3-1.689CLKINAR<3>0.000FSB_A<3>CLKIN0.414FSB_A<3>AR_31R2.PADR2.ITiopi0.763FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp10.IMUX.28SLICE_X0Y16.DXnet21.693FSB_A_3_IBUFSLICE_X0Y16.CLKTckdi0.041AR<3>AR_30.8041.6932.497FSBCLK32.267.8CLKINAR_34J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.244FSB_A<3>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<3>CLKIN0.414FSB_A<3>OUTt4R2.PADR2.ITiopi0.763FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp10.IMUX.28SLICE_X0Y19.B6net21.751FSB_A_3_IBUFSLICE_X0Y19.COUTTopcyb0.264Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<1>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2081.7532.961FSBCLK40.859.2CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.842Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.158FSB_A<2>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<2>CLKIN0.414FSB_A<2>OUTt4M2.PADM2.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp10.IMUX.27SLICE_X0Y19.A3net23.097FSB_A_2_IBUFSLICE_X0Y19.COUTTopcya0.474Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.4223.1035.525FSBCLK43.856.2CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_2 (SLICE_X0Y16.CX), 1 path -3.407FSB_A<2>AR_2-3.895CLKINAR<3>12.000FSB_A<2>CLKIN0.414FSB_A<2>AR_21M2.PADM2.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp10.IMUX.27SLICE_X0Y16.CXnet22.642FSB_A_2_IBUFSLICE_X0Y16.CLKTdick0.085AR<3>AR_21.6422.6424.284FSBCLK38.361.7CLKINAR_24J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_2 (SLICE_X0Y16.CX), 1 path -3.237FSB_A<2>AR_2-1.689CLKINAR<3>0.000FSB_A<2>CLKIN0.414FSB_A<2>AR_21M2.PADM2.ITiopi0.763FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp10.IMUX.27SLICE_X0Y16.CXnet21.158FSB_A_2_IBUFSLICE_X0Y16.CLKTckdi0.041AR<3>AR_20.8041.1581.962FSBCLK41.059.0CLKINAR_24J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.900FSB_A<2>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<2>CLKIN0.414FSB_A<2>OUTt4M2.PADM2.ITiopi0.763FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp10.IMUX.27SLICE_X0Y19.A3net21.399FSB_A_2_IBUFSLICE_X0Y19.COUTTopcya0.272Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2161.4012.617FSBCLK46.553.5CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";20000209.876Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -2.124FSB_A<1>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<1>CLKIN0.414FSB_A<1>OUTt4M1.PADM1.ITiopi1.557FSB_A<1>FSB_A<1>FSB_A_1_IBUFProtoComp10.IMUX.26SLICE_X0Y19.A2net23.131FSB_A_1_IBUFSLICE_X0Y19.COUTTopcya0.474Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.4223.1375.559FSBCLK43.656.4CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_1 (SLICE_X0Y16.BX), 1 path -3.520FSB_A<1>AR_1-3.895CLKINAR<3>12.000FSB_A<1>CLKIN0.414FSB_A<1>AR_11M1.PADM1.ITiopi1.557FSB_A<1>FSB_A<1>FSB_A_1_IBUFProtoComp10.IMUX.26SLICE_X0Y16.BXnet22.529FSB_A_1_IBUFSLICE_X0Y16.CLKTdick0.085AR<3>AR_11.6422.5294.171FSBCLK39.460.6CLKINAR_14J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_1 (SLICE_X0Y16.BX), 1 path -3.242FSB_A<1>AR_1-1.689CLKINAR<3>0.000FSB_A<1>CLKIN0.414FSB_A<1>AR_11M1.PADM1.ITiopi0.763FSB_A<1>FSB_A<1>FSB_A_1_IBUFProtoComp10.IMUX.26SLICE_X0Y16.BXnet21.163FSB_A_1_IBUFSLICE_X0Y16.CLKTckdi0.041AR<3>AR_10.8041.1631.967FSBCLK40.959.1CLKINAR_14J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -3.992FSB_A<1>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<1>CLKIN0.414FSB_A<1>OUTt4M1.PADM1.ITiopi0.763FSB_A<1>FSB_A<1>FSB_A_1_IBUFProtoComp10.IMUX.26SLICE_X0Y19.A2net21.491FSB_A_1_IBUFSLICE_X0Y19.COUTTopcya0.272Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2161.4932.709FSBCLK44.955.1CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";200002010.028Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -1.972FSB_A<0>OUTt-3.903CLKINOUTt_OBUF12.000FSB_A<0>CLKIN0.414FSB_A<0>OUTt4N4.PADN4.ITiopi1.557FSB_A<0>FSB_A<0>FSB_A_0_IBUFProtoComp10.IMUX.25SLICE_X0Y19.A6net23.283FSB_A_0_IBUFSLICE_X0Y19.COUTTopcya0.474Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.093Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.003Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTcinck0.298OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.4223.2895.711FSBCLK42.457.6CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Paths for end point AR_0 (SLICE_X0Y16.AX), 1 path -2.661FSB_A<0>AR_0-3.895CLKINAR<3>12.000FSB_A<0>CLKIN0.414FSB_A<0>AR_01N4.PADN4.ITiopi1.557FSB_A<0>FSB_A<0>FSB_A_0_IBUFProtoComp10.IMUX.25SLICE_X0Y16.AXnet23.388FSB_A_0_IBUFSLICE_X0Y16.CLKTdick0.085AR<3>AR_01.6423.3885.030FSBCLK32.667.4CLKINAR_04J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.864FSBCLK-6.3002.405-3.895Hold Paths: COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point AR_0 (SLICE_X0Y16.AX), 1 path -3.706FSB_A<0>AR_0-1.689CLKINAR<3>0.000FSB_A<0>CLKIN0.414FSB_A<0>AR_01N4.PADN4.ITiopi0.763FSB_A<0>FSB_A<0>FSB_A_0_IBUFProtoComp10.IMUX.25SLICE_X0Y16.AXnet21.627FSB_A_0_IBUFSLICE_X0Y16.CLKTckdi0.041AR<3>AR_00.8041.6272.431FSBCLK33.166.9CLKINAR_04J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y16.CLKnet170.806FSBCLK-3.1991.510-1.689Paths for end point OUTt (SLICE_X0Y21.CIN), 1 path -4.057FSB_A<0>OUTt-1.697CLKINOUTt_OBUF0.000FSB_A<0>CLKIN0.414FSB_A<0>OUTt4N4.PADN4.ITiopi0.763FSB_A<0>FSB_A<0>FSB_A_0_IBUFProtoComp10.IMUX.25SLICE_X0Y19.A6net21.556FSB_A_0_IBUFSLICE_X0Y19.COUTTopcya0.272Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>Mcompar_FSB_A[31]_AR[31]_equal_2_o_lut<0>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<3>SLICE_X0Y20.COUTTbyp0.032Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CINnet10.001Mcompar_FSB_A[31]_AR[31]_equal_2_o_cy<7>SLICE_X0Y21.CLKTckcin0.149OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.2161.5582.774FSBCLK43.856.2CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";10000109.641Paths for end point OUTt (SLICE_X0Y21.D4), 1 path -2.359CPU_nASOUTt-3.903CLKINOUTt_OBUF12.000CPU_nASCLKIN0.414CPU_nASOUTt2H3.PADH3.ITiopi1.557CPU_nASCPU_nASCPU_nAS_IBUFProtoComp10.IMUX.20SLICE_X0Y21.D4net13.250CPU_nAS_IBUFSLICE_X0Y21.CLKTas0.517OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_lutCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.0743.2505.324FSBCLK39.061.0CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Hold Paths: COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point OUTt (SLICE_X0Y21.D4), 1 path -3.896CPU_nASOUTt-1.697CLKINOUTt_OBUF0.000CPU_nASCLKIN0.414CPU_nASOUTt2H3.PADH3.ITiopi0.763CPU_nASCPU_nASCPU_nAS_IBUFProtoComp10.IMUX.20SLICE_X0Y21.D4net11.546CPU_nAS_IBUFSLICE_X0Y21.CLKTah0.304OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_lutCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.0671.5462.613FSBCLK40.859.2CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";100001010.332Paths for end point OUTt (SLICE_X0Y21.D5), 1 path -1.668INtOUTt-3.903CLKINOUTt_OBUF12.000INtCLKIN0.414INtOUTt2C2.PADC2.ITiopi1.557INtINtINt_IBUFProtoComp10.IMUX.35SLICE_X0Y21.D5net13.941INt_IBUFSLICE_X0Y21.CLKTas0.517OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_lutCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt2.0743.9416.015FSBCLK34.565.5CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.368CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.578CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.197CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.856FSBCLK-6.3002.397-3.903Hold Paths: COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -Paths for end point OUTt (SLICE_X0Y21.D5), 1 path -4.292INtOUTt-1.697CLKINOUTt_OBUF0.000INtCLKIN0.414INtOUTt2C2.PADC2.ITiopi0.763INtINtINt_IBUFProtoComp10.IMUX.35SLICE_X0Y21.D5net11.942INt_IBUFSLICE_X0Y21.CLKTah0.304OUTt_OBUFCPU_nAS_FSB_A[31]_AND_3_o1_lutCPU_nAS_FSB_A[31]_AND_3_o1_cyOUTt1.0671.9423.009FSBCLK35.564.5CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.230CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.759CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.063CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.798FSBCLK-3.1991.502-1.697COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN";10000102.040Paths for end point OUTt (C3.PAD), 1 path -2.960OUTtOUTt-4.173CLKINOUTt_OBUF5.799OUTt_OBUFOUTt5.000CLKINOUTt0.414CLKINOUTt4J4.PADJ4.ITiopi1.037CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.643CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.190SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.842CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-9.039CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.505CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.209CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet171.440FSBCLK-7.6033.430-4.173OUTtOUTt1SLICE_X0Y21.CLKFSBCLKSLICE_X0Y21.DQTcko0.525OUTt_OBUFOUTtC3.Onet13.292OUTt_OBUFC3.PADTioop1.982OUTtOUTt_OBUFOUTt2.5073.2925.79943.256.8Fastest Paths: COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN"; -Paths for end point OUTt (C3.PAD), 1 path -0.500OUTtOUTt-1.606CLKINOUTt_OBUF2.520OUTt_OBUFOUTt5.000CLKINOUTt0.414CLKINOUTt4J4.PADJ4.ITiopi0.321CLKINCLKINCLKGEN_inst/instance_name/clkin1_bufProtoComp10.IMUX.36BUFIO2_X0Y23.Inet10.220CLKGEN_inst/instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.263CLKGEN_inst/instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.246CLKGEN_inst/instance_name/pll_base_inst/PLL_ADVCLKGEN_inst/instance_name/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.158CLKGEN_inst/instance_name/clkout0BUFGMUX_X2Y3.OTgi0o0.059CLKGEN_inst/instance_name/clkout1_bufCLKGEN_inst/instance_name/clkout1_bufSLICE_X0Y21.CLKnet170.497FSBCLK-2.7441.138-1.606OUTtOUTt1SLICE_X0Y21.CLKFSBCLKSLICE_X0Y21.DQTcko0.234OUTt_OBUFOUTtC3.Onet11.587OUTt_OBUFC3.PADTioop0.699OUTtOUTt_OBUFOUTt0.9331.5872.52037.063.00CLKINCPU_nAS9.641-3.896FSB_A<0>10.028-3.706FSB_A<1>9.876-3.242FSB_A<2>9.842-3.237FSB_A<3>10.480-3.772FSB_A<4>9.766-3.288FSB_A<5>9.265-3.204FSB_A<6>9.919-3.621FSB_A<7>9.757-3.464FSB_A<8>10.287-3.756FSB_A<9>10.009-3.618FSB_A<10>9.562-3.463FSB_A<11>9.522-3.200FSB_A<12>9.821-3.605FSB_A<13>10.011-3.709FSB_A<14>9.084-3.242FSB_A<15>10.096-3.834FSB_A<16>9.565-3.493FSB_A<17>9.261-3.474FSB_A<18>9.868-3.829FSB_A<19>9.995-3.722FSB_A<20>10.064-3.578FSB_A<21>10.275-3.718FSB_A<22>9.907-3.637FSB_A<23>9.737-3.472FSB_A<24>10.026-3.679FSB_A<25>9.883-3.244FSB_A<26>9.657-3.292FSB_A<27>10.334-3.698FSB_A<28>10.261-3.510FSB_A<29>9.864-3.448FSB_A<30>9.847-3.725FSB_A<31>10.247-3.707INt10.332-4.292CLKINCLKINCLKIN2.700COMP "FSB_A<31>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<31>10.247-3.707COMP "FSB_A<30>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<30>9.847-3.725COMP "FSB_A<29>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<29>9.864-3.448COMP "FSB_A<28>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<28>10.261-3.510COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<27>10.334-3.698COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<26>9.657-3.292COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<25>9.883-3.244COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<24>10.026-3.679COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<23>9.737-3.472COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<22>9.907-3.637COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<21>10.275-3.718COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<20>10.064-3.578COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<19>9.995-3.722COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<18>9.868-3.829COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<17>9.261-3.474COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<16>9.565-3.493COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<15>10.096-3.834COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<14>9.084-3.242COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<13>10.011-3.709COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<12>9.821-3.605COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<11>9.522-3.200COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<10>9.562-3.463COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<9>10.009-3.618COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<8>10.287-3.756COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<7>9.757-3.464COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<6>9.919-3.621COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<5>9.265-3.204COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<4>9.766-3.288COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<3>10.480-3.772COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<2>9.842-3.237COMP "FSB_A<1>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<1>9.876-3.242COMP "FSB_A<0>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<0>10.028-3.706COMP "CPU_nAS" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";CPU_nAS9.641-3.896COMP "INt" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";INt10.332-4.292COMP "OUTt" OFFSET = OUT 5 ns AFTER COMP "CLKIN";0000102012210.000100.00010.4802.040Fri Oct 29 17:59:57 2021 TraceTrace Settings +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +4.005FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.296FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E13.PADE13.ITiopi0.763FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22RAMB8_X1Y31.ADDRBRDADDR7net131.189FSB_A_4_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6971.1891.886FSBCLK37.063.0CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.230cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.911cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.178cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet170.824FSBCLK-3.3511.528-1.823Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR6), 1 path +4.007FSB_A<3>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.296FSB_A<3>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E11.PADE11.ITiopi0.763FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21RAMB8_X1Y31.ADDRBRDADDR6net131.191FSB_A_3_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6971.1911.888FSBCLK36.963.1CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.230cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.911cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.178cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet170.824FSBCLK-3.3511.528-1.823Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR5), 1 path +4.008FSB_A<2>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.296FSB_A<2>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1B14.PADB14.ITiopi0.763FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20RAMB8_X1Y31.ADDRBRDADDR5net131.192FSB_A_2_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6971.1921.889FSBCLK36.963.1CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.230cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.911cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.178cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet170.824FSBCLK-3.3511.528-1.823Unconstrained OFFSET OUT AFTER analysis for clock "FSBCLK" 3600003605.139Paths for end point FSB_D<2> (C5.PAD), 1 path +5.139l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramFSB_D<2>0.296CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi1.037CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.643cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.190SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.842cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-9.178cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.209cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.466FSBCLK-7.7423.456-4.286l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramFSB_D<2>1RAMB8_X1Y31.CLKBRDCLKFSBCLKRAMB8_X1Y31.DOADO2Trcko_DOA2.100l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramC5.Onet14.047FSB_D_2_OBUFC5.PADTioop2.982FSB_D<2>FSB_D_2_OBUFFSB_D<2>5.0824.0479.12955.744.3Paths for end point FSB_D<4> (A6.PAD), 1 path +5.039l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramFSB_D<4>0.296CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi1.037CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.643cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.190SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.842cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-9.178cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.209cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.466FSBCLK-7.7423.456-4.286l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramFSB_D<4>1RAMB8_X1Y31.CLKBRDCLKFSBCLKRAMB8_X1Y31.DOADO4Trcko_DOA2.100l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramA6.Onet13.947FSB_D_4_OBUFA6.PADTioop2.982FSB_D<4>FSB_D_4_OBUFFSB_D<4>5.0823.9479.02956.343.7Paths for end point FSB_D<0> (A5.PAD), 1 path +4.996l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramFSB_D<0>0.296CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi1.037CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.643cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.190SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.842cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-9.178cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.209cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.466FSBCLK-7.7423.456-4.286l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramFSB_D<0>1RAMB8_X1Y31.CLKBRDCLKFSBCLKRAMB8_X1Y31.DOADO0Trcko_DOA2.100l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ramA5.Onet13.904FSB_D_0_OBUFA5.PADTioop2.982FSB_D<0>FSB_D_0_OBUFFSB_D<0>5.0823.9048.98656.643.4Fastest Paths: Unconstrained OFFSET OUT AFTER analysis for clock "FSBCLK" -Peak Memory Usage: 170 MB +Paths for end point RAMCLK0 (A14.PAD), 1 path +-0.475cg/RAMCLK0_instRAMCLK00.296CLKINcg/RAMCLK0_inst4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufOLOGIC_X11Y62.CLK0net171.386FSBCLK-6.4372.927-3.510cg/RAMCLK0_instRAMCLK01OLOGIC_X11Y62.CLK0FSBCLKOLOGIC_X11Y62.OQTockq1.090RAMCLK0_OBUFcg/RAMCLK0_instA14.Onet10.375RAMCLK0_OBUFA14.PADTioop1.866RAMCLK0RAMCLK0_OBUFRAMCLK02.9560.3753.33188.711.3Paths for end point RAMCLK1 (C4.PAD), 1 path +-0.436cg/RAMCLK1_instRAMCLK10.296CLKINcg/RAMCLK1_inst4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufOLOGIC_X1Y63.CLK0net171.425FSBCLK-6.4372.966-3.471cg/RAMCLK1_instRAMCLK11OLOGIC_X1Y63.CLK0FSBCLKOLOGIC_X1Y63.OQTockq1.090RAMCLK1_OBUFcg/RAMCLK1_instC4.Onet10.375RAMCLK1_OBUFC4.PADTioop1.866RAMCLK1RAMCLK1_OBUFRAMCLK12.9560.3753.33188.711.3Paths for end point FPUCLK (D11.PAD), 1 path +-0.424cg/FPUCLK_instFPUCLK0.296CLKINcg/FPUCLK_inst4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufOLOGIC_X11Y61.CLK0net171.386FSBCLK-6.4372.927-3.510cg/FPUCLK_instFPUCLK1OLOGIC_X11Y61.CLK0FSBCLKOLOGIC_X11Y61.OQTockq1.090FPUCLK_OBUFcg/FPUCLK_instD11.Onet10.426FPUCLK_OBUFD11.PADTioop1.866FPUCLKFPUCLK_OBUFFPUCLK2.9560.4263.38287.412.6Unconstrained OFFSET OUT AFTER analysis for clock "cg/pll/clkfb_bufg_out" 200001015.120Paths for end point CLKFB_OUT (A4.PAD), 2 paths +15.120cg/pll/clkfbout_oddrCLKFB_OUT0.257CLKINcg/pll/clkfbout_oddr4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.230cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT-3.911cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178cg/pll/clkfboutBUFGMUX_X2Y3.OTgi0o0.063cg/pll/clkfbout_bufgcg/pll/clkfbout_bufgOLOGIC_X1Y62.CLK1net21.044cg/pll/clkfb_bufg_out-3.3511.748-1.603cg/pll/clkfbout_oddrCLKFB_OUT1OLOGIC_X1Y62.CLK1cg/pll/clkfb_bufg_outOLOGIC_X1Y62.OQTockq0.451CLKFB_OUT_OBUFcg/pll/clkfbout_oddrA4.Onet10.273CLKFB_OUT_OBUFA4.PADTioop0.742CLKFB_OUTCLKFB_OUT_OBUFCLKFB_OUT1.1930.2731.46681.418.60.189cg/pll/clkfbout_oddrCLKFB_OUT0.257CLKINcg/pll/clkfbout_oddr4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.230cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT-3.911cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178cg/pll/clkfboutBUFGMUX_X2Y3.OTgi0o0.063cg/pll/clkfbout_bufgcg/pll/clkfbout_bufgOLOGIC_X1Y62.CLK0net21.119cg/pll/clkfb_bufg_out-3.3511.823-1.528cg/pll/clkfbout_oddrCLKFB_OUT1OLOGIC_X1Y62.CLK0cg/pll/clkfb_bufg_outOLOGIC_X1Y62.OQTockq0.445CLKFB_OUT_OBUFcg/pll/clkfbout_oddrA4.Onet10.273CLKFB_OUT_OBUFA4.PADTioop0.742CLKFB_OUTCLKFB_OUT_OBUFCLKFB_OUT1.1870.2731.46081.318.7Fastest Paths: Unconstrained OFFSET OUT AFTER analysis for clock "cg/pll/clkfb_bufg_out" + +Paths for end point CLKFB_OUT (A4.PAD), 2 paths +-0.348cg/pll/clkfbout_oddrCLKFB_OUT0.257CLKINcg/pll/clkfbout_oddr4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440cg/pll/clkfboutBUFGMUX_X2Y3.OTgi0o0.197cg/pll/clkfbout_bufgcg/pll/clkfbout_bufgOLOGIC_X1Y62.CLK0net21.474cg/pll/clkfb_bufg_out-6.4373.015-3.422cg/pll/clkfbout_oddrCLKFB_OUT1OLOGIC_X1Y62.CLK0cg/pll/clkfb_bufg_outOLOGIC_X1Y62.OQTockq1.090CLKFB_OUT_OBUFcg/pll/clkfbout_oddrA4.Onet10.375CLKFB_OUT_OBUFA4.PADTioop1.866CLKFB_OUTCLKFB_OUT_OBUFCLKFB_OUT2.9560.3753.33188.711.314.592cg/pll/clkfbout_oddrCLKFB_OUT0.257CLKINcg/pll/clkfbout_oddr4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.440cg/pll/clkfboutBUFGMUX_X2Y3.OTgi0o0.197cg/pll/clkfbout_bufgcg/pll/clkfbout_bufgOLOGIC_X1Y62.CLK1net21.414cg/pll/clkfb_bufg_out-6.4372.955-3.482cg/pll/clkfbout_oddrCLKFB_OUT1OLOGIC_X1Y62.CLK1cg/pll/clkfb_bufg_outOLOGIC_X1Y62.OQTockq1.090CLKFB_OUT_OBUFcg/pll/clkfbout_oddrA4.Onet10.375CLKFB_OUT_OBUFA4.PADTioop1.866CLKFB_OUTCLKFB_OUT_OBUFCLKFB_OUT2.9560.3753.33188.711.3Unconstrained path analysis 188000058012.945Paths for end point CPU_nSTERM (D12.PAD), 131 paths +12.945FSB_A<4>CPU_nSTERM12.945FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X16Y51.A3net134.264FSB_A_4_IBUFSLICE_X16Y51.AMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPSLICE_X22Y51.A1net11.261l2pre/n0023<14>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5138.43212.94534.965.112.836FSB_A<4>CPU_nSTERM12.836FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X16Y51.A3net134.264FSB_A_4_IBUFSLICE_X16Y51.ATilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPSLICE_X22Y51.B2net11.248l2pre/n0023<16>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4178.41912.83634.465.612.820FSB_A<2>CPU_nSTERM12.820FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X16Y51.A1net134.139FSB_A_2_IBUFSLICE_X16Y51.AMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPSLICE_X22Y51.A1net11.261l2pre/n0023<14>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5138.30712.82035.264.812.811FSB_A<2>CPU_nSTERM12.811FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X16Y51.B1net134.312FSB_A_2_IBUFSLICE_X16Y51.BMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DPSLICE_X22Y51.A3net11.079l2pre/n0023<12>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5138.29812.81135.264.812.711FSB_A<2>CPU_nSTERM12.711FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X16Y51.A1net134.139FSB_A_2_IBUFSLICE_X16Y51.ATilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPSLICE_X22Y51.B2net11.248l2pre/n0023<16>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4178.29412.71134.765.312.708FSB_A<3>CPU_nSTERM12.708FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X16Y51.A2net134.027FSB_A_3_IBUFSLICE_X16Y51.AMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPSLICE_X22Y51.A1net11.261l2pre/n0023<14>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5138.19512.70835.564.512.691FSB_A<2>CPU_nSTERM12.691FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X16Y51.B1net134.312FSB_A_2_IBUFSLICE_X16Y51.BTilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPSLICE_X22Y50.D1net11.119l2pre/n0023<11>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3508.34112.69134.365.712.659FSB_A<4>CPU_nSTERM12.659FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X16Y51.B3net134.160FSB_A_4_IBUFSLICE_X16Y51.BMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DPSLICE_X22Y51.A3net11.079l2pre/n0023<12>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5138.14612.65935.764.312.599FSB_A<3>CPU_nSTERM12.599FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X16Y51.A2net134.027FSB_A_3_IBUFSLICE_X16Y51.ATilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPSLICE_X22Y51.B2net11.248l2pre/n0023<16>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4178.18212.59935.164.912.539FSB_A<4>CPU_nSTERM12.539FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X16Y51.B3net134.160FSB_A_4_IBUFSLICE_X16Y51.BTilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPSLICE_X22Y50.D1net11.119l2pre/n0023<11>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3508.18912.53934.765.312.537FSB_A<3>CPU_nSTERM12.537FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X16Y51.B2net134.038FSB_A_3_IBUFSLICE_X16Y51.BMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DPSLICE_X22Y51.A3net11.079l2pre/n0023<12>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5138.02412.53736.064.012.517FSB_A<2>CPU_nSTERM12.517FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y50.B1net134.008FSB_A_2_IBUFSLICE_X20Y50.BMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPSLICE_X22Y50.B1net11.019l2pre/n0023<4>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.93712.51736.663.412.435FSB_A<5>CPU_nSTERM12.435FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X16Y51.A4net133.754FSB_A_5_IBUFSLICE_X16Y51.AMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPSLICE_X22Y51.A1net11.261l2pre/n0023<14>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5137.92212.43536.363.712.417FSB_A<3>CPU_nSTERM12.417FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X16Y51.B2net134.038FSB_A_3_IBUFSLICE_X16Y51.BTilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPSLICE_X22Y50.D1net11.119l2pre/n0023<11>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3508.06712.41735.065.012.398FSB_A<4>CPU_nSTERM12.398FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y50.B3net133.889FSB_A_4_IBUFSLICE_X20Y50.BMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPSLICE_X22Y50.B1net11.019l2pre/n0023<4>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.81812.39836.963.112.356FSB_A<6>CPU_nSTERM12.356FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X16Y51.A5net133.675FSB_A_6_IBUFSLICE_X16Y51.AMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPSLICE_X22Y51.A1net11.261l2pre/n0023<14>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5137.84312.35636.563.512.345FSB_A<4>CPU_nSTERM12.345FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y49.A3net134.019FSB_A_4_IBUFSLICE_X20Y49.ATilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DPSLICE_X22Y50.A2net10.884l2pre/n0023<1>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5327.81312.34536.763.312.326FSB_A<5>CPU_nSTERM12.326FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X16Y51.A4net133.754FSB_A_5_IBUFSLICE_X16Y51.ATilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPSLICE_X22Y51.B2net11.248l2pre/n0023<16>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4177.90912.32635.864.212.323FSB_A<6>CPU_nSTERM12.323FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X16Y51.B5net133.824FSB_A_6_IBUFSLICE_X16Y51.BMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DPSLICE_X22Y51.A3net11.079l2pre/n0023<12>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5137.81012.32336.663.412.274FSB_A<5>CPU_nSTERM12.274FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X16Y51.B4net133.775FSB_A_5_IBUFSLICE_X16Y51.BMUXTilo0.326l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DPSLICE_X22Y51.A3net11.079l2pre/n0023<12>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5137.76112.27436.863.212.247FSB_A<6>CPU_nSTERM12.247FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X16Y51.A5net133.675FSB_A_6_IBUFSLICE_X16Y51.ATilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPSLICE_X22Y51.B2net11.248l2pre/n0023<16>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4177.83012.24736.163.912.205FSB_A<2>CPU_nSTERM12.205FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y49.A1net133.879FSB_A_2_IBUFSLICE_X20Y49.ATilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DPSLICE_X22Y50.A2net10.884l2pre/n0023<1>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5327.67312.20537.162.912.203FSB_A<6>CPU_nSTERM12.203FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X16Y51.B5net133.824FSB_A_6_IBUFSLICE_X16Y51.BTilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPSLICE_X22Y50.D1net11.119l2pre/n0023<11>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3507.85312.20335.664.412.173FSB_A<2>CPU_nSTERM12.173FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y50.B1net134.008FSB_A_2_IBUFSLICE_X20Y50.BTilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DPSLICE_X22Y50.B2net10.747l2pre/n0023<3>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5087.66512.17337.063.012.154FSB_A<5>CPU_nSTERM12.154FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X16Y51.B4net133.775FSB_A_5_IBUFSLICE_X16Y51.BTilo0.254l2pre/n0023<11>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPSLICE_X22Y50.D1net11.119l2pre/n0023<11>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3507.80412.15435.864.212.141FSB_A<4>CPU_nSTERM12.141FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y49.B3net134.080FSB_A_4_IBUFSLICE_X20Y49.BMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DPSLICE_X22Y50.A4net10.547l2pre/n0023<0>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.6047.53712.14137.962.112.113FSB_A<2>CPU_nSTERM12.113FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y49.B1net134.052FSB_A_2_IBUFSLICE_X20Y49.BMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DPSLICE_X22Y50.A4net10.547l2pre/n0023<0>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.6047.50912.11338.062.012.072FSB_A<4>CPU_nSTERM12.072FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y49.A3net134.019FSB_A_4_IBUFSLICE_X20Y49.AMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DPSLICE_X22Y50.B4net10.563l2pre/n0023<5>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.49212.07237.962.112.054FSB_A<4>CPU_nSTERM12.054FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y50.B3net133.889FSB_A_4_IBUFSLICE_X20Y50.BTilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DPSLICE_X22Y50.B2net10.747l2pre/n0023<3>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5087.54612.05437.462.612.014FSB_A<5>CPU_nSTERM12.014FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y49.A4net133.688FSB_A_5_IBUFSLICE_X20Y49.ATilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DPSLICE_X22Y50.A2net10.884l2pre/n0023<1>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5327.48212.01437.762.312.007FSB_A<5>CPU_nSTERM12.007FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y50.B4net133.498FSB_A_5_IBUFSLICE_X20Y50.BMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPSLICE_X22Y50.B1net11.019l2pre/n0023<4>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.42712.00738.161.911.943FSB_A<6>CPU_nSTERM11.943FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y49.A5net133.617FSB_A_6_IBUFSLICE_X20Y49.ATilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DPSLICE_X22Y50.A2net10.884l2pre/n0023<1>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5327.41111.94337.962.111.932FSB_A<2>CPU_nSTERM11.932FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y49.A1net133.879FSB_A_2_IBUFSLICE_X20Y49.AMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DPSLICE_X22Y50.B4net10.563l2pre/n0023<5>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.35211.93238.461.611.927FSB_A<3>CPU_nSTERM11.927FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y49.A2net133.601FSB_A_3_IBUFSLICE_X20Y49.ATilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DPSLICE_X22Y50.A2net10.884l2pre/n0023<1>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5327.39511.92738.062.011.926FSB_A<2>CPU_nSTERM11.926FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y50.A1net133.835FSB_A_2_IBUFSLICE_X20Y50.AMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DPSLICE_X22Y50.C2net10.724l2pre/n0023<6>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4577.46911.92637.462.611.919FSB_A<4>CPU_nSTERM11.919FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y50.A3net133.828FSB_A_4_IBUFSLICE_X20Y50.AMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DPSLICE_X22Y50.C2net10.724l2pre/n0023<6>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4577.46211.91937.462.611.901FSB_A<3>CPU_nSTERM11.901FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y50.B2net133.392FSB_A_3_IBUFSLICE_X20Y50.BMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPSLICE_X22Y50.B1net11.019l2pre/n0023<4>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.32111.90138.561.511.900FSB_A<6>CPU_nSTERM11.900FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y50.B5net133.391FSB_A_6_IBUFSLICE_X20Y50.BMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPSLICE_X22Y50.B1net11.019l2pre/n0023<4>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.32011.90038.561.511.900FSB_A<4>CPU_nSTERM11.900FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y49.B3net134.080FSB_A_4_IBUFSLICE_X20Y49.BTilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPSLICE_X22Y50.A6net10.378l2pre/n0023<2>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5327.36811.90038.161.911.872FSB_A<2>CPU_nSTERM11.872FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y49.B1net134.052FSB_A_2_IBUFSLICE_X20Y49.BTilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPSLICE_X22Y50.A6net10.378l2pre/n0023<2>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5327.34011.87238.261.811.749FSB_A<5>CPU_nSTERM11.749FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y49.B4net133.688FSB_A_5_IBUFSLICE_X20Y49.BMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DPSLICE_X22Y50.A4net10.547l2pre/n0023<0>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.6047.14511.74939.260.811.741FSB_A<5>CPU_nSTERM11.741FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y49.A4net133.688FSB_A_5_IBUFSLICE_X20Y49.AMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DPSLICE_X22Y50.B4net10.563l2pre/n0023<5>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.16111.74139.061.011.720FSB_A<2>CPU_nSTERM11.720FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y52.A1net133.666FSB_A_2_IBUFSLICE_X20Y52.ATilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DPSLICE_X22Y50.D3net10.794l2pre/n0023<10>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3507.37011.72037.162.911.705FSB_A<2>CPU_nSTERM11.705FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y50.A1net133.835FSB_A_2_IBUFSLICE_X20Y50.ATilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DPSLICE_X22Y50.C4net10.575l2pre/n0023<8>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3857.32011.70537.562.511.698FSB_A<4>CPU_nSTERM11.698FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y50.A3net133.828FSB_A_4_IBUFSLICE_X20Y50.ATilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DPSLICE_X22Y50.C4net10.575l2pre/n0023<8>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3857.31311.69837.562.511.696FSB_A<2>CPU_nSTERM11.696FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y51.A1net133.660FSB_A_2_IBUFSLICE_X20Y51.ATilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DPSLICE_X22Y51.B4net10.712l2pre/n0023<17>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4177.27911.69637.862.211.673FSB_A<3>CPU_nSTERM11.673FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y49.B2net133.612FSB_A_3_IBUFSLICE_X20Y49.BMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DPSLICE_X22Y50.A4net10.547l2pre/n0023<0>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.6047.06911.67339.460.611.670FSB_A<4>CPU_nSTERM11.670FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y52.A3net133.616FSB_A_4_IBUFSLICE_X20Y52.ATilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DPSLICE_X22Y50.D3net10.794l2pre/n0023<10>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3507.32011.67037.362.711.670FSB_A<6>CPU_nSTERM11.670FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y49.A5net133.617FSB_A_6_IBUFSLICE_X20Y49.AMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DPSLICE_X22Y50.B4net10.563l2pre/n0023<5>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.09011.67039.260.811.668FSB_A<4>CPU_nSTERM11.668FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y51.A3net133.632FSB_A_4_IBUFSLICE_X20Y51.ATilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DPSLICE_X22Y51.B4net10.712l2pre/n0023<17>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4177.25111.66837.962.111.663FSB_A<5>CPU_nSTERM11.663FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y50.B4net133.498FSB_A_5_IBUFSLICE_X20Y50.BTilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DPSLICE_X22Y50.B2net10.747l2pre/n0023<3>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5087.15511.66338.761.311.654FSB_A<3>CPU_nSTERM11.654FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y49.A2net133.601FSB_A_3_IBUFSLICE_X20Y49.AMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DPSLICE_X22Y50.B4net10.563l2pre/n0023<5>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5807.07411.65439.360.711.642FSB_A<6>CPU_nSTERM11.642FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y49.B5net133.581FSB_A_6_IBUFSLICE_X20Y49.BMUXTilo0.326l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DPSLICE_X22Y50.A4net10.547l2pre/n0023<0>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.6047.03811.64239.560.511.633FSB_A<2>CPU_nSTERM11.633FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y52.A1net133.666FSB_A_2_IBUFSLICE_X20Y52.AMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DPSLICE_X22Y51.A4net10.547l2pre/n0023<13>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5137.12011.63338.861.211.589FSB_A<5>CPU_nSTERM11.589FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y50.A4net133.498FSB_A_5_IBUFSLICE_X20Y50.AMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DPSLICE_X22Y50.C2net10.724l2pre/n0023<6>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4577.13211.58938.561.511.583FSB_A<4>CPU_nSTERM11.583FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y52.A3net133.616FSB_A_4_IBUFSLICE_X20Y52.AMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DPSLICE_X22Y51.A4net10.547l2pre/n0023<13>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5137.07011.58339.061.011.560FSB_A<2>CPU_nSTERM11.560FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y51.B1net133.833FSB_A_2_IBUFSLICE_X20Y51.BMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPSLICE_X22Y51.C5net10.454l2pre/n0023<19>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3667.19411.56037.862.211.557FSB_A<3>CPU_nSTERM11.557FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y50.B2net133.392FSB_A_3_IBUFSLICE_X20Y50.BTilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DPSLICE_X22Y50.B2net10.747l2pre/n0023<3>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5087.04911.55739.061.011.556FSB_A<6>CPU_nSTERM11.556FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y50.B5net133.391FSB_A_6_IBUFSLICE_X20Y50.BTilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DPSLICE_X22Y50.B2net10.747l2pre/n0023<3>SLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5087.04811.55639.061.011.553FSB_A<4>CPU_nSTERM11.553FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y52.B3net133.496FSB_A_4_IBUFSLICE_X20Y52.BMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DPSLICE_X22Y50.D4net10.725l2pre/n0023<9>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4227.13111.55338.361.711.518FSB_A<6>CPU_nSTERM11.518FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y50.A5net133.427FSB_A_6_IBUFSLICE_X20Y50.AMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DPSLICE_X22Y50.C2net10.724l2pre/n0023<6>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4577.06111.51838.761.311.508FSB_A<5>CPU_nSTERM11.508FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y49.B4net133.688FSB_A_5_IBUFSLICE_X20Y49.BTilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPSLICE_X22Y50.A6net10.378l2pre/n0023<2>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5326.97611.50839.460.611.504FSB_A<2>CPU_nSTERM11.504FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y51.B1net133.833FSB_A_2_IBUFSLICE_X20Y51.BTilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPSLICE_X22Y51.B6net10.347l2pre/n0023<15>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4177.08711.50438.461.611.472FSB_A<3>CPU_nSTERM11.472FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y50.A2net133.381FSB_A_3_IBUFSLICE_X20Y50.AMUXTilo0.326l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DPSLICE_X22Y50.C2net10.724l2pre/n0023<6>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4577.01511.47238.961.111.454FSB_A<2>CPU_nSTERM11.454FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y52.B1net133.397FSB_A_2_IBUFSLICE_X20Y52.BMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DPSLICE_X22Y50.D4net10.725l2pre/n0023<9>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4227.03211.45438.661.411.435FSB_A<4>CPU_nSTERM11.435FSB_A<4>CPU_nSTERM5E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y52.B3net133.496FSB_A_4_IBUFSLICE_X20Y52.BTilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DPSLICE_X22Y50.C5net10.644l2pre/n0023<7>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3857.05011.43538.361.711.432FSB_A<3>CPU_nSTERM11.432FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y49.B2net133.612FSB_A_3_IBUFSLICE_X20Y49.BTilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPSLICE_X22Y50.A6net10.378l2pre/n0023<2>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5326.90011.43239.660.411.424FSB_A<3>CPU_nSTERM11.424FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y52.B2net133.367FSB_A_3_IBUFSLICE_X20Y52.BMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DPSLICE_X22Y50.D4net10.725l2pre/n0023<9>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4227.00211.42438.761.311.420FSB_A<4>CPU_nSTERM11.420FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y51.B3net133.693FSB_A_4_IBUFSLICE_X20Y51.BMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPSLICE_X22Y51.C5net10.454l2pre/n0023<19>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3667.05411.42038.261.811.418FSB_A<3>CPU_nSTERM11.418FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y51.A2net133.382FSB_A_3_IBUFSLICE_X20Y51.ATilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DPSLICE_X22Y51.B4net10.712l2pre/n0023<17>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4177.00111.41838.761.311.410FSB_A<3>CPU_nSTERM11.410FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y52.A2net133.356FSB_A_3_IBUFSLICE_X20Y52.ATilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DPSLICE_X22Y50.D3net10.794l2pre/n0023<10>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3507.06011.41038.161.911.401FSB_A<6>CPU_nSTERM11.401FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y49.B5net133.581FSB_A_6_IBUFSLICE_X20Y49.BTilo0.254l2pre/n0023<2>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPSLICE_X22Y50.A6net10.378l2pre/n0023<2>SLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5326.86911.40139.860.211.398FSB_A<4>CPU_nSTERM11.398FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y53.B4net133.374FSB_A_4_IBUFSLICE_X20Y53.BTilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DPSLICE_X22Y51.C4net10.823l2pre/n0023<20>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2947.10411.39837.762.311.368FSB_A<5>CPU_nSTERM11.368FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y50.A4net133.498FSB_A_5_IBUFSLICE_X20Y50.ATilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DPSLICE_X22Y50.C4net10.575l2pre/n0023<8>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3856.98311.36838.661.411.364FSB_A<4>CPU_nSTERM11.364FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y51.B3net133.693FSB_A_4_IBUFSLICE_X20Y51.BTilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPSLICE_X22Y51.B6net10.347l2pre/n0023<15>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4176.94711.36438.961.111.337FSB_A<5>CPU_nSTERM11.337FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y51.A4net133.301FSB_A_5_IBUFSLICE_X20Y51.ATilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DPSLICE_X22Y51.B4net10.712l2pre/n0023<17>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4176.92011.33739.061.011.336FSB_A<2>CPU_nSTERM11.336FSB_A<2>CPU_nSTERM5B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y52.B1net133.397FSB_A_2_IBUFSLICE_X20Y52.BTilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DPSLICE_X22Y50.C5net10.644l2pre/n0023<7>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3856.95111.33638.761.311.323FSB_A<3>CPU_nSTERM11.323FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y52.A2net133.356FSB_A_3_IBUFSLICE_X20Y52.AMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DPSLICE_X22Y51.A4net10.547l2pre/n0023<13>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5136.81011.32339.960.111.306FSB_A<3>CPU_nSTERM11.306FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y52.B2net133.367FSB_A_3_IBUFSLICE_X20Y52.BTilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DPSLICE_X22Y50.C5net10.644l2pre/n0023<7>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3856.92111.30638.861.211.304FSB_A<2>CPU_nSTERM11.304FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y51.A1net133.660FSB_A_2_IBUFSLICE_X20Y51.AMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPSLICE_X22Y51.C6net10.371l2pre/n0023<18>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.93811.30438.661.411.297FSB_A<6>CPU_nSTERM11.297FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y50.A5net133.427FSB_A_6_IBUFSLICE_X20Y50.ATilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DPSLICE_X22Y50.C4net10.575l2pre/n0023<8>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3856.91211.29738.861.211.276FSB_A<4>CPU_nSTERM11.276FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y51.A3net133.632FSB_A_4_IBUFSLICE_X20Y51.AMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPSLICE_X22Y51.C6net10.371l2pre/n0023<18>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.91011.27638.761.311.266FSB_A<6>CPU_nSTERM11.266FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y51.A5net133.230FSB_A_6_IBUFSLICE_X20Y51.ATilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DPSLICE_X22Y51.B4net10.712l2pre/n0023<17>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4176.84911.26639.260.811.251FSB_A<3>CPU_nSTERM11.251FSB_A<3>CPU_nSTERM5E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y50.A2net133.381FSB_A_3_IBUFSLICE_X20Y50.ATilo0.254l2pre/n0023<3>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DPSLICE_X22Y50.C4net10.575l2pre/n0023<8>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3856.86611.25139.061.011.245FSB_A<2>CPU_nSTERM11.245FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y53.B2net133.221FSB_A_2_IBUFSLICE_X20Y53.BTilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DPSLICE_X22Y51.C4net10.823l2pre/n0023<20>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2946.95111.24538.261.811.209FSB_A<4>CPU_nSTERM11.209FSB_A<4>CPU_nSTERM4E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22SLICE_X20Y53.A4net133.374FSB_A_4_IBUFSLICE_X20Y53.ATilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPSLICE_X22Y51.D5net10.669l2pre/n0023<21>SLICE_X22Y51.DMUXTopdd0.466CPU_nSTERM_OBUFl2pre/n0023<21>_rtCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2596.95011.20938.062.011.159FSB_A<5>CPU_nSTERM11.159FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y52.A4net133.105FSB_A_5_IBUFSLICE_X20Y52.ATilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DPSLICE_X22Y50.D3net10.794l2pre/n0023<10>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3506.80911.15939.061.011.128FSB_A<5>CPU_nSTERM11.128FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y52.B4net133.071FSB_A_5_IBUFSLICE_X20Y52.BMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DPSLICE_X22Y50.D4net10.725l2pre/n0023<9>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4226.70611.12839.760.311.120FSB_A<3>CPU_nSTERM11.120FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y51.B2net133.393FSB_A_3_IBUFSLICE_X20Y51.BMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPSLICE_X22Y51.C5net10.454l2pre/n0023<19>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.75411.12039.360.711.092FSB_A<3>CPU_nSTERM11.092FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y53.B3net133.068FSB_A_3_IBUFSLICE_X20Y53.BTilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DPSLICE_X22Y51.C4net10.823l2pre/n0023<20>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2946.79811.09238.761.311.081FSB_A<6>CPU_nSTERM11.081FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y52.A5net133.027FSB_A_6_IBUFSLICE_X20Y52.ATilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DPSLICE_X22Y50.D3net10.794l2pre/n0023<10>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3506.73111.08139.360.711.072FSB_A<5>CPU_nSTERM11.072FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y52.A4net133.105FSB_A_5_IBUFSLICE_X20Y52.AMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DPSLICE_X22Y51.A4net10.547l2pre/n0023<13>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5136.55911.07240.859.211.064FSB_A<3>CPU_nSTERM11.064FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y51.B2net133.393FSB_A_3_IBUFSLICE_X20Y51.BTilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPSLICE_X22Y51.B6net10.347l2pre/n0023<15>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4176.64711.06439.960.111.045FSB_A<2>CPU_nSTERM11.045FSB_A<2>CPU_nSTERM4B14.PADB14.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20SLICE_X20Y53.A2net133.210FSB_A_2_IBUFSLICE_X20Y53.ATilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPSLICE_X22Y51.D5net10.669l2pre/n0023<21>SLICE_X22Y51.DMUXTopdd0.466CPU_nSTERM_OBUFl2pre/n0023<21>_rtCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2596.78611.04538.661.411.028FSB_A<5>CPU_nSTERM11.028FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y51.B4net133.301FSB_A_5_IBUFSLICE_X20Y51.BMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPSLICE_X22Y51.C5net10.454l2pre/n0023<19>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.66211.02839.660.411.026FSB_A<3>CPU_nSTERM11.026FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y51.A2net133.382FSB_A_3_IBUFSLICE_X20Y51.AMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPSLICE_X22Y51.C6net10.371l2pre/n0023<18>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.66011.02639.660.411.019FSB_A<6>CPU_nSTERM11.019FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y52.B5net132.962FSB_A_6_IBUFSLICE_X20Y52.BMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DPSLICE_X22Y50.D4net10.725l2pre/n0023<9>SLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4226.59711.01940.159.911.010FSB_A<5>CPU_nSTERM11.010FSB_A<5>CPU_nSTERM5E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y52.B4net133.071FSB_A_5_IBUFSLICE_X20Y52.BTilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DPSLICE_X22Y50.C5net10.644l2pre/n0023<7>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3856.62511.01039.860.210.994FSB_A<6>CPU_nSTERM10.994FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y52.A5net133.027FSB_A_6_IBUFSLICE_X20Y52.AMUXTilo0.326l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DPSLICE_X22Y51.A4net10.547l2pre/n0023<13>SLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.5136.48110.99441.059.010.972FSB_A<5>CPU_nSTERM10.972FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y51.B4net133.301FSB_A_5_IBUFSLICE_X20Y51.BTilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPSLICE_X22Y51.B6net10.347l2pre/n0023<15>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4176.55510.97240.359.710.945FSB_A<5>CPU_nSTERM10.945FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y51.A4net133.301FSB_A_5_IBUFSLICE_X20Y51.AMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPSLICE_X22Y51.C6net10.371l2pre/n0023<18>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.57910.94539.960.110.921FSB_A<6>CPU_nSTERM10.921FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y51.B5net133.194FSB_A_6_IBUFSLICE_X20Y51.BMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPSLICE_X22Y51.C5net10.454l2pre/n0023<19>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.55510.92140.060.010.901FSB_A<6>CPU_nSTERM10.901FSB_A<6>CPU_nSTERM5B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y52.B5net132.962FSB_A_6_IBUFSLICE_X20Y52.BTilo0.254l2pre/n0023<7>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DPSLICE_X22Y50.C5net10.644l2pre/n0023<7>SLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3856.51610.90140.259.810.874FSB_A<6>CPU_nSTERM10.874FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y51.A5net133.230FSB_A_6_IBUFSLICE_X20Y51.AMUXTilo0.326l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPSLICE_X22Y51.C6net10.371l2pre/n0023<18>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.3666.50810.87440.259.810.865FSB_A<6>CPU_nSTERM10.865FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y51.B5net133.194FSB_A_6_IBUFSLICE_X20Y51.BTilo0.254l2pre/n0023<15>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPSLICE_X22Y51.B6net10.347l2pre/n0023<15>SLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.4176.44810.86540.759.310.842FSB_A<3>CPU_nSTERM10.842FSB_A<3>CPU_nSTERM4E11.PADE11.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21SLICE_X20Y53.A3net133.007FSB_A_3_IBUFSLICE_X20Y53.ATilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPSLICE_X22Y51.D5net10.669l2pre/n0023<21>SLICE_X22Y51.DMUXTopdd0.466CPU_nSTERM_OBUFl2pre/n0023<21>_rtCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2596.58310.84239.360.710.832FSB_A<5>CPU_nSTERM10.832FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y53.B5net132.808FSB_A_5_IBUFSLICE_X20Y53.BTilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DPSLICE_X22Y51.C4net10.823l2pre/n0023<20>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2946.53810.83239.660.410.748FSB_A<6>CPU_nSTERM10.748FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y53.B6net132.724FSB_A_6_IBUFSLICE_X20Y53.BTilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DPSLICE_X22Y51.C4net10.823l2pre/n0023<20>SLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2946.45410.74840.060.010.679FSB_A<5>CPU_nSTERM10.679FSB_A<5>CPU_nSTERM4E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23SLICE_X20Y53.A5net132.844FSB_A_5_IBUFSLICE_X20Y53.ATilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPSLICE_X22Y51.D5net10.669l2pre/n0023<21>SLICE_X22Y51.DMUXTopdd0.466CPU_nSTERM_OBUFl2pre/n0023<21>_rtCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2596.42010.67939.960.110.629FSB_A<24>CPU_nSTERM10.629FSB_A<24>CPU_nSTERM3G12.PADG12.ITiopi1.557FSB_A<24>FSB_A<24>FSB_A_24_IBUFProtoComp0.IMUX.13SLICE_X22Y51.B1net13.559FSB_A_24_IBUFSLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1636.46610.62939.260.810.559FSB_A<6>CPU_nSTERM10.559FSB_A<6>CPU_nSTERM4B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24SLICE_X20Y53.A6net132.724FSB_A_6_IBUFSLICE_X20Y53.ATilo0.254l2pre/n0023<20>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPSLICE_X22Y51.D5net10.669l2pre/n0023<21>SLICE_X22Y51.DMUXTopdd0.466CPU_nSTERM_OBUFl2pre/n0023<21>_rtCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2596.30010.55940.359.710.397FSB_A<26>CPU_nSTERM10.397FSB_A<26>CPU_nSTERM3H13.PADH13.ITiopi1.557FSB_A<26>FSB_A<26>FSB_A_26_IBUFProtoComp0.IMUX.17SLICE_X22Y51.C3net13.450FSB_A_26_IBUFSLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.0406.35710.39738.961.110.386FSB_A<15>CPU_nSTERM10.386FSB_A<15>CPU_nSTERM4G14.PADG14.ITiopi1.557FSB_A<15>FSB_A<15>FSB_A_15_IBUFProtoComp0.IMUX.10SLICE_X22Y50.C1net13.345FSB_A_15_IBUFSLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1316.25510.38639.860.210.342FSB_A<18>CPU_nSTERM10.342FSB_A<18>CPU_nSTERM4H16.PADH16.ITiopi1.557FSB_A<18>FSB_A<18>FSB_A_18_IBUFProtoComp0.IMUX.16SLICE_X22Y50.D2net13.336FSB_A_18_IBUFSLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.0966.24610.34239.660.410.341FSB_A<7>CPU_nSTERM10.341FSB_A<7>CPU_nSTERM4B16.PADB16.ITiopi1.557FSB_A<7>FSB_A<7>FSB_A_7_IBUFProtoComp0.IMUX.25SLICE_X22Y50.A1net13.153FSB_A_7_IBUFSLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2786.06310.34141.458.610.331FSB_A<27>CPU_nSTERM10.331FSB_A<27>CPU_nSTERM3H14.PADH14.ITiopi1.557FSB_A<27>FSB_A<27>FSB_A_27_IBUFProtoComp0.IMUX.19SLICE_X22Y51.C1net13.384FSB_A_27_IBUFSLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.0406.29110.33139.160.910.285FSB_A<25>CPU_nSTERM10.285FSB_A<25>CPU_nSTERM3H11.PADH11.ITiopi1.557FSB_A<25>FSB_A<25>FSB_A_25_IBUFProtoComp0.IMUX.15SLICE_X22Y51.C2net13.338FSB_A_25_IBUFSLICE_X22Y51.DMUXTopcd0.501CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.0406.24510.28539.360.710.186FSB_A<17>CPU_nSTERM10.186FSB_A<17>CPU_nSTERM4H15.PADH15.ITiopi1.557FSB_A<17>FSB_A<17>FSB_A_17_IBUFProtoComp0.IMUX.14SLICE_X22Y50.D6net13.180FSB_A_17_IBUFSLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.0966.09010.18640.259.810.091FSB_A<8>CPU_nSTERM10.091FSB_A<8>CPU_nSTERM4F12.PADF12.ITiopi1.557FSB_A<8>FSB_A<8>FSB_A_8_IBUFProtoComp0.IMUX.26SLICE_X22Y50.A3net12.903FSB_A_8_IBUFSLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2785.81310.09142.457.610.036FSB_A<16>CPU_nSTERM10.036FSB_A<16>CPU_nSTERM4G16.PADG16.ITiopi1.557FSB_A<16>FSB_A<16>FSB_A_16_IBUFProtoComp0.IMUX.12SLICE_X22Y50.D5net13.030FSB_A_16_IBUFSLICE_X22Y50.COUTTopcyd0.290l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.0965.94010.03640.859.29.996FSB_A<9>CPU_nSTERM9.996FSB_A<9>CPU_nSTERM4G11.PADG11.ITiopi1.557FSB_A<9>FSB_A<9>FSB_A_9_IBUFProtoComp0.IMUX.27SLICE_X22Y50.A5net12.808FSB_A_9_IBUFSLICE_X22Y50.COUTTopcya0.472l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2785.7189.99642.857.29.938FSB_A<11>CPU_nSTERM9.938FSB_A<11>CPU_nSTERM4D16.PADD16.ITiopi1.557FSB_A<11>FSB_A<11>FSB_A_11_IBUFProtoComp0.IMUX.2SLICE_X22Y50.B3net12.774FSB_A_11_IBUFSLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2545.6849.93842.857.29.929FSB_A<10>CPU_nSTERM9.929FSB_A<10>CPU_nSTERM4D14.PADD14.ITiopi1.557FSB_A<10>FSB_A<10>FSB_A_10_IBUFProtoComp0.IMUX.1SLICE_X22Y50.B5net12.765FSB_A_10_IBUFSLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2545.6759.92942.857.29.879FSB_A<20>CPU_nSTERM9.879FSB_A<20>CPU_nSTERM3C16.PADC16.ITiopi1.557FSB_A<20>FSB_A<20>FSB_A_20_IBUFProtoComp0.IMUX.4SLICE_X22Y51.A2net12.785FSB_A_20_IBUFSLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1875.6929.87942.457.69.750FSB_A<14>CPU_nSTERM9.750FSB_A<14>CPU_nSTERM4C15.PADC15.ITiopi1.557FSB_A<14>FSB_A<14>FSB_A_14_IBUFProtoComp0.IMUX.8SLICE_X22Y50.C3net12.709FSB_A_14_IBUFSLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1315.6199.75042.457.69.717FSB_A<23>CPU_nSTERM9.717FSB_A<23>CPU_nSTERM3F15.PADF15.ITiopi1.557FSB_A<23>FSB_A<23>FSB_A_23_IBUFProtoComp0.IMUX.11SLICE_X22Y51.B3net12.647FSB_A_23_IBUFSLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1635.5549.71742.857.29.634FSB_A<12>CPU_nSTERM9.634FSB_A<12>CPU_nSTERM4F13.PADF13.ITiopi1.557FSB_A<12>FSB_A<12>FSB_A_12_IBUFProtoComp0.IMUX.3SLICE_X22Y50.B6net12.470FSB_A_12_IBUFSLICE_X22Y50.COUTTopcyb0.448l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<1>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.2545.3809.63444.255.89.596FSB_A<13>CPU_nSTERM9.596FSB_A<13>CPU_nSTERM4F14.PADF14.ITiopi1.557FSB_A<13>FSB_A<13>FSB_A_13_IBUFProtoComp0.IMUX.6SLICE_X22Y50.C6net12.555FSB_A_13_IBUFSLICE_X22Y50.COUTTopcyc0.325l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<2>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X22Y51.DMUXTcind0.267CPU_nSTERM_OBUFCPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1315.4659.59643.057.09.567FSB_A<21>CPU_nSTERM9.567FSB_A<21>CPU_nSTERM3E15.PADE15.ITiopi1.557FSB_A<21>FSB_A<21>FSB_A_21_IBUFProtoComp0.IMUX.7SLICE_X22Y51.A5net12.473FSB_A_21_IBUFSLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1875.3809.56743.856.29.409FSB_A<22>CPU_nSTERM9.409FSB_A<22>CPU_nSTERM3E16.PADE16.ITiopi1.557FSB_A<22>FSB_A<22>FSB_A_22_IBUFProtoComp0.IMUX.9SLICE_X22Y51.B5net12.339FSB_A_22_IBUFSLICE_X22Y51.DMUXTopbd0.624CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<5>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1635.2469.40944.255.89.160FSB_A<19>CPU_nSTERM9.160FSB_A<19>CPU_nSTERM3F16.PADF16.ITiopi1.557FSB_A<19>FSB_A<19>FSB_A_19_IBUFProtoComp0.IMUX.18SLICE_X22Y51.A6net12.066FSB_A_19_IBUFSLICE_X22Y51.DMUXTopad0.648CPU_nSTERM_OBUFl2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>CPU_nSTERM1_cyD12.Onet12.907CPU_nSTERM_OBUFD12.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.1874.9739.16045.754.3Paths for end point cg/pll/clkfbout_oddr (OLOGIC_X1Y62.CLK0), 1 path +-1.528CLKINcg/pll/clkfbout_oddr-1.528CLKINcg/pll/clkfbout_oddr4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.230cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKFBOUTTpllcko_CLKFBOUT-3.911cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X2Y3.I0net10.178cg/pll/clkfboutBUFGMUX_X2Y3.OTgi0o0.063cg/pll/clkfbout_bufgcg/pll/clkfbout_bufgOLOGIC_X1Y62.CLK0net21.119cg/pll/clkfb_bufg_out-3.3511.823-1.528Paths for end point cg/RAMCLK1_inst (OLOGIC_X1Y63.CLK0), 1 path +-1.592CLKINcg/RAMCLK1_inst-1.592CLKINcg/RAMCLK1_inst4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.230cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.296cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-3.911cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.178cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufOLOGIC_X1Y63.CLK0net171.055FSBCLK-3.3511.759-1.592Hold Paths: Unconstrained path analysis + +Paths for end point l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP (SLICE_X16Y51.CLK), 1 path +-4.017CLKINl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP0.000-4.017CLKINl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DP4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufSLICE_X16Y51.CLKnet170.879FSBCLK-6.4372.420-4.017Paths for end point l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP (SLICE_X16Y51.CLK), 1 path +-4.017CLKINl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP0.000-4.017CLKINl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DP4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufSLICE_X16Y51.CLKnet170.879FSBCLK-6.4372.420-4.017Paths for end point l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP (SLICE_X16Y51.CLK), 1 path +-4.017CLKINl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP0.000-4.017CLKINl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DP4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.368cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN2net10.733cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-7.715cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.440cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufSLICE_X16Y51.CLKnet170.879FSBCLK-6.4372.420-4.0170CLKINFSB_A<2>8.756-3.416FSB_A<3>8.745-3.415FSB_A<4>8.905-3.413FSB_A<5>8.878-3.447FSB_A<6>8.910-3.417CLKINCLKINCLKIN3.689FSB_A<2>CPU_nSTERM12.820FSB_A<3>CPU_nSTERM12.708FSB_A<4>CPU_nSTERM12.945FSB_A<5>CPU_nSTERM12.435FSB_A<6>CPU_nSTERM12.356FSB_A<7>CPU_nSTERM10.341FSB_A<8>CPU_nSTERM10.091FSB_A<9>CPU_nSTERM9.996FSB_A<10>CPU_nSTERM9.929FSB_A<11>CPU_nSTERM9.938FSB_A<12>CPU_nSTERM9.634FSB_A<13>CPU_nSTERM9.596FSB_A<14>CPU_nSTERM9.750FSB_A<15>CPU_nSTERM10.386FSB_A<16>CPU_nSTERM10.036FSB_A<17>CPU_nSTERM10.186FSB_A<18>CPU_nSTERM10.342FSB_A<19>CPU_nSTERM9.160FSB_A<20>CPU_nSTERM9.879FSB_A<21>CPU_nSTERM9.567FSB_A<22>CPU_nSTERM9.409FSB_A<23>CPU_nSTERM9.717FSB_A<24>CPU_nSTERM10.629FSB_A<25>CPU_nSTERM10.285FSB_A<26>CPU_nSTERM10.397FSB_A<27>CPU_nSTERM10.331cg_pll_clkout0cg/pll/clkout1_bufl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/SPcg/CPUCLKrcg\/RAMCLK0_inst.CK0cg\/RAMCLK0_inst.CK1cg\/RAMCLK1_inst.CK0cg\/RAMCLK1_inst.CK1cg\/FPUCLK_inst.CK0cg\/FPUCLK_inst.CK1cg\/CPUCLK_inst.CK0cg\/CPUCLK_inst.CK1l2pre\/Way0Data\/U0\/xst_blk_mem_generator\/gnativebmg.native_blk_mem_gen\/valid.cstr\/ramloop[0].ram.r\/s6_noinit.ram\/SDP.WIDE_PRIM9.ram.CLKAWRCLKl2pre\/Way0Data\/U0\/xst_blk_mem_generator\/gnativebmg.native_blk_mem_gen\/valid.cstr\/ramloop[0].ram.r\/s6_noinit.ram\/SDP.WIDE_PRIM9.ram.CLKBRDCLKcg_pll_clkfboutcg/pll/clkfbout_bufgcg\/pll\/clkfbout_oddr.CK0cg\/pll\/clkfbout_oddr.CK1CPU_nSTERMCPU_nSTERMFSB_ACPU_nSTERMl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram17/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram13/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram6/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram2/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram1/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram7/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram9/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram5/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram4/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram18/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram16/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram14/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram11/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram10/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram8/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/DPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/SPl2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram21/SPl2pre\/Way0Data\/U0\/xst_blk_mem_generator\/gnativebmg.native_blk_mem_gen\/valid.cstr\/ramloop[0].ram.r\/s6_noinit.ram\/SDP.WIDE_PRIM9.ram.CLKBRDCLKCLKINcg\/pll\/pll_base_inst\/PLL_ADV.CLKIN1SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0.DIVCLK0000259017810.000100.00012.9457.8798.91015.120Sun Oct 31 15:38:38 2021 TraceTrace Settings + +Peak Memory Usage: 214 MB diff --git a/fpga/WarpLC.unroutes b/fpga/WarpLC.unroutes index c7dcea2..588fd33 100644 --- a/fpga/WarpLC.unroutes +++ b/fpga/WarpLC.unroutes @@ -1,7 +1,7 @@ -Release 14.7 - par P.20131013 (nt) +Release 14.7 - par P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -Fri Oct 29 17:59:53 2021 +Sun Oct 31 15:38:33 2021 All signals are completely routed. diff --git a/fpga/WarpLC.v b/fpga/WarpLC.v index d3b21ee..421e6ac 100644 --- a/fpga/WarpLC.v +++ b/fpga/WarpLC.v @@ -29,13 +29,17 @@ module WarpLC( (* IOSTANDARD = "LVCMOS33" *) (* IOBDELAY = "NONE" *) - input INt, + input [1:0] FSB_SIZ, + + (* IOSTANDARD = "LVCMOS33" *) + (* DRIVE = "8" *) + (* SLEW = "SLOW" *) + output [31:0] FSB_D, - (* IOB = "FALSE" *) (* IOSTANDARD = "LVCMOS33" *) (* DRIVE = "24" *) (* SLEW = "FAST" *) - output reg OUTt, + output CPU_nSTERM, (* IOSTANDARD = "LVCMOS33" *) (* DRIVE = "24" *) @@ -56,11 +60,7 @@ module WarpLC( (* DRIVE = "24" *) (* SLEW = "FAST" *) output RAMCLK1, - - (* IOSTANDARD = "LVCMOS33" *) - (* IOBDELAY = "NONE" *) - input CPUCLKi, - + (* IOSTANDARD = "LVCMOS33" *) (* IOBDELAY = "NONE" *) input CLKIN, @@ -76,7 +76,7 @@ module WarpLC( wire FSBCLK; wire CPUCLKr; - CLKGEN CLKGEN_inst( + ClkGen cg ( .CLKIN(CLKIN), .CLKFB_IN(CLKFB_IN), .CLKFB_OUT(CLKFB_OUT), @@ -86,11 +86,28 @@ module WarpLC( .FPUCLK(FPUCLK), .RAMCLK0(RAMCLK0), .RAMCLK1(RAMCLK1)); + + wire [3:0] FSB_B; + SizeDecode sd ( + .A(FSB_A[1:0]), + .SIZ(FSB_SIZ[1:0]), + .B(FSB_B[3:0])); - reg [31:0] AR; - always @(posedge FSBCLK) begin - OUTt <= ~CPU_nAS && INt && CPUCLKi && FSB_A[31:0]==AR[31:0]; - AR[31:0] <= FSB_A[31:0]; - end + wire L2PrefetchMatch; + L2Prefetch l2pre ( + .CLK(FSBCLK), + .CPUCLKr(CPUCLKr), + .RDA(FSB_A[28:2]), + .RDD(FSB_D[31:0]), + .Match(L2PrefetchMatch), + + .WRA(27'b0), + .WRD(32'b0), + .WR(1'b0), + .WRM(4'b0), + .CLR(1'b0)); + + assign CPU_nSTERM = ~(L2PrefetchMatch); + endmodule diff --git a/fpga/WarpLC.xise b/fpga/WarpLC.xise index 254c57d..707d724 100644 --- a/fpga/WarpLC.xise +++ b/fpga/WarpLC.xise @@ -17,28 +17,46 @@ - - - - - + - - - - - - - - - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -67,7 +85,7 @@ - + @@ -108,8 +126,8 @@ - - + + @@ -121,7 +139,7 @@ - + @@ -147,10 +165,10 @@ - + - - + + @@ -201,7 +219,7 @@ - + @@ -222,7 +240,7 @@ - + @@ -234,12 +252,12 @@ - + - - - - + + + + @@ -255,7 +273,7 @@ - + @@ -270,7 +288,7 @@ - + diff --git a/fpga/WarpLC.xst b/fpga/WarpLC.xst index 90f1a5e..9b582c4 100644 --- a/fpga/WarpLC.xst +++ b/fpga/WarpLC.xst @@ -7,13 +7,13 @@ run -p xc6slx9-2-ftg256 -top WarpLC -opt_mode Speed --opt_level 1 +-opt_level 2 -power NO -iuc NO -keep_hierarchy No -netlist_hierarchy As_Optimized -rtlview Yes --glob_opt AllClockNets +-glob_opt Inpad_To_Outpad -read_cores YES -sd {"ipcore_dir" } -write_timing_constraints NO diff --git a/fpga/WarpLC_2021-10-31-15-23-24.twx b/fpga/WarpLC_2021-10-31-15-23-24.twx new file mode 100644 index 0000000..f7d9371 --- /dev/null +++ b/fpga/WarpLC_2021-10-31-15-23-24.twx @@ -0,0 +1,342 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +]> +Release 14.7 Trace (nt64)Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 2 +-n 3 -fastpaths -xml WarpLC.twx WarpLC.ncd -o WarpLC.twr WarpLC.pcf -ucf +PLL.ucf + +WarpLC.ncdWarpLC.ncdWarpLC.pcfWarpLC.pcfxc6slx9C-2PRODUCTION 1.23 2013-10-1313INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP "CPU_nSTERM" 15 ns;220000108.586Paths for end point CPU_nSTERM (E11.PAD), 22 paths +6.414l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPCPU_nSTERM8.5860.00015.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPCPU_nSTERM4SLICE_X16Y51.CLKFSBCLKSLICE_X16Y51.AMUXTshcko1.081l2pre/n0023<14>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram12/DPSLICE_X20Y50.D3net11.014l2pre/n0023<11>SLICE_X20Y50.COUTTopcyd0.312l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X20Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X20Y51.CMUXTcinc0.302l2pre/RDTag[20]_RDATag[20]_equal_5_ol2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<6>SLICE_X20Y56.A6net10.640l2pre/RDTag[20]_RDATag[20]_equal_5_oSLICE_X20Y56.ATilo0.254CPU_nSTERM_OBUFCPU_nSTERM1E11.Onet12.998CPU_nSTERM_OBUFE11.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM3.9314.6558.58645.854.26.422l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPCPU_nSTERM8.5780.00015.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPCPU_nSTERM3SLICE_X16Y51.CLKFSBCLKSLICE_X16Y51.BTshcko1.106l2pre/n0023<14>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram15/DPSLICE_X20Y51.A4net10.968l2pre/n0023<14>SLICE_X20Y51.CMUXTopac0.630l2pre/RDTag[20]_RDATag[20]_equal_5_ol2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<4>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<6>SLICE_X20Y56.A6net10.640l2pre/RDTag[20]_RDATag[20]_equal_5_oSLICE_X20Y56.ATilo0.254CPU_nSTERM_OBUFCPU_nSTERM1E11.Onet12.998CPU_nSTERM_OBUFE11.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM3.9724.6068.57846.353.76.445l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPCPU_nSTERM8.5550.00015.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPCPU_nSTERM4SLICE_X20Y55.CLKFSBCLKSLICE_X20Y55.AMUXTshcko1.081l2pre/n0023<21>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram3/DPSLICE_X20Y50.A3net10.821l2pre/n0023<2>SLICE_X20Y50.COUTTopcya0.474l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<0>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X20Y51.CINnet10.003l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<3>SLICE_X20Y51.CMUXTcinc0.302l2pre/RDTag[20]_RDATag[20]_equal_5_ol2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<6>SLICE_X20Y56.A6net10.640l2pre/RDTag[20]_RDATag[20]_equal_5_oSLICE_X20Y56.ATilo0.254CPU_nSTERM_OBUFCPU_nSTERM1E11.Onet12.998CPU_nSTERM_OBUFE11.PADTioop1.982CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM4.0934.4628.55547.852.2Fastest Paths: TS_CPU_nSTERM_A = MAXDELAY FROM TIMEGRP "FSB_A" TO TIMEGRP "CPU_nSTERM" 15 ns; +Paths for end point CPU_nSTERM (E11.PAD), 22 paths +3.066l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPCPU_nSTERM0.0000.0000.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPCPU_nSTERM2SLICE_X20Y55.CLKFSBCLKSLICE_X20Y55.BTshcko0.449l2pre/n0023<21>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram22/DPSLICE_X20Y56.A5net10.194l2pre/n0023<21>SLICE_X20Y56.ATilo0.156CPU_nSTERM_OBUFCPU_nSTERM1E11.Onet11.568CPU_nSTERM_OBUFE11.PADTioop0.699CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM1.3041.7623.06642.557.53.557l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPCPU_nSTERM0.0000.0000.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPCPU_nSTERM3SLICE_X20Y52.CLKFSBCLKSLICE_X20Y52.BTshcko0.449l2pre/n0023<18>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram19/DPSLICE_X20Y51.C5net10.150l2pre/n0023<18>SLICE_X20Y51.CMUXTopcc0.250l2pre/RDTag[20]_RDATag[20]_equal_5_ol2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<6>SLICE_X20Y56.A6net10.285l2pre/RDTag[20]_RDATag[20]_equal_5_oSLICE_X20Y56.ATilo0.156CPU_nSTERM_OBUFCPU_nSTERM1E11.Onet11.568CPU_nSTERM_OBUFE11.PADTioop0.699CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM1.5542.0033.55743.756.33.629l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPCPU_nSTERM0.0000.0000.000l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPCPU_nSTERM3SLICE_X20Y52.CLKFSBCLKSLICE_X20Y52.ATshcko0.441l2pre/n0023<18>l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/Mram_ram20/DPSLICE_X20Y51.C4net10.230l2pre/n0023<19>SLICE_X20Y51.CMUXTopcc0.250l2pre/RDTag[20]_RDATag[20]_equal_5_ol2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_lut<6>l2pre/Mcompar_RDTag[20]_RDATag[20]_equal_5_o_cy<6>SLICE_X20Y56.A6net10.285l2pre/RDTag[20]_RDATag[20]_equal_5_oSLICE_X20Y56.ATilo0.156CPU_nSTERM_OBUFCPU_nSTERM1E11.Onet11.568CPU_nSTERM_OBUFE11.PADTioop0.699CPU_nSTERMCPU_nSTERM_OBUFCPU_nSTERM1.5462.0833.62942.657.40CLKIN0000220398.586Sun Oct 31 15:23:24 2021 TraceTrace Settings + +Peak Memory Usage: 214 MB + diff --git a/fpga/WarpLC_envsettings.html b/fpga/WarpLC_envsettings.html index 82886c9..1b93458 100644 --- a/fpga/WarpLC_envsettings.html +++ b/fpga/WarpLC_envsettings.html @@ -22,10 +22,10 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files\PuTTY\;
C:\Program Files (x86)\WinMerge;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Dog\AppData\Local\GitHubDesktop\bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files\PuTTY\;
C:\Program Files (x86)\WinMerge;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Dog\AppData\Local\GitHubDesktop\bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files\PuTTY\;
C:\Program Files (x86)\WinMerge;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Dog\AppData\Local\GitHubDesktop\bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt64;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt64;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt64_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt64;
C:\Xilinx\14.7\ISE_DS\common\lib\nt64;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files\PuTTY\;
C:\Program Files (x86)\WinMerge;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\Dog\AppData\Local\GitHubDesktop\bin XILINX @@ -106,7 +106,7 @@ -opt_level Optimization Effort -1 +2 1 @@ -142,7 +142,7 @@ -glob_opt Global Optimization Goal -AllClockNets +Inpad_To_Outpad AllClockNets @@ -410,18 +410,42 @@ high +-xe +Placer Extra Effort Map +CONTINUE +  + + -xt Extra Cost Tables 0 0 +-global_opt +Global Optimization map +TRUE +FALSE + + -ir Use RLOC Constraints OFF OFF +-logic_opt +Combinatorial Logic Optimization +TRUE +FALSE + + +-mt +Enable Multi-Threading +2 +0 + + -t Starting Placer Cost Table (1-100) Map 1 @@ -434,6 +458,12 @@ 4 +-equivalent_register_removal +Equivalent Register Removal +TRUE +TRUE + + -intstyle   ise @@ -482,6 +512,12 @@ Default Value +-xe +  +c +None + + -intstyle   ise @@ -490,7 +526,7 @@ -mt Enable Multi-Threading -off +4 off @@ -520,31 +556,31 @@ CPU Architecture/Speed -Intel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHz -Intel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHz -Intel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHz -Intel(R) Core(TM) i7-4770K CPU @ 3.50GHz/3500 MHz +Intel(R) Xeon(R) CPU W3680 @ 3.33GHz/3316 MHz +Intel(R) Xeon(R) CPU W3680 @ 3.33GHz/3316 MHz +Intel(R) Xeon(R) CPU W3680 @ 3.33GHz/3316 MHz +Intel(R) Xeon(R) CPU W3680 @ 3.33GHz/3316 MHz Host -ZanePC -ZanePC -ZanePC -ZanePC +Dog-PC +Dog-PC +Dog-PC +Dog-PC OS Name -Microsoft , 64-bit -Microsoft , 64-bit -Microsoft , 64-bit -Microsoft , 64-bit +Microsoft Windows 7 , 64-bit +Microsoft Windows 7 , 64-bit +Microsoft Windows 7 , 64-bit +Microsoft Windows 7 , 64-bit OS Release -major release (build 9200) -major release (build 9200) -major release (build 9200) -major release (build 9200) +Service Pack 1 (build 7601) +Service Pack 1 (build 7601) +Service Pack 1 (build 7601) +Service Pack 1 (build 7601) \ No newline at end of file diff --git a/fpga/WarpLC_guide.ncd b/fpga/WarpLC_guide.ncd index 1b83494..80ae536 100644 --- a/fpga/WarpLC_guide.ncd +++ b/fpga/WarpLC_guide.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###6700:XlxV32DM 3fff 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###4300:XlxV32DM 3fff 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###5004:XlxV32DM 3fff 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###2740:XlxV32DM 3fff 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###2432:XlxV32DM 3fff 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###2532:XlxV32DM 3fff 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###2572:XlxV32DM 3fff 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###1028:XlxV32DM ba3 3eceNqtVctuGzEM/Jl8gCiKeu0laC895NCg7QdIWu0xgA/tZeF/L7WOs2PXAZwiXixkcShqhuRKrjmR6GZZXHOLCy451rfr3LJwMmbDHHv9x+7NWyKTJLZMl/abUcxrFB5RjscXTymbzOsLNZtjn35To2ynX9RMdmXVqEXjJI2Utp2M7iRsNcoipHFgF8V1J3G6/zI22tdtox3eG8d2nl/Ea+95jbn6mk1FeGVddtbJXLKO/8n6HcbmLsbmev4P4wqM7Z2M3Scydh9m3KAz2p2M+RMZ84cZz8C43NnL/Mm9zB/s5TpamU6kXTqTVvsC9rDbWwA77/aZN/s+F/Crux9ZDLAgUHdACABOAMCW5AyEmgFIDCsSAn4HkkcgZDrTN8NQLIQA/VTctSfQc8i7QGpFAJiBHiHQaQdiRACqQchmmQEApdZANi0ChNnsAGBhCCqm5zaEQsChDsi/FdBhLwDQwQh41AFdYQPqQCCCDkYggQ4L9bAFdDACFXRYaDDbQAdfAKDDQgXtDDoYgQ46ogNgAR0WSssGdDAChDqgtHrCAACFYgYdBu1YDrA7UAEiWEAEMvKgAQkFkIB8IuwLXcAF/KGkXEExQeW4YdugghnTDR86L5Ajb3dAiG7XQSjcrrVsN9SNthFLtztQAuZpb4Ljn58UtmD6mPUHGVUTu36FQ5XzIpLZVb0Fv1GYpwNFM1XrluGdtaKrmpfpgULJJU51ThtgAOhZ3JvDsY4D8oaL7C7j9/j4dfXzklOXmpYe1PBlDSvVM1WfkpKpfTqqMW5Gzl55+dL0LWfQituIaimy8dODFZ/FjFWnhCjg56YVVy4VzEnNc3Y+6o1f9Q7tespTFutUTcjii57M5XrRiNWz72f76ZRy+vietS1Ilxo7SEhucYysoaYnK/oNrE/agrweip9Op9gY2jjnbwP0HmCnUwa/r896C5jpWfuRNB9mTA6j13TmlU4cw6LaD76b08CDvY6idMcYBudJg/0FQcId1w== \ No newline at end of file +###5452:XlxV32DM 3f95 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###5200:XlxV32DM 3fff 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###4284:XlxV32DM 3fff 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###3600:XlxV32DM 3fff df8eNrtW8uu2zYQ/ZnuurHIISnayK8Y4EtANu0iy4v8e/mYI9MyaThtARtoEST0JSWdOTMj6fhc5nw6X376xa7n03nJf09fvwm9nIV0lz+Etud1ufwmYjx7e/ldqHguh/9oh8uvb4tfz0Ktl29lJi9fruWffIAvBwg+QKoyLy4/M5ArIKL8+fpDGHGmaC8NMTWgFC/f88EFCIB+Ce2sLjzbwpO6nC3O0mwcp8ynS1VO+zNHdm70KuqInrEjevXwQi/jNnpuuaPX4uED7uiFIz3X6Cn3jF6Y0CP7Gr0wq14Y0gugF0Av3NMLoBfu6Vl7pOe5eusTet7OqhdfoldRh/ROw+a0aE6L5rT3zWnRnPZQPXGkFxo9uTyrnpjRU69VT8yacx1WT6B6AtUT99UTqJ440ItHerHRW8IzenHWnOtr9OKsen5IL4JeBL14Ty+CXjw0pzvSS9yc9llzuln1wmvN6WbV24bN6dCcDs3p7pvToTndoXrySG/j5hTPqidn9Oi16skZPTOsnkT1JKon76snUT15oJcO9NKJm9M/o5dmzWleo5dmzemG9BLoJdBL9/QS6KVDc/ojvYWb89mLwftZ9fxrzeln1UvD5vRoTo/m9PfN6dGc/lA9OtIT3JzyWfVoRk++Vj2a0dPD6hGqR6ge3VePUD060NuO9CQ359PX+jZrzhdVyzZrzrFq2UBvA73tnt4GetuBnjrSI64ePaOnZtUTr9FTs+qpIT0Fegr01D09BXrqnp4/Hekprl58Qi+eZtV77bVeUYfVG77W6+GFXsZt9Pzpjl6Lhw+4q54+0tNcPfWsenpWveW16ulZ9WhYPY3qaVRP31dPo3r6jp5U27lc/Vy+SDSCyjNBxfXbxOVPU14sl+9GtUG3wbRhbYNtg6uDbYysaUNbs7zm2xDaENuQ2rDVwZ3qefWZcvnu25pva+HUhqUNog0twNAiCy2k0E7YWtRbW9ta1Fs7ZGuRbS2yrUW2UD3GS1liS10ZQmplaOogl3H/Bqdfyg4iilzvFrVIK4+WR8ej5zHwmFp/SKpfuU59ZBtHBtW/snDx5lfqhgATB0i/GGA8BCrtIYPxxHH692ZQmmMG48KR2c/KYPkQ+zhFi7NJuH+Ywb/Te+WO2/qAJCcu/XuJ+ycJc8eEEccX3pMwuR4Tpjgg9wEJ+7FQuY79+lYed/kx8q08XeqYb942Gh4Trwv+2fG4lvGa//78ITW/ScvZ+fa8XB0VkJXVb5nOX73rRfN4teX9JE4JJ5nzlvI5p3Iptd1m842UD7b1Ura7lOFLmbwa6pu80PONTc5Jo9M+lIgSY/OHhGMEZlxRSHXkiZUn1jpx3VRFYROnnFgCDmud9bdM5vwCOwE7ATsBOwE7ATMBq1xV16s68E3FUqsn5PEaREndYrpVzav6cvVbPTf12bCIyCIii4gsIrKIyHI2NCKznA2NCHMMm64xrF0MhmPIFQmnuqq7VcWrWYP4VKtpumpqrmaO3/q6GrtVDrZwt/XcaLsrcxlLU4WlrKby6FWN+xYb4zYmHl0Zr/l1Xw8X6La1lnSrAaSlT2BAAgMSGJDAgAQGJDBwAi0SGDiBFgkMGaW2dDr1KB4oHigeKB4oHiieUVageEZZgeIzSmvPrUdxQHFAcUBxQHFAcYxigOIYxQDFZZSax1V1JVNcslxu62oMEjfOUrNsVX1o0G223ulG1um9JLE9S2xDCECIfEMstSlMezy4bpXv/9IUpuZgXbtVw6u5VU27su5WNa/mZjT1RlypW1W8mpkZVdu8fX2/Gk6scXW2PSiulh+kPNtstmuQ3LRtttys+uu6Ibl7iSIqE1GQiLzHquN1eNDxDuZ4+s/reKUmOj6YN+t4M9PxQX2WCl0mOj7IN2dwm+n4sHxUBpWe6PiwvknHrxMdH/Rn6Hgx0fGB3pMwdZro+CA+S8fnx13T6dDtrOfzAXXMrdh+Xnmd9XwmeNPxKuLdu4x1/MJv122k4/PsTcfrcJsd6viFX8XbSMdnNqzRd9UOQV+uVz9kRjyz4hjB4gPCPpOrE3kc6vh1pOMrdgJ2AnYCdgJ2AnYCZgLWo47PZzTZso50fFnVvPqo42tEFhFZRGQRkUVEFhFZzoZGZJazoRHho44vMRiO4VHHl1XFqwMdv3D821DHL8x9G+n4cmUu40DHZ+5Nty9tzEe3n8VQx2duAx1fExiQwIAEBiQwIIEBCQycQIsEBk6gRQIfdXxF8UDxQPFA8UDxQPGMsgLFM8oKlEcdX1EcUBxQHFAcUBxQHKMYoDhGMUAZ6PiFy70Ndbzpdfx6m+11PL7Il4AHOj5PG+7pgY4vq3z/D3R8WTW8+qjjy6rm1UcdX1YVr950/NbreCh2cep0fMlEm116Hb9iVnQ6viR3L1FEZSIKEpF31vHxUcfzL9rV9r+ONzMd/2Y/Xvmpjv8sP74yHOr4N/vxapnq+M/y4+vv6EY6Pr7Jj1dhpuM/w4+vGR/q+Df58UrMdPyH+fGK9Xt+urRR8sh6XrF+zx3QRuJR9H48JLmSQx2fp5vEWEY6Xi29jo+32ZGOL5cyfKmBjod8z3T4g8QHCHoF+Z4p8Qdi2U6YYGGvxFDH5xNHOh7yvWInYCdgJ2AnYCdgJmANdDx7ufmEkY5nq7ysDnQ85HuNyCIii4gsIrKIyHI2NCKznA2NCAc6nh3aEsNAx/OvNsrqo44v1dRczUcdX1Y52JGOZ/+5XHmg4w3rd8njyiONdbwY6njI95rAgAQGJDAggQEJDJxAiwQGTqBFAgc6HvK9onigeKB4oHigeEZZgeIZZQXKQMdDvlcUBxQHFAcUBxTHKAYojlEMUB51fCmZ4pI96vgMfdPxRtxmOx1v8Lu9EvBIxxtOph/qeMNJ8EMdbzh4P9TxhpvRD3W8YWa+0/FCdjq+rDRtTp2OV3Dphep0fGnaNqt7HS/OZi9RRGUiChKRd9bx8nFfDfvx+vSf1/E08+PTu/14OdPx6bP8eJrtq0lv9uPl1I9Pn+XH08yPT+/y42mi49Nn+PE021eT3uTH08yPTx/mxxP8eNbvhH017McT/HjW78T7aqj347WBtTbeV0PYVzP04+WdHy9vsyMdT9hXM/TjaffjId9p31cDP552Px6ynXhfDWFfDbEfTxM/nkY6nnY/HvKd9n018ONp9+Mh3wn7amjsxxP8eBrpeIIfTyMdT7sfD/lO+74a+PG0+/GQ7cT7agj7aoj9eBr78QQ/nkY6nuDH00jHE/bVDP14wr6aoR9P8ONppOOJ/XjifTXEfjyN99XQ0I+n3Y+HfKd9Xw38eNr9eMh24n01hH01xH48jf142v14yHfa99XAj6fdj4dsJ95XQ9hXQ+zH09iPp92Ph3ynfV8N/Hja/XjIduJ9NYR9NcR+PI39eMK+mrEfL3s/Xt1mez9eY3rsxxP8eDnS8QQ/Xo50PMGPlyMdT/Dj5UjHE/x42et40/vxEtp87ffV7H687f14wqzrdDzBj5fYRbP78YQPNz/eLI86nv/zjV7+3x/vZ/vj36zj63+oGO+P/7B9NTM/Pr5Zxys73R//WTpehpkf/yYdLzVk6V/t/62r###5404:XlxV32DM 3fff 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###1728:XlxV32DM 3fff 6a8eNq9W0uS2zgMvZIAEPr0nGMO0Gm7l7ObVSp3H4rSWLIticAjkkpVx7aAh+8jKVISkqST9EJdl4Yk6Sv9EBLO39bP6yfVMd30W0fZa+yu7OQf3050VaRBlxt0W3zuYN0kE6RLDp9ZOVH+RdKkKeUsrRhSatXtJB4I+dOU7prmjC7XEsuY5YZsK+sXiSKbGLLQOSzkeDLqlLLGopX/FZnZRrEw+/D/9UUny6toeorotnVu/vadP33nvp6SZKTV8wBL3Sv2zm6H2BX9czGabbVH+a06//aUXfktMWKWwiNcc2uKUaUe4yIVYO8gmnqkqHXpFt6vkuZsAJaobtvPhGeM0hOmjkUs1W3/+vXP54/xgz4+v37+y/340f31N/fDh4w3+ikio0zy+ZgivorBsUwdQwbP39N+ypy/P0q9pHl2r1vNvmofSebQWSgP5ftwNu1tEL0XuWW6v89TRtaRQx1+lHeRKzo6rHa6Czub3DJFbx7Pkjn27HP/Prk+ljC3NT/l//w3y9Skj6QOMtU/5XTDOJa8r7GKJ6cGHXnRec+PPC0xvp4alsAOetI/lM30KP1Ax77vCL9I6gvWVt2d5W0JsluaLEvbe5qRs26W0PJLnyXvq/2a9ib77kFfxeh2GGc9Kg6M2V75tFamzXaq2pZqDrSaA/4jGL0LA62FJR8ejKi6WHtCmhghARnkJg+utbvmDrBmHvWg+43a5BxHKr37ciNq8vpN57JDzRboRWe7tbZ7tdepe2WxcOSV2iv8pmPzSu1d8LL94fWKXF4R4BUDXrHLKwa8EoAn75qG8fHC5nVUAuTNOeuYrUEj9nIf0Tznc2FobQ13tlY4wtOAuTsZMPb1Peqv0TCWn/Xl0To/Na8V1YVxnN+xGUHKvak/M+k0M1OzT2TKjN0nDpjHOdQjDcgSh2C0dxAFZ8bi0TXbE+gTXd7Vt41AyYRh94n3eyLgndUIeYQz3xfhFOqdBnvH1XHT5x+Z/GtjYr1LI+7WtZ0rAfP90LzuUJMf1xgcsHchIE/1tAPbc9NX50MO5ToHc5MDZkYO5rcPTyC0s53fFLDXJ6bdosgZjl33IslU0VY+cwCfLX50ofUnU65rcbVjDAE7zho8WsaOvmKaVTyVG0LxNBQtCTfPNUPAHnpsT9RXKBy8Nr0Y6crOTxdwYqQBe/3p8ARyOQPvH6fdejo6cXXn2zD2uDSfdpecmmrapz/SPPcWXMdVUUyzYgPKBOZC3/aM7ZoCVk4NNTewxFC5s9/P6++4r3FqjmDMPVyh3nBmZOWzVfOdz3ZNNZ1wWfi8abbw+QrFzmcMZQJzoZdni/4zIEvl1FBzK5+vK2fl84bi5bNdcwRj7uEK9YbTVg+f1fRETYI11XQ2bOWzOs+IjvmspzsqHj77USYwF3p5Ko+c6XYgn2021VU5D5/VcPKgFzVH+GyJuYcr1BueU/DxmWA+E8xngvlMIXymED5TCJ8J5jPBfCaYzwTzmUL4TDCfCeYzwXwmmM8M85lhPjPMZ4b5zCF85hA+cwifGeYzw3xmmM8M85lD+MwwnxnmM8N8ZpjPAjNEQhjSsINeRaFTlCkAReGMasOzjerKoq/nBe55wZ/LaUCZwCziXGDYplxkDpvbrE8d2F71PtOer6XT180tOIv/5fXjJpwlg7fH0ykozlLD+Ro14SxdVPJz9G6aI8/TI8/rG3H/AZ/Ksvc=###1560:XlxV32DM 3fff 600eNq9mkt22zAMRbdEgKA+7jq6gMSph511lJO9FxRt2Y5tERBeezqoYpHv8YdLQpKUMslHOZUpU5Yy55JzSpLlKOc7enWSUWb9bZZf+jdrmUnL1HuSy31pp8646khIZ1h1ckinrDoc0qGzjlYJ6aSLztLDvTqS51WnhHSmVUdCOuOqk0M6w6rzer64qzOs4yxlCulc5l1kDujY4otB8cWg+GJQfFl0ZNWhkE5e4zQ2X5Z4Z1CcMihOGRSn/N/iVNb1k0PzLuv64dC857VftKFDIP4QiD8E4g+B+EMg/hCIPwTiD4H4QyD+EIg/BOIPgfhDIP4QiD8E4g+B+JNA/Ekg/iQQfxKIPwnEnwTiTwLxJ4H4k0D8SSD+JBB/Eog/CcSfBOJPAvEnQfjT5jTOH5tOnz8WHQt/bDp9/th0+vyx6fT5Y9Pp88em0+ePTafPH4uOhT82nT5/bDp9/th0+vyx6fT5Y9Pp88ei0+MPgfIvAuVfBMq/CJR/ESj/IlD+RaD8i0D5F4HyLwLlXwTKvwiUfxEo/yJQ/kWg/It2518fRVQjadmj/i+i14vmtChq6cu9RZkzf9ddVhNfyxXWoKmt5cLq8qFLf1bdUmsubc2q3Ph1KfGoWJflaWn1EnetnP4+aZ1R+6da2ueFWupLd2p7/LTJLj/y+ak852RxaKMnFO7PbHOTovV0sIWDjnwzY3ljBEnHUPQuh+eMbubM5hgd1dHpx+EoYKdjjq4bp5+E59A7pvFYz+6VSuGVo/ub25OB8dFhGoCgo8OLwjM4ONzi4+hxy2GOJoebhEdycriVcN+yw20AxlzfbQz3zbNKpvC8eVbJHB5J8kR3nNAuNwrH9+zyi5+UJpdfnCguNoeZ4hvNKFMG4xmp+sXPnGI8rzQ3AtK578ZAOvfdwidN4ymsuUnYzTNv0TXp6dkAzFz7biOMzH2v6B5HDq8ZuAcY4jrMEfZxJCOfB1j8GLYHWNxymJM+vyhNJpdbAZ4sc/fZFGKPI5dffJe7+iVDbkzQHtoc4/E3OR0ZRk+bXwaeam2OEnacnY4FSFGbY3x3Z6djOI91+oV3+ZvTks1xBu4WxugHPHMc3Z4E26Wsjgw802941mvAPpVdbkiC544bYocShxuFSXrNIWhjrRQtTUBq295M7XnXRo53bbVEPatpjVZL/y1lqsOif19i1PuyvCudtXUnGaS+Jc21htz0t7aktXZLX0ce7XAqpf5214O6u1/uP3m+rXfbu6an6vXK4hDpgdXjZS/a+/V2sts9Rv9qDs6zvD0LZbv9vRG6esTn4evr99v7fKADj59/eJgO9OMnD+Ph7Zg+86gub7frefmC4L4Fg+RFVdVrJKf05FuEtu42deRdW11KOrPutVZeTgVPtFwtat96bKg42pNASm1dYNo0b443w/q3R+m+Ne1bXrtGCWvIOTqfabxyfPZdFTtUmuerr/seVQgwJgQYE4KMCRmjspzX7bU+ueq3L8r215eH9pOz/SlY/3v/169Nd/bfV/+x/776j/331r/t/1/Qk6Wd###2332:XlxV32DM 3fff 904eNrFWlmS5CgMvRKLZHD1OfoAma70Z//NV0XfvTFgG2x2yJyYiJ6yLZ6Q4GmBlJxywJkjJ4TgBBw4rihgRgZICCywgnpS72d44QqMcw7q/fYESlJWjwfOusZrya7xc3A87bSfdtpPO+2nnfazTvtZp/2s037Wab+ChSeol0g4zfoAoxgx6dBMNk9w9QVRwvcNyfkSHb194yg7cMzstm80gcMK5jNbHOBTFw7Z7erCgcM/rAvH7HftnwQOHeQfOsg/dJB/6CD/kEH+IYP8Qwb5hwzxD2jb+/1ThpP3TwlOiX9KcHL+oYP4RQfxiw7iF+3k12Jx8IazJPyz3PxTj8Msjp93anHAZMvt7y4cm11v+cvHIVmcScto/3ThlPmHDPIPqfUPgvqyqtpm3STUf4jMICq8ydZm5ruHpZE2bcouNWYF57sar2QUMqbw9YzHalgRt3eeBTyBT7UvYKvvwujbX10auGZZ0oIBOpi2gr3FR51rYFc5vQpTev45DxXoKF6Hv3//PJ6PL/LFf/6waf56yF//sUl+sV+/2SS+EH4444+iuazYtK9g1b2b+XZDPd9f5bZnJW36EGEteTqWPHxLSMaSEjsSNpCrDUELyPX5ZsGiLABjAf/2LOD09bNtHv5wQ8zegh3TFzogPo/S/um4rYQQx5jLG9vw2ZG8AfOG4H8HhYd8s2efQ5ueGw65L+fhGzIsTCVtOJ9GauO00qNBT1RoFTABhVdgd8Rnktuj9ntQhzRyVouelS4FfUzUae2UPdB3ydBX3Gwl+tiEAYJQz5P6esgpbBVGj5S96kIE1dykDrfqLz0Du34n2zRKQBKWYl1zga6C+RTpOuds/WLwSufRoeMb9J7RqzTpXQnp+YR0mfmpd6A8QrRmqRsv4WF9K02wy94jpKvvMjM9pkt3ALdmbpqlBplrTqzeHr7tv00+rFOnl28nQS5+gmQDEmRtom9M8y9lBRornsSzgn6TLUlCOEmeNTgKVJWYmbDOykJtMqoqJxYOXbqftyO1pNn+XKMwGySv0vSQMCeRaKWvJ7i4S1sJ09e8POmELVbSjIqf1qYQyk9tefTUdqo/pejslmr6gBZ8mu6WYmvuIOntz1nkZByv50MXHO0njHvVRa/a7cF1pRd7poPwym8czB62SCx4C5Gy3mDovzWFVycQTZlAFF+70lrdQQjV6pF+g12fr4FoIWcgeqyeFSqSbIFI6EBkKhymsgi1y8ydpRER514XlF2X04YJwVk8TDhBYpPLb4HMdsxuRlqxMagzfyeEep6iTiVhbbC+EvuGCtg9R/xrdIWDvPDGMBvifT3lK5nSREOaGoLtviL6/+pfjF5hOfIhucDasIp1ZM460op1pIkr1n3sUeF4KMSRCIVu2P1tK0clp95LNUaYMKDDOm7SnYeC2STRhZ5NcToMUSeYQubYIzaHQaG0MZCy0wLJ/IpOPn/4rMJodkNEl1kV6EDt6Wdo05htTLWs2TTca0xtY6sbU32i5ujNSF61bDPyKFIw5jIiRCoeoKUeVRQmeVUakrVVRXTzybfS60RP13AlG99FrNrcBbNrJp4mDneIA6FWiA6hDmSoM32IOlM1daYm6kxODo+V19Qjz1GXeI3kKU1OaaeBTNcJ8qaBRRoJnh4fHXN/r8Nk9BRAvv2S6dSQxWg9ifC0jDvNkG2nGQs4FPZbIfpcxlGYJykMHyEwVNIXGsgL2QxGbSvF/VbqGEULCG9GUYfCpCDDsl2TDRI8R3sbKnhRdq2lal12baBp221aKT0rbuNk223cgg41/ZtR+tDUJEOoyTLZVX4ou8rq7Cqbsqt0ClNWWJgyhzakkDZEH8Cm6Hn2/PykZ0FW9Is5kS1k67NiTMPYrBjT0ogRyIoiSL3b8416k0O9x4V6MI56NEM9/BD1sJp62EQ9dKhHC6lHszSSIRplspy43ajwhh5SvLWHFMN7SDGwhxSDekjhUM2/FKSveaMaG0I1kqGa+BDVRDXVRBPVhFMc0uIekmZzoyjIjbvsFMyJe6EbxsdbmVvXdeLwrhPf3nXiR7rOd/xYrvGncot0SP/ySb/IUaSfk5T/TG6tzawteRVt5gO4X4BR57cAZz71Ke5Tm/rUVht8SfSlZxZ4WYrT8HWMGw7cK5hMnsYh3Si+tRvFt3ajOLgbxcZudD4pO19+9vIQo0pimaTsZ3J0bYZuyc/CISEpLIRJdgxGxqQOliB0rFSdI+HtORI+kiPhDTkSGnOk88P4+XIr+XyMOpkVheeyrJhw7H8/l2Xhc9nLjz0S57IVP/WQkTvM1Bi7If4BoMrqrA==###2236:XlxV32DM 3fff 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###2156:XlxV32DM 3fff 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###2292:XlxV32DM 3fff 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###2392:XlxV32DM 3fff 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###1164:XlxV32DM ce6 474eNqtVruS3DYQ/Bl/AIDBACSYqKxEgQKrbH0AXwiv6gIpYe2/uwEuyeaKZ9/6vBuAmJ7pwTSAIYNvfRan2Y9efVCvWcW36r1KNEYdrNGPwMUPauA94zmrqj/wEo24Roy2IhLeG3fHR3jk4uE9MhdEVi9gU12RgU/J4MFgaqYGmbRkevR5i6+u0XkHhhP36o9n1WafIVbcc/mf4Tmjt9vLYEMySZYXF9okufvhQpNc992FmPphgab9W0r77IMv++cgbVh382759x1dlQqwAIY2tuzWh5V/4HtKeaz8f9D9kuXAivJ33SPpPp50t6KLROn/uxTnZXywwOuSmhV99rC+J/7A8Kwf5d856hFZccwsjqbsjYHV8vXpMYsXgyP8eOB2ntW78Lztcz+IbltNjVvZRezJulWqZ29cH1btHzlOvi2u7W6ha7k1gYYO43w6jK3WJoA4XPByufcWUFTdrRhrGyh57k03XuNlNbC19XJiDbigRW3VohxmJ+Xtpjz7vpfzXq3Z2t27XhlHRKisT7U4aAkFTbKrlN5tUt4w7w/AGQKirQAZ/OFpG/YcCWCKhiIC2cf5sPczAznZLacthokYhHNOlFM450wRjiLEUMRIdpkO+0B2FVok2VlJJwTETEuiqqShDNYT0A5ERZsiPeWwnGOIFMFVjEoRTDVRGY7rmy1FMNVMdTiuPHMdROUN1SFUoLdcB1F5R3UIFYgOQcmZylMdQgV6pTqCZYDq0ExAoDp0IiBSHcoFtpRcWwJ63kGyD1w42Sda7HFqbz//clLvBP5m+XMOqH1OTsvu4zprTC4rbucXh2+hV3w6dIPLUpwTjvvyBR8W3W9OxtRLN4iYipgD8SaFdve4ldfPhUs07BLGKxfHLqa5cjmWciu/T58+L8M8phx0nNGVYPh9aRcXqqbJpUHnNIyKuoJ2t8Wt+4b/gM3ZjLm8Chz+GBskyH0KTQFk2GjmmHJRaHowN2mar+zYMfsLEAGA2koKU0ixbVOjY2ptTu3srliG1AfZgdqWfJJCjfYDtyJYRsfRMsYUfffVZezv8rV3eLe99rZ7webiNKBFQc3udg3YbhXzj+UbOrbpvoHbdq/WlMmrtXU24OQ0ZYDcZZjqkE2tFA+urKkDy9/oc0iM \ No newline at end of file diff --git a/fpga/WarpLC_map.map b/fpga/WarpLC_map.map index 0600e9b..bd3f726 100644 --- a/fpga/WarpLC_map.map +++ b/fpga/WarpLC_map.map @@ -1,32 +1,18 @@ -Release 14.7 Map P.20131013 (nt) +Release 14.7 Map P.20131013 (nt64) Xilinx Map Application Log File for Design 'WarpLC' Design Information ------------------ -Command Line : map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol -high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off --pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +Command Line : map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high +-xe c -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt 2 +-ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf Target Device : xc6slx9 Target Package : ftg256 Target Speed : -2 Mapper Version : spartan6 -- $Revision: 1.55 $ -Mapped Date : Fri Oct 29 17:59:44 2021 +Mapped Date : Sun Oct 31 15:38:01 2021 -vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv -INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set. -INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to -'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license -.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'. -INFO:Security:54 - 'xc6slx9' is a WebPack part. -INFO:Security:66 - Your license for 'ISE' is for evaluation use only. -WARNING:Security:43 - No license file was found in the standard Xilinx license -directory. -WARNING:Security:44 - Since no license file was found, - please run the Xilinx License Configuration Manager - (xlcm or "Manage Xilinx Licenses") - to assist in obtaining a license. -WARNING:Security:40 - Your license for 'ISE' expires in 4 days. ----------------------------------------------------------------------- +Running global optimization... Mapping design into LUTs... Running directed packing... Running delay-based LUT packing... @@ -34,94 +20,103 @@ Updating timing models... INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report (.mrp). Running timing-driven placement... -Total REAL time at the beginning of Placer: 2 secs -Total CPU time at the beginning of Placer: 2 secs +Total REAL time at the beginning of Placer: 10 secs +Total CPU time at the beginning of Placer: 10 secs Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:18bd) REAL time: 2 secs +Phase 1.1 Initial Placement Analysis (Checksum:283d) REAL time: 10 secs Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:18bd) REAL time: 2 secs +Phase 2.7 Design Feasibility Check (Checksum:283d) REAL time: 11 secs Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:18bd) REAL time: 2 secs +Phase 3.31 Local Placement Optimization (Checksum:283d) REAL time: 11 secs Phase 4.2 Initial Placement for Architecture Specific Features ... +.... Phase 4.2 Initial Placement for Architecture Specific Features -(Checksum:4099679c) REAL time: 3 secs +(Checksum:8c2c3f8) REAL time: 15 secs Phase 5.36 Local Placement Optimization -Phase 5.36 Local Placement Optimization (Checksum:4099679c) REAL time: 3 secs +Phase 5.36 Local Placement Optimization (Checksum:8c2c3f8) REAL time: 15 secs Phase 6.30 Global Clock Region Assignment -Phase 6.30 Global Clock Region Assignment (Checksum:4099679c) REAL time: 3 secs +Phase 6.30 Global Clock Region Assignment (Checksum:8c2c3f8) REAL time: 15 secs Phase 7.3 Local Placement Optimization ... -Phase 7.3 Local Placement Optimization (Checksum:432b55c8) REAL time: 3 secs +.... +Phase 7.3 Local Placement Optimization (Checksum:f4c788ab) REAL time: 21 secs Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:432b55c8) REAL time: 3 secs +Phase 8.5 Local Placement Optimization (Checksum:f4c788ab) REAL time: 21 secs Phase 9.8 Global Placement -.. -................ ................ .. -Phase 9.8 Global Placement (Checksum:8bf5099b) REAL time: 3 secs +Phase 9.8 Global Placement (Checksum:67f9eb6e) REAL time: 21 secs Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:8bf5099b) REAL time: 3 secs +Phase 10.5 Local Placement Optimization (Checksum:67f9eb6e) REAL time: 21 secs Phase 11.18 Placement Optimization -Phase 11.18 Placement Optimization (Checksum:8bd6219d) REAL time: 3 secs +Phase 11.18 Placement Optimization (Checksum:32dd77c2) REAL time: 22 secs Phase 12.5 Local Placement Optimization -Phase 12.5 Local Placement Optimization (Checksum:8bd6219d) REAL time: 3 secs +Phase 12.5 Local Placement Optimization (Checksum:32dd77c2) REAL time: 22 secs Phase 13.34 Placement Validation -Phase 13.34 Placement Validation (Checksum:8bd2a88c) REAL time: 3 secs +Phase 13.34 Placement Validation (Checksum:32dd77c2) REAL time: 22 secs -Total REAL time to Placer completion: 3 secs -Total CPU time to Placer completion: 3 secs +Total REAL time to Placer completion: 22 secs +Total CPU time to Placer completion: 22 secs +Running physical synthesis... + +Physical synthesis completed. Running post-placement packing... Writing output files... +WARNING:PhysDesignRules:2410 - This design is using one or more 9K Block RAMs + (RAMB8BWER). 9K Block RAM initialization data, both user defined and + default, may be incorrect and should not be used. For more information, + please reference Xilinx Answer Record 39999. Design Summary -------------- Design Summary: Number of errors: 0 -Number of warnings: 0 +Number of warnings: 1 Slice Logic Utilization: - Number of Slice Registers: 34 out of 11,440 1% - Number used as Flip Flops: 34 + Number of Slice Registers: 1 out of 11,440 1% + Number used as Flip Flops: 1 Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 - Number of Slice LUTs: 17 out of 5,720 1% - Number used as logic: 13 out of 5,720 1% - Number using O6 output only: 11 - Number using O5 output only: 0 - Number using O5 and O6: 2 + Number of Slice LUTs: 33 out of 5,720 1% + Number used as logic: 9 out of 5,720 1% + Number using O6 output only: 8 + Number using O5 output only: 1 + Number using O5 and O6: 0 Number used as ROM: 0 - Number used as Memory: 0 out of 1,440 0% - Number used exclusively as route-thrus: 4 - Number with same-slice register load: 4 - Number with same-slice carry load: 0 - Number with other load: 0 + Number used as Memory: 24 out of 1,440 1% + Number used as Dual Port RAM: 24 + Number using O6 output only: 4 + Number using O5 output only: 0 + Number using O5 and O6: 20 + Number used as Single Port RAM: 0 + Number used as Shift Register: 0 Slice Logic Distribution: - Number of occupied Slices: 11 out of 1,430 1% - Number of MUXCYs used: 12 out of 2,860 1% - Number of LUT Flip Flop pairs used: 41 - Number with an unused Flip Flop: 11 out of 41 26% - Number with an unused LUT: 24 out of 41 58% - Number of fully used LUT-FF pairs: 6 out of 41 14% - Number of unique control sets: 1 + Number of occupied Slices: 9 out of 1,430 1% + Number of MUXCYs used: 8 out of 2,860 1% + Number of LUT Flip Flop pairs used: 33 + Number with an unused Flip Flop: 32 out of 33 96% + Number with an unused LUT: 0 out of 33 0% + Number of fully used LUT-FF pairs: 1 out of 33 3% + Number of unique control sets: 2 Number of slice register sites lost - to control set restrictions: 6 out of 11,440 1% + to control set restrictions: 11 out of 11,440 1% A LUT Flip Flop pair for this architecture represents one LUT paired with one Flip Flop within a slice. A control set is a unique combination of @@ -130,12 +125,12 @@ Slice Logic Distribution: over-mapped for a non-slice resource or if Placement fails. IO Utilization: - Number of bonded IOBs: 43 out of 186 23% + Number of bonded IOBs: 66 out of 186 35% IOB Flip Flops: 5 Specific Feature Utilization: Number of RAMB16BWERs: 0 out of 32 0% - Number of RAMB8BWERs: 0 out of 64 0% + Number of RAMB8BWERs: 1 out of 64 1% Number of BUFIO2/BUFIO2_2CLKs: 1 out of 32 3% Number used as BUFIO2s: 1 Number used as BUFIO2_2CLKs: 0 @@ -164,11 +159,11 @@ Specific Feature Utilization: Number of STARTUPs: 0 out of 1 0% Number of SUSPEND_SYNCs: 0 out of 1 0% -Average Fanout of Non-Clock Nets: 1.41 +Average Fanout of Non-Clock Nets: 1.67 -Peak Memory Usage: 276 MB -Total REAL time to MAP completion: 3 secs -Total CPU time to MAP completion: 3 secs +Peak Memory Usage: 368 MB +Total REAL time to MAP completion: 25 secs +Total CPU time to MAP completion (all processors): 25 secs Mapping completed. See MAP report file "WarpLC_map.mrp" for details. diff --git a/fpga/WarpLC_map.mrp b/fpga/WarpLC_map.mrp index 0b66442..a8fb292 100644 --- a/fpga/WarpLC_map.mrp +++ b/fpga/WarpLC_map.mrp @@ -1,49 +1,51 @@ -Release 14.7 Map P.20131013 (nt) +Release 14.7 Map P.20131013 (nt64) Xilinx Mapping Report File for Design 'WarpLC' Design Information ------------------ -Command Line : map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt off -ol -high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off --pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf +Command Line : map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high +-xe c -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt 2 +-ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf Target Device : xc6slx9 Target Package : ftg256 Target Speed : -2 Mapper Version : spartan6 -- $Revision: 1.55 $ -Mapped Date : Fri Oct 29 17:59:44 2021 +Mapped Date : Sun Oct 31 15:38:01 2021 Design Summary -------------- Number of errors: 0 -Number of warnings: 0 +Number of warnings: 1 Slice Logic Utilization: - Number of Slice Registers: 34 out of 11,440 1% - Number used as Flip Flops: 34 + Number of Slice Registers: 1 out of 11,440 1% + Number used as Flip Flops: 1 Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 - Number of Slice LUTs: 17 out of 5,720 1% - Number used as logic: 13 out of 5,720 1% - Number using O6 output only: 11 - Number using O5 output only: 0 - Number using O5 and O6: 2 + Number of Slice LUTs: 33 out of 5,720 1% + Number used as logic: 9 out of 5,720 1% + Number using O6 output only: 8 + Number using O5 output only: 1 + Number using O5 and O6: 0 Number used as ROM: 0 - Number used as Memory: 0 out of 1,440 0% - Number used exclusively as route-thrus: 4 - Number with same-slice register load: 4 - Number with same-slice carry load: 0 - Number with other load: 0 + Number used as Memory: 24 out of 1,440 1% + Number used as Dual Port RAM: 24 + Number using O6 output only: 4 + Number using O5 output only: 0 + Number using O5 and O6: 20 + Number used as Single Port RAM: 0 + Number used as Shift Register: 0 Slice Logic Distribution: - Number of occupied Slices: 11 out of 1,430 1% - Number of MUXCYs used: 12 out of 2,860 1% - Number of LUT Flip Flop pairs used: 41 - Number with an unused Flip Flop: 11 out of 41 26% - Number with an unused LUT: 24 out of 41 58% - Number of fully used LUT-FF pairs: 6 out of 41 14% - Number of unique control sets: 1 + Number of occupied Slices: 9 out of 1,430 1% + Number of MUXCYs used: 8 out of 2,860 1% + Number of LUT Flip Flop pairs used: 33 + Number with an unused Flip Flop: 32 out of 33 96% + Number with an unused LUT: 0 out of 33 0% + Number of fully used LUT-FF pairs: 1 out of 33 3% + Number of unique control sets: 2 Number of slice register sites lost - to control set restrictions: 6 out of 11,440 1% + to control set restrictions: 11 out of 11,440 1% A LUT Flip Flop pair for this architecture represents one LUT paired with one Flip Flop within a slice. A control set is a unique combination of @@ -52,12 +54,12 @@ Slice Logic Distribution: over-mapped for a non-slice resource or if Placement fails. IO Utilization: - Number of bonded IOBs: 43 out of 186 23% + Number of bonded IOBs: 66 out of 186 35% IOB Flip Flops: 5 Specific Feature Utilization: Number of RAMB16BWERs: 0 out of 32 0% - Number of RAMB8BWERs: 0 out of 64 0% + Number of RAMB8BWERs: 1 out of 64 1% Number of BUFIO2/BUFIO2_2CLKs: 1 out of 32 3% Number used as BUFIO2s: 1 Number used as BUFIO2_2CLKs: 0 @@ -86,11 +88,11 @@ Specific Feature Utilization: Number of STARTUPs: 0 out of 1 0% Number of SUSPEND_SYNCs: 0 out of 1 0% -Average Fanout of Non-Clock Nets: 1.41 +Average Fanout of Non-Clock Nets: 1.67 -Peak Memory Usage: 276 MB -Total REAL time to MAP completion: 3 secs -Total CPU time to MAP completion: 3 secs +Peak Memory Usage: 368 MB +Total REAL time to MAP completion: 25 secs +Total CPU time to MAP completion (all processors): 25 secs Table of Contents ----------------- @@ -113,22 +115,26 @@ Section 1 - Errors Section 2 - Warnings -------------------- -WARNING:Security:43 - No license file was found in the standard Xilinx license -directory. -WARNING:Security:44 - Since no license file was found, -WARNING:Security:40 - Your license for 'ISE' expires in 4 days. +WARNING:PhysDesignRules:2410 - This design is using one or more 9K Block RAMs + (RAMB8BWER). 9K Block RAM initialization data, both user defined and + default, may be incorrect and should not be used. For more information, + please reference Xilinx Answer Record 39999. Section 3 - Informational ------------------------- -INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set. -INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to -'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license -.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'. -INFO:Security:54 - 'xc6slx9' is a WebPack part. -INFO:Security:66 - Your license for 'ISE' is for evaluation use only. +INFO:Map:284 - Map is running with the multi-threading option on. Map currently + supports the use of up to 2 processors. Based on the the user options and + machine load, Map will use 2 processors during this run. +INFO:LIT:243 - Logical network FSB_A<31> has no load. +INFO:LIT:395 - The above info message is repeated 54 more times for the + following (max. 5 shown): + FSB_A<30>, + FSB_A<29>, + FSB_A<28>, + FSB_A<1>, + FSB_A<0> + To see the details of these info messages, please use the -detail switch. INFO:MapLib:562 - No environment variables are currently set. -INFO:MapLib:159 - Net Timing constraints on signal CLKIN are pushed forward - through input buffer. INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to @@ -139,11 +145,314 @@ INFO:Pack:1650 - Map created a placed design. Section 4 - Removed Logic Summary --------------------------------- + 46 block(s) removed 2 block(s) optimized away + 68 signal(s) removed Section 5 - Removed Logic ------------------------- +The trimmed logic report below shows the logic removed from your design due to +sourceless or loadless signals, and VCC or ground connections. If the removal +of a signal or symbol results in the subsequent removal of an additional signal +or symbol, the message explaining that second removal will be indented. This +indentation will be repeated as a chain of related logic is removed. + +To quickly locate the original cause for the removal of a chain of logic, look +above the place where that logic is listed in the trimming report, then locate +the lines that are least indented (begin at the leftmost edge). + +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<0>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_0" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<1>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_1" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<2>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_2" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<3>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_3" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<4>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_4" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<5>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_5" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<6>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_6" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<7>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_7" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<8>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_8" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<9>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_9" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<10>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_10" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<11>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_11" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<12>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_12" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<13>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_13" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<14>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_14" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<15>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_15" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<16>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_16" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<17>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_17" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<18>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_18" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<19>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_19" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<20>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_20" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<21>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_21" +(FF) removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<0>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_0" +(FF) removed. + The signal "l2pre/Way0Tag/spo<0>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<1>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_1" +(FF) removed. + The signal "l2pre/Way0Tag/spo<1>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<2>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_2" +(FF) removed. + The signal "l2pre/Way0Tag/spo<2>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<3>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_3" +(FF) removed. + The signal "l2pre/Way0Tag/spo<3>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<4>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_4" +(FF) removed. + The signal "l2pre/Way0Tag/spo<4>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<5>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_5" +(FF) removed. + The signal "l2pre/Way0Tag/spo<5>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<6>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_6" +(FF) removed. + The signal "l2pre/Way0Tag/spo<6>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<7>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_7" +(FF) removed. + The signal "l2pre/Way0Tag/spo<7>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<8>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_8" +(FF) removed. + The signal "l2pre/Way0Tag/spo<8>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<9>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_9" +(FF) removed. + The signal "l2pre/Way0Tag/spo<9>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<10>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_10" +(FF) removed. + The signal "l2pre/Way0Tag/spo<10>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<11>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_11" +(FF) removed. + The signal "l2pre/Way0Tag/spo<11>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<12>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_12" +(FF) removed. + The signal "l2pre/Way0Tag/spo<12>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<13>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_13" +(FF) removed. + The signal "l2pre/Way0Tag/spo<13>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<14>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_14" +(FF) removed. + The signal "l2pre/Way0Tag/spo<14>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<15>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_15" +(FF) removed. + The signal "l2pre/Way0Tag/spo<15>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<16>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_16" +(FF) removed. + The signal "l2pre/Way0Tag/spo<16>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<17>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_17" +(FF) removed. + The signal "l2pre/Way0Tag/spo<17>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<18>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_18" +(FF) removed. + The signal "l2pre/Way0Tag/spo<18>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<19>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_19" +(FF) removed. + The signal "l2pre/Way0Tag/spo<19>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<20>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_20" +(FF) removed. + The signal "l2pre/Way0Tag/spo<20>" is loadless and has been removed. +The signal +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<21>" +is loadless and has been removed. + Loadless block +"l2pre/Way0Tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_21" +(FF) removed. + The signal "l2pre/Way0Tag/spo<21>" is loadless and has been removed. +The signal "cg/pll/pll_base_inst/N2" is sourceless and has been removed. +The signal "cg/pll/pll_base_inst/N3" is sourceless and has been removed. +Unused block "cg/pll/pll_base_inst/XST_GND" (ZERO) removed. +Unused block "cg/pll/pll_base_inst/XST_VCC" (ONE) removed. + Optimized Block(s): TYPE BLOCK GND XST_GND @@ -163,11 +472,8 @@ Section 6 - IOB Properties | CLKFB_OUT | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | | CLKIN | IOB | INPUT | LVCMOS25 | | | | | | | | CPUCLK | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | -| CPUCLKi | IOB | INPUT | LVCMOS33 | | | | | | | -| CPU_nAS | IOB | INPUT | LVCMOS33 | | | | | | | +| CPU_nSTERM | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | | | | | FPUCLK | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | -| FSB_A<0> | IOB | INPUT | LVCMOS33 | | | | | | | -| FSB_A<1> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<2> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<3> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<4> | IOB | INPUT | LVCMOS33 | | | | | | | @@ -194,12 +500,38 @@ Section 6 - IOB Properties | FSB_A<25> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<26> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<27> | IOB | INPUT | LVCMOS33 | | | | | | | -| FSB_A<28> | IOB | INPUT | LVCMOS33 | | | | | | | -| FSB_A<29> | IOB | INPUT | LVCMOS33 | | | | | | | -| FSB_A<30> | IOB | INPUT | LVCMOS33 | | | | | | | -| FSB_A<31> | IOB | INPUT | LVCMOS33 | | | | | | | -| INt | IOB | INPUT | LVCMOS33 | | | | | | | -| OUTt | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | | | | +| FSB_D<0> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<1> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<2> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<3> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<4> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<5> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<6> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<7> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<8> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<9> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<10> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<11> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<12> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<13> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<14> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<15> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<16> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<17> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<18> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<19> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<20> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<21> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<22> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<23> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<24> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<25> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<26> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<27> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<28> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<29> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<30> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | +| FSB_D<31> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | RAMCLK0 | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | | RAMCLK1 | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | +---------------------------------------------------------------------------------------------------------------------------------------------------------+ diff --git a/fpga/WarpLC_map.ncd b/fpga/WarpLC_map.ncd index 5f44d38..37cdd4e 100644 --- a/fpga/WarpLC_map.ncd +++ b/fpga/WarpLC_map.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###6672:XlxV32DM 3fff 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###4200:XlxV32DM 3fff 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###5132:XlxV32DM 3d5e 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 \ No newline at end of file +###5220:XlxV32DM 3d7f 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###5188:XlxV32DM 3fff 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###4172:XlxV32DM 3fff 1034eNqtW8uO5KgS/ZnZ3Y0B88pU7ecb7qIkHrY0m+nFLFv178MjItNgApHSdKvaaoxtzomHI46pn3+Y8Y/twX9/iU08NH9+p5+fNBouoyyNsufPjz/YI/21D/Vgx++/uRKP3cnnH1zKh+Lp6PXjiM+/NEsXpwOvB1EPez3IelDlYOughf/pejD1YOvB1YMvB1dn+jro66AP9RDr4aiHsxxCfd5RF3HW/53lLr8YLwv1YssPzj/b7wKGO/78m0v/cBmcegh5VHSefYDuF98LhJ/0ANM/QNQHhP/qAbZ/wF4fcPw3DzjSnR/uen/5/D9X6rH/Ftv2EBtLP/yRpv2Ux571DzzUeTTEr1iNe8Kh2vis5jyrObkNcIxwPOB41sXwPa+VXVejn39ytSPWCFi3jMAARXtegLheZPJFpvIkJV58XogakvFPvVWKjgQ3Ler5lQfS2XSKY+CkU6d/fuWlpiWUi3YIqTRZy+f3KfN8Ht/z3fP7EHnwPF+DIc0MeebBXmPRPL+T7+abFruXOLVlBXVJR3ne9j6l1fPbn2WUXUbTvX2dKy6jexqNOQnoeBkVaTSU0eMymhKG92X0vIymhOFdQeff6Ozz26myZoNTzWXN+YlCxPepvGbry+hxGU1rtq6MnpfRtGZryzrcZTSt2Zoy6i+jac1Wl9FwGU1rtnl5nL2Neyai7V7WrHGqvqw5lHW496m8Zl1ACn8ZTWvWsoyGy2has97LOi43z2vWooyay+grMWt7GYXEnNasyuj3qaoz5jWkOLHFrPkvZmtVs7UwkK1tCqu9pN3/cVnyQbqqOOolUISEGOEYIxri+kyXCwbRESE6y1Ov0SlUvd5KCC35elpae5kufn/l56abpJhJI+n08zv/kydA4JQJImFOK67wZA9PV3hMzeApCp5YgycpeGoITyI8hfBkC08hPNXAY3tx8JSyBMIzFd7OX/B+cX628FTs4QlAk97MMPuNhqmjnw4vDq6ADXyzu+N13V8IDxY5YkPZARt1emIjPzfj/8ojFzZgPYmNhCOt9lln7rHkVnM5l4a+84TsCGf1WIaOIN1emYL3z6GyITeAritRZ+fmjtWFsw3wmttFOTVDpsyXp7NpDemfvLZ4GU8GRCvGs/dRCyHoaB+tuX7govu54qKRsonlA5tEMEl6aPHP2Bjk9dphbeyVSipF2kMi5VAISkwt6Z0fK3ux1huxvkajhre5BTvUO71XmzynrNbn4sil5/rXix1c2auleyd3CieaJd04vSG+ua2v6ct4TNaKuowel9EENpbkeqrLaErwsbwmjngZTX6QfnLQyq0PWgdBKyZBW3P9etCeVNDKpaAtixwGrRkFbZlegvaEoE0jTdAimwkHBO15C9p87hq0x9kHLbyc4iRoj5MIWjYL2gPtmi4fBm0evwQtk6y3ogcr7hMrGvaRFfVGWXFfsyKjrKiHVmRgRb2hFVljRQ0FY8ZRrZhndlY0rLOi660I72Aoow9zhY5WdJ0VoRtirwIDXqXO3q7Ob0xcaLrP22zJzP4yntaHi2TS9eYMYE49Myf/zJyGMCcLa+Z0hDlfHUlrTofmNGhO15rzZTKO5jR3c/LWnF705oSaA9+Kh85981bXXjl4XfW2J7TPPodyYA+2GzQslIApK/a3ycuC4jbfMJ3NXU6J05O9x6+Gjby3a4TVxpldxSd25Ueg7Ir1omITu5Y1Ds06itIyO1eL6anFqmngWiy+XNxUJurE3qiiMSqTN5oOcH85o2n/zP0Zlc3EmvtTPCk1dH+O7s/Q/Xnr/ugzCQe4P7sztXdM+Z6pE5gyM6bkZ0xZyqH8GlOe8qhjyJRHpiwy5VumMOoSDmDK3pmSHVOiY8pvwJSaMaU+Y4pTPsXXmKI6USWHTAlkiiNTomWKIxsKmeJ3plTHVOiZYsCUnTGlP2PKUT7l1pgKlE/FIVMBmXLIVGiZcsiGRqbcnSndMbX3THFgapbO7x3snClB+RRbY2qnfGofMrUjUwKZ2lumsKHMfW5lStwb3qNjKvZMCWDKzZg6P2PKUz5l15iKlE+FIVMRmfLIVGyZwndfbi4qU/7O1NkxJXumQBrYj1k/tn3G1E751LbGFCUpKTFkSiJTOzIlW6ZQMcsFfGVqv/djW8fU0TOFHT2bMfVhz0MWU2aNqYPyKT9k6kCmAjJ1tEwFZOPV89zrKc06plTPFHSu+zlj6sN2QlJMnWtMKcqn+JAphUxJZEq1TElk49VOyDtTvGOqlzA9dIdyJmFq8RlTkWJKrzFFyWXSDZlCCVNHZKqVMPGzScYBTMU7U32NrnumoPGS24ypD2t0RTF1rDGlKZ9iQ6Y0MqWQKd0yhcqaftXo6s5UV6OrXmHzoKjKqcL2YY1OyeJsTRZXlMImh7K4QoVNoyyuWoUNP7plHMDUXRbXfY1ueqZQiwwzpj6s0TXFVFzzKUP51Db0KYNMafQp0zKlkY1Xja7vTHU1uur1Pg96n5zpffrDGp1SbdmaaqsovU8OVVv10vtQtVWd3vdSbV81+kC17Wp0davRQUoTMynt3vJOmTKkMrqWpxRVo+thnlJYoxtURlVboxsUHHNjXJgyd2UUmuY3U7eMDuKUmHXI90ZuzhTZIa9Fn6Iyuh5Gn8KMbrBDVm1GN9gha4dM3TtkaAXfTN3yFOhTws+Y8p8xRdboa/KsIvPUUJ5VmKcM1uiqzVPmVaN7ZGpQo/uOKdszBfqUmGb08BlTVJXA1/SpssghU0N9qkwvTGGVoGzL1KtKCMjUoEoIDVOx3/kQQJ5iJ/3ZNZIbH/alz67kvoeh0gtiU4RdD7GRmiJ4R2y3PDDVf8kIICaJmUSiP9sTYKgvGXxNTFLUlww1FJMUfskw+CVDtV8y3kbGPQHm/iVDt3sC2G57DwAxSVjaA9juKBdgKy5Qnzr0gaE6tKPv7zUlfuWRK/QddLQyoXGEXqkOoACJqa7xmVZmKFWRrylAilKq1VABUqhUG1QVVatUG1QVNWpl5q4q6k4rU73+GkABEtNu/TOtzFBaGV/TNRSlv6qhrqFQfzWolalWf8VdvRkHMHXXynSrlcXQRwwIQHyyVSVGKmC2pZxJ4bYj5SsC7BghZzagI/Td+WwTKr1YGlCumQlb5jMJ0FDCFl8TIRQllqqhCKFQLDUobKlWLMV9qBkHOMDgQ2EnAdb9L1cPwA11fpIz3UHtVnq9Ns0sZxpK0zPDfQwGNT13QM40rabnoFcuE66OYPq9WAE/bh8zeCcFT6zBo+QlM/ywaVBecifCa+UldyK8s4MXe3i41UzO4FEBvB9r8CjHtcNey6DjuojwWsd1EeF1YVx3fVzhgZrBtwk8v1Hw+BI8Swk5ZvgJ0aKQ4zeAZ1shx0MrWSY08G71CkgQ3MzgWQKecGvwqHpFD0s1i/WKtwivrVe8RXi2g2d6eLgFZ2o9Q1kvrsGjmjY7bG8tNm3eILy2afMG4ZkOHuvhQbPP2Qweuc+TrcGjFCEzrDYtKkKeIbxWEfIM4bEOnuvhQYfOZ8W0p4ppYdfgUX2EHpaPFvsIj8W0bfsIj8W074ppd+sWcdvH7L0XBGW9sATPUf2iGSoKDncnBAHwXLs7IcCX5DKhsR7v4EVohjmfWY9T8LY161Ebeszwo6bFDT2eo/XaDT2eo/V4B8/38KAn5nEGz1POadbgUb2NHlbsFnsb7xFe29t4j/B855x7Dw8bWTFzTkrLEGvWc6SEOrSeQwk17OicrYQadnTOTtFwqocHjaycVS2B+iWO3a/Bo76OmqFG5fDraFAIr/06GhTC636J4/YrOBG6Tz6znqdSizjXnJNMLcOPv/grOPm54JxtavGYWnyfWvqeMWLPGGbwAgVPr8GjukY9bJYsdss+ILy2W/YB4YXOOfvfMIr4C1T7zDklAY+vWc9R20H00HoOt4MEic7ZbgcJEp1TdvB0Dw8/z6sZPE3F3lrN6ahvDmZYczr85hA0wmu/OQSN8HTnnLfMCf0en1nPk5lzrSGyVOY0w4bIYub0mDltmzk9Zk7fZU7b93sR+j0+q1o81e8JtQaP6vf08Mu2xX7PY79n237PY7/nu37P9R1DhH5P6plzkh3DWs3pqI7BDGtOhx1DwI7BtR1DwI4h9B3DLbVgvzd773kqtYjFhqhJLf8CngwFEw==###3588:XlxV32DM 3fff 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###5764:XlxV32DM 3d13 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 \ No newline at end of file diff --git a/fpga/WarpLC_map.ngm b/fpga/WarpLC_map.ngm index f3c2323..f642e07 100644 --- a/fpga/WarpLC_map.ngm +++ b/fpga/WarpLC_map.ngm @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$2355=6:2.Yi{g|inl9$4(5=0*/=<5+Rdtjwlii2);%98.+1:20?567<2:;<=;4012343=789:;<45?01234567=<1;<=>?0123446788:;=0122546798:;<=?>1022446788::=1122447698::<=>?1123446789:;<=>?6:2345669m1::= ?01234567(yY+BHZ/CMIEFZOI9:1::=4S;LFP?EKCOHTEC?4239146=5=<;0??5<034875678':;<=>?012#tV&OANB+9= ?01234567(,;:7>>?018sW?NNOA0><(?;;209MKVR\3LXNRO33083:4=2l2049<>e:CG@ZAKGKKGS]GA3:CGV0=FZ5:5:6O]<02=2>GU48;5:6O]<00=2>GU4895:6O]<06=2>GU48?5:6O]<04=2>GU48=5:6O]<0:=2>GU483596O]<0<5?DT;:94=7L\320<5?DT;:;4=7L\322<5?DT;:=4=7L\324<5?DT;:?4=7L\326<5?DT;:14=7L\328<6?DT;:7<0M_2<0?48EW:497?0M_2<>49BV929=2KY080:;@P?2;3GU404?7L\P059BVZ723HXT==;4AS]250=FZV;996O]_016?DTX9=?0M_Q>549BVZ71=2KYS<9:;@P\5=3GUW;=>7L\P2978EWY51=1J^R=:;@P\753E6j2IJ\LMFT@L@A7=DM;1HE85LKCWE7>EKC8o27NBD,bnh[ro}W9T:!ulolrlj`hsWgkfi0MCK-wpaZvoizoTmaghnlgmp8ru}l&~hQbio]l[oos|lx6jlb|e-wpaZkfpVcTfdz{es?eeiub$|ynSiwa_qjbw`Yt``l1kocsd.vw`Ynfjf`S~yftbjlr`t:nhfxi!{|e^fzjZtbkfdmej3iamqf(ccblkkhgR}`usaf9CBECVK^XBQ@FGAKMU*wz`gu}kPsdn>TIJXJHYN c{b_nww[fjl58&h`fga1^rfvlic5::%EKC;=;96MCK3Z;?FJL:Q;3<85LLJ;31>EKCH;<7NBDA0F13>EKCH;O8:5LLJC2@=3EKCM\h7NBDDW]GMSOCM11H@FHMDBO4?FJLNKCE46MCKG@LQQb;BNHC@HXagy#N^ZCMIq[wusxf~:SD@Y_134?FJLOLDTec}{/GRVGIMXagy=l5LLJEFJZoi{}%M_OMCK^KMRZ5Xg;;0OAEHEO]jjvr(NZHH@FQFNW]0[j7XAG\T<<64CMIDAKYnfz~$^LCLLJ2\mkus911H@FIJN^kmwq)UIDIGGEKCNOESd`|t.kmwqgiklUem`k 299@HNABFVcey!fnrvbjfcXfhgn#nbdfc]aqcaXg|~9m6MCKFGM[lht|&ceyoacd]mehc(keamnRlzff]lqqYi;81H@FIJN^kmwq)nfz~jbnkPn`of+fjlnkUiykiPotv\j4YNF_U;>55LLJEFJZoi{}%bb~znnbg\jdkb'jf`joQmugkl6cd5l2IGGJKA_hlpp*oi{}keohQaalg,gimajVciyk?5LLJEFJZoi{}%bb~znnbg\jdkb'jf`joazt358GIM@MGUbb~z ioqwekebWgkfi"mckg`lqqYe}om9;6MCKFGM[lht|&ceyoacd]mehc(keamnb{{_nfgv76EKCNOESd`|t.kmwqgiklUem`k cmilqq7Xj|l9;6MCKFGM[lht|&ceyoacd]mehc(xefTnl}j_hlpp7`i5LLJKM6ZVBZ@EO46MCKHLPAIeEKCF__EKCF__?RJFVHFF57=DDBE^X>QKUU[\G\EKMm1H@FAZT2]SLDUB?2IGGB[[4d9@HNIR\=UOE[GKE008GIMH]]>THXZV_B[@H@bS]FNSDc8GIMH]]NHA=o4CMILQQBDE8k0OAE@UUF@I7g?R_@LG[6Yh9Vir=h5LPT]mEVYA[KUJW>?R_@LG[6Yh9Vf~xn5LPT]mEVYNJ\L<7N\JAUGG5>B53M:97I?:;ECG@W0BN9;>0HD?<4:FJ512?6JF229GM6587IG:3:FJ26=CA>90HD6<;EK:1>BN494=7IG311<5?AO;984=7IG313<5?AO;9:4=7IG315<5?AO;9<4>7IG31?78@L:56<1OE1=15:FJ81823MC793;4DH>5:0=CA5=596JF<9<6?AO;17<0HDXLLJf8@LPDDBUOE[GKE79GMSOCMm1OE[GKE^@ZTDUT:2ND?6J@029GK42:4DN370>BH9<90HB<<;EM07>BH<:1OC8=4DN40?AI0;2ND4>5KO878@J:76?1OC1??>79GK9766?1OC1?=>79GK9746?1OC1?;>79GK9726<1OC1?15:FL87823ME7?3;4DN>7:0=CG5?596J@<7<6?AI;?7?0HB27>49GK9?912NDBYQLASGf?ATDWZ_HOH]\FTN7?ATCQ<1O^DXJ3:FTA<=BFF___T@L109F\UGILLNTNNJPFHNF51=BPYKEHHJPCNLPPWGNF]Y:=6KWP@LGAAYN[LUMEAK7;DZWAWHFD<1MMA]J2:DG1>@CKYO37KKJDCC@O7=AN?1M\XMCKc9ETPEKCVEIYK:4FSCDf>@UINIDZH\JD`9EVDAXJHYNHo5IR@E\GJHAANk0J_OH_BMVJQ>@TJVK7=;06;GQA[D:6?730J^LPA=3;:<=A[KUJ0<718:DPFZG;9730J^LPA=03:<=A[KUJ0??19:DPFZG;:;427K]M_@>17;?89EWGYF4;?556H\B^C?638>3OYISL2=7?;8BVDXI583245ISC]B87?902LXNRO32?;8BVDXI59;2o5ISC]B867=8730J^LPA=12:==A[KUJ0>07;GQA[D:3611M_OQN<4<;?CUEWH6=255ISC]B828?3OYISL27>99EWGYF404:m6H\B^CX74[XI[Q8=PQjqtco[7Yhk2LXNROP0^KAQCb@TJVKT=?QFBTDg?CUEWHU:?RGMUGf8BVDXIV;?SDLZFe9EWGYFW8?TEO[Id:DPFZGX9?UBNXHk;GQA[DY6?VCIYKj4FR@\EZ7?W@H^Ji5ISC]B[4?XAK_Mo6H\B^C\5ZOE]On0J^LPA^03[LDRNm1M_OQN_33\MGSAl2LXNROP23]JFP@c3OYISLQ=3^KAQCb@TJVKT>;QFBTDg?CUEWHU9;RGMUGf8BVDXIV83SDLZFe9EWGYFW;3TEO[Ic:DPFZGX:VCIYKj4FR@\EZ57W@H^Ji5ISC]B[67XAK_Mo6H\B^C\7ZOE]Oi0J^LPA^6\MGSAk2LXNROP5^KAQCe@TJVKT;RGMUGa8BVDXIV2TEO[Ic:DPFZGX1VCIYK?4G068C@HBZH^NH-^@SU/EHLHS9;1LICK]AUGG$UIT\$M8<>5HNE78CWIRX81B>6G?2:K26>O5:2C8>6G;2:K60>OE]O?0EO[IG028MGSAWMOGMTQYAMWFg>OE]OUGCZQ_WS08MK203@D+6-?=012-456789:;<-~\ ;"UEIOC(3*:>=>?.123456789*{_-4/BDDLV@&=(+IGGD@-269JJ?7589:1|^4YAMKG>447890{_7LJFNPF>FJLAG90ECO<;HLA<>OINLXYII74IOKWWQGSMo1BB^K]TDF\FP@NG;90ECX7;HLUAWRBL11BB[K]TDPg?LHQM[^TEC^ZTRg8MKYIOMUJBIQMCE18MKr?3@DSDLZF29JKG>JHKBOO;6B@GHABH6=K]]>0@XZ<4:NVP123Dida}o}_GQA[D]49TUJ^V=>]^grqdjX:VeTot2>0?0;?HeheykySK]M_@Y05XYFZR9:QRk~u`n\6ZiXkp6:2?64MbmntdtXNZHTMV=>]^CQ_67ZWl{~maQ=_n]`}949:11Fobcas]EWGYFS:;VSL\T30_\atsfdV8TcRmv<2<1<>Kdgdzj~RH\B^CX74[XI[Q8=PQjqtco[7YhWjs783<7;LaliuguWOYISLU<1\]BV^56UVozylbP2^m\g|:26;20Anabp`p\BVDXIR9:QRO][23^[`wrieU9SbQly=4=6==Jkfg{mQISC]B_67ZWHXP?RaPcx>::7??R_dsveiY5WfUgyy2?>3c8IfijxhxTJ^LPAZ12YZGUS:;VShzam]1[jYk}}6:<3<6;LaliuguWOYISLU<1\]BV^56UVozylbP2^m\hpr;97827@m`mqcq[CUEWHQ8=PQNRZ12YZcv}hfT>RaPltv?6;4>3Dida}o}_GQA[D]49TUJ^V=>]^grqdjX:VeT`xz33?0:?HeheykySK]M_@Y05XYFZR9:QRk~u`n\6ZiXd|~783<6;LaliuguWOYISLU<1\]BV^56UVozylbP2^m\hpr;=7827@m`mqcq[CUEWHQ8=PQNRZ12YZcv}hfT>RaPltv?2;4>3Dida}o}_GQA[D]49TUJ^V=>]^grqdjX:VeT`xz37?0:?HeheykySK]M_@Y05XYFZR9:QRk~u`n\6ZiXd|~743<6;LaliuguWOYISLU<1\]BV^56UVozylbP2^m\hpr;17i0Ad``rWgqwlii:2D;>6@>9:LA[GSTAMRj7CLPBTQMEHC03GO_[B\D4:LLJ@>I: !tB<'&'I0B}M6/-v@8"%&&F0+*+M4FyA:#!E?'&)6:8K8./v@:!$%pF1+*+M7FyA<#!$sG<*K7-,/, @;!$%G=@K6-/.yA: B8$'&)()+M5,/ wC:&%&F2A|J1,,/A: uE9'&)**J5/./A;JuE8'%(H1)M1/.! #"j6A2(H2),{O6"@8"%85@=K30>IE]O?0CIJ]279LBCUB\:1DBH:4OQCG=>IW\@GBVHJ>7:MSPLKNRLU[^DCFTHTFWZH@K:1DYY<6;NWW$?&289:%<=>?01234%vT(3*JJYK] ;"!GIMNF+;<7B[[:4234?vT2HL_I_4LLJKM0>IR\}20CXZ{.QCG=>IR\}UDNXH>;Qc8T+479:;;=>m4P/0356779:UG?6^ND59SEWR13YOYEBJ7;QJZWLEFDh1[ECQMURKG\g=WAGUIY^@NMD`8TLHXAZIGCNEi;QNO[DBCWEOJGLIJ7:ROHZGC^8;0\ABPAWFG[FIJXVYNX55_LM]AEVC682ZG@RMCALR\F\VF[Z;:7]BC_BNBIUYUMOUXIAo4PMN\GIM7EQk0\ABPCMI2I]gRKKGD33?UJKWJF@JOAZT3]KP46VKDVIGGB[[0^LLGJSI\l1[@AQLLJMVP5YWEl1[@AQLLJMVP4YC\8:0\ABPCMILQQ7XMMMNi6^CL^AOOJSS9VOEi6^CL^AOOJSS9VB_i6^CL^AOOJSS9VF_=>5_LM]@HNIR\8UECNAZNUg8TIJXKEADYY?PPLg8TIJXKEADYYVKDVIGGB[[3^RNa>VKDVIGGB[[4^FW55=WDEUH@FAZT5]F@BCb3YFGSNBDOTV7[@Hb3YFGSNBDOTV7[MRb3YFGSNBDOTV7[IR6;2ZG@RMCKNWW0ZHHKF_EXh5_LM]@HNIR\=U[Ah5_LM]@HNIR\4PMN\GIMH]]?TIIIJe:ROHZEKCF__9RKAe:ROHZEKCF__9RF[e:ROHZEKCF__9RB[129SHIYDDBE^X8QAOBMVJQc01[@AQFNUDA54=WDEUBBRJCY^O[[VCKm2ZG@RGA_ENZ[VCSl2ZG@RG@_BNHWWE?3YFGSAHGFb9SHIYKGJATOCZi;QNO[IIDCVLISIBV119SHIYKGJAT^HHPDM[25>VKDVFDOFQ\AU]KMBNc3YFGS@OA_MD\AK>VKDVXNKRGAPTV4?UJKW[OXh6^CL^QFHZUKAYNn7]BC_RIFSZEI\[F:>6^CL^VFWQYNFV]BBIAXe:ROHZSIDFI@SN@[b:ROHZPCLVYN@k5_LM]UHCNNOAUOE^;4PNUFV6=WZL<0\^J@ND38U4=U?2XJANBD0b9QEHEKC9UDNXH8;SCNGIM6k2XJANBD1^MAQCgTBZLYD@[KPP@PBIV723[OXIYQ@N^NLWVYHNVFDOF64ROP\BIIW;2XDA>5]SU38W47<[?UBHI\PSS]@KKUX8:1XIAm4SDPGAVUSZFHN?6]JT99PAQTB[L^:=6]GRDE\A]RUIJ^TBJMj;RJQABYJAGUXEWK6;RKD[FIRF]k0_DCPDDTJG@g<[BO\OAEFN0c8WNCPKEABB?94SJGTVVR03ZAN[^ZM8:QHMUYWAG>0_AKX3:QMO40<[Y5\RBf8WWEX@@OYM_MGY79PVQ_WM?1XXLZZS99PPPELWH^?7^WAC89P]KEXI]^Yj6ZNRFGW[UGU\]S[I55[ESOJJDJ33]OXX85[ERV31>RB[];=7YK\T025?QCT\8;=7YK\T005?QCT\89=7YK\T065?QCT\8?=7YK\T045?QCT\8==7YK\T0:5?QCT\83>7YK\T348P@US:9<0XH][2048P@US:;<0XH][2248P@US:=<0XH][2448P@US:?<0XH][2648P@US:1?0XH][349WAVR3=2^N_Y;:;UGPP33<\LY_;85[ERV;1>RB[]3<7YK\T=2=<>RB[]6:<364TDQW847902^N_Y2>2?:8P@US489546ZJSU>20;><\LY_0<;18:VFWQ:6>720XH][<05=<>RB[]6:4364TDQW84?9?2^N_Y2>>99WAVR;:9437YK\T=02:==SMZ^7>?07;UGPP944611_I^Z325<;?QCT\58>255[ERV?638?3]OXX1<8>99WAVR;:14<7YK\T=0=3>RB[]682:5[ERV?0;1<\LY_0808;UGPP909?2^N_Y28>69WAVR;07=0XH][<8<27>RUIGYMC_CNTHMM[WSKMk1_^L@\P@PFJQ2<\[_N56Z\_BNHCWVe3]YTJHKKB@AH=>RTWFLM_HZ6;UQ\T@TNGM>0XT^Jc:WPAWYQAZCI@Hl4URG\@JSEDLX87[ML9:TJARYSQYO=7Zo}pMA2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK5?]USD@H<7U][_FLG3>^T\V\HO;5Wdc]J``=_laUHc|Mlnahw`=_laUHc|Fnwgqp46^ceVGbbb|Yesqjkk5k?5F;595~Uc039;:7?i7;300332=39?n5=tn227>4=i;9?1:6*=f781b7=z[jk1?=851g595621=62e0?1Xol4<0`82>453>6>:m869P5g4=;931>7?<47761?53j120_4`1289?:8;::26ai4l5k2g394?7=:rYo47=?6;3e3?7497=;b918 37=;890D<=>;o3ab?06?j:9547971d?;2.9j=4>b59U757=:r:o94>;t3gf?6?:;%303?7f>2h9j<4?:3`9=`<>1rB9ii5+2da96c7k0vb>>=:19j537=83.:ji4>619m5ce=821b=8h50;&2ba<6>91e=km51:9j50b=83.:ji4>619m5ce=:21b=8m50;&2ba<6>91e=km53:9j50d=83.:ji4>619m5ce=<21b=8o50;&2ba<6>91e=km55:9j50?=83.:ji4>619m5ce=>21b=8650;&2ba<6>91e=km57:9j501=83.:ji4>619m5ce=021b=8850;&2ba<6>91e=km59:9j503=83.:ji4>619m5ce=i21b=8:50;&2ba<6>91e=km5b:9j504=83.:ji4>619m5ce=k21b=8?50;&2ba<6>91e=km5d:9j506=83.:ji4>619m5ce=m21b=9h50;&2ba<6>91e=km5f:9j51c=83.:ji4>619m5ce=9910e<:k:18'5cb=9?:0b4c83>!7al3;=<6`>fb827>=n9=k1<7*>fe8225=i9oi1=954i06:>5<#9on1=;>4n0d`>43<3`;=47>5$0dg>4073g;mo7?9;:k222<72-;mh7?90:l2bf<6?21b=;850;&2ba<6>91e=km51998m402290/=kj51728j4`d28307d?94;29 4`c28<;7c?ic;3b?>o6>:0;6)?id;354>h6nj0:n65f17094?"6nm0::=5a1ga95f=f:9j`6<722c:m:4?::kg5?6=3`k86=44i9394?=h9o81<75`cg83>>i6;o0;66a=8183>>i50;0;66a72;29?g4a<3;1=7>50z&1af<60m1C>k=4H3gg?!57839;46*>b08051=i;981=6*=a381b4=h91k1<7*<0182ac=5<7s-8no7?>?:01f?!7f8390D?67;%3a5?56<2d85$223>3g<3`n26=4+312955$223>4ca32c=;7>5$223>31<3`85$223>7?632ch57>5$223>7>d32wi?n4>:783>5}#:li1=>l4H3d0?M4bl2.8<=4>3d9'5d6=;2B9455+1c39742=nl00;6)=?0;3:e>=h:>o1<7*<0182ac==n:>i1<7*<0181=4=<6<49:183!4bk3;8n6F=f29K6`b<,::;6<=j;%3b4?5<@;237)?m1;120>h48;0>7)o1;3:1(>>?:7c8?lb>290/?=>518c8?j40m3:1(>>?:0ge?>o1?3:1(>>?:758?l40k3:1(>>?:3;2?>od13:1(>>?:3:`?>{e=;0:6;4?:1y'6`e=9:h0D?h<;I0f`>"4890:?h5+1`297>N5011/=o?53068j6652?1/>l<52g38m35=83.8<=49a:9j`<<72-9;<7?6a:9l62c=83.8<=4>eg98m31=83.8<=497:9j62e=83.8<=4=9098mf?=83.8<=4=8b98yg32280=6=4?{%0fg?74j2B9j>5G2df8 667289n7)?n0;18L7>?3-;i=7=>4:l047<03-8j>75<#;9:1=4o4;n04a?6=,::;6;:k`=?6=,::;6?6l;:a13<62?0;6=u+2da956d<@;l87E53:J1<==#9k;1?<:4n221>==#:h81>k?4i7194?"4890=m65fd883>!5783;2m65`26g94?"4890:ik54i7594?"4890=;65f26a94?"489095<54ib;94?"489094n54}c74>4<1290;w)N5n:1C>hj4$223>45b3-;j<7=4H3:;?!7e939:86`<038:?!4f:38m=6g93;29 6672?k07dj6:18'756=90k07b<8e;29 66728om76g97;29 6672?=07d<8c;29 6672;3:76gl9;29 6672;2h76sm5982>3<729q/>hm512`8L7`43A8nh6*<01827`=#9h:1?6F=899'5g7=;8>0b>>=:`9'6d4=:o;0e;=50;&045<1i21bh44?:%134?7>i21d>:k50;&045<6mo10e;950;&045<1?21b>:m50;&045<51810en750;&045<50j10qo;6:085>5<7s-8no7?>?:01f?!7f8390D?67;%3a5?56<2d85$223>3g<3`n26=4+312955$223>4ca32c=;7>5$223>31<3`85$223>7?632ch57>5$223>7>d32wi9l4>:783>5}#:li1=>l4H3d0?M4bl2.8<=4>3d9'5d6=;2B9455+1c39742=nl00;6)=?0;3:e>=h:>o1<7*<0182ac==n:>i1<7*<0181=4=h48;0o7)o1;3:1(>>?:7c8?lb>290/?=>518c8?j40m3:1(>>?:0ge?>o1?3:1(>>?:758?l40k3:1(>>?:3;2?>od13:1(>>?:3:`?>{e;l0:6;4?:1y'6`e=9:h0D?h<;I0f`>"4890:?h5+1`297>N5011/=o?53068j6652l1/>l<52g38m35=83.8<=49a:9j`<<72-9;<7?6a:9l62c=83.8<=4>eg98m31=83.8<=497:9j62e=83.8<=4=9098mf?=83.8<=4=8b98yg5a280=6=4?{%0fg?74j2B9j>5G2df8 667289n7)?n0;18L7>?3-;i=7=>4:l04775<#;9:1=4o4;n04a?6=,::;6;:k`=?6=,::;6?6l;:a05<62?0;6=u+2da956d<@;l87E53:J1<==#9k;1?<:4n221>46<,;k96?h>;h40>5<#;9:1:l54ie;94?"4890:5l54o35f>5<#;9:1=hh4;h44>5<#;9:1::54i35`>5<#;9:1>4?4;ha:>5<#;9:1>5m4;|`75?7=>3:1"6j808=95a310954=#:h81>k?4i7194?"4890=m65fd883>!5783;2m65`26g94?"4890:ik54i7594?"4890=;65f26a94?"489095<54ib;94?"489094n54}c61>4<1290;w)N5n:1C>hj4$223>45b3-;j<7=4H3:;?!7e939:86`<03826>"5i;09j<5f6283>!5783!5783;nj65f6683>!5783<<76g=7b83>!578382=65fc883>!578383o65rb5195?0=83:p(?kl:01a?M4a;2B9ii5+312956c<,8k;6>5G29:8 4d62:;?7c=?2;30?!4f:38m=6g93;29 6672?k07dj6:18'756=90k07b<8e;29 66728om76g97;29 6672?=07d<8c;29 6672;3:76gl9;29 6672;2h76sm4582>3<729q/>hm512`8L7`43A8nh6*<01827`=#9h:1?6F=899'5g7=;8>0b>>=:068 7g52;l:7d8<:18'756=>h10ei750;&045<61h10c?9j:18'756=9ll07d88:18'756=>>10e?9l:18'756=:0;07dm6:18'756=:1i07pl;5;392?6=8r.9in4>3c9K6c5<@;oo7)=?0;30a>"6i9087E<78:&2f4<49=1e?=<5149'6d4=:o;0e;=50;&045<1i21bh44?:%134?7>i21d>:k50;&045<6mo10e;950;&045<1?21b>:m50;&045<51810en750;&045<50j10qo:9:085>5<7s-8no7?>?:01f?!7f8390D?67;%3a5?56<2d86:&1e7<5n81b:>4?:%134?0f32co57>5$223>4?f32e9;h4?:%134?7bn21b::4?:%134?0032c9;n4?:%134?4>921bo44?:%134?4?k21vn9651;494?6|,;oh6<=m;I0e7>N5mm1/?=>512g8 4g72:1C>564$0`2>6733g9;>7?8;%0b6?4a92c=?7>5$223>3g<3`n26=4+312955$223>4ca32c=;7>5$223>31<3`85$223>7?632ch57>5$223>7>d32wi844>:783>5}#:li1=>l4H3d0?M4bl2.8<=4>3d9'5d6=;2B9455+1c397427`63`<86=4+31292d=b08051=i;981=45+2`096c7=nl00;6)=?0;3:e>=h:>o1<7*<0182ac==n:>i1<7*<0181=4=i6<49:183!4bk3;8n6F=f29K6`b<,::;6<=j;%3b4?5<@;237)?m1;120>h48;0:m6*=a381b4=n>:0;6)=?0;4b?>oc13:1(>>?:0;b?>i5?l0;6)=?0;3fb>=n>>0;6)=?0;44?>o5?j0;6)=?0;0:5>=nk00;6)=?0;0;g>=zj=i1=7850;2x 7cd289i7Ek4$0c3>6=O:120(:237?k57:3;i7)o1;3:1(>>?:7c8?lb>290/?=>518c8?j40m3:1(>>?:0ge?>o1?3:1(>>?:758?l40k3:1(>>?:3;2?>od13:1(>>?:3:`?>{e"4890:?h5+1`297>N5011/=o?53068j66528i0(?o=:3d2?l04290/?=>56`98ma?=83.8<=4>9`98k71b290/?=>51dd8?l00290/?=>56698m71d290/?=>52838?le>290/?=>529a8?xd3m3;1:7>50z&1af<6;k1C>k=4H3gg?!5783;8i6*>a180?M4?02.:n<4<159m754=9m1/>l<52g38m35=83.8<=49a:9j`<<72-9;<7?6a:9l62c=83.8<=4>eg98m31=83.8<=497:9j62e=83.8<=4=9098mf?=83.8<=4=8b98yg2a280=6=4?{%0fg?74j2B9j>5G2df8 667289n7)?n0;18L7>?3-;i=7=>4:l047<6m2.9m?4=f09j26<72-9;<78n;:kg=?6=,::;6<7n;:m13`<72-9;<7?jf:9j22<72-9;<788;:k13f<72-9;<7<61:9jg<<72-9;<7<7c:9~f06=93<1<7>t$3g`>45e3A8m?6F=ee9'756=9:o0(<,8h:6>?;;o136?7a3-8j>75<#;9:1=4o4;n04a?6=,::;6;:k`=?6=,::;6?6l;:a14<62?0;6=u+2da956d<@;l87E53:J1<==#9k;1?<:4n221>76<,;k96?h>;h40>5<#;9:1:l54ie;94?"4890:5l54o35f>5<#;9:1=hh4;h44>5<#;9:1::54i35`>5<#;9:1>4?4;ha:>5<#;9:1>5m4;|`67?7=>3:1"6j808=95a310964=#:h81>k?4i7194?"4890=m65fd883>!5783;2m65`26g94?"4890:ik54i7594?"4890=;65f26a94?"489095<54ib;94?"489094n54}c77>4<1290;w)N5n:1C>hj4$223>45b3-;j<7=4H3:;?!7e939:86`<03816>"5i;09j<5f6283>!5783!5783;nj65f6683>!5783<<76g=7b83>!578382=65fc883>!578383o65rb0d1>4<1290;w)N5n:1C>hj4$223>45b3-;j<7=4H3:;?!7e939:86`>3282<>"6i00:?l5a310966=#:h81>k?4i7194?"4890=m65fd883>!5783;2m65`26g94?"4890:ik54i7594?"4890=;65f26a94?"489095<54ib;94?"489094n54}c3g4?7=?3:11e?=<5259'6d4=:o;0e<7?:18'756=9h1e>kk50:9j5<7=83.8<=4>a:l1b`<632c:5?4?:%134?7f3g8mi7<4;h3:7?6=,::;66=i6m:0;6)=?0;3fb>=zj8i>6<4;:183!4bk3;h?6F=f29K6`b<,::;6m21bil4?:%134?7>l21b>5j50;&045<50o10cd382>2<729q/>hm51c:8L7`43A8nh6*<0181417`63`;2<7>5$223>4g5<#;9:1=l5a2gg95>=n9081<7*<0182e>h5nl0976g>9283>!5783;j7c<3:1(>>?:0c8j7`b2=10e<7::18'756=9h1e>kk55:9l5`5=83.8<=4>eg98yg7d?3;187>50z&1af<6k:1C>k=4H3gg?!5783;h>6*>b08051=i;981>:5+2`096c75$223>4ca32wi=i=51;594?6|,;oh6N5mm1/?=>529`8 4d62:;?7)?n0;34?k57:3837)o6190;6)=?0;3b?k4am3:07d?61;29 66728k0b?hj:098m4?5290/?=>51`9m6cc=:21b=4=50;&045<6i2d9jh4<;:k2=1<72-9;<7?n;o0ea?2<3`;297>5$223>4g5<#;9:1=hh4;|`2g=<62=0;6=u+2da95f5<@;l87E518g8?lcf290/?=>518f8?l4?l3:1(>>?:3:e?>i6m:0;6)=?0;3fb>=zj8n?6<48:183!4bk3;i46F=f29K6`b<,::;6?6m;%3a5?56<2.:m=4>7:l047<5i2.9m?4=f09j5<6=83.8<=4>a:l1b`<732c:5<4?:%134?7f3g8mi7?4;h3:6?6=,::;67=o61<0;6)=?0;3b?k4am3?07b?j3;29 66728om76sm1b;95?2=83:p(?kl:0a0?M4a;2B9ii5+31295f4<,8h:6>?;;o136?4e3-8j>7eg98yg7c=3;1;7>50z&1af<6j11C>k=4H3gg?!578383n6*>b08051=#9h:1=:5a31096f=#:h81>k?4i0;3>5<#;9:1=l5a2gg94>=n90;1<7*<0182e>h5nl0:76g>9383>!5783;j7c;3:1(>>?:0c8j7`b2:10e<7;:18'756=9h1e>kk54:9j5<3=83.8<=4>a:l1b`<232e:i>4?:%134?7bn21vn5<7s-8no7?l3:J1b6=O:ln0(>>?:0a1?!7e939:86`<0381`>"5i;09j<5f6983>!5783;2i65fe`83>!5783;2h65f29f94?"489094k54o0g0>5<#;9:1=hh4;|`2`3<62>0;6=u+2da95g><@;l87E>=:3g8 7g52;l:7d?60;29 66728k0b?hj:198m4?6290/?=>51`9m6cc=921b=4<50;&045<6i2d9jh4=;:k2=6<72-9;<7?n;o0ea?5<3`;287>5$223>4g5<#;9:1=l5a2gg91>=h9l91<7*<0182ac=5G2g18L7cc3-9;<7?l2:&2f4<49=1e?=<52g9'6d4=:o;0e;650;&045<61l10eho50;&045<61m10e?6k:18'756=:1l07b?j3;29 66728om76sm1e595?1=83:p(?kl:0`;?M4a;2B9ii5+31296=d<,8h:6>?;;%3b4?703g9;>7=?;%0b6?4a92c:5=4?:%134?7f3g8mi7>4;h3:5?6=,::;64=65f18194?"4890:m6`=fd80?>o61=0;6)=?0;3b?k4am3>07d?65;29 66728k0b?hj:498k4c4290/?=>51dd8?xd6kj0:694?:1y'6`e=9j90D?h<;I0f`>"4890:o?5+1c39742?4$3c1>7`63`<36=4+312955$223>7>a32e:i>4?:%134?7bn21vn5<7s-8no7?m8:J1b6=O:ln0(>>?:3:a?!7e939:86*>a1823>h48;08>6*=a381b4=n90:1<7*<0182e>h5nl0;76g>9083>!5783;j7c:3:1(>>?:0c8j7`b2;10e<7<:18'756=9h1e>kk53:9j5<2=83.8<=4>a:l1b`<332c:584?:%134?7f3g8mi7;4;n3f7?6=,::;61<7>t$3g`>4e43A8m?6F=ee9'756=9j80(:237?k57:3987)o103:1(>>?:0;f?>obi3:1(>>?:0;g?>o50m0;6)=?0;0;b>=h9l91<7*<0182ac=5169m754=;=1/>l<52g38m4?7290/?=>51`9m6cc=821b=4?50;&045<6i2d9jh4>;:k2=7<72-9;<7?n;o0ea?4<3`;2?7>5$223>4g54i0;7>5<#;9:1=l5a2gg90>=n90?1<7*<0182e>h5nl0>76a>e283>!5783;nj65rb0af>4<3290;w)N5n:1C>hj4$223>4e53-;i=7=>4:l047<4=2.9m?4=f09j2=<72-9;<7?6e:9jad<72-9;<7?6d:9j6=b=83.8<=4=8g98k4c4290/?=>51dd8?xd6lh0:6:4?:1y'6`e=9k20D?h<;I0f`>"489094o5+1c39742<,8k;6<94n221>60<,;k96?h>;h3:4?6=,::;65=o61:0;6)=?0;3b?k4am3907d?64;29 66728k0b?hj:598m4?2290/?=>51`9m6cc==21d=h=50;&045<6mo10qo?lf;390?6=8r.9in4>c29K6c5<@;oo7)=?0;3`6>"6j808=95a310972=#:h81>k?4i7:94?"4890:5h54idc94?"4890:5i54i3:g>5<#;9:1>5h4;n3f7?6=,::;6t$3g`>4d03A8m?6F=ee9'756=:1h0(:237?!7f83;37c=?2;1;?!4f:38m=6g>9183>!5783;j7c93:1(>>?:0c8j7`b2810e<7=:18'756=9h1e>kk52:9j5<5=83.8<=4>a:l1b`<432e:i>4?:%134?7bn21vn5<7s-8no7?l3:J1b6=O:ln0(>>?:0a1?!7e939:86`<0380=>"5i;09j<5f6983>!5783;2i65fe`83>!5783;2h65f29f94?"489094k54o0g0>5<#;9:1=hh4;|`:4?7=03:19;0:6>"6j808=95a31097d=#:h814:5fd`82>>ocj3;17d8;:08K5cc<3`<>6<4G1gg8L7`?32c=;7?5;h04b?7=3`83h7?5;n04a?7=3th3j7?58;294~"5mj0:i45G2g18L7cc3-;ni7=?b:&f0d<@;k27)=?0;3f=>"61382>6*>b08051=i;981?o5+2`09<2=nlh0:66gkb;39?l03280C=kk4;h46>49;0:6>"6j808=95a31097f=#:h814:5fd`82>>ocj3;17d8;:08K5cc<3`<>6<4G1gg8L7`?32c=;7?5;h04b?7=3`83h7?5;n04a?7=3th347?58;294~"5mj0:i45G2g18L7cc3-;ni7=?b:&f0d<@;k27)=?0;3f=>"61382>6*>b08051=i;981?i5+2`09<2=nlh0:66gkb;39?l03280C=kk4;h46>4?;;o136?5b3-8j>77>;hfb>4<M7am21b:84>:I3ea>N5n110e;951;9j62`=931b>5j51;9l62c=931vn4h51;094?6|,;oh68k4H3d0?M4bl2.:ih4<0c9'756=>01/=o?53068j6652:l0(?o=:838k4c4290/?=>51dd8?l7?n3:1(>>?:0;b?>{e1h0:6?4?:1y'6`e==l1C>k=4H3gg?!7bm39;n6*<0185=>"6j808=95a310905=#:h815<5`1d194?"4890:ik54i0:e>5<#;9:1=4o4;|`:1?7=:3:1N5n:1C>hj4$0gf>66e3-;;i7l74$223>36<,831>4<4$0`2>6733g9;>7:>;%0b6??63f;n?7>5;h3;b?6=@8ln76sm9682>7<729q/>hm51858L7`43A8nh6*>ed804g=#>?0:?=5+18;96`1<,::;6;74$0cf>4?f3A;jo6*>b08051=#9021?6`<03876>"5i;02=6g>8g83>!5783;2m65`1d194?"4890:ik54}c;g>4<5290;w)N5n:1C>hj4$0gf>66e3-<=6<=?;%3:=?4b?2.8<=499:&2e`<61h1C=lm4$0`2>6733-;247=4n221>15<,;k964?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<5459'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm19395?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;981885+2`096c75$223>4ca32wi=:h51;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754=l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e9>o1=7<50;2x 7cd283=7E"6im0:n45a310902=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<5499'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm16a95?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;981845+2`096c75$223>4ca32wi=:l51;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754=

l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e9>k1=7<50;2x 7cd283=7E"6im0:n45a31090g=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<54b9'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm16:95?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;9818i5+2`096c75$223>4ca32wi=:951;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754=l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e9><1=7<50;2x 7cd283=7E"6im0:n45a31090c=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<5519'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm16195?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;9819<5+2`096c75$223>4ca32wi=:<51;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754==;1/>l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e9>;1=7<50;2x 7cd283=7E"6im0:n45a310916=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<5559'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm17d95?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;981985+2`096c75$223>4ca32wi=;k51;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754==?1/>l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e9?n1=7<50;2x 7cd283=7E"6im0:n45a310912=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<5599'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm17`95?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;981945+2`096c75$223>4ca32wi=5751;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754==h1/>l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e9121=7<50;2x 7cd283=7E"6im0:n45a31091g=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<55b9'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm19495?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;9819i5+2`096c75$223>4ca32wi=5;51;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754==l1/>l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e91>1=7<50;2x 7cd283=7E"6im0:n45a31091c=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6t$3g`>4?13A8m?6F=ee9'756==m1C=lm4$0cf>4?f3-;i=7=>4:&2ea<6j01e?=<5619'6d4=:o;0e<6i:18'756=90k07b?j3;29 66728om76sm19295?4=83:p(?kl:0;5?M4a;2B9ii5+31291a=O9hi0(ae82f<=i;981:<5+2`096c75$223>4ca32wi=:;51;094?6|,;oh6<79;I0e7>N5mm1/?=>55e9K5de<,8kn6<7n;%3a5?56<2.:mi4>b89m754=>;1/>l<52g38m4>a290/?=>518c8?j7b;3:1(>>?:0ge?>{e9?k1=7<50;2x 7cd283=7E"6im0:n45a310926=#:h81>k?4i0:e>5<#;9:1=4o4;n3f7?6=,::;6?;;%3b`?7e12d8a982>7<729q/>hm51848L7`43A8nh6*<0186`>N6ij1/=lk518c8 4d62:;?7)?nd;3a=>h48;0=96*=a381b4=n91l1<7*<0182=d=7?52;294~"5mj0:5;5G2g18L7cc3-9;<7;k;I3bg>"6il0:5l5+1c39742<,8ko67eg98yg7a<3;1>7>50z&1af<6m11C>k=4H3gg?!5783?o7E?nc:&2e`<6mo1/=o?53068j4542820(o60o0;6)=?0;3:e>=h9l91<7*<0182ac=b08051=i9:91=55+1`f95g?7`63`;3j7>5$223>4?f32e:i>4?:%134?7bn21vn<:?:081>5<7s-8no7?j8:J1b6=O:ln0(>>?:4f8L4gd3-;ji7?jf:&2f4<49=1e=>=5199'5db=9k30b>>=:7;8 7g52;l:7d?7f;29 667283j76a>e283>!5783;nj65rb3:2>4<5290;w)N5n:1C>hj4$223>0b<@8kh7)?ne;3fb>"6j808=95a12195==#9hn1=o74n221>3g<,;k96?h>;h3;b?6=,::;6<7n;:m2a6<72-9;<7?jf:9~f7>428096=4?{%0fg?7b02B9j>5G2df8 6672>?:0;b?>i6m:0;6)=?0;3fb>=zj191=7<50;2x 7cd28o37Eh6;:0:46*>ae82f<=i;981:n5+2`096c75$223>4ca32wi444>:783>5}#:li1=>l4H3d0?M4bl2.8<=4>3d9'5d6=;2B9455+1c39742=1=nl00;6)=?0;3:e>=h:>o1<7*<0182ac==n:>i1<7*<0181=4=75$223>4g5<#;9:1=l5a2gg96>=h9l91<7*<0182ac=6<4;:183!4bk3;h?6F=f29K6`b<,::;6m21bil4?:%134?7>l21b>5j50;&045<50o10ca39K6c5<@;oo7)=?0;7g?!7e939:86`<03844>"5i;03;6g>8g83>!5783;2m65`1d194?"4890:ik5G2gd8?xd><3;1>7>50z&1af<6i;1C>k=4H3gg?!5783?o7)?m1;120>h48;0<=6*=a38:5>o60o0;6)=?0;3:e>=h9l91<7*<0182ac=O:ol07pl7b;396?6=8r.9in4>a39K6c5<@;oo7)=?0;7g?!7e939:86`<03846>"5i;03;6g>8g83>!5783;2m65`1d194?"4890:ik5G2gd8?xdf83:1n7?55zJ1aa=#:li1>=<4$0gf>66e3-?h62c<,jh1=>74$3::>45f3g=m6n5aac85?kga281en>4>;o`4>4=ijk0:7cli:09m55e=92l3<7>4$`;954=aij0;"85iae83?cd729$>7kl>:19ef1<7&<1mn84?;g`;>5(23oh26=5ibb83*0=ajm0;7km?:1,6?ce6291m>5:50/23<>N5011/=o?53068j6652>90(?o=:838md5=831b;i4?::mbe?6=3f=n6=44i3:`>5<>iei3:17blj:188kg4=831d=o=50;9a656=93<86=4?{%0fg?4782.95;4=a19K6=>"58:0:?l5a21695>h58<0;7c=650:l14<<73g8;m7>4n32a>5=#:9i1>h94n32g>5=i:9o1<6*=0g84?!46838n;6*=108b?!46:3k0(??<:3g4?!46<3=0(??::3g4?!46>3;8m6*=168b?!4603k0(??6:3g4?!46i3>0(??m:69'64e=:l=0(??k:01b?!46m3k0(??i:`9'676=:l=0(?<>:59'674=?2.9>>4=e69'672=9:k0(?<::`9'670=i2.9>:4=e69'67>=<2.9>448;%01e?4b?2.9>o4>3`9'67e=i2.9>i4n;%01a?4b?2.9>k4;;%004?1<,;9:6?k8;%006?74i2.9?>4n;%000?g<,;9>6?k8;%002?2<,;9<6:5+22:96`1<,;926<=n;%00e?g<,;9i6l5+22a96`1<,;9o695+22g93>"5;o09i:5+252956g<,;>:6l5+2509e>"5<:09i:5+25690>"5<<0:?l5a25495>"5<>09i:5a25:95>h5<00;7)<;a;0f3>"5"5"5=>09i:5+24:96`1<,;?26<=n;%06e?74i2.99o4>3`9'60e=9:k0(?;k:01b?!42m3;8m6*=5g827d=#:?:1=>o4$342>45f3-8=>7==i:?<1<6`=6680?k4103o;7)<99;33?!41i3;>7)<9b;d8 70d2;o<7)<9d;78 70b2;o<7)<9f;58j717211e>:?51:&137<6;h1/>:=52d58j713281/>:;512c8j711291/>:9512c8j71?2?:0b?96:19'62g=:l=0D?o6;%134?4782.:57<62:&2f4<49=1/9n4>ee9m3c"f?3;:7com:79eef<7&<1mmi4?;oce>4=aj90;"85ib083?kd4281mn94?.49ef0<73gh<6<5ib983*0=aj00;7clm:09eff<7&<1mni4?;o`e>4=ak90;"85ic083?!e?2>o0(nl512;8j46d281m>5:50/23<>h48;0<86*=a38:5>N6i81dn;4?::k4`?7=@8ln76al5;29?l4>13:1D?7m;:m4a?7=3`o<6=4G1gg8?l7ej3:1D?7m;:m`2?6=3fkn6=44id;94?=hjl0;66gn8;29?lg1290C=kk4;na7>5<=n:0=1<7F=9c98k2e=831dml4>::mae?6=3`;im7>5H3;a?>o6;<0;6E<6b:9j6=3=831bm94>:I3ea>=n:021<7F=9c98kg4=831do?4?::m2f6<722cn:7>5$0fe>aedd80?>ob<3:1(;:kf6?6=,8nm6im4n0ff>5=dd8f?>oan3:1(g=dg8fe>h6ll0j76g>0`83>!7cn3oj7c?ke;;8?l7713:1(7:18'5a`=mh1e=ik57:9j551=83.:hk4ja:l2``<132c:<;4?:%3gb?cf3g;oi7;4;h331?6=,8nm6ho4n0ff>1=dd81?>o68;0;6)?kf;gb?k7cm3;07dhm:18'5a`=mh1e=ik50:9l6ae=83.:hk4=a`9m5ac=9j1C>4l4;n0gf?6=,8nm6?on;o3ga?7e3A82n65`2ec94?"6lo09ml5a1eg95d=O:0h07bj21d>i650;&2`c<5ih1e=ik5199K65$0fe>7gf3g;oi7?8;I0:f>=h:m<1<7*>dg81ed=i9mo1=;5G28`8?j4c=3:1(7E<6b:9l6a2=83.:hk4=a`9m5ac=9=1C>4l4;n0g6?6=,8nm6?on;o3ga?743A82n65`2e394?"6lo09ml5a1eg957=O:0h07bj21d>nh50;&2`c<5ih1e=ik5119K65$0fe>7gf3g;oi7h4H3;a?>i5km0;6)?kf;0be>h6ll0n7E<6b:9l6fe=83.:hk4=a`9m5ac=l2B95o54o3aa>5<#9ml1>lo4n0ff>f=O:0h07b=h:l>1<7*>dg81ed=i9mo156F=9c98k7c4290/=ih52`c8j4bb211C>4l4;n0f6?6=,8nm6?on;o3ga?1<@;3i76a=e083>!7cn38jm6`>dd85?M4>j21d>h>50;&2`c<5ih1e=ik55:J1=g=N51k10c?m7:18'5a`=:hk0b5$0fe>46a3g;oi7h4;n311?6=,8nm6<>i;o3ga?c<3f;987>5$0fe>46a3g;oi7j4;n317?6=,8nm6<>i;o3ga?e<3f;9>7>5$0fe>46a3g;oi7l4;n315?6=,8nm6<>i;o3ga?g<3f;9j7>5$0fe>46a3g;oi774;n31a?6=,8nm6<>i;o3ga?><3f;9h7>5$0fe>46a3g;oi794;n31g?6=,8nm6<>i;o3ga?0<3f;9n7>5$0fe>46a3g;oi7;4;n31e?6=,8nm6<>i;o3ga?2<3f;957>5$0fe>46a3g;oi7=4;n31i;o3ga?4<3f;9;7>5$0fe>46a3g;oi7?4;n314?6=,8nm6<>i;o3ga?6<3tyj?7>52z\b7>;5890j86s|7e83>7}Y?m16>=>57e9~wdg=838pRlo4=323>dg7}Y:1i01?>?:3:`?x{ei:0:6<4?:1y'756=9o20D?kk;I3:b>"6il0:5l5+1`c95`75<#;9:1=k64Z3g;>4}f;3w0qo6>:082>5<7s-9;<7?i8:J1aa=O90l0(o6n10;6)=?0;3e<>\5m10:w5?5}:a537=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;5;?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a50b=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;5b?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a50d=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;5`?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a50?=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;5f?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a501=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;:3?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a503=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;:1?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a504=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;:7?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a506=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(7d?i8;29 66728l37WN61o1/=lk518c8 4gf28o:7c=?2;:5?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a51c=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;:;?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a51e=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;:b?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a51g=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;:`?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a53>=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;:f?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a530=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;;3?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a532=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;;1?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a534=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(N61o1/=lk518c8 4gf28o:7c=?2;;7?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a505=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=90k0(7d?i8;29 66728l37WN61o1/=lk518c8 4gf28o:7c=?2;;5?l7a03:1(>>?:0d;?_4bi3;p=4m5}:a`6<6280;6=u+31295c><@;oo7E?6f:&2e`<61h1/=lo51d38j66520=0e:083>5}#;9:1=k64H3gg?M7>n2.:mh4>9`9'5dg=9l;0b>>=:8:8m4`?290/?=>51g:8^7cf28q:5n4r;|`g5?7=93:1i2.:ml4>e09m754=101b=k650;&045<6n110qo?i3;395?6=8r.8<=4>f99K6`b<@83m7)?ne;3fb>h51j0><6`<038:e>i6n10;6)=?0;3e<>\5mh0:w:|9~ff`=93;1<7>t$223>4`?3A8nh6F>9g9'5dc=9ll0b?7l:428j66520h0c3g82>4<729q/?=>51g:8L7cc3A;2j6*>ad82ac=i:0i19=5a3109=f=h9o21<7*<0182b==5280:6=4?{%134?7a02B9ii5G18d8 4gb28om7c<6c;73?k57:33n7b?i8;29 66728l376sm8382>4<729q/?=>51g:8L7cc3A;2j6*>ad82ac=i:0i19=5a3109=c=h9o21<7*<0182b==]:l31=vm5}:p5=4=839p18:5d89>5=4=9l901:0;3?xu6080;6>u2528g=>;6080:i>521e395<753z?65?b>34;d882=4=z{8=j6=4<{<6`>a?<58=j6:2wx=:750;1x91d=l016=:751d1894b?283;7p}>7983>6}:7982a6=:9m21=4?4}r343?6=;r7?57j6;<343?7b;27:h54>939~w4112908w0:7:e;8941128o870?k7;3:4>{t9>>1<7=t=549`<=:9>>1=h=4=0f4>4?63ty:;>4?:2y>004>e29>5a1=9080q~?82;297~;3<3n270?82;3f7>;6l?0:5=5rs052>5<4s4>86i74=052>4c434;o:7?61:p526=839p19<5d89>526=9l901o0;6>u2408g=>;6>o0:i>521e795<653z?74?b>34;=i7?j3:?2`0<6181v<8k:18085a2m301<8k:0g0?87c=3;2>6s|17a94?5|5:o1h45217a95`5<58n?6<7?;|q22g<72:q6?i4k9:?22g<6m:16=i:51838yv7?13:1?v3:a;f:?87?13;n?63>d582=7=z{8236=4<{<7:>a?<5823682wx=5950;1x90>=l016=5951d1894b4283:7p}>8783>6}:=>0o563>8782a6=:9m91=4<4}r3;1?6=;r7>:7j6;<3;1?7b;27:h?4>919~w4>32908w0;::e;894>328o870?k2;3:5>{t9191<7=t=409`<=:9191=h=4=0f1>4?53ty:4=4?:2y>02e29>5a6=90:0q~?85;297~;4k3n270?85;3f7>;6l90:5<5rs04b>5<4s49i6i74=04b>4c434;o<7?62:p`2<72;q6h:4>e29>`3<6181v{tl;0;6?u2d382a6=:l?0:5=5rs`194?5|Vh9014j519d89d5=9o20q~6>:180[>6343<6<6i;<:2>4`?3ty8?7>52z?60?40m27:h<4>939~w64=838p18=526g894b628387p}<0;296~;2938d`82=6=z{;l1<7o01v3;d;04a>;6l00:595rs3`94?4|5=i1>:k4=0f:>4?23ty9m7>52z?7f?40m27:h54>929~w7?=838p19o526g894b?283?7p}=8;296~;3138d982=0=z{;=1<7o01v3;4;04a>;6l?0:5>5rs3094?4|5=91>:k4=0f5>4?33ty9=7>52z?76?40m27:h;4>949~w76=838p19?526g894b228387p}>f;296~;3838d482=1=z{8o1<76<7:;|q2`?6=:r78i7<8e:?2`1<61:1vo01v3:a;04a>;6l=0:585rs2;94?4|5<31>:k4=0f0>4?43ty847>52z?64>959~w61=838p189526g894b4283>7p}<6;296~;2>38d382=6=z{:?1<7>7<8e:?2`7<61<1v>?50;0x911=:>o013:1>v3;6l90:595rs0`94?4|5:h1>:k4=0f3>4?23ty::44?:3y>5f0=9l901i;5699~wa2=838p10;6?6t=2`926=:;j0=?63;7;40?8352?9018;5629>13<1;27>;78<;<7;>35<5<31:>525`857>;4l3<870=j:71896`=>:168=493:?75?0434>96;=4=51926=:<=0=?63;5;40?8212?901965629>0<<1;27?m78<;<6a>35<5=i1:>524e857>;3m3<870:i:718906=>:169<493:?67?0434??6;=4=0d1>35<50:1:9528g850>;?k3<`<60o1vi>50;0x9=>=:>o01i>519d8yv7383:1>v37c;04a>;6<90:4k5rs3:2>5<5s42m6?9j;<0;5?7?n2wx>5=50;0x9<6=:>o01?6<:0:e?xu6n=0;6?u21g0962c<58l?6<6i;|q2`f<728ip1?h;:0:b?87d=3oj70?l7;gb?87d03oj70?l9;gb?87di3oj70?lb;gb?87dk3oj70?ld;gb?87dm3oj70?lf;gb?87d>3oj707?:ec89<6=:>l014>529f89=`=lh164k4=7g9>7>c34n>6ho4=`296=e5bz?1b0<6n<16=n;5699>=5<1?272<7jm;<:e>31<51l1ho528b853>;?03<<7067:e`89>165o4ka:p<<<72=q64n4ka:?;g?be34226?9j;<:a>4>a3ty3h7>55z?:4?02342m6;;4=9a920=:010=9637e;3f7>{t9m:1<74c434;h97<7d:p5f3=838p1d383>7}:9m81=h=4=0a4>7>c3ty:o:4?:3y>5f1=9l901v3>d282a6=:9j21>5j4}r3`e29>5f?=>11vc8815a0=9l901e29>5fe=:1n0q~?lc;296~;6kj0:i>521bf92==z{8n36=4={<3g;6kl0=46s|1e;94?4|58n26521b496=b33<50>1=h=4}r;1>5<4s43j632<50>1=5h4}r;;>5<5s43>62b4>a34k;6lo4}r;:>5<5s43j6<6i;2c4c4343>6<6i;|q:g?6=:r72h7?j3:?b4?g43ty::<4?:2y]537<58296<6i;<355?7a02wx=8h50;1xZ43a34;3=7?7f:?21c<6n11v<;k:180[72l27:;k4>8g9>50b=9o20q~?:c;297~X6=j16=:k519d8943d28l37p}>5c83>6}Y9;6=h0:j55rs07:>5<4sW;>563>7c824>a34;>;7?i8:p500=839pR<;9;<34f99~w4322908wS?:5:?232<60o16=8;51g:8yv72<3:1?vP>559>520=91l01<;;:0d;?xu6=;0;6>uQ14089413282m70?:2;3e<>{t9<;1<7=t^072?870;3;3j63>5082b==z{8?;6=4<{_364>;6?;0:4k5214295c>53z\20c=:9>;1=5h4=06e>4`?3ty:8h4?:2y]51c<58=;6<6i;<37a?7a02wx=9j50;1xZ42c34;=j7?7f:?20a<6n11v<:l:180[73k27::h4>8g9>51e=9o20q~?;b;297~X64`83>6}Y9=k01<8l:0:e?873i3;m46s|15;94?5|V8>270?9b;3;b>;6<00:j55rs04;>5<4sW;=463>88824>a34;=:7?i8:p533=839pR<8:;<3;2?7?n27::84>f99~w4032908wS?94:?2<0<60o16=;:51g:8yv71;3:1?vP>629>5=2=91l01<8<:0d;?xu6>;0;6>uQ170894>4282m70?92;3e<>{t95d82b==z{8?86=4<{_367>;6?<0:4k5214195c>53z\20==:9?k1=5h4=06;>4`?3tyo?7>53z\g7>;c?3;3j63k3;3e<>{t9h=1<7=t^0c4?87f03;3j63>a682b==z{m;1<7=t^e389a4=91l01i?51g:8yv7a:3:1?vP>f39>5c2=9l901f99~w7>72908wS<70:?1<4<6m:16>5>51g:8yv4?:3:1?vP=839>6=5=9l901?6=:0d;?xu?:3:1?vP72:?;7?7b;273>7?i8:p`3<6m:16h84=8e9~yk53l3:1=vF=ee9m754=82we?9k50;3xL7cc3g9;>7?4}o17b?6=9rB9ii5a31096>{i;<:1<7?tH3gg?k57:390qc=:1;295~N5mm1e?=<54:m704=83;pD?kk;o136?3?7>51zJ1aa=i;981:6sa34694?7|@;oo7c=?2;58yk52=3:1=vF=ee9m754=02we?8850;3xL7cc3g9;>774}o163?6=9rB9ii5a3109e>{i;<21<7?tH3gg?k57:3h0qc=:9;295~N5mm1e?=<5c:m70g=83;pD?kk;o136?bn7>51zJ1aa=i;981i6sa34a94?7|@;oo7c=?2;d8yk52l3:1=vF=ee9m754=991vb>;j:182M4bl2d81:m70`=83;pD?kk;o136?753td8:=4?:0yK6`b{I0f`>h48;0:96sa37194?7|@;oo7c=?2;35?xh4>=0;67?n;|l02=<728qC>hj4n221>4d51zJ1aa=i;981=n5rn24b>5<6sA8nh6`<0382`>{i;?h1<7?tH3gg?k57:3;n7p`<6b83>4}O:ln0b>>=:0d8yk51l3:1=vF=ee9m754=:91vb>8j:182M4bl2d8:|&2bd<6:2P:8;4>{0db>x\5mk0:w<=5}o136?443td8;<4?:0yK6`b:|l047<5<2we?:<50;3xL7cc3g9;>7<:;|l036<728qC>hj4n221>7051zJ1aa=i;981>:5rn256>5<6sA8nh6`<0381<>{i;><1<7?tH3gg?k57:3827p`<7683>4}O:ln0b>>=:3c8yk5003:1=vF=ee9m754=:k1vb>96:182M4bl2d8{I0f`>h48;08<6sa36g94?7|@;oo7c=?2;12?xh4?o0;66290:wE7=:;|l0<6<728qC>hj4n221>6051zJ1aa=i;981?:5rn2:6>5<6sA8nh6`<0380<>{i;1<1<7?tH3gg?k57:3927p`<8683>4}O:ln0b>>=:2c8yk5?03:1=vF=ee9m754=;k1vb>66:182M4bl2d8k4}o1;g?6=9rB9ii5a31097c=zf:2o6=4>{I0f`>h48;0?<6sa39g94?7|@;oo7c=?2;62?xh40o0;67::;|l0=6<728qC>hj4n221>1051zJ1aa=i;9818:5rn2;6>4<6sA8nh6`<0387<>{i;0<1<7?tH3gg?k57:3>27p`<9683>4}O:ln0b>>=:5c8yk5>03:1=vF=ee9m754=76:182M4bl2d85=zf:3h6=4>{I0f`>h48;0?j6`=a482?xh41m0;67;;;|l0e7<728qC>hj4n221>0351zJ1aa=i;9819;5rn2c7>5<6sA8nh6`<03863>{i;h?1<7?tH3gg?k57:3?37p`4}O:ln0b>>=:4;8yk5f?3:1=vF=ee9m754==h1vb>o7:182M4bl2d8{I0f`>h48;0>j6sa3`f94?7|@;oo7c=?2;43?xh4il0;678;;|l0f7<728qC>hj4n221>3351zJ1aa=i;981:;5rn2`7>4<6sA8nh6`<03853>"5nj02>6sa3c795?7|@;oo7c=?2;4;?xh4j?0;6?uG2df8j6652?30qc=m7;296~N5mm1e?=<56`9~j6d?2909wE78l;|l0fd<72;qC>hj4n221>3b51zJ1aa=N9o21=v?5}[3fe?7|90h1qW?;6;3x5`g=ug9;>78j;|l0ff<728qC>hj4I0d;>4}62tP:il4>{0;a>x\6=6e`8~j6652>;0qc=mf;295~N5mm1B=k651z39y_7bi3;p=4l5}[372?7|9lk1qc=?2;51?xh4k90;6e`824?e2tP:8;4>{0gb>xh48;0{I0f`>O6n10:w<4rZ0gb>4}61k0vV<:9:0y2ad3;p=ho5}o136?113td8o94?:0yK6`b:|X2ad<6s83i6pT>47824cf2td8m9:182M4bl2C:j54>{08~^4cf28q:5o4rZ065>4}6mh0vb>>=:6;8yk5d?3:1=vF=ee9J5c>=9r;1qW?ja;3x54}O:ln0Ex\6mh0:w<7m:|X203<6s8oj6p`<0384f>{i;j31<7?tH3gg?L7a03;p=7sU1dc95~7>j3wQ=9851z3fe?{i;981;n5rn2ab>5<6sA8nh6G>f98249c8~^42128q:il4rn221>2b51zJ1aa=N9o21=v?5}[3fe?7|90h1qW?;6;3x5`g=ug9;>79j;|l0gf<728qC>hj4I0d;>4}62tP:il4>{0;a>x\6=6e`8~j66521;0qc=lf;295~N5mm1B=k651z39y_7bi3;p=4l5}[372?7|9lk1qc=?2;:1?xh4l90;6e`824?e2tP:8;4>{0gb>xh48;03?6sa3e394?7|@;oo7D?i8;3x5?{]9lk1=v?6b;Y510=9r;nm7sa3109<1=zf:n96=4>{I0f`>O6n10:w<4rZ0gb>4}61k0vV<:9:0y2ad3;p=ho5}o136?>13td8h94?:0yK6`b:|X2ad<6s83i6pT>47824cf2td8j9:182M4bl2C:j54>{08~^4cf28q:5o4rZ065>4}6mh0vb>>=:9;8yk5c?3:1=vF=ee9J5c>=9r;1qW?ja;3x54}O:ln0Ex\6mh0:w<7m:|X203<6s8oj6p`<038;f>{i;m31<7?tH3gg?L7a03;p=7sU1dc95~7>j3wQ=9851z3fe?{i;9814n5rn2fb>5<6sA8nh6G>f98249c8~^42128q:il4rn221>=b51zJ1aa=N9o21=v?5}[3fe?7|90h1qW?;6;3x5`g=ug9;>76j;|l0`f<728qC>hj4I0d;>4}62tP:il4>{0;a>x\6jj:182M4bl2C:j54>{38~^4cf28q:j=4rZ065>4}6mh0vb>>=:838yk5cn3:1=vF=ee9J5c>=9r81qc=?2;;1?xh4m90;6{i;l;1<7?tH3gg?L7a03;p>7sa3109=1=zf:o96=4>{I0f`>O6n10:w?4rn221><351zJ1aa=N9o21=v<5}[30`?7|j3wQ=9851z30`?{i;9815;5rn2g7>5<6sA8nh6`<038:3>{i;l?1<7?tH3gg?k57:3337psyT061>5<628024v]k8;13=?4=9:>=98;535`;e>"5n90:jo5+1g;953=O91h0D;I3;g>d5n80;6?l59b8:3~\1:3;p=94rH060?!4f03;=7c?;5;;a?k4>=3337c=?2;28m406290/=kj51728j4`d2910e<;i:18'5cb=9?:0b290/=kj51728j4`d2?10e<;7:18'5cb=9?:0b:18'5cb=9?:0bo6h6nj0:>65f15`94?"6nm0::=5a1ga956=j6=4+1gf95366:9j531=83.:ji4>619m5ce=9>10e<89:18'5cb=9?:0b6583>!7al3;=<6`>fb82e>=n9?91<7*>fe8225=i9oi1=o54i041>5<#9on1=;>4n0d`>4e<3`;>i7>5$0dg>4073g;mo7?k;:k216<72-;mh7?90:l2bf<6m21b=9650;&2ba<6>91e=km51g98ma5=831b=l950;9j`4<722cj?7>5;h:2>5<>d4j3;1:7>50z&201<6;j1/>l<52g38 7cd2;2j7);o136?7>od13:17d<8c;29?l002900c?9j:188yg5d280=6=4?{%370?74k2.9m?4=f09'6`e=:1k0(?o8:4`8 4g72:1C=9?4n221>7=nl00;66g9a;29?le>2900e?9l:188m31=831d>:k50;9~f11=93<1<7>t$067>45d3-8j>7l955c9'5d6=;2B:8<5a31097>oc13:17d8n:188mf?=831b>:m50;9j22<722e9;h4?::a17<62?0;6=u+156956e<,;k96?h>;%0fg?4?i2.9m:4:b:&2e5<43A;?=6`<0387?lb>2900e;o50;9jg<<722c9;n4?::k53?6=3f85;|`61?7=>3:145827f=#:h81>k?4$3g`>7>f3-8j;7;m;%3b4?5<@8>:7c=?2;78ma?=831b:l4?::k`=?6=3`85;h44>5<4<1290;w)?;4;30g>"5i;09j<5+2da96=g<,;k<68l4$0c3>6=O9=;0b>>=:79j`<<722c=m7>5;ha:>5<o1<75rb4595?0=83:p(<:;:01`?!4f:38m=6*=eb81N6<81e?=<57:kg=?6=3`i1<75f6683>>i5?l0;66sm5982>3<729q/=9:512a8 7g52;l:7)"5i>0>n6*>a180?M7392d85<>o5?j0;66g97;29?j40m3:17pl:9;392?6=8r.:894>3b9'6d4=:o;0(?kl:3:b?!4f?3?i7)?n0;18L4263g9;>774ie;94?=n>h0;66gl9;29?l40k3:17d88:188k71b2900qo;n:085>5<7s-;?87?hm529c8 7g02>o1i3:17dm6:188m71d2900e;950;9l62c=831vn>j51;494?6|,8>?6<=l;%0b6?4a92.9in4=8`9'6d1==k1/=l>53:J204=i;981n6gk9;29?l0f2900en750;9j62e=831b::4?::m13`<722wi?h4>:783>5}#9=>1=>m4$3c1>7`63-8no7<7a:&1e2<2j2.:m=4<;I375>h48;0h7dj6:188m3g=831bo44?::k13f<722c=;7>5;n04a?6=3th8j7?56;294~"6<=0:?n5+2`096c7<,;oh6?6n;%0b3?3e3-;j<7=4H062?k57:3n0ei750;9j2d<722ch57>5;h04g?6=3`<<6=44o35f>5<;6<49:183!73<3;8o6*=a381b4=#:li1>5o4$3c4>0d<,8k;6>5G1538j6652l1bh44?::k5e?6=3`i26=44i35`>5<"5mj094l5+2`591g=#9h:1?6F>409m754=n2co57>5;h4b>5<>0;66a=7d83>>{e<;0:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<682co57>5;h4b>5<>0;66a=7d83>>{e<:0:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<692co57>5;h4b>5<>0;66a=7d83>>{e<=0:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<6:2co57>5;h4b>5<>0;66a=7d83>>{e<<0:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<6;2co57>5;h4b>5<>0;66a=7d83>>{e"6i9087E?;1:l047<6<2co57>5;h4b>5<>0;66a=7d83>>{e<10:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<6=2co57>5;h4b>5<>0;66a=7d83>>{e<00:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<6>2co57>5;h4b>5<>0;66a=7d83>>{e"6i9087E?;1:l047<6?2co57>5;h4b>5<>0;66a=7d83>>{e"6i9087E?;1:l047<602co57>5;h4b>5<>0;66a=7d83>>{e"6i9087E?;1:l047<612co57>5;h4b>5<>0;66a=7d83>>{e"6i9087E?;1:l047<6i2co57>5;h4b>5<>0;66a=7d83>>{e"6i9087E?;1:l047<6j2co57>5;h4b>5<>0;66a=7d83>>{e"6i9087E?;1:l047<6k2co57>5;h4b>5<>0;66a=7d83>>{e=90:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<6l2co57>5;h4b>5<>0;66a=7d83>>{e=80:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<6m2co57>5;h4b>5<>0;66a=7d83>>{e=:0:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<6n2co57>5;h4b>5<>0;66a=7d83>>{e==0:6;4?:1y'512=9:i0(?o=:3d2?!4bk383m6*=a686f>"6i9087E?;1:l047<582co57>5;h4b>5<>0;66a=7d83>>{e9o81=7850;2x 423289h7)"6i00:?l5+2da96=g<,;k<68l4$0c3>6=O9=;0b>>=:338ma?=831b:l4?::k`=?6=3`85;h44>5<1=h;4$3c1>7`63A;?=6`<03816>o6190;66g>9083>>o61;0;66g>9283>>o61=0;66g>9483>>i6mo0;66sm1b795?2=83:p(?kl:0a0?!4f:38m=6F>409m754=::1bil4?::k55;n3f7?6=3th:h?4>:683>5}#:li1=o;4$067>4c23-8j>795f18294?=n90;1<75f18094?=n9091<75f18694?=n90?1<75`1dd94?=zj8i<6<4;:183!4bk3;h?6*=a381b4=O9=;0b>>=:378m`g=831b:54?::k14?::a5a5=93=1<7>t$3g`>4d23-;?87?j5:&1e7<5n81C=9?4n221>705<5<5<k?4H062?k57:38<7dkn:188m3>=831b>5j50;9l5`5=831vn5<7s-8no7?m5:&201<6m<1/>l<52g38L4263g9;>7<7;h3:4?6=3`;2=7>5;h3:6?6=3`;2?7>5;h3:0?6=3`;297>5;n3fb?6=3th:o44>:583>5}#:li1=n=4$3c1>7`63A;?=6`<0381=>obi3:17d87:188m7>c2900c50z&1af<6j<1/=9:51d78 7g52;l:7E?;1:l047<5i2c:5=4?::k2=4<722c:5?4?::k2=6<722c:594?::k2=0<722e:ik4?::a5fg=93>1<7>t$3g`>4e43-8j>7o5fe`83>>o103:17d<7d;29?j7b;3:17pl>d782>2<729q/>hm51c78 42328o>7)N6<81e?=<52b9j5<6=831b=4?50;9j5<4=831b=4=50;9j5<2=831b=4;50;9l5``=831vn5<7s-8no7?l3:&1e7<5n81C=9?4n221>7b>o50m0;66a>e283>>{e9m=1=7950;2x 7cd28h>7)?;4;3f1>"5i;09j<5G1538j6652;o0e<7?:188m4?62900e<7=:188m4?42900e<7;:188m4?22900c50z&1af<6k:1/>l<52g38L4263g9;>75<4<0290;w)"6<=0:i85+2`096c7<@8>:7c=?2;13?l7>83:17d?61;29?l7>:3:17d?63;29?l7><3:17d?65;29?j7bn3:17pl>ce82>1<729q/>hm51b18 7g52;l:7E?;1:l047<492cnm7>5;h4;>5<5<;I375>h48;08>6g>9183>>o6180;66g>9383>>o61:0;66g>9583>>o61<0;66a>eg83>>{e9jo1=7:50;2x 7cd28i87)N6<81e?=<5329jad<722c=47>5;h0;`?6=3f;n?7>5;|`2`d<62>0;6=u+2da95g3<,8>?61<75f18794?=h9ll1<75rb0ae>4<3290;w)"5i;09j<5G1538j6652:?0eho50;9j2=<722c94i4?::m2a6<722wi=i?51;794?6|,;oh684i0;3>5<5<5<5+2`096c7<@8>:7c=?2;14?lcf2900e;650;9j6=b=831d=h=50;9~f<`=9381<7>t$3g`>0c<,;k964?4H062?k57:3937d?7f;29?j7b;3:17pl6a;396?6=8r.9in4:e:&1e7<>92B:8<5a31097<=n91l1<75`1d194?=zj0?1=7<50;2x 7cd2?:0(?o=:838 46b2;o<7)?n5;30e>N6<81e?=<53`9j5=`=831d=h=50;9~f<1=9381<7>t$3g`>4?13-8j>77>;%45>4573-;ji7?6a:J204=i;981?o5f19d94?=h9l91<75rb8f95?4=83:p(?kl:0;5?!4f:33:7)89:013?!7fm3;2m6F>409m754=;j1b=5h50;9l5`5=831vn<6=:081>5<7s-8no7?66:&1e7<5n81/=lk518c8 4gc28h27E?;1:l047<4l2c:4k4?::m2a6<722wi=5?51;094?6|,;oh6<79;%0b6?4a92.:mh4>9`9'5db=9k30D<:>;o136?5b3`;3j7>5;n3f7?6=3th:;k4>:383>5}#:li1=484$3c1>7`63-;ji7?6a:&2ea<6j01C=9?4n221>6`5<4d>3A;?=6`<03875>o60o0;66a>e283>>{e9>i1=7<50;2x 7cd283=7)"6il0:5l5+1`f95g?<@8>:7c=?2;61?l7?n3:17b?j3;29?xd6?k0:6?4?:1y'6`e=90<0(?o=:3d2?!7fm3;2m6*>ae82f<=O9=;0b>>=:518m4>a2900c7>50z&1af<61?1/>l<52g38 4gb283j7)?nd;3a=>N6<81e?=<5459j5=`=831d=h=50;9~f41>28096=4?{%0fg?7>>2.9m?4=f09'5dc=90k0(4?::a52>=9381<7>t$3g`>4?13-8j>77:9;h3;b?6=3f;n?7>5;|`232<62;0;6=u+2da95<0<,;k96?h>;%3ba?7>i2.:mi4>b89K5175<k?4$0cf>4?f3-;jh7?m9:J204=i;981855f19d94?=h9l91<75rb057>4<5290;w)"5i;09j<5+1`g95h48;0?56g>8g83>>i6m:0;66sm16195?4=83:p(?kl:0;5?!4f:38m=6*>ad82=d=#9hn1=o74H062?k57:3>j7d?7f;29?j7b;3:17pl>7382>7<729q/>hm51848 7g52;l:7)?ne;3:e>"6im0:n45G1538j6652=h0e<6i:188k4c42900qo?81;396?6=8r.9in4>979'6d4=:o;0(409m754=5<7s-8no7?66:&1e7<5n81/=lk518c8 4gc28h27E?;1:l047<3l2c:4k4?::m2a6<722wi=;h51;094?6|,;oh6<79;%0b6?4a92.:mh4>9`9'5db=9k30D<:>;o136?2b3`;3j7>5;n3f7?6=3th::h4>:383>5}#:li1=484$3c1>7`63-;ji7?6a:&2ea<6j01C=9?4n221>1`5<4d>3A;?=6`<03865>o60o0;66a>e283>>{e9?h1=7<50;2x 7cd283=7)"6il0:5l5+1`f95g?<@8>:7c=?2;71?l7?n3:17b?j3;29?xd6000:6?4?:1y'6`e=90<0(?o=:3d2?!7fm3;2m6*>ae82f<=O9=;0b>>=:418m4>a2900c7>50z&1af<61?1/>l<52g38 4gb283j7)?nd;3a=>N6<81e?=<5559j5=`=831d=h=50;9~f4>028096=4?{%0fg?7>>2.9m?4=f09'5dc=90k0(4?::a5=0=9381<7>t$3g`>4?13-8j>77;9;h3;b?6=3f;n?7>5;|`2<0<62;0;6=u+2da95<0<,;k96?h>;%3ba?7>i2.:mi4>b89K5175<k?4$0cf>4?f3-;jh7?m9:J204=i;981955f19d94?=h9l91<75rb0:0>4<5290;w)"5i;09j<5+1`g95h48;0>56g>8g83>>i6m:0;66sm19295?4=83:p(?kl:0;5?!4f:38m=6*>ad82=d=#9hn1=o74H062?k57:3?j7d?7f;29?j7b;3:17pl>7482>7<729q/>hm51848 7g52;l:7)?ne;3:e>"6im0:n45G1538j6652979'6d4=:o;0(409m754==j1b=5h50;9l5`5=831vni951;094?6|,;oh6<79;%0b6?4a92.:mh4>9`9'5db=9k30D<:>;o136?3c3`;3j7>5;n3f7?6=3th:m54>:383>5}#:li1=484$3c1>7`63-;ji7?6a:&2ea<6j01C=9?4n221>0c5<4d>3A;?=6`<0386b>o60o0;66a>e283>>{e9o>1=7<50;2x 7cd28o37)h6;:0:46*>ad82ac=#9hn1=o74H062?k57:3<;7d?7f;29?j7b;3:17plk0;396?6=8r.9in4>e99'6d4=:o;0b<=<:0:8 4gb28om7)?nd;3a=>N6<81e?=<5609j5=`=831d=h=50;9~f42728096=4?{%0fg?7b02.9m?4=f09m565=911/=lk51dd8 4gc28h27E?;1:l047<1:2c:4k4?::m2a6<722wi>5?51;094?6|,;oh64>8:&2e`<6mo1/=lj51c;8L4263g9;>78<;h3;b?6=3f;n?7>5;|`1<6<62;0;6=u+2da95`><,;k96?h>;o307?7?3-;ji7?jf:&2ea<6j01C=9?4n221>325<h48;0=96g>8g83>>i6m:0;66sm8882>3<729q/=9:512a8 7g521=0(?kl:3:b?!4f?3?i7)?n0;18L4263g9;>789;hf:>5<>o5?j0;66g97;29?j40m3:17plk6;390?6=8r.9in4>b49'512=9l<0(?o=:3d2?M7392d8;I375>h48;0=46gja;29?l0?2900e?6k:188k4c42900qo6m:081>5<7s-8no7?m5:&201<6m>1/>l<5869K5d2<@8>:7c=?2;4:?l7>83:17b?jf;29?xdf;3;1=7>50z&1af<6io1/=lk518c8 4gf28o:7E?;1:l047<1i2e9m<4?:[0fag9'5dc=90k0(80:6<4?:1y'6`e=9hl0(409m754=>j1d>l?50;X1ad<6s83h6p5rb07e>4<6290;w)"6il0:5l5+1`c95`7<@8>:7c=?2;4g?j4f93:1V?kn:0y2=f:083>5}#:li1=lh4$0cf>4?f3-;jm7?j1:J204=i;981:h5`2`394?\5mh0:w<7l:|9~f43d280:6=4?{%0fg?7fn2.:mh4>9`9'5dg=9l;0D<:>;o136?0a3f8j=7>5Z3gb>4}61j0v7pl>5c82>4<729q/>hm51`d8 4gb283j7)?na;3f5>N6<81e?=<5719l6d7=83P9il4>{0;`>x=zj8?j6<4>:183!4bk3;jj6*>ad82=d=#9hk1=h?4H062?k57:3=:7b5<7s-8no7?nf:&2e`<61h1/=lo51d38L4263g9;>79<;n0b5?6=R;oj69b8~?xd6=>0:6<4?:1y'6`e=9hl0(409m754=?=1d>l?50;X1ad<6s83h6p5rb075>4<6290;w)"6il0:5l5+1`c95`7<@8>:7c=?2;56?j4f93:1V?kn:0y2=f:083>5}#:li1=lh4$0cf>4?f3-;jm7?j1:J204=i;981;;5`2`394?\5mh0:w<7l:|9~f433280:6=4?{%0fg?7fn2.:mh4>9`9'5dg=9l;0D<:>;o136?103f8j=7>5Z3gb>4}61j0v7pl>5382>4<729q/>hm51`d8 4gb283j7)?na;3f5>N6<81e?=<5799l6d7=83P9il4>{0;`>x=zj8?:6<4>:183!4bk3;jj6*>ad82=d=#9hk1=h?4H062?k57:3=27b5<7s-8no7?nf:&2e`<61h1/=lo51d38L4263g9;>79m;n0b5?6=R;oj69b8~?xd6409m754=?j1d>l?50;X1ad<6s83h6p5rb06g>4<6290;w)"6il0:5l5+1`c95`7<@8>:7c=?2;5g?j4f93:1V?kn:0y2=f:083>5}#:li1=lh4$0cf>4?f3-;jm7?j1:J204=i;981;h5`2`394?\5mh0:w<7l:|9~f42e280:6=4?{%0fg?7fn2.:mh4>9`9'5dg=9l;0D<:>;o136?1a3f8j=7>5Z3gb>4}61j0v7pl>4`82>4<729q/>hm51`d8 4gb283j7)?na;3f5>N6<81e?=<5819l6d7=83P9il4>{0;`>x=zj8>26<4>:183!4bk3;jj6*>ad82=d=#9hk1=h?4H062?k57:32:7b5<7s-8no7?nf:&2e`<61h1/=lo51d38L4263g9;>76<;n0b5?6=R;oj69b8~?xd6>?0:6<4?:1y'6`e=9hl0(409m754=0=1d>l?50;X1ad<6s83h6p5rb046>4<6290;w)"6il0:5l5+1`c95`7<@8>:7c=?2;:6?j4f93:1V?kn:0y2=f:083>5}#:li1=lh4$0cf>4?f3-;jm7?j1:J204=i;9814;5`2`394?\5mh0:w<7l:|9~f404280:6=4?{%0fg?7fn2.:mh4>9`9'5dg=9l;0D<:>;o136?>03f8j=7>5Z3gb>4}61j0v7pl>6382>4<729q/>hm51`d8 4gb283j7)?na;3f5>N6<81e?=<5899l6d7=83P9il4>{0;`>x=zj8?n6<4>:183!4bk3;jj6*>ad82=d=#9hk1=h?4H062?k57:3227b5<7s-8no7?nf:&2e`<61h1/=lo51d38L4263g9;>76m;n0b5?6=R;oj69b8~?xdc;3;1=7>50z&1af<6io1/=lk518c8 4gf28o:7E?;1:l047a`82a4=O9=;0b>>=:9f8k7g6290Q>ho51z3:g?{:183!4bk3;jj6*>ad82=d=#9hk1=h?4H062?k57:32n7b4<729q/>hm51da8j7?d2<:0(h51;394?6|,;oh6:183!4bk3;no6`=9b864>"6il0:ik5G1538j6652080e?7k:188yg4?:3;1=7>50z&1af<6mj1e>4m5519'5dc=9ll0D<:>;o136??43`82h7>5;|`;6?7=93:1:7c=?2;;7?l4>l3:1V?k6:0y`>x=zj::n6<4;:087!4bk3;3i6F=e79Y6`3=9r83i7sa3109=0=n9mi1=75f1ef95?=n9==1=75`d182>>d?03:147>50z&1af<6m01/>l<5869'5d6=;2.n478;;%0b7?3e3A;?=6`<038:2>oci3:17djm:188m32=831b:84?:I3b7>=n>>0;66g=7g83>>o50m0;66a=7d83>>{t9mi1<7=t^0f`?8>?2mk0156526d8yv7cl3:1?vP>de9><=7}Yl916454=7d9~yg57n3;197?55z&1af<60l1C>h84Z3g6>4}50l0vb>>=:858m=?=931b=9951;9j5ab=931b=im51;9l516=931i4n4?:983>5}#:li1=h74$3c1>=1<,8k;6>5+e9850>"5i:0>n6F>409m754=111bhl4?::kgf?6=3`5j50;9l62c=831v5750;1xZ=?<51i1hl528b8gf>{t9==1<7=t^064?8>d2?>015m5649~w4bc2909wS?kd:?;g?003ty:hn4?:3y]5ae<51i1>:h4}r374?6=:rT:8=528b813`=zuk9:<7?54;390~"5mj0:4h5G2d48^7c228q94h4rn221>4<<6<44o3:2>4<>o1<3:17d8::18K5d5<3`<<6=44i35e>5<5<53z\2`f=:0o0om637f;04b>{t9mn1<7=t^0fg?8>a2mh015h5669~w4202908wS?;7:?;b?03342m6;;4}r0;5?6=:rT94<528g813`=zuk9:=7?54;390~"5mj0:4h5G2d48^7c228q94h4rn221>4<<6<44o3:0>4<>o1<3:17d8::18K5d5<3`<<6=44i35e>5<5<53z\2`f=:190om6360;04b>{t9mn1<7=t^0fg?8?72mh014>5669~w4202908wS?;7:?:4?03343;6;;4}r0;7?6=:rT94>5291813`=zuk9;o7?54;390~"5mj0:4h5G2d48^7c228q94h4rn221>4<>d>j3:147>50z&1af<6m01/>l<5909'5d6=;2.n47?j1:&1e6<5i?1C=9?4n221>>o1<3:17d8::18K5d5<3`<<6=44i35e>5<5<53z\2`a=:1k0om636b;44?xu6lj0;6>uQ1ea897}Y0:165o4=7d9~yg57l3;197<56z&1af<60l1C>h84Z3g6>4}51l0vb>>=:8d8m<>=931b=im51;9j=f<622e257?5;n;f>4<h588097c<86;28j701291e>8>52:l14=<73g8;m7>4n357>4=i:=21=6`=0d83?k41;3:0b6=5a25495>h5<00;7c<97;18j717211e>=j50:l13<<73g8;n7>4n32:>5=i:>;1=6`=0683?!ee28927)<>6;30e>"5:l09i:5+21a96`1<,;>>6<=n;%073?4b?2.99;4=e69'60`=9:k0(?;7:3g4?!4193;8m6*=74827d=#:;=1>h94$31;>7c03-8>h7?:o52d58 72f2;o<7)<;3;0f3>"59:09i:5+24c956g<,;<96?k8;%014?4b?2.9?<4=e69'64e=:l=0(?:?:01b?!44n38n;6*=5481a2=#:?k1=85+265956g<,7c03-8857?"5=>09i:5+24`956g<,;886?k8;%06=?74i2.9>o4>3`9'63e=:l=0(?8?:01b?!41m38n;6*l8;5f?!40;38n;6*=02827d=#:8:1>h94$351>45f3-8:971/>>m52d58 77>2;o<7)<=4;30e>"5>00:<6*=4b81a2=#:<91>h94$30b>7c03oh?6= :;ga3>5(23oh36= :;g:3>5=ajj0;"85ib183*0=aj80;7kl::19ef<<73oho6=5iae83?ce6291m>5:50/23<>`fk3:%96`mb;38j71?2?:0bll56:lbb?70b82?k4103o;7c9i:b9mfc<63gh86<5+2349e>"59=0<7)<;2;c8 70c2<1/>>957:&117<>3-88m7o4$30:>2=#:8l1m6*=6c8e?!45k3k0(?8;:79'66c=?2.9>k4;;%011?g<,;>o6l5+a6825>"59;0j7)<1/>d=#i<0:=6*=4c84?!44;3k0(?>i:69'633=02.9><4;;%02a?g<,;9;6:5+23:90>"5980j7)<>7;c8 77f2=1/>9?5a:&167<03-88n7o4$317>d=#:=o1m6*=4587?!4>>38j<6F>409m754=i91b;i4?::k1=<<722cn;7>5;h3af?6=3`o26=44i`:94?=ni?0;66g=8b83>>o51h0;66g>3583>>o0?3:17d<67;29?l7ei3:17d?<5;29?l4?=3:17do;:188m7??2900eh?50;9ja5<722coj7>5;hff>5<>oa93:17dh?:188m``=831bih4?::kf`?6=3`lj6=44ig;94?=nn10;66gi7;29?l`12900ek;50;9jb1<722cm?7>5;hg`>5<>id=3:17b9j:188kf0=831dmh4?::maa?6=3fi?6=44o011>5<>i0k3:17bon:188kgg=831dn?4?::m`6?6=3f;i?7>5;n0`4?6=3f8ij7>5;n0aa?6=3f8ih7>5;n0ag?6=3f8in7>5;n0ae?6=3f8i57>5;n0a5;n0a1?6=3f8i87>5;n0a7?6=3f8i>7>5;n0a5?6=3f8i<7>5;n0bb?6=3f8ji7>5;n0b`?6=3f8h;7>5;n0`2?6=3f8h97>5;n0`0?6=3f8h?7>5;n0`6?6=3f8h=7>5;n0a3?6=3f8jo7>5;n0bf?6=3f;:;7>5;n322?6=3f;:97>5;n320?6=3f;:?7>5;n326?6=3f;:j7>5;n32a?6=3f;:h7>5;n32g?6=3f;:n7>5;n32e?6=3f;:57>5;n325;n324?6=3th95k4?:583>5}#:li19k5a11f95>"68l09i:5+1c2956g<,;on6<=n;I375>h48;0j=6g>8g83>>i68k0;66a>ac83>>i5190;66s|9983>7}Y1116m<48d:p5ae=838pR7>d3ty2o7>52z\:g>;51o0:4k5rs8;94?4|V0301l?57d9~wl74H0g1?k57:3k97pl=8682>5<729qC>kj4$3g`>7>13A8j56F>e39m754=i:1v<8n:18085e2m3010=m63:2;4b?8322?k018856`9>12<1i27>478n;<7:>3g<5;4m3h168<49a:?76?0f34>86;o4=5692d=:<<0=m63;6;4b?82?2?k019756`9>0d<1i27?n78n;<6`>3g<5=n1:l524d85e>;3n3h169>49a:?60?0f34;m>78n;<;e>4c434226;o4=22f>420349;j7?;7:?055<6<>16?67}:<>09;h521e295<553z?66?b>34;o>7?62:?2<6<6m:1v>:50;0x904=:>o01u2548g=>;6l;0:5<5219695`56=4={<76>71b34;o>7?64:p5=3=839p1885d89>5a4=90:01<6::0g0?xu4>3:1>v3:6;04a>;6l;0:5>5rs0:5>5<4s4?<6i74=0f0>4?534;3:7?j3:p72<72;q69:4=7d9>5a5=90?0q~?77;297~;203n270?k3;3:5>;60>0:i>5rs2:94?4|5<21>:k4=0f0>4?33ty:454?:2y>1<4>919>5=>=9l90q~=6:18183>2;=n70?k3;3:7>{t9131<7=t=4c9`<=:9m>1=4<4=0::>4c43ty8m7>52z?6e?40m27:h94>949~w40e2908w0=k:e;894b3283:70?9b;3f7>{t9j0;6?u23e813`=:9m>1=4:4}r35g?6=;r78i7j6;<3g0?7>827::n4>e29~w4b=838p1>k526g894b328387p}>6e83>6}:;o0o563>d482=7=:9?n1=h=4}r3f>5<5s49m6?9j;<3g1?7>=2wx=;k50;1x916=l016=i;51838940b28o87p}>f;296~;3838d482=1=z{8a?<58n>6<7?;<35b?7b;2wx>=4?:3y>04<5?l16=i;51818yv7083:1?v3;2;f:?87c>3;2>63>7182a6=z{;;1<74k9:?2`3<61816=:?51d18yv452909w0:<:35f?87c>3;286s|16094?5|5=>1h4521e495<6<58=967}:<<09;h521e595<353z?72?b>34;o;7?61:?231<6m:1v?;50;0x910=:>o01u2498g=>;6l>0:5=5216495`571b34;o;7?63:p521=839p1975d89>5a>=90801<98:0g0?xu503:1>v3;9;04a>;6l10:585rs05;>5<4s4>j6i74=0f;>4?634;<47?j3:p6<<72;q68l4=7d9>5a>=90>0q~?89;297~;3j3n270?k8;3:4>;6?00:i>5rs3c94?4|5=h1>:k4=0f;>4?43ty:;l4?:2y>0f939>52g=9l90q~{t9>h1<7=t=5f9`<=:9m31=4?4=05a>4c43ty9o7>52z?7`?40m27:h44>959~w41d2908w0:j:e;894b>283;70?8c;3f7>{t:m0;6?u24d813`=:9m31=4=4}r34`?6=;r7?j7j6;<3ge?7>:27:;i4>e29~w7c=838p19h526g894bf283>7p}>7d83>6}:=90o563>d`82=4=:9>o1=h=4}r0e>5<5s4?;6?9j;<3ge?7><2wx=:h50;1x907=l016=io51828941a28o87p}<0;296~;2938d`82=6=z{82:6=4<{<70>a?<58n:6<7>;<3;5?7b;2wx??4?:3y>16<5?l16=i?51818yv7?:3:1?v3:4;f:?87c93;2<63>8382a6=z{:91<7{t9m:1<74ca34;h97<7d:p5ae=83;9w0?l5;gb?87d?3oj70?l8;gb?87d13oj70?la;gb?87dj3oj70?lc;gb?87dl3oj70?le;gb?87dn3oj70?l6;gb?8b22lk01>>j:0f`?857n3;oo63<1182`f=:;8;1=im4=22`>4bd349;h7?kc:p5ab=83;4990:hi5230395ab<5::h652z?2g2<6m:16=n65699~w4b42909w0?k3;3fb>;6k1094i5rs0a;>5<5s4;h47?j3:?2g<<102wx=i:50;0x94b328om70?l9;0;`>{t9j31<74c434;hm787;|q2`0<72;q6=i;51dd894ef2;2o7p}>c`83>7}:9jk1=h=4=0aa>3>52z?2`3<6mo16=nl529f8yv7dj3:1>v3>cc82a6=:9ji1:55rs0f4>5<5s4;o;7?jf:?2gf<50m1vce85<>{t9m21<74ca34;hh7<7d:p5fb=838p1d883>7}:9m31=hh4=0af>7>c3ty:oh4?:3y>5fc=9l901v3>d`82ac=:9jl1>5j4}r3`b?6=:r7:ok4>e29>5f0=>11v:18187c93;nj63>c781m2wx544?:3y>=d<60o16?=j5989~w<4=838p14o51d18966d2080q~79:1818?2282m7078:0g0?xu>03:1>v365;3f7>;48m0246s|8083>6}:1>0:4k528081e4=Y081vl=50;1x94c4349;h77l;|q224<72:q6=5<519d894062;k:7S?91:p50`=839p1<6>:0:e?872n38j=6P>5g9~w43c2908w0?8f;3;b>;6=m09m<5Q14f8yv72k3:1?v3>7d82l?4^07`?xu6=k0;6>u216f95=`<58?i6?o>;_36f>{t94>a34;>m747>53z?23d<60o16=8652`38Z43?3ty:9:4?:2y>52?=91l01<;8:3c2?[72?2wx=8850;1x941?282m70?:6;0b5>X6=?1v<;::180870?3;3j63>5481e4=Y95383>6}:9>>1=5h4=071>7g63W;>>6s|14394?5|58=86<6i;<365?4f92T:9<5rs073>5<4s4;<>7?7f:?215<5i81U=8>4}r37b?6=;r7:;<4>8g9>51`=:h;0R<:i;|q20`<72:q6=:>519d8942b2;k:7S?;e:p51b=839p1<8i:0:e?873l38j=6P>4e9~w42d2908w0?9e;3;b>;66e82l?4^06a?xu6u217a95=`<58>j6?o>;_37e>{t9=31<7=t=04a>4>a34;?5753z?2<=<60o16=;952`38Z4003ty::;4?:2y>5=1=91l01<89:3c2?[71>2wx=;;50;1x94>1282m70?95;0b5>X6><1v<8;:18087?=3;3j63>6581e4=Y9?>0q~?93;297~;60=0:4k5217196d76383>6}:9191=5h4=041>7g63W;=>6s|14g94?5|582;6<6i;<36a?4f92T:9h5rs070>5<4s4;<97?7f:?216<5i81U=8=4}r378g9>51>=:h;0R<:7;|qg7?6=;r7o;7?7f:?g7?4f92To?6s|d683>7}:l>0:i>52d782=4=z{8k<6=4<{<3b52z?2e=<6m:16h;4>939~wa7=839p1i<519d89a7=:h;0Ri?4}rf1>5<5s4n964?73ty:j?4?:2y>5c2=9l901`5<60o16?=k5d19~wf`=839p1i>51d189f`=:0n0Rnh4}r374?6=:r7:8=4>8g9>75`=9=:0q~?5212d967}::1;1=5h4=233>7>63ty94=4?:2y>6=7=9l901?6?:3;g?[4?82wx>5=50;0x97>4282m70=>1;0;7>{t:181<7=t=3:0>4c43483>7<6d:\1<7=z{191<75<4s42867?c3W297p}7a;296~;?13n2706m:0ge?xu?13:1?v379;04a>;?j3;2<63<0g8;=>{tl?0;6?u2d782ac=:l<094i5r}o5f>=:39~j2ce290:wc=?2;18yk1bk3:1=v`<0387?xh0mm0;6{i?lo1<7?tn221>3=zf>om6=4>{o136?151zl0474}i;981h6sa7g494?7|f::96h5rn6d4>5<6sg9;>7h4}o5e0:m3c?=83;pb>>=:038yk1ai3:1=v`<03826>{i?oh1<7?tn221>4551zl047<6<2we;kj50;3xj66528?0qc9ie;295~h48;0::6sa7gd94?7|f::96<94}o:34?6=9rd88:m<57=83;pb>>=:0;8yk>7:3:1=v`<0382e>{i0991<7?tn221>4d51zl047<6k2we4=;50;3xj66528n0qc6?6;295~h48;0:i6sa81594?7|f::96>=:338yk>7i3:1=v`<03816>{i09h1<7?tn221>7551zl047<5<2we4=j50;3xj6652;?0qc6?e;295~h48;09:6sa81d94?7|f::96?94}o:24?6=9rd8>=:3;8yk>6:3:1=v`<0381e>{i0891<7?tn221>7d51zl047<5k2we4<;50;3xj6652;n0qc6>6;295~h48;09i6sa80594?7|f::96?h4}o:2>=:238yk>6i3:1=v`<03806>{i08h1<7?tn221>6551zl047<4<2we4e;295~h48;08:6sa80d94?7|f::96>94}o:14?6=9rd8>=:2;8yk>5:3:1=v`<0380e>{i0;91<7?tn221>6d51zl047<4k2we4?;50;3xj6652:n0qc6=6;295~h48;08i6sa83594?7|f::96>h4}o:1>=:538yk>5i3:1=v`<03876>{i0;h1<7?tn221>1557zl13g<73g9;>7:;;|l;6a<72?qe>:l51:l047<3=2we4?k50;3xj6652=<0qc6=f;295~h48;0?;6sa82294?7|f::96964}o:05?6=9rd8>=:5c8yk>4;3:1=v`<0387f>{i0:>1<7?tn221>1e51zl047<3l2we4>850;3xj6652=o0qc6<7;295~h48;0?j6sa82:94?7|f::968>4}o:0=?6=9rd8>=:408yk>4j3:1=v`<03867>{i0:i1<7?tn221>0251zl047<2=2we4>k50;3xj6652<<0qc6;6sa85294?7|f::96864}o:75?6=9rd8>=:4c8yk>3;3:1>v`<0386f>{i0=>1<70e52zl047<2l2we49850;3xj6652j6sa85:94?7|f::96;>4}o:7=?6=9rd85<6sg9;>78<;|l;0f<728qe?=<5659~j=2c290:wc=?2;46?xh?m6=4>{o136?003td39=4?:0ym754=>11vb5;>:182k57:3<27p`75383>4}i;981:l5rn970>5<6sg9;>78m;|l;11<728qe?=<56b9~j=32290:wc=?2;4g?xh?=?0;6{o136?0a3td3954?:0ym754=?91vb5;6:182k57:3=:7p`75`83>4}i;981;?5rn97a>5<6sg9;>79<;|l;1f<728qe?=<5759~j=3c290:wc=?2;56?xh?=l0;6{o136?103td3:=4?:0ym754=?11vb58>:182k57:3=27p`76383>4}i;981;l5rn940>5<6sg9;>79m;|l;21<728qe?=<57b9~j=02290:wc=?2;5g?xh?>?0;6{o136?1a3td3:54?:0ym754=091vb586:182k57:32:7p`76`83>4}i;9814?5rn94a>5<6sg9;>76<;|l;2f<728qe?=<5859~j=0c290:wc=?2;:6?xh?>l0;6{o136?>03td3;=4?:0ym754=011vb59>:182k57:3227p`77383>7}i;9814l5rn950>5<6sg9;>76m;|l;31<72;qe?=<58b9~j=12290:wc=?2;:g?xh???0;6?ua3109<`=zf1=<6=4>{o136?>a3td3;54?:3ym754=191vb596:182k57:33:7p`77`83>7}i;9815?5rn95a>5<6sg9;>77<;|l;3f<728qe?=<5959~j=1c2909wc=?2;;6?xh??l0;6o>6=4>{o136??03twvqMNL{834>`>f?>:jopNOBz`;7`>290;6?4;2d8772=zs;l26=4?:3870`<37<3im08h6st2g594?6=:3>i87:;;|y1b2<7290969lm:5`8y~4a?3:1<7<54b0917=zs;l<6=4?:387g<<212wp>k950;296?2c83<;7pu=f683>5<52=n<6;94}z0e3?6=83818ik56d9~7`0290;6?4;e4841>{|:o=1<7>52;6fg?1d3tq9j:4?:181>1`42190qv5<72;0><<461:x6c1=83:1>7;?8;;;?x}5n>0;6=4=:42e><`50;09140=i?1vw?h8:183>7<29m0jh6st2g594?6=:3?987l;;|y1b2<7290968k950;296?3383n;7pu=f683>5<52<><6i94}z0e3?6=838199k5dd9~7`0290;6?4:548f1>{|:o=1<7>52;76g?cd3tq9j:4?:181>0042o90qv5<72;0>;<4>009~7`0290;6?4:79824==zs;l<6=4?:3863c<68o1vw?h8:183>7<20?0:=;5r{3d4>5<72;0>4i4>1e9~7`0290;6?4:958261=zs;l<6=4?:386=f<6:j1vw?h8:183>7<2i80:?<5r{3d4>5<72;0>m44>389~7`0290;6?4:ad827`=zs;l<6=4?:386f3<67<2jk0:8o5r{3d4>5<72;0>o>4>529~7`0290;6?4:c9821==zs;l<6=4?:386`5<6>91vw?h8:183>7<2l<0::85r{3d4>5<72;0>hi4>6e9~7`0290;6?4:e38237=zs;l<6=4?:386ad<6?h1vw?h8:183>7<2mo0:;k5r{3d4>5<72;0>j:4>869~7`0290;6?4:fb827<1800:545r{3d4>5<72;0==<4>a09~7`0290;6?491782e3=zs;l<6=4?:3855f<6ij1vw?h8:183>7<1:80:hh5r{3d4>5<72;0=>94>e09~7`0290;6?492682a1=zs;l<6=4?:3856d<6m>1vw?h8:183>7<1:m0:il5r{3d4>5<72;0=?=4>ee9~7`0290;6?493282b5=zs;l<6=4?:38573<6n:1vw?h8:183>7<1;00:j;5r{3d4>5<72;0=?n4>f89~7`0290;6?493g82bf=zs;l<6=4?:38507<6no1vw?h8:183>7<1<<095<72;0=854=049~7`0290;6?494c814==zs;l<6=4?:3850`<58k1vw?h8:183>7<1=8095<72;0=994=109~7`0290;6?49568151=zs;l<6=4?:3851d<59>1vw?h8:183>7<1=m09=l5r{3d4>5<72;0=:=4=1e9~7`0290;6?49628165=zs;l<6=4?:38523<5::1vw?h8:183>7<1>009>;5r{3d4>5<72;0=:n4=289~7`0290;6?496g816f=zs;l<6=4?:38537<5:o1vw?h8:183>7<1?<09??5r{3d4>5<72;0=;54=349~7`0290;6?497c817==zs;l<6=4?:3853`<5;k1vw?h8:183>7<10809?h5r{3d4>5<72;0=494=409~7`0290;6?49868101=zs;l<6=4?:3851vw?h8:183>7<10m098l5r{3d4>5<72;0=5=4=4e9~7`0290;6?49928115=zs;l<6=4?:385=3<5=:1vw?h8:183>7<110099;5r{3d4>5<72;0=5n4=589~7`0290;6?499g811f=zs;l<6=4?:385e7<5=o1vw?h8:183>7<1i<09:?5r{3d4>5<72;0=m54=649~7`0290;6?49ac812==zs;l<6=4?:385f7<5>o1vw?h8:183>7<1j>09;95r{3d4>5<72;0=nn4=7g9~7`0290;6?49bg81b2=zs;l<6=4?:385g4<5n01vw?h8:183>7<1k:09jo5r{3d4>5<72;0=o84=fe9~7`0290;6?49c681bc=zs;l<6=4?:385g<<4881vw?h8:183>7<1kk08<>5r{3d4>5<72;0=oi4<049~7`0290;6?49cg8042=zs;l<6=4?:385`4<4801vw?h8:183>7<1l:085<72;0=h84<0e9~7`0290;6?49d6804c=zs;l<6=4?:385`<<4981vw?h8:183>7<1lk08=>5r{3d4>5<72;0=hi4<149~7`0290;6?49dg8052=zs;l<6=4?:385a4<4901vw?h8:183>7<1m:08=o5r{3d4>5<72;0=i84<1e9~7`0290;6?49e6805c=zs;l<6=4?:385a<<4:81vw?h8:183>7<1mk08>>5r{3d4>5<72;0=ii4<249~7`0290;6?49eg8062=zs;l<6=4?:385b4<4:01vw?h8:183>7<1n:08>o5r{3d4>5<72;0=j84<2e9~7`0290;6?49f6806c=zs;l<6=4?:385b<<4;81vw?h8:183>7<1nk08?>5r{3d4>5<72;0=ji4<349~7`0290;6?49fg8072=zs;l<6=4?:38444<4;01vw?h8:183>7<08:08?o5r{3d4>5<72;0<<84<3e9~7`0290;6?4806807c=zs;l<6=4?:3844<<4<81vw?h8:183>7<08k088>5r{3d4>5<72;0<7<09:088o5r{3d4>5<72;0<=84>cb9~7`f290;69481782ga<6l;0:h>5r{3db>5<72:0<=:4>cd82`4=zs;lj6=4?:2845=<6ko0:h=5r{3db>5<72;0<=44>d59~7`0290;6?482682g6=zs;lj6=4?:2846=<6k=0:o85r{3db>5<72:0<>44>c782g2=zs;lj6=4?:3846d<6k11vw?hn:183>6<0:k0:o44>c`9~7`f290;6?482b82gg=zs;l<6=4?:3847g<6jh1vw?hn:183>1<0;j0:no4>c182g4=zs;lj6=4?:2847a<6jj0:nk5r{3db>5<72:0be82f`=zs;lj6=4?:3847c<6k;1vw?h8:183>7<05<72=0<8h4>b382f2<6j11vw?hn:183>6<04>b79~7`f290;6>485182f1<6j<1vw?hn:183>7<0=80:n45r{3d4>5<72;0<9k4>d49~7`f290;6>486182`3<6lh1vw?hn:183>1<0>80:h:4>dc82`f=zs;lj6=4?:28427<6l10:h45r{3db>5<72;0<:>4>de9~7`0290;6?487081<`=zs;lj6=4?:38437<5191vw?hn:183>7<0?:095k5r{3db>5<72;0<;84=929~7`f290;6?487781e3=zs;lj6=4?:38431<5ik1vw?h8:183>6<0m?0857=m;|y1b2<729086:k8:35:>71d3twKL]ur@A \ No newline at end of file +$4635=6:2.Yi{g|inl9$4(5=0*/=<5+Rdtjwlii2);%98.+1:20?567<2:;<=;4012343=789:;<55?0123456>39:;<=>?0163?56789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123403<89:;<=>?0133457788;:==>?1033447789::=?1132547799:;<<>?0133456789:;<;5?012354g<9:0BB][[:@FGVDQUIMNY0<<50?3b?45=AGZ^X7OKDS@Q@DBCZ5;96=0<;0707>72<:1:98=41440?430;2;>4>5>58185366l2;=<#>?0123456'xZ*EIY.LLJDA[LH6;2;=<7~\:OGW>FJLNKUBB>5>6018534438<8?6?94292205<9?<87<883:35<6=6>0;:7<85IORVP?BN48?1<3?;;049MKVR\3NBMIG31483:42<9?0BB][[:EKA@L:6=3:5=?5>6;MVPUSS2ME7=84?>07853292355<9>;87<9=3:3476=6?=;0>>5=5437?7?=G\^[YY4[ERV?6=<768>0>7GAPTV9@LVFL@Z7=7>11591>LHW]]0OE]LKIQ>2>586<281EC^ZT;DPFZUNR5;1<3?<;38JJUSS2^OJ[HB31;2=56=52@D[YY4XECUFQ97=87;>7?4@UURVP?BHXHND\1?50?36?705?699<18>7AZTQWW>CUEWM68=7>11:726>3=AGZ^X7JNDEP?0?69l2GCL[K\^LJKR3a8EABUI^XJHI\o6OKDSCTVDBCZ?i0MIJ]AVPB@AT0k2KOH_OXR@FGV=eGCL[K\^LJKR=2=b>GCL[K\^LJKR=33:c=FLMXJ[_OKDS>25;763HNO^LY]AEFQ844=87l0MIJ]AVPB@AT;9;4n7LJKR@UQEABU484n7LJKR@UQEABU4;4n7LJKR@UQEABU4:4n7LJKR@UQEABU4=4n7LJKR@UQEABU4<4n7LJKR@UQEABU4?4n7LJKR@UQEABU4>4n7LJKR@UQEABU414n7LJKR@UQEABU404i7LJKRCPGEABUk2KOH_L]D@FGV5eGCL[HYHLJKR02g?DBCZKXOMIJ]10f8EABUJ[NJHI\>2b9B@ATEZMKOH_h7LJKRCPGEABU=j1JHI\MRECG@W0d3HNO^O\KAEFQ3f=FLMXI^IOKDS:`?DBCZKXOMIJ]9d9B@ATEZMKOH_2?>g9B@ATEZMKOH_2>0?d8EABUJ[NJHI\310<25>GCL[HYHLJKR=31>58a3HNO^O\KAEFQ8449m2KOH_L]D@FGV979m2KOH_L]D@FGV949m2KOH_L]D@FGV959m2KOH_L]D@FGV929m2KOH_L]D@FGV939m2KOH_L]D@FGV909m2KOH_L]D@FGV919m2KOH_L]D@FGV9>9m2KOH_L]D@FGV9?9m2KOHRICOCCO[UOI;2KO^>5NLM78EV_IK01IMCJXIEVK7>DRN=1IYKI9;CWEMJ4?3K_MEBDR[VCEJB?4C39@47=D9k1HM]OLIUCMG@4EKAYZNHRZ]ARJ@EK58178GIM>8<1H@FO>7:AOOD7C:>1H@FO>D558GIMF9M227NBDAVP@HN3EKCOHOO@94CMIEFLH?3JF@JOAZTe9@HN@EG\^TAXB[e:AOOCDH]]U[DL]J8:AOOCDXAG30OAEIB^MVP`=DDBLISB[[_N@VB0=DDBLS46MCKGZ2<53EKC@D9h6MCKHL1[UCUAFN37NBDIOQFHf=DDBCES]K]INF4?FJLG\^;i6MCKNWW4ZBN^@NN=?5LLJMVP5YC]]STOTMCEe9@HNIR\9U[DL]J7:AOOJSS9l1H@FAZT0]GMSOCM880OAE@UU3\@PR^WJSH@Hj4CMILQQ7XXAKXI:5LLJMVP7cEKCF__?RJZTX]@]FJBl2IGGB[[3^RKEVC03JF@CXZ;e:AOOJSSEKCF__9h5LLJMVP0YCA_COI<<4CMILQQ3XL\^RSNWLLDf8GIMH]]?T\EO\E`9@HNIR\MIF1:ALJVRFF]U_^L]GC@L20>EHZLULICK]AUKLJZOINF<0O][LLJ`8GUSDDBUDNXH8;BRV[kGTi2I[YR`\TDPNa>EW]VdXXH\B1^az54=DX\Ue_YK]M0]`}Ztsn2I[YR`\TDPN[JDRN>1H^HO[EE48Gim@mg;0H?5K039G50=CIMNY:6JNDEP32>BFLMX::6JNDEP12>BFLMX8:6JNDEP7<>BFLMX7<364D@FGV97902NJHI\32?:8@DBCZ595m6JNDEP?0?6902NJHI\34?a8@DRFW^COXEQNc:FBPDYPAM^CSO:4DBNH`>BCZVKGEJ@BEOV0?ACI:2NB?6JF029GM42:4DH370>BN9<90HD<<;EK07>BN<:1OE8=4DH40?AO0;2NB4>5KI878@L:76?1OE1??>79GM9766?1OE1?=>79GM9746?1OE1?;>99GM972294=7IG314<6?AO;97?0HD2=>49GM959=2NB090:;EK?1;3BN414>7IG39?78@LGCA?1OELJF079GMDBN9>1OELJF1158@LGCA8;<7IGNDH313>BNIMC:?:5KI@FJ51113MCJHD77;EKB@L:7601OELJF<02==>BNIMC7=<06;EKB@L:6:730HDOKI=30:<=CAHNB0<:1b:FJEAO;9<0;245KI@FJ843902NBMIG31?:8@LGCA58546JFAEK?7;>BNIMC7;364DHCGM9>902NBMIG39?78@LDCA?1OEOJF079GMGBN9>1OEOJF1158@LDCA8;<7IGMDH313>BNJMC:?:5KICFJ51113MCIHD77;EKA@L:7601OEOJF<02==>BNJMC7=<06;EKA@L:6:730HDLKI=30:<=CAKNB0<:1b:FJFAO;9<0;245KICFJ843902NBNIG31?:8@LDCA58546JFBEK?7;>BNJMC7;364DH@GM9>902NBNIG39?58@LVFL@Z37IG_AEKS4==CAYKOE]?n;EKSEAOW494h7IG_AEKS84<76h1OE]OKIQ>2:2=CAYHOE]64DHRA@LV702NB\OJFP0c8@LVEL@Z7<3m4DHRA@LV;93:5m6JFPCFJT979>2NBZNBDd:FJRFJLWMC]EIK9;EKUMACc3MC]EIKPBXRBWV4<;EM20>BH99>0HB?>4:FL572>5KO218@J243ME>?6J@629GK2549GK979=2ND0?0:;EM?7;3BH4?4>7IA37?78@J:?6<1OC1715:FLEAI13MEJHB>9;EMB@J703MEJHB??7:FLEAI69>1OCLJ@1358@JGCG89<7IANDN373>BHIME:9;5KO@FL63=CGHND?;5KO@FL03=CGHND9;5KO@FL23=CGHND;;5KO@FL<3=CGHND555KO@FL858>3MEJHB2>0?;8@JGCG5;:245KO@FL844912NDMIA312<:?AIFLF6:83l4DNCGK97229427IANDN>21;>BHIME78364DNCGK93902NDMIA36?:8@JGCG5=546J@AEM?<;>2NDNIA>7:FLFAI68>1OCOJ@1058@JDCG88<7IAMDN303>BHJME:8:5KOCFL50019:FLFAI;99427IAMDN>25;?89GKGBH489556J@BEM?518e3MEIHB2>5;2==>BHJME7=807;EMA@J:6611OCOJ@<3<;?AIELF68255KOCFL818?3MEIHB2:>99GKGBH4?437IAMDN>4:==CGKND0507;EMA@J:>6>1OCOQ]EF;8@JHSWJKYI:5KOQCGKU>BHXHND\1?17:FLTGBHX11OC]LKOQ2;?AIWJME[=l5KOQ@GKU:76j1OC]LKOQ>2>58f3ME[NIA_<0<1?AV43MZD96J_R@26?AVUI8?0H]\N249GTWG4=2N[^L:j;EP@[VSDKLYXJXB;;EPG]0=CZ@\N46JZAMRLVQ5CIJ[NNB45JNNWWW\HDj2OES_][R@O\Eg=BFVXXX_OB_C32?@^WIGNNHRLLD^DJH@733LR[MCJJD^ALJVRUI@D__7KOCSD08BA30J_OHb:DQEBEH^LXNHl5IR@E\FDUBLk1M^LIPCNLEMBg?0!rP64=AZFG1J^LPA;VL>FVRWgY_I_C514234?vT02LYC@QZCG48BVDDDB?0J^LPA99EWGYF49427K]M_@>24;?>89EWGYF488556H\B^C?568>3OYISL2>4?;8BVDXI5;>245ISC]B840912LXNRO316<:?CUEWH6:4374FR@\E97>611M_OQN<0<:?CUEWH69<374FR@\E946601M_OQN<30==>@TJVK7>>06;GQA[D:5<730J^LPA=06:<=A[KUJ0?819:DPFZG;:>427K]M_@>1<;?99EWGYF4;427K]M_@>04;?>99EWGYF4:437K]M_@>7:==A[KUJ0807;GQA[D:1611M_OQN<6<;?CUEWH63255ISC]B8<8c3OYISLQ>0^KAQCb_H@VBa=A[KUJS<@TJVKT=>QFBTDg?CUEWHU:8RGMUGf8BVDXIV;>SDLZFe9EWGYFW8UBNXHk;GQA[DY60VCIYKj4FR@\EZ7>W@H^Ji5ISC]B[76XAK_Mh6H\B^C\64YNJ\Lo7K]M_@]16ZOE]On0J^LPA^00[LDRNm1M_OQN_36\MGSAl2LXNROP24]JFP@c3OYISLQ=6^KAQCb20;?89EWGYC48<556H\B^F?528>3OYISI2>8?;8BVDXL5;2255ISC]G848>3OYISI2=0?;8BVDXL58:245ISC]G874912LXNRJ322<:?CUEWM698374FR@\@942601M_OQK<34==>@TJVN7>:06;GQA[A:50730J^LPD=0::==A[KUO0?06;GQA[A:48730J^LPD=12:==A[KUO0>07;GQA[A:3611M_OQK<4<;?CUEWM6=255ISC]G828?3OYISI27>99EWGYC404h7K]M_E]3[JDRNm1M_OQK_02\KGSAl2LXNRJP10]LFP@c3OYISIQ>2^MAQCb@TJVNT=8Q@BTDg?CUEWMU::RAMUGf8BVDXLV;@TJVNT>4Q@BTD`?CUEWMU9SBLZFe9EWGYCW::TCO[Id:DPFZBX;8UDNXHl;GQA[AY4WFH^Jn5ISC]G[1YHJ\Lh7K]M_E]6[JDRNj1M_OQK_7]LFP@d3OYISIQ8_N@VBf=A[KUOS5Q@BTD`?CUEWMU2SBLZF`9EWGYTAS6;2l5ISC]PM_:6681L=95HEOGQEQCC(YEXX HCIOV26>ABFLXJXHJ/PNQW)B57;2MEH85HRNWS=>AUG\Z_U]K;;IKDL4=N:2C;>6G>2:K16>O4:2C?>6G:4:KAQC34ICWE[ACKIPU]MA[Jc:KAQCYKG^U[[_<4IO18MKG43@DI46GAFDPQAAb7;HLJPUY7911BBDZ__10;?LHN\YU;?45FNHVPPDRB?2CEEYQ?069JJLRX88=0ECG[_104?LHN\V:8;6GAIU]302=NF@^T<894IOKW[5003@DBXR>87:KMMQY70>1BBDZP0858MKOSW9K<7D@FT^2A3>OIA]U;O:5FNHV\4A1069JJLRX98=0ECG[_004?LHN\V;8;6GAIU]202=NF@^T=894IOKW[4003@DBXR?87:KMMQY60>1BBDZP1858MKOSW8K<7D@FT^3A3>OIA]U:O:5FNHV\5A12CEEYQN6:KMMQYE12CEEYQIIMGe?LHTM[^NHRLZFHM17>OI^11BB[K]TDF;?LHQM[^N^i5FNWGQPZOIX\^Xi6GA_OEG[DHCWKIO46G@BEGOE\3]|jfdv`l2=KAKXJ^T84LNCGAA0I: !tB<'&'~H3),-O5HwC>%'&qI2(}M1/.!""B='&'I3B}M0/- wC8&D:&)(+*/-O7"!"uE<$'(H0CzL3."!C8&sG;)(+(,L7- !C9LsG:)+*J7/O3! #"%$;4O<|J41=HJ\L>7BJKR318KKC33FZJH<94OQVJIL\BWYXBADZFVDQ\JBEd3FXBKOBD_U[SA6=H]];0\l5_.3227466;j1["?>>30227ZJ43YKO86^NRU16?UCUAFN+.NBDIO #75678':;<=>?012#tV&OANB+9= ?01234567(,;n7]K]INF9GIMNF39;<=>5pR8KMBN==9/9m6^JRHMG>faXxefToaeibnww>QUXKEABB'?5HHEK>06":01[I_G@D;ad[ujkWjf`cxz?:UQ\GIMNF&81DDIG:42&<>VOQZCHMAo4PHL\FPUNLQh0\D@PBTQMEHCe3YCESD]LLNAHe>VLWKCEHD@H3:ROH4ce3YFG nbd_vky[s5X>%qhc`~`ndlw[kgjm4ZG@!{|e^rkevcXieclb`kat5)ekc`d:S}k}inf>75(789&h`fga2^rfvlic5::%<=>#urg\tjqbzVnd{c3iamqf(pubW{oxiy3iamqf(pubWeehghj2tswf(pubW`dh`fQ|tnrsaa;aieyn x}j_rvbppu:nhfxi!{|e^dqa`|b5okgh"zsd]`hnYqieco1kocsd.ea`beijaTxt~j=RKMCIC+keehgRchr^vzt`;JIG_J@!cnntco[jpbz{coi0hnlrg~b>VKDVKOHRBJAJCDA2=WDEUJH[?>;QNO[DPCLVIDA]Q\EU:8TIJXJHYN==5_LM]@HDKWWKS[M^]>1:ROHZEKIDZT^HHPSDNb?UJKWJF@<@Vn;QNO[FJL9DRj7]BC_BNH6H^f3YFGSNBD3LZb?UJKWJF@8@Vn;QNO[FJL=DR:<6^CL^AOOGSU[]UHBY?<;QNO[FJLJ\XXXRKAACNFg>VKDVIGGN@[RM`8TIJXKEAMN@V>0:ROHZEKCOHDYYR@@CNWMPc=WDEUH@FHMOTV\@Q763YFGSNBDFCMVPZCCOLl0\ABPCMIEFJSSWLDm7]BC_BNHBGIR\VB_j6^CL^AOOCDH]]UGX<:4PMN\GIMAJF__SCALOTLWb>VKDVIGGKL@UU]SI`=WDEUH@FAZT1]GP46R^Be:ROHZEKCF__?RJ[119SHIYDDBE^X>QJDFGf?UJKWJF@CXZ<_DLf?UJKWJF@CXZ<_IVf?UJKWJF@CXZ<_MV27>VKDVIGGB[[3^LLGJSI\l1[@AQLLJMVP6YWEl1[@AQLLJMVP1YC\8:0\ABPCMILQQ2XMMMNi6^CL^AOOJSS5_LM]@HNIR\=UECNAZNUg8TIJXKEADYY:PPLg8TIJXKEADYY;PDU33?UJKWJF@CXZ:_DFDA`=WDEUH@FAZT4]FJ`=WDEUH@FAZT4]KP`=WDEUH@FAZT4]OP45VKDVOES[M@0c9SHIYBFV\HCVKDVFDOFQIB^FO]46'oRowi^qoescX|pzn1="l_`zj[qwm4;'oRlvtd]pmc:0%iTobcboo]`hn;6$jUoikozlu]geqg:8%iThd}nbmg\sdtiWkb}Snacl<2/gZbn{hhgiRynro]alsYuigmn1="l_dnbfjtf|`eeSig}=B],Qvcu{&Ndk"J`ctofjqu(O`~Cyo!Xasr.HF)axnk$e}m`rd]gmw)sey%Toj!#c^gmegjbW:8ieyQndepfwv;7$jUmm`gcy5)eX`hyTicl21-a\lduXag`noyk}r<2/gZnf{VgnaRaztqww[wc`{Vk629.`[wcflVnn|yfPb<31<)eXzlkoSzgkti]b964+kVxnmiQxievk[g;4:%iT~~zPpsklvlr~Wh7HI!mPrrv\twohz`~rSo3LE-a\vvrX|pzn1^WAC-a\vvruidUj1="l_sqwvdkXj4:'oR}fm^alhiotafdToeklk#c^wpaZcdk4:'oR{|e^qlbqcdk4:'oRyja^uj`qn:<%iT{hlPwhfwl82+kV}yeykPddrwlZg:9;2'oRy}iug\``vs`Vh6=?6#c^uqmqcXefnnSl3]E@F\BLTT\%iT{g{e^ol``Ye5[OJHRHFRRV/gZqua}oT{dj{h^c>77*dW~xbxhQxievk[g;4:%iTtikyibgeehokq4y{mznn717>VumoooeJnt`PBI)dkcVgnaRijn^t4[6*|u;<:7]|jfdv`lQg`ZHG'hd}{_lgn[bciW=T>!ul_`fgvZqnl}b69!mPdddbqirXlh~j1="l_egspm;4:%iTiaomoscwmjhXl`x6OR!Zsdpp+Ai`'Mehy`katr-DmqNrj&]j~}#CC.dscd)nxjeyiRjfr.vnt*Ydo&&hSkobim{>wugu|hd= nQgar]`hn;6$jUcm~Qk=0.`[mgtWmzd1<"l_icp[avui4;'oRfns^k\g`;7$jUcm~Q~dqm>4)eX`hyT}i~`_bg>4)eX`hyT}i~`_bnh95*dWakxS|jo^ppp86+kVbjRkpn]pvvr:8%iTdl}Pqrrl95*dWakxS|}o^af95*dWakxS|}o^ppp86+kVbjR|pn]pvvr:8%iTdl}Psqm>5)eX`hyT}|n=1.`[mgtW~o6=!mPmdo\mkosWocgi0``_bmf[cokmVfdmikk,b]nahYsqyo6>!mPp`ppawYsqyo6=!mPphrfhlhbWz~jkh}20-a\ufcXbfceii3?,b]rqdjnnpU|i0>#c^pfeaYjao7; nQ}ef]b[aYnfy0>#c^pfcZbwzhUbb}{{=1.`[vikVoemobj=0.`[roc|a79>p<<;Qpfb`rd`]kl^LC#dhqw[hcjWnoeS{9P2-y~5>W63[80^=<4R008V74TFE?>S=^74R@OA1YM@MCK1a8VDKDDB:TCO[I7:PBIFJL9j1YM@MCK0]LFP@?3[KFS@AKE`9QADBXN@XXXl5]EG]IMQRBZ?1YIJMJAc9QABEBJ[OLOH=4RDN4?WCJG_OO==5]ELMUAAYSZHYCOL@>0:PFV@UHD_OT\L\NMR36?WCTM]UDBRB@SR]LBZJHKB20^C\PFMMS7>THE:1Y_Y:4RRVB3>TT\KXXX:5]SUVZT@c5\DQ18W@Jd3ZOYHH]\TSMAA6=TM]20_HZ]ERGW54=T@[OLSHV[R@AW[KADm2YC^HIPMHL\WL\B12YBKRM@UOV27>UNEVID@AG\INL\GMCDCh1XE@QKEWK@Ad=TCL]H@FGA1`9PO@QDDBCE>:5\KDUQWQ1<[BO\_YL7;RIJTZVNF=1X@HY<;RLH6>UW9?1X\;QMUGKL6ZOI[LX_S]BC1308WU0XJ\LBC?QFNRGQPZVKD8UF@RLZFHM1[5><[YKYXL@93:QSK6=TZJn0__MPHHGQEWEOQ?1X^YW_E69PVSGKWH=0__XNL^@5?VRF\\Y37^ZZCJ]BP1=TQGI27^WAC^CWPW`<\HXLIYQ_ASVW]UC?3]OYAD@NL59WAVR23]OXX=;4TDQW53=SMZ^:<;5[ERV253=SMZ^:>;5[ERV273=SMZ^:8;5[ERV213=SMZ^::;5[ERV233=SMZ^:4;5[ERV2=0=SMZ^9:6ZJSU032>RB[]8::6ZJSU012>RB[]88:6ZJSU072>RB[]8>:6ZJSU052>RB[]8<:6ZJSU0;1>RB[]9>7YK\T578P@US=<1_I^Z95:VFWQ123]OXX5;4TDQW=2=SMZ^7<364TDQW846902^N_Y2>1?:8P@US488546ZJSU>27;><\LY_0<:18:VFWQ:6=720XH][<04=<>RB[]6:;364TDQW84>902^N_Y2>9?58P@US48437YK\T=03:==SMZ^7><07;UGPP945611_I^Z322<;?QCT\58?255[ERV?608?3]OXX1<9>99WAVR;:>4j7YK\T=0;>58?3]OXX1<7>69WAVR;:7=0XH][<2<4?QCT\5>5;6ZJSU>6:2=SMZ^7:394TDQW82803]OXX1617:VFWQ:>6?1_EHAIF99WMHCTXLI<7Y@B_OGW56=SZHDXJB\BAUKLJZTRDLh0X_OASQCQAKR33]X^I45[S^AOOBTW02^XSNBDIOd8PVYDX\Ue_YK]M^Ca?QUXNLOONLMDb:VP[QOJMZZNO;5[S^VMI44<\ZUhkR~cl^aoocdh}};:7Y]Pcf]shiYddbe~x=:4TXRF7>SDNj1^_H\PVHQJFICe3\YNSIAZBMGQ7>PDK01]EHYPTXRF6>Q7:2]:>6Y=2:U06>Q3<2]H@F<4WD48S@GPME=0[HOXEM24?RCF_LF:56YJAVGO858e3^OJ[HB31;2==>QBI^OG0<09;VGAS@S03^OI[H[?7:UFFRCR901\IOYJU=2=f>QBJ^O^0<4?>89TAGQB]5;5o6Y]IUG\IJBBWHi0[_G[E^OL@@YE>2]j~}BL129TewvKKV^BAH]_EB]VGC7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML04X^ALV@YNFOET@D][6:ZgfZOcm2RodRM`rs@okfmtm2RodRM`rsKmr`ts991SheQLospMaqqhzbyh7Ujg_BmqvUoi{8=0TifPM`r@kwtDd`z{iiZ}arj2<>^c`VGj|Na}rBmmwqgi|]xje?<;Yfk[HgwKfxyAh|heeVl52=_laUFm}M`rsPfijpbl]xjel4Xej\TwojAmri7Ujg_QpjiLhqk2RodR^}ilVzt``^t|:1RI^:4ZDPL3>[\:1=TQFNW]33>[\:0?T:i5R[3;6[3YNF_U;>n5mlj]nahY`mgU};R=# Ykomk~'KFXN,Jkaescwkw&6<'=87ni e:ad+FVRKEATec}{a:ad+FVRKEAyi6mh/GRVGIMXagyj6mh/SCNGIM7W`dxxk5lg.PBIFJL9Vcey94cf-shi)6=2il#}bc/bnhbgYe}omTcxz>5:ad+ujk'jf`joQmugkl6cd6i2il#}bc/bnhbgYnj|l9nxhfo3da57=do&zg`"mckg`\mgsao8=0oj!lm-`hn`eW`dTnxhPotve?fa(xef$oaeibnww51=do&zg`"mckg`lqqYe}om:86mh/qno+fjlnke~xRakdsf8gb)wde%h`fga1038gb)wde%h`fga1^`vb`=do&zg`"mcknww444R_SFBPda\:9WTi|{nl^7\kZe~4948>6b=psg,IfijxhxT^IZngZ03YZTCI]klW?>R_dsveiY2WfUhu1?1339o6utb'Dida}o}_SFWeb]58TUYHLZngZ03YZcv}hfT9RaPcx>1:64=SPRECWeb]58TUn}xoc_4]l[f;;7997a<rd-Ngjkwi{UYHYoh[32^[WBF\hmP>=SPepwbhZ3XgVir090<2:n1twc(Ejef|l|PREVbc^47UVXOMYoh[32^[`wrieU>SbQly=7=77=k:yxn#@m`mqcq[WBSinQ9SbQcuu>2:65=SPRECWeb]58TUn}xoc_4]l[iss4;48?6b=psg,IfijxhxT^IZngZ03YZTCI]klW?>R_dsveiY2WfUgyy2<>218h7vum&Ghc`~nr^PGPda\:9WT^IO[afY14XYby|kgS8Q`_mww8184;2f9|k MbmntdtXZM^jkV2>=4l3rqa*Kdgdzj~R\KT`eX65[XZMK_mjU=0\]fupgkWj5x{o$^IZngZ03YZTCI]klW?>R_dsveiY2Wf=;7a<rd-Te|6Ci}k$Y=!wsu]ahnYjmdUlick}aumq+bhf|`|nn`i!n`vjr`YedbUfi`Qheo-ueioc&jy~"|nmmmlt^6Z&{kf"!|6^llmkos&{kf#5<4l3rqa*Qfq9Njxl!Z0.zppZdkcVgnaRijndpbpjt(ogke{kmmf,meqoqmVhggRcjm^efj*pfd`n%o~z}/scnhjiwS9W%~lc!r.q5[kinf`~%~lc SER-SLBBWYXBA4 }al62?i4wzl%\mt>[af-V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*2f3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&Gym`Q}al37f>j5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)JzhgT~lc>05`8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+HtfeVxja9l4l3rqa*Qfq9^jk"[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/LpbiZtfe89?n6b=psg,Sd7\hm$Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!Br`o\vdk6<=h0`?~}e.Ub}5Rfo&_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#@|nm^pbi433j2f9|k W`{3Pda(]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%F~lcPr`o221dj5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)JzhgT~lc>85`8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+HtfeVxja<7;a:n1twc(_hs;Xli U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-NvdkXzhg98o5c2qpf+Rg~8]kl#X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z Mscn[wgj:9>i7a<rd-Te|6Sin%^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"C}al]qeh46}|j/Vcz4Qg`'\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$Aob_scn672f3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&Gym`Q}al17e>j5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)JzhgT~lc;4`9o6utb'^krj7a<rd-Te|6Sin%^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"C}al]qeh13i2f9|k W`{3Pda(]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%F~lcPr`o;0d=k:yxn#Zov0Ucd+P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(E{kfSob95a8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+tbwgVcex1>14e9o6utb'^kr14e9o6utb'^kr=0;d:n1twc(_hs;Xli U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~7><0;c:n1twc(_hs;Xli U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~7>3:l;m0sv`)Pip:_mj!Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sgtjYnf}6829m4l3rqa*Qfq9^jk"[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pfskZoi|5>58n5c2qpf+Rg~8]kl#X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhs4<4?o6b=psg,Sd7\hm$Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkr;>7>h7a<rd-Te|6Sin%^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"kpn]jjq:06=i0`?~}e.Ub}5Rfo&_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|jo^kmp9>9}|j/Vcz4Qg`'\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw8<83j2f9|k W`{3Pda(]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\41dj5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)vlyeTeczP116`?i4wzl%\mt>[af-V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*wcxfUbbyQ>15a8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+tbwgVcexR?=4b9o6utb'^kr xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhsW8=?o6b=psg,Sd7\hm$Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkrX91>h7a<rd-Te|6Sin%^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"kpn]jjqY61=h0`?~}e.Ub}5Rfo&_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|jo^kmpZ43k2f9|k W`{3Pda(]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\652d3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{o|bQfnu]151dj5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)vlyeTeczP45`8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+tbwgVcexR;;b:n1twc(_hs;Xli U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~T:9l4l3rqa*Qfq9^jk"[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pfskZoi|V=?n6b=psg,Sd7\hm$Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkrX0=h0`?~}e.Ub}5Rfo&_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|jo^kmpZ?3k2f9|k W`{3Pda(]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%z}aPiov?4;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>24;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>25;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>26;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>27;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>20;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>21;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>22;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>23;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>2<;2c3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>2=;2d3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>2:1bj5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTecz34?6`?i4wzl%\mt>[af-V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*wtxfUbby2:>5a8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+tuwgVcex1814b9o6utb'^kr}|j/Vcz4Qg`'\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}~~`_hlw[473k2f9|k W`{3Pda(]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%z}aPiov\572d3e8{~h!Xax2Web)R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu]271ej5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTeczP176`?i4wzl%\mt>[af-V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*wtxfUbbyQ>75a8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+tuwgVcexR?74b9o6utb'^kr9m4l3rqa*Qfq9^jk"[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pqskZoi|V8;8n5c2qpf+Rg~8]kl#X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qrrl[lhsW;;?n6b=psg,Sd7\hm$Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~sqm\mkrX;=h0`?~}e.Ub}5Rfo&_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|}o^kmpZ23j2f9|k W`{3Pda(]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%z}aPiov\11dj5x{o$[lw?T`e,Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTeczP75`8h7vum&]ju=Zng.W3+}usWfzeb`|.ekppZkbeVcey!heo]gtZtfe'n{~lcPioqw+tuwgVcexR6;b:n1twc(_hs;Xli U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-rwuiXag~T5<:4l3rqa*Qfq9^jk"}o=2=50=k:yxn#Zov0Ucd+vvh48:5=85c2qpf+Rg~8]kl#~~`<03=50=k:yxn#Zov0Ucd+vvh4885=85c2qpf+Rg~8]kl#~~`<01=50=k:yxn#Zov0Ucd+vvh48>5=85c2qpf+Rg~8]kl#~~`<07=50=k:yxn#Zov0Ucd+vvh48<5=85c2qpf+Rg~8]kl#~~`<05=50=k:yxn#Zov0Ucd+vvh4825=85c2qpf+Rg~8]kl#~~`<0;=51=k:yxn#Zov0Ucd+vvh484:96b=psg,Sd7\hm$}a321<21>j5x{o$[lw?T`e,wui;:84:86b=psg,Sd7\hm$}a32?37?i4wzl%\mt>[af-ptj:468>0`?~}e.Ub}5Rfo&y{c1:1159o6utb'^kr4:42j5x{o$b=>=3=32:c=k:yxn#c>?22>26;`}|j/o2366:6>7l0`?~}e.l3475;9>4m7a<rd-m45444825j6b=psg,j565;5;22h5c2qpf+k67::6:2k5c2qpf+k67::69<3h4l3rqa*h78;97><0j;m0sv`)i89880?0j;m0sv`)i89880>0j;m0sv`)i8988090j;m0sv`)i8988080j;m0sv`)i89880;0j;m0sv`)i89880:0j;m0sv`)i8988050j;m0sv`)i8988040<;lcs<>h`lkb`i<4nn3g?un~{`ij`Ri}otr\GIMAJVE^XRAMUG3b?un~{`ij`Ri}otr\GUSDDBUDNXH>a:rk}vodieUl~b{_GRVGIMXGK_M=o5hxqjgdjXo{e~|R\NMBNH4ZIE]O;i7}fvshabhZaug|zT^LCLLJ3\KGSA9l1{dt}fc`n\cwirxVil#}bc/bnhbgir|m1{`aQmarg\mkus02y{mznn7:8|ljnfqe=55wloz\eprhW9Usho!lsu,{ffbc3qy>#b{l;@VBB763qy>#b{l;@VBLI5NKh0t~z=.mvo>CBd3qy>#b{l;DGVf={}8%`yb5GOFg?}us:'f`7GMUGg8|vr5&e~g6DLZFFf8|vr5&e~g6A[[1e9{wq4)d}f1@XZ9e:zpp7(k|e0FYUMVd:zpp7(k|e0DNXHj;yqw6+jsd3EOH_<>0:zpp7(k|e0[@AQNDW32?}us:'f`7\NM20[5A753qy>#b{l;PBIG>E_LXh7u}{2/nwh?PDKtJK|2O036>=7?4n571>3=#<=;18>l4}Rf6>1342;lm6<=;6474>7`3l01X>i:553695?74;71=7?<47763?4a7<6;=<>9:4=f42:?Vb22<886?4>354612<5n<:i7^5<62;qXi<4;5281bc<6;=<>9:4=f5f4?!>22<8o7E?j9:l1a4<19>om<474$3g3>04c3-8n>74:2g9'5c2=:jk0n9=6:187f?c?2olpV5853z1:>64=;h0v(9?j:51:?!5e138o7)=m8;660>"3;o0?;85U45296~20=3>>o7sU8b816>a2:2o6pTk9;1x75e=;1o1?5m5}o67a?65<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<>of13:17b<:b;29?j42i3:17b<:8;29?j42?3:17b<:6;29?j42=3:17b<:4;29?j42;3:17b<:2;29?j4293:17b<:0;29?j43n3:17b<;d;29?j43k3:17b<;b;29?j43i3:17b<;9;29?j4303:17b<;7;29?j43>3:17b<;5;29?j43<3:17b<92;29?j4193:17b<90;29?j42n3:17b<:e;29?j42l3:17b<:c;29?j4213:17b<;e;29?j43;3:17bjk:188kag=831d=kj50;9l7:083>5}#<8o18?<4H51`?!23k38m46*=d2866`=#:mh19>h4n56f>4=#;jk18>74o3dg>5<#<=i1>km4;|`77a<6280;6=u+40g96=><@=9h7):;c;661>"5l:0>>h5+2e`9162n6?5+3bc906?6=4+45a96ce<3th?;h4>:683>5}#<8o1>i74H51`?!4f939n7):;c;1be>"5l:0>>h5+2e`916>n6>5+3bc9020o50o0;6):;c;5g?k23i3807d<60;29 12d2>n0b9:n:298m7?6290/89m57e9m01g=<21b>4<50;&70f<0l2d?8l4:;:m1b6<72->?o72c3h7>5$56`>7?>32cm;7>5$56`>7??32c8n<4?:%67g?5e<21d>k=50;&70f<5nj10qo:8f;393?6=8r.?=h4=d89K06e<,;k:6>k4$56`>6gf3-8o?7;=e:&1`g<2;11e89k55:&0gd<3??1b>5j50;&70f<0l2d?8l4?;:k1<`<72->?o79k;o67e?7<3`83j7>5$56`>2bj6?54i3;3>5<#<=i1;i5a45c97>=n:0;1<7*;4b84`>h3!23k3=o7c:;a;78?j4a;3:1(9:l:3d`?>{e<>21=7:50;2x 17b2;o>7E:i=553g8 7be2<927c:;e;48 6ef2===7d6k:18'01e=:0307dh8:18'01e=:0207d=m1;29 12d2:h?76a=f283>!23k38mo65rb5:3>4<0290;w):>e;0g=>N3;j1/>l?53d9'01e=;hk0(?j<:40f?!4cj3?846`;4d84?!5di3><:6g=8e83>!23k3=o7c:;a;28?l4?m3:1(9:l:6f8j12f2810e?6i:18'01e=?m1e89o52:9j6<6=83.?8n48d:l70d<432c95<4?:%67g?1c3g>?m7:4;h0:6?6=,=>h6:j4n56b>0=:583>5}#<8o1>h;4H51`?!23k38n86*=d2866`=#:mh19>74n56f>==#;jk18:84i9f94?"35<#<=i1?o:4;n0e7?6=,=>h6?hl;:a0=7=93=1<7>t$53f>7b>3A>8o6*=a080a>"3h6:j4n56b>5=o5190;6):;c;5g?k23i3907d<61;29 12d2>n0b9:n:598m7?5290/89m57e9m01g==21d>k=50;&70f<5nj10qo:8a;390?6=8r.?=h4=e49K06e<,=>h6?k;;%0g7?35m2.9ho4:389m01c=i2.8ol4;779j?o7<69:9jb2<72->?o7<68:9j7g7=83.?8n4?o7=na:&1`6<2:l1/>il552:8j12b2k1/?no54648m7>c290/89m57e9m01g=821b>5k50;&70f<0l2d?8l4>;:k1?o79k;o67e?4<3`82<7>5$56`>2bj6>54i3;2>5<#<=i1;i5a45c90>=n:081<7*;4b84`>h376a=f283>!23k38mo65rb55a>4<3290;w):>e;0f1>N3;j1/89m52d68 7b42<8n7)h3o?l3:1(9:l:3;:?>oa?3:1(9:l:3;;?>o4j80;6):;c;1a0>=h:o91<7*;4b81bf=3?7?57;294~"39l09h45G42a8 7g62:o0(9:l:2cb?!4c;3?9i6*=dc867==i<=o1h6*h3!23k3=o7c:;a;38?l4?n3:1(9:l:6f8j12f2;10e?7?:18'01e=?m1e89o53:9j6<7=83.?8n48d:l70d<332c95?4?:%67g?1c3g>?m7;4;n0e7?6=,=>h6?hl;:a02e=93>1<7>t$53f>7c23A>8o6*;4b81a1=#:m919?k4$3fa>05>3g>?i7k4$2ab>1113`2o6=4+45a96<3`9i=7>5$56`>6d332e9j>4?:%67g?4ak21vn96;:084>5<7s->:i72c94i4?:%67g?1c3g>?m7>4;h0;a?6=,=>h6:j4n56b>4=65f28294?"3o5180;6):;c;5g?k23i3>07d<62;29 12d2>n0b9:n:498k7`4290/89m52ga8?xd3?m0:694?:1y'04c=:l?0D9=l;%67g?4b<2.9h>4:2d9'6ad==:30b9:j:028 6ef2===7d6k:18'01e=:0307dh8:18'01e=:0207d=m1;29 12d2:h?76a=f283>!23k38mo65rb541>4e;0e3>N3;j1/j8478:&1e4<43-9hn79i;%0ee?35=2B8h>5+45a96c1<,>i1?o94$3f0>04b3-8on7;4o?j3;17d=61;39?l5e93;17b=60;39?xd3>80:654?:1y'04c=:o=0D9=l;%d6>=><,;k:6>5+3b`93c=#:ok19?;4H2f0?!23k38m;6*8c;1a3>"5l:0>>h5+2e`916dn6<<4$2ab>13c3`o?6<44id795?=n010:6E=?9:X75g<6s==?6p5f8882>M5712P?=o4>{557>xN3<:10e5l51;9j7<7=931b?o?51;9l7<6=931vn98?:08;>5<7s->:i7N4l:1/89m52g58 2e=;k=0(?j<:40f?!4cj3?8n6`;4d827>"4kh0?9i5fe582>>ob=3;17d67:08K75?>280C?=74Z53a>4}3?=0vD9:<;:k;f?7=3`92=7?5;h1a5?7=3f92<7?5;|`71`<6210;6=u+40g96c1<@=9h7)h::9:8 7g62:1/?nl57g9'6cg==;?0D>j<;%67g?4a?2.il552`8j12b28>0(>mn:57g?lc32800eh;51;9j<=<62A9;56T;1c821132t1b444>:I13=>\39k0:w99;:|J706=l?53:&0gg<4l91/>ko55378L6b43->?o74:2d9'6ad==:h0b9:j:078 6ef2=<87dk;:088m`3=931b454>:I13=>\39k0:w99<:|9j<<<62A9;56T;1c821142tB?8>54i9`95?=n;0;1=75f3c395?=h;0:1=75rb54e>4<5290;w):>e;:1?M24k2.9jl4:249'01e=0l1/>i=553g8 7be2<9;7W:>b;3x022=ug>?i7?9;%1`e?21;2e9j>4?:%67g?4ak21b>5m50;&70f<51>10qo:9a;396?6=8r.?=h472:J77f=#:ok19?;4$56`>=c<,;n868{550>xh3:383>5}#<8o1495G42a8 40d2=;>7)"5nh0>>85G3e18 12d21>0(:m53c58 7b42<8n7)h34b23-82:7:>5:&70f2.9584<;o67a?7>3-9hm7:93:k1?o7<67:9l6c5=83.?8n4=fb98yg21l3;1>7>50z&75`<51=1C8>m4$3db>0423-2j61C>nj4$3f0>04b3-8on7;<6:&1=0<43g>?i7?n;%1`e?21;2c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?m;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<9;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?l;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<8;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?k;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<7;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?j;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<6;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?i;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<5;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?21d>k=50;&70f<5nj10qo<<4;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<>;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<3;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<=;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<2;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<<;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<1;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<;;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<<0;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<:;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<=f;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<9;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<=e;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<8;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<=d;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<7;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<=c;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7<6;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<=b;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?21d>k=50;&70f<5nj10qo<=a;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?21d>k=50;&70f<5nj10qo<=9;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?21d>k=50;&70f<5nj10qo<;2;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?21d>k=50;&70f<5nj10qo<;1;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?21d>k=50;&70f<5nj10qo<;0;396?6=8r.?=h4=929K06e<,=>h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7?21d>k=50;&70f<5nj10qo<h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7=?;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7=>;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7==;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7=<;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<h65?4H3ag?!4dn382;6*=d2866`=#:mh19>;4$3af>7bf3g>?i7=;;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<8b;396?6=8r.?=h4=f79K06e<,=>h65?4H3ag?!4dn38mo6*=d2866`=#:mh19>o4$3af>7bf3g;nn764n56f>63<,:ij69=6;h0;g?6=,=>h6?78;:m1b6<72->?o72B??n5+45a9<4=O:jn0(?mi:3d`?!4c;3?9i6*=dc867d=#:jo1>io4n0ga>==i<=o1?;5+3bc906?5$56`>7`d32wi>:651;094?6|,=;n6?h9;I60g>"3"4kh0??45f29a94?"35<#<=i1>km4;|`132<62;0;6=u+40g96c0<@=9h7):;c;:2?M4dl2.9ok4=fb9'6a5==;o0(?jm:41b?!4dm38om6`>ec8;?k23m3937)=la;60=>o50j0;6):;c;0:3>=h:o91<7*;4b81bf=4:2d9'6ad==:k0(?mj:3fb?k7bj320b9:j:2;8 6ef2=927d<7c;29 12d2;3<76a=f283>!23k38mo65rb356>4<5290;w):>e;0e2>N3;j1/89m5809K6fb<,;im6?hl;%0g7?35m2.9ho4:3`9'6fc=:mk0bd290/89m52858?j4a;3:1(9:l:3d`?>{e:>>1=7<50;2x 17b2;l=7E:5m50;&70f<51>10c?h<:18'01e=:oi07pl=7282>7<729q/8?o76>;I0``>"5ko09jn5+2e1917c<,;ni68=n;%0`a?4ci2d:io47;o67a?5d3-9hm7:<9:k1?o7<67:9l6c5=83.?8n4=fb98yg40:3;1>7>50z&75`<5n?1C8>m4$56`>=7<@;io7)"5l:0>>h5+2e`916g<,;in6?jn;o3ff?>n6>j4$2ab>15>3`83o7>5$56`>7?032e9j>4?:%67g?4ak21vn?9>:081>5<7s->:i7"5lk0>?l5+2bg96ag74i3:`>5<#<=i1>494;n0e7?6=,=>h6?hl;:a626=9381<7>t$53f>7`13A>8o6*;4b8;5>N5km1/>nh52ga8 7b42<8n7)"5kl09hl5a1d`9<>h3:383>5}#<8o1>k84H51`?!23k32:7Ei=553g8 7be2<9j7)h6mk037c:;e;63?!5di3>856g=8b83>!23k382;65`2g194?"3il552c8 7eb2;nj7c?jb;:8j12b2=;0(>mn:51:?l4?k3:1(9:l:3;4?>i5n:0;6):;c;0eg>=zj;nj4$3ae>7`d3-8o?7;=e:&1`g<2;h1/>nk52ec8j4ce211e89k5439'7fg=<:30e?6l:18'01e=:0=07bkm4$3f0>04b3-8on7;h0:6?4?:1y'04c=:o<0D9=l;%67g?>63A8hh6*=cg81bf=#:m919?k4$3fa>05f3-8hi7?i7:;;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qo<99;396?6=8r.?=h4=f79K06e<,=>h65?4H3ag?!4dn38mo6*=d2866`=#:mh19>o4$3af>7bf3g;nn764n56f>13<,:ij69=6;h0;g?6=,=>h6?78;:m1b6<72->?o72B??n5+45a9<4=O:jn0(?mi:3d`?!4c;3?9i6*=dc867d=#:jo1>io4n0ga>==i<=o18;5+3bc906?5$56`>7`d32wi>;951;094?6|,=;n6?h9;I60g>"3"4kh0??45f29a94?"35<#<=i1>km4;|`123<62;0;6=u+40g96c0<@=9h7):;c;:2?M4dl2.9ok4=fb9'6a5==;o0(?jm:41b?!4dm38om6`>ec8;?k23m3>37)=la;60=>o50j0;6):;c;0:3>=h:o91<7*;4b81bf=4:2d9'6ad==:k0(?mj:3fb?k7bj320b9:j:5;8 6ef2=927d<7c;29 12d2;3<76a=f283>!23k38mo65rb347>4<5290;w):>e;0e2>N3;j1/89m5809K6fb<,;im6?hl;%0g7?35m2.9ho4:3`9'6fc=:mk0bd290/89m52858?j4a;3:1(9:l:3d`?>{e:181=7<50;2x 17b2;l=7E:5m50;&70f<51>10c?h<:18'01e=:oi07pl=8082>7<729q/8?o76>;I0``>"5ko09jn5+2e1917c<,;ni68=n;%0`a?4ci2d:io47;o67a?2d3-9hm7:<9:k1?o7<67:9l6c5=83.?8n4=fb98yg4?83;1>7>50z&75`<5n?1C8>m4$56`>=7<@;io7)"5l:0>>h5+2e`916g<,;in6?jn;o3ff?>n69j4$2ab>15>3`83o7>5$56`>7?032e9j>4?:%67g?4ak21vn?9i:081>5<7s->:i7"5lk0>?l5+2bg96ag74i3:`>5<#<=i1>494;n0e7?6=,=>h6?hl;:a62c=9381<7>t$53f>7`13A>8o6*;4b8;5>N5km1/>nh52ga8 7b42<8n7)"5kl09hl5a1d`9<>h3:383>5}#<8o1>k84H51`?!23k32:7Ei=553g8 7be2<9j7)h6mk037c:;e;73?!5di3>856g=8b83>!23k382;65`2g194?"3il552c8 7eb2;nj7c?jb;:8j12b2<;0(>mn:51:?l4?k3:1(9:l:3;4?>i5n:0;6):;c;0eg>=zj;=26<4=:183!26m38m:6F;3b9'01e=081C>nj4$3ae>7`d3-8o?7;=e:&1`g<2;h1/>nk52ec8j4ce211e89k5539'7fg=<:30e?6l:18'01e=:0=07bkm4$3f0>04b3-8on7;:0:6?4?:1y'04c=:o<0D9=l;%67g?>63A8hh6*=cg81bf=#:m919?k4$3fa>05f3-8hi7?i7;;;%1`e?2412c94n4?:%67g?4>?21d>k=50;&70f<5nj10qok?:081>5<7s->:i7"5lk0>?l5+2bg96ag03<,:ij69=6;h0;g?6=,=>h6?78;:m1b6<72->?o7t$53f>7`13A>8o6*;4b8;5>N5km1/>nh52ga8 7b42<8n7)"5kl09hl5a1d`95==i<=o19;5+3bc906?5$56`>7`d32wi=kk51;094?6|,=;n6?h9;I60g>"374i3:`>5<#<=i1>494;n0e7?6=,=>h6?hl;:a7t$53f>7`13A>8o6*;4b8;5>N5km1/>nh52ga8 7b42<8n7)"5kl09hl5a1d`95==i<=o1955+3bc906?5$56`>7`d32wi?4k51;094?6|,=;n6?h9;I60g>"374i3:`>5<#<=i1>494;n0e7?6=,=>h6?hl;:aeg<62;0;6=u+40g96c0<@=9h7):;c;:2?M4dl2.9ok4=fb9'6a5==;o0(?jm:41b?!4dm38om6`>ec82<>h3m6*"5lk0>?45a1d`95==i<=o19o5+3bc906?5$56`>7`d32wihk4>:383>5}#<8o1>i64H51`?!4f938o7):;c;1be>"5l:0>>h5+2e`9161n68m4$2ab>15>3`83h7>5$56`>2bj6=54o3d0>5<#<=i1>km4;|`735<72k086:u+40g9746<,1:1>5l4$e19e3=#l?0:j;5+3`:95c1;oa1>4=ik?0:7cmn:09m53g=92lj47>4$c697==aj>0;"85ib983?cde29$>7kll:19efc<7&<1mo=4?;ga0>5(23oi?6=5ic683*0=ak10;7kmm:1,6?ced291m?l?50/22?!4ai3?996F5;n0g1?6=3fi:6=44oc;94?=hk<0;66al9;29?jdc2900n>>j:0857?6=8r.?=h4<0d9Y<3<3s:i1>k4=2;06>x"4jj08o:5a31f96>h48o097)=>1;3e3>h49;0:7c=>3;28j673291e?<;50:l053<73g9:;7>4n23;>5=i;831<6*<1`8750=i;8h1<6`<1b83?!56l3=0(>?j:536?!56n3i0(><=:69'775=<8?0(><;:0d4?!55=3i0(><9:b9'771=<8?0(><7:59'77?=?2.8>l4;149'77d=9o=0(>h4;149'77`=<2.8?=48;%105?26=2.8??4>f69'765=k2.8?94l;%101?26=2.8?;4;;%103?1<,:9369?:;%10=?7a?2.8?l4l;%10f?e<,:9h69?:;%10`?2<,:9n6:5+32d9043<,:>;696n5+3519043<,:>?695+35793>"436n5+35;9g>"4"4"4"4=90h7)=:1;621>"4=;0?7)=:3;3e3>h4==0:7)=:5;621>h4=?0:7c=:7;28 63?2=;>7)=:9;58 63f2=;>7)=:b;a8 63d2j1/?8j54078j63b2;1/?8h51g58 6072k1/?;?54078j6052;1/?;=54078 6032=;>7)=95;621>"4>?0?=85+37595c1<,:<36f69'73d=9o=0(>8l:0d4?!51l3;m;6*<6d82b2=#;?l1=k94$253>1723g9<=7>4$251>3=#;>9156`<7583?k50=390b>99:d28 6102:=0(>97:2`8 61>2;o0(>9n:536?!50j3?0(>9l:536?!50l3=0b>9j:99m72`=92.84=4>f69'7=7=<8?0b>6=:09'7=5=9o=0b>6;:19'7=3=9o=0b>69:728j6>0291/?5654078 =6=:1h0bl951b9ee=<73-h;6>64$c097==ij?0n7kl8:1,6?cd?291enl4>;g`a>5(23ohh6=5abd82?cda29$>7km?:19mg7<63oi86= :;ga7>5=ik?0:7km8:1,6?ce?291eol4>;gaa>5(23oih6=5+d28b2>"c>3;m:6`>6`82?c5f93:%<<5G3`58L6b43->?o7=?e:&4g?5e?2.9h>4:2d9'6ad==:i0b9:j:4g8 6ef2=<87E6<4G31;8?jb72900e>li:18K7f7<3fk=6<44ig694?N48010e?jk:18K7f7<3fn:6=44oc;94?=nn?0;66al9;29?ld42900eo?50;J04<=>o4k90;6E=l1:9j5`b=831dh?4?::k:b?6=@:i:76gM5d921dm94?::ma1?7=3fi>6=44i3f`>5b;3xe`5H2a2?>iel3:17bmk:188k7b22900ek?50;&04=5<#;921j=5a31595>"5mm0n:6`=eb80?>obm3:1(>>7:g28j6602;1/>hj5e79m6`e=:21bii4?:%13`0>7:02f?k57?3:0(?kk:g58j7cd2o10e<>k:18'75>=99o0b>>8:09'6`b=n>1e>hm5e:9j55e=83.8<54>0d9m751=:2.9ii4i7:l1afj;o133?2<,;oo6k94n3g`>g="5mm0m;6`=eb8:?>o69>0;6)=?8;33a>h48>0<7)6;29 66?28:n7c=?7;:8 7cc2o=0b?kl:698m472290/?=6511g8j660201/>hj5f69m6`e=>21b=<:50;&04=<68l1e?=95a:&1aa7>5$22;>46b3g9;;7m4$3gg>c154i032>5<#;921==k4n224>a=#:ln1j:5a2da96>=n98:1<7*<09824`=i;9=1i6*=ee8e3>h5mj0:76g>0983>!5703;;i6`<068e?!4bl3l<7c>7:525?k57?3:0(?kk:2f7?k4bk3;h7E=l1:9l053=83.8<54;079m751=92.9ii49;o133?4<,;oo6>j;;o0fg?7f3A9h=65`41194?"4810?<;5a31597>"5mm08h95a2da95<=O;j;07b:?2;29 66?2=:=7c=?7;68 7cc2:n?7chm5169K7f7<3f>;<7>5$22;>1613g9;;784$3gg>6b33g8no7?9;I1`5>=h;ol1<7*<098743=i;9=1;6*=ee80`1=i:li1=85G3b38?j5am3:1(>>7:525?k57?320(?kk:2f7?k4bk3;?7E=l1:9l7ce=83.8<54;079m751=12.9ii49;o133?g<,;oo6>j;;o0fg?753A9h=65`3gc94?"4810?<;5a3159f>"5mm08h95a2da954=O;j;07b=i9;29 66?2=:=7c=?7;a8 7cc2:n?7chm5f:J0g4=3:1(>>7:525?k57?3l0(?kk:2f7?k4bk3n0D>m>;:m0b0<72-9;47:?6:l042<682.9ii45<#;9218=84n224>47<,;oo6>j;;o0fg?d<@:i:76a!5703>;:6`<06826>"5mm08h95a2da9e>N4k810c9>i:18'75>=<9<0b>>8:018 7cc2:n?7c2d8<:4>4:&1aa<4l=1e>hm58:J0g4=6b33g8no794H2a2?>i38j0;6)=?8;632>h48>0::6*=ee80`1=i:li1:6Fm>;:m74d<72-9;47:?6:l042<602.9ii45<#;9218=84n224>4?<,;oo6>j;;o0fg?5<@:i:76a;0983>!5703>;:6`<0682e>"5mm08h95a2da96>N4k810c>hk:18'75>=<9<0b>>8:0`8 7cc2:n?7c2d8<:4>c:&1aa<4l=1e>hm50:J0g4=6=4+31:95=25<#;921=5:4n224>4=#:ln1=;j4n3g`>`=5<#;921=5:4n224>6=#:ln1=;j4n3g`>f=5<#;921=5:4n224>0=#:ln1=;j4n3g`>d=5<#;921=5:4n224>2=#:ln1=;j4n3g`>==5<#;921=5:4n224><=#:ln1=;j4n3g`>3=5<#;921=5:4n224>g=#:ln1=;j4n3g`>1=54o0:4>5<#;921=5:4n224>a=#:ln1=;j4n3g`>7=5<#;921=5:4n224>c=#:ln1=;j4n3g`>5=8i7?51;294~"39l0?>?5G42a8 12d2;l37)h3j6*8h7?51;294~"39l09455G42a8 12d2=?>7)h3{<60`?4?=2wvnlk51;394?6|,=;n6?ki;%67g?5782B95l5+2bd96<1<,;ii6?h>;o67a?063`9;<7>5$56`>66732wim44>:083>5}#<8o1>hh4$56`>6673A82m6*=cg81=2=#:jh1>k?4n56f>3450z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5629j756=83.?8n4<0198yg46j3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5659j756=83.?8n4<0198yg46i3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5649j756=83.?8n4<0198yg4613;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5679j756=83.?8n4<0198yg4603;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5669j756=83.?8n4<0198yg46?3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5699j756=83.?8n4<0198yg46>3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5689j756=83.?8n4<0198yg46=3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k56`9j756=83.?8n4<0198yg46;3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k56c9j756=83.?8n4<0198yg46:3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k56b9j756=83.?8n4<0198yg4693;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k56e9j756=83.?8n4<0198yg4683;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k56d9j756=83.?8n4<0198yg47n3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k56g9j756=83.?8n4<0198yg47m3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5719j756=83.?8n4<0198yg47l3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5709j756=83.?8n4<0198yg47k3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5739j756=83.?8n4<0198yg47j3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5729j756=83.?8n4<0198yg47i3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5759j756=83.?8n4<0198yg4503;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5749j756=83.?8n4<0198yg45?3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5779j756=83.?8n4<0198yg45>3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5769j756=83.?8n4<0198yg45=3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5799j756=83.?8n4<0198yg45<3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k5789j756=83.?8n4<0198yg45;3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k57`9j756=83.?8n4<0198yg45:3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k57c9j756=83.?8n4<0198yg46n3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7<67:&1gg<5n81e89k57b9j756=83.?8n4<0198yg42j3;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7?o7=?0:9~f73f280:6=4?{%62a?4bn2.?8n4<019K6?i79j;n134?6=,=>h6>>?;:a60>=93;1<7>t$53f>7ca3->?o7=?0:J1=d=#:jl1>km4n2a1>42n6:h4o223>5<#<=i1?=>4;|`112<6280;6=u+40g96``<,=>h6>>?;I0:e>"5ko09jn5a3b0951=i<=o14=5`31294?"34H3;b?!4dn38mo6`h3!23k39;<65rb376>4<6290;w):>e;0fb>"3m=:068j12b2190c>>?:18'01e=;9:07pl=5282>4<729q/850;&70f<48910qo<:2;395?6=8r.?=h4=eg9'01e=;9:0D?7n;%0`b?4ak2d8o?4>4:l70`:082>5<7s->:i74o4$3ae>7`d3g9h>7?;;o67a?>13f9;<7>5$56`>66732wi>8>51;394?6|,=;n6?ki;%67g?5782B95l5+2bd96ce=1:083>5}#<8o1>hh4$56`>6673A82m6*=cg81bf=i;j81=95a45g9<==h;9:1<7*;4b8045=h4k;0:86`;4d8;=>i4890;6):;c;134>=zj;>h6<4>:183!26m38nj6*;4b8045=O:0k0(?mi:3d`?k5d:3;?7c:;e;:b?j5783:1(9:l:223?>{e:=h1=7?50;2x 17b2;om7):;c;134>N51h1/>nh52ga8j6e528>0b9:j:9`8k667290/89m53128?xd5i2.9ok4=fb9m7f4=9=1e89k58b9l756=83.?8n4<0198yg4313;1=7>50z&75`<5mo1/89m53128L7?f3-8hj7?o7=?0:9~f72?280:6=4?{%62a?4bn2.?8n4<019K6?i76j;n134?6=,=>h6>>?;:a611=93;1<7>t$53f>7ca3->?o7=?0:J1=d=#:jl1>km4n2a1>42n65h4o223>5<#<=i1?=>4;|`103<6280;6=u+40g96``<,=>h6>>?;I0:e>"5ko09jn5a3b0951=i<=o15=5`31294?"34H3;b?!4dn38mo6`h3!23k39;<65rb367>4<6290;w):>e;0fb>"3m=:068j12b2090c>>?:18'01e=;9:07pl=6082>4<729q/850;&70f<48910qo<90;395?6=8r.?=h4=eg9'01e=;9:0D?7n;%0`b?4ak2d8o?4>4:l70`<>=2e8<=4?:%67g?57821vn?;i:082>5<7s->:i74o4$3ae>7`d3g9h>7?;;o67a??13f9;<7>5$56`>66732wi>8k51;394?6|,=;n6?ki;%67g?5782B95l5+2bd96ce<1:083>5}#<8o1>hh4$56`>6673A82m6*=cg81bf=i;j81=95a45g9===h;9:1<7*;4b8045=o7?51;294~"39l09ik5+45a9756<@;3j7)h4k;0:86`;4d8:=>i4890;6):;c;134>=zj;?26<4>:183!26m38nj6*;4b8045=O:0k0(?mi:3d`?k5d:3;?7c:;e;;b?j5783:1(9:l:223?>{e:=o1=7?50;2x 17b2;om7):;c;134>N51h1/>nh52ga8j6e528>0b9:j:8`8k667290/89m53128?xd5<:0:6<4?:1y'04c=:ll0(9:l:223?M4>i2.9ok4=fb9m7f4=9=1e89k59b9l756=83.?8n4<0198ygbc280:6=4?{%62a?4bn2.?8n4<019K6:n7n64j4o223>5<#<=i1?=>4;|`ge?7=93:14H3;b?!4dn38mo6`h3!23k39;<65rb0dg>4<6290;w):>e;0fb>"3m=:428j12b2h:0c>>?:18'01e=;9:07pl<9e82>4<729q/850;&70f<48910qoon:082>5<7s->:i74o4$3ae>7`d3g9h>7;?;o67a?g53f9;<7>5$56`>6673S>:57?t198~?xd3;h0:6=4?:1y'04c=<8;0D9?i;I1g7>J39809w):>7;136>"39109<95rn56f>d53;7?56d83>5}#<8o1?4o4H51`?_>12jqo6h4<5;15>67=;908?7=;:34961<5;38<6p`i2;07?k`42;>0b:19m5a2=82.:j<4>f69'5c4=9o=0(?7k:`9'6"5i=0j7)l95a:&1e=d=#:hh1m6*=ab8b?!4fl3k0(?oj:`9'6d`=i2.9n=4n;%0a5?g<,;h96l5+2c19e>"5j=0j7)o95a:&1f=d=#:kh1m6*=bb8b?!4el3k0(?lj:`9'6g`=i2.9o=4n;%0`5?g<,;i96;5+2b192>"5k=09j<5+38d97g5<,:kn6>j?;%1bb?>e3-9i<76m;%1`g?0<,:io6;5+42597d6<,=936>o?;%0ee?35=2B8h>5+45a97i1?o94$3f0>04b3-8on7;\39k0:w99;:|9j=`<72A9;56T;1c8176?2==?6p5f3`194?=n;hi1<75f42294?"4810?>k5a31594>"5mm0?>o5a2da95>=n<;o1<7*<09876c=i;9=1=6*=ee876g=i:li1<65`1`594?"4810:m;5a31594>"5mm0:4k5a2da9b>=h9h?1<7*<0982e3=i;9=1=6*=ee82"5mm0:4k5a2da9`>=h9h91<7*<0982e3=i;9=1?6*=ee82"5mm0:4k5a2da9f>=h9h;1<7*<0982e3=i;9=196*=ee82"5mm0:4k5a2da9=>=h9hl1<7*<0982e3=i;9=1;6*=ee82"5mm0:4k5a2da93>=h9hn1<7*<0982e3=i;9=156*=ee82"5mm0:4k5a2da91>=h9hh1<7*<0982e3=i;9=1n6*=ee82"5mm0:4k5a2da97>=h9h31<7*<0982e3=i;9=1h6*=ee8265`1`:94?"4810:m;5a3159a>"5mm0:4k5a2da95>=h9h:1<7*<0982e3=i;9=1j6*=ee82"5mm0:h;5a2da95>=h9m31<7*<0982`d=i;9=1=6*=ee82`3=i:li1<65`1d394?"4810:i=5a31594>"5mm0:hn5a2da95>=h9ml1<7*<0982a5=i;9=1=6*=ee82`f=i:li1<65f42494?"4810??85a31594>"5mm0??<5a2da95>=n<:>1<7*<098770=i;9=1=6*=ee8774=i:li1<65f5783>!5703?>7c=?7;28 7cc2=>0b?kl:b98m02=83.8<54:5:l042<63-8nh7:;;o0fg?d<3`?86=4+31:910=i;9=1>6*=ee870>h5mj0j76g:f;29 66?2>8:29'6`b=<=1e>hm59:9j1`<72-9;47;:;o133?2<,;oo69:4n3g`>==h48>0>7)==<1e?=956:&1aa<3<2d9in49;:k6f?6=,::368;4n224>2=#:ln1895a2da91>=n=h0;6)=?8;76?k57?320(?kk:568j7cd2=10e8750;&04=<2=2d8<:46;%0f`?233g8no7=4;h7;>5<#;921985a3159e>"5mm0?86`=eb81?>o2?3:1(>>7:478j6602k1/>hj5459m6`e=921b9?4?:%13125<#;921=;94n224>5=#:ln1=;=4n3g`>4=5<#;921=8<4n224>5=#:ln1=>l4n3g`>c=5<#;921=8<4n224>7=#:ln1=>l4n3g`>a=m6=4+31:95045+2df956d5<#;921=8<4n224>1=#:ln1=>l4n3g`>g=o6=4+31:95045<#;921=8<4n224>3=#:ln1=>l4n3g`><=5<#;921=8<4n224>==#:ln1=>l4n3g`>2=5<#;921=8<4n224>d=#:ln1=>l4n3g`>0=5<#;921=8<4n224>f=#:ln1=>l4n3g`>6=6=4+31:95045<#;921=8<4n224>`=#:ln1=>l4n3g`>4=h6=4+31:95045<#;921=>>4n224>5=#:ln1=<74n3g`>c=5<#;921=>>4n224>7=#:ln1=<74n3g`>a=5+2df954?5<#;921=>>4n224>1=#:ln1=<74n3g`>g=5<#;921=>>4n224>3=#:ln1=<74n3g`><=5<#;921=>>4n224>==#:ln1=<74n3g`>2=5<#;921=>>4n224>d=#:ln1=<74n3g`>0=6=4+31:95665<#;921=>>4n224>f=#:ln1=<74n3g`>6=5<#;921=>>4n224>`=#:ln1=<74n3g`>4=5$22;>27!5703=:7c=?7;18 7cc2?:0b?kl:898m2g=83.8<5481:l042<33-8nh78?;o0fg?><3`=26=4+31:934=i;9=196*=ee854>h5mj0<76g88;29 66?2>;0b>>8:79'6`b=>91e>hm56:9j32<72-9;479>;o133?1<,;oo6;>4n3g`>0=<1<7*<09845>h48>037)07d9::18'75>=?81e?=959:&1aa<182d9in4<;:k40?6=,::36:?4n224>d=#:ln1:=5a2da96>=n?:0;6)=?8;52?k57?3h0(?kk:728j7cd2810e;k50;&04=<092d8<:4l;%0f`?073g8no7>4;n3`e?6=,::365$22;>4e>3g9;;7?4$3gg>4d53g8no7k4;n3`3?6=,::365$22;>4e>3g9;;7=4$3gg>4d53g8no7m4;n3`1?6=,::365$22;>4e>3g9;;7;4$3gg>4d53g8no7o4;n3g7?6=,::367>5$22;>4e>3g9;;794$3gg>4d53g8no764;n3g5?6=,::36<,;oo65$22;>4e>3g9;;774$3gg>4d53g8no784;n3`b?6=,::365$22;>4e>3g9;;7l4$3gg>4d53g8no7:4;n3``?6=,::365$22;>4e>3g9;;7j4$3gg>4d53g8no7<4;n3`f?6=,::365$22;>4e>3g9;;7h4$3gg>4d53g8no7>4;h356?6=,::36<8>;o133?6<,;oo6<;k;o0fg?7<3`;=<7>5$22;>4063g9;;7?4$3gg>43c3g8no7>4;|`7f0<62?0;6=u+40g95c><@=9h7)il55208^17e28q?;94rn56f>d3<,:ij6967;h:4>5<#<=i15<54id194?"35<#<=i1>km4;h:a>5<#<=i14o54i2`5>5<#<=i1?o84;h1bf?6=,=>h6>om;:a0g0=93<1<7>t$53f>4`?3A>8o6*=a080?!23k3;mn6Fh64?4;hg0>5<#<=i1>494;n1:4?6=,=>h6?hl;:k;f?6=,=>h65l4;h1a2?6=,=>h6>l9;:k0eg<72->?o7=nb:9~f1e6280=6=4?{%62a?7a02B??n5+2`397>"3\39k0:w99;:|l70`?o77>;:kf7?6=,=>h6?78;:m0=5<72->?o7?o76m;:k0f3<72->?o7=m6:9j7dd=83.?8n450z&75`<6n11C8>m4$3c2>6=#<=i1=kl4H2c4?!4c;3?9i6*=dc8677=]<8h1=v:84;m01c=i11/?no549:8m=1=83.?8n461:9ja6<72->?o7<67:9l7<6=83.?8n4=fb98m=d=83.?8n47b:9j7g0=83.?8n4h6"5l:0>>h5+2e`9164mn:5:;?l>0290/89m59098m`5=83.?8n4=9698k6?7290/89m52ga8?l>e290/89m58c98m6d1290/89m53c48?l5fj3:1(9:l:2ca?>{e?o7?ib:J0e2=#:m919?k4$3fa>0553S>:n7?t4669yk23m3kj7)=la;6;<>o??3:1(9:l:838?lc4290/89m52858?j5>83:1(9:l:3d`?>o?j3:1(9:l:9`8?l5e>3:1(9:l:2`5?>o4ik0;6):;c;1bf>=zj=i<6<49:183!26m3;m46F;3b9'6d7=;2.?8n4>fc9K7d1<,;n868{557>xh30;6):;c;;2?>ob;3:1(9:l:3;4?>i4190;6):;c;0eg>=n0k0;6):;c;:a?>o4j?0;6):;c;1a2>=n;hh1<7*;4b80eg=h47?56;294~"39l0:j55G42a8 7g62:1/89m51g`8L6g03-8o?7;=e:&1`g<2;;1Q8=nm:0;6):;c;0:3>=h;0:1<7*;4b81bf==n;k<1<7*;4b80f3=:783>5}#<8o1=k64H51`?!4f9390(9:l:0da?M5f?2.9h>4:2d9'6ad==:80V9?m:0y731n6lj4$2ab>1>?3`2<6=4+45a9=4=5$56`>6ge32wi8no51;494?6|,=;n6"5i8087):;c;3ef>N4i>1/>i=553g8 7be2<997W:>b;3x022=ug>?i7oj;%1`e?2?02c3;7>5$56`><7<3`o86=4+45a96<1<3f92<7>5$56`>7`d32c3n7>5$56`>=d<3`9i:7>5$56`>6d132c8mo4?:%67g?5fj21vn9l8:085>5<7s->:i7?i8:J77f=#:h;1?6*;4b82bg=O;h=0(?j<:40f?!4cj3?8>6T;1c821132td?8h4nf:&0gd<3011b4:4?:%67g??632cn?7>5$56`>7?032e85=4?:%67g?4ak21b4o4?:%67g?>e32c8n;4?:%67g?5e>21b?ll50;&70f<4ik10qo:m8;392?6=8r.?=h4>f99K06e<,;k:6>5+45a95cd<@:k<7)"5lk0>??5U40`95~20<3we89k5b19'7fg=<120e5950;&70f<>921bi>4?:%67g?4>?21d?4>50;&70f<5nj10e5l50;&70fom:18'01e=;hh07pl;b882>3<729q/84`e3A9j;6*=d2866`=#:mh19><4Z53a>4}3?=0vb9:j:c38 6ef2=237d68:18'01e=1810eh=50;&70f<51>10c>7?:18'01e=:oi07d6m:18'01e=0k10e>l9:18'01e=;k<07d=nb;29 12d2:ki76sm4cc95?0=83:p(9?j:0d;?M24k2.9m<4<;%67g?7aj2B8m:5+2e1917c<,;ni68==;[62f?7|<>>1qc:;e;`1?!5di3>346g77;29 12d20;07dk<:18'01e=:0=07b=60;29 12d2;lh76g7b;29 12d21h07d=m6;29 12d2:h=76g!23k39jn65rb5`a>4<1290;w):>e;3e<>N3;j1/>l?53:&70f<6nk1C?l94$3f0>04b3-8on7;<2:X75g<6s==?6p`;4d8a7>"4kh0?455f8683>!23k33:76gj3;29 12d2;3<76a<9183>!23k38mo65f8c83>!23k32i76g!23k39i:65f3``94?"33:1:29'01e=9oh0D>o8;%0g7?35m2.9ho4:339Y04d=9r><87sa45g9f1=#;jk18564i9594?"3!23k382;65`38294?"35<#<=i1?ll4;|`7fa<62?0;6=u+40g95c><@=9h7)il55208^17e28q?;94rn56f>g3<,:ij6967;h:4>5<#<=i15<54id194?"35<#<=i1>km4;h:a>5<#<=i14o54i2`5>5<#<=i1?o84;h1bf?6=,=>h6>om;:a0gc=93<1<7>t$53f>4`?3A>8o6*=a080?!23k3;mn6Fh64?4;hg0>5<#<=i1>494;n1:4?6=,=>h6?hl;:k;f?6=,=>h65l4;h1a2?6=,=>h6>l9;:k0eg<72->?o7=nb:9~f1da280=6=4?{%62a?7a02B??n5+2`397>"3\39k0:w99;:|l70`?o77>;:kf7?6=,=>h6?78;:m0=5<72->?o7?o76m;:k0f3<72->?o7=m6:9j7dd=83.?8n450z&75`<6n11C8>m4$3c2>6=#<=i1=kl4H2c4?!4c;3?9i6*=dc8677=]<8h1=v:84;m01c=j11/?no549:8m=1=83.?8n461:9ja6<72->?o7<67:9l7<6=83.?8n4=fb98m=d=83.?8n47b:9j7g0=83.?8n4h6"5l:0>>h5+2e`9164mn:5:;?l>0290/89m59098m`5=83.?8n4=9698k6?7290/89m52ga8?l>e290/89m58c98m6d1290/89m53c48?l5fj3:1(9:l:2ca?>{e?o7?ib:J0e2=#:m919?k4$3fa>0553S>:n7?t4669yk23m3hj7)=la;6;<>o??3:1(9:l:838?lc4290/89m52858?j5>83:1(9:l:3d`?>o?j3:1(9:l:9`8?l5e>3:1(9:l:2`5?>o4ik0;6):;c;1bf>=zj=o:6<49:183!26m3;m46F;3b9'6d7=;2.?8n4>fc9K7d1<,;n868{557>xh30;6):;c;;2?>ob;3:1(9:l:3;4?>i4190;6):;c;0eg>=n0k0;6):;c;:a?>o4j?0;6):;c;1a2>=n;hh1<7*;4b80eg=n>7?56;294~"39l0:j55G42a8 7g62:1/89m51g`8L6g03-8o?7;=e:&1`g<2;;1Q8=nm:0;6):;c;0:3>=h;0:1<7*;4b81bf==n;k<1<7*;4b80f3=:783>5}#<8o1=k64H51`?!4f9390(9:l:0da?M5f?2.9h>4:2d9'6ad==:80V9?m:0y731n6oj4$2ab>1>?3`2<6=4+45a9=4=5$56`>6ge32wi8k>51;494?6|,=;n6"5i8087):;c;3ef>N4i>1/>i=553g8 7be2<997W:>b;3x022=ug>?i7lj;%1`e?2?02c3;7>5$56`><7<3`o86=4+45a96<1<3f92<7>5$56`>7`d32c3n7>5$56`>=d<3`9i:7>5$56`>6d132c8mo4?:%67g?5fj21vn9h>:085>5<7s->:i7?i8:J77f=#:h;1?6*;4b82bg=O;h=0(?j<:40f?!4cj3?8>6T;1c821132td?8h4mf:&0gd<3011b4:4?:%67g??632cn?7>5$56`>7?032e85=4?:%67g?4ak21b4o4?:%67g?>e32c8n;4?:%67g?5e>21b?ll50;&70f<4ik10qo:i2;392?6=8r.?=h4>f99K06e<,;k:6>5+45a95cd<@:k<7)"5lk0>??5U40`95~20<3we89k5c19'7fg=<120e5950;&70f<>921bi>4?:%67g?4>?21d?4>50;&70f<5nj10e5l50;&70fom:18'01e=;hh07pl;f282>3<729q/84`e3A9j;6*=d2866`=#:mh19><4Z53a>4}3?=0vb9:j:b38 6ef2=237d68:18'01e=1810eh=50;&70f<51>10c>7?:18'01e=:oi07d6m:18'01e=0k10e>l9:18'01e=;k<07d=nb;29 12d2:ki76sm4g695?0=83:p(9?j:0d;?M24k2.9m<4<;%67g?7aj2B8m:5+2e1917c<,;ni68==;[62f?7|<>>1qc:;e;a1?!5di3>346g77;29 12d20;07dk<:18'01e=:0=07b=60;29 12d2;lh76g7b;29 12d21h07d=m6;29 12d2:h=76g!23k39jn65rb5d6>4<1290;w):>e;3e<>N3;j1/>l?53:&70f<6nk1C?l94$3f0>04b3-8on7;<2:X75g<6s==?6p`;4d8`7>"4kh0?455f8683>!23k33:76gj3;29 12d2;3<76a<9183>!23k38mo65f8c83>!23k32i76g!23k39i:65f3``94?"33:1:29'01e=9oh0D>o8;%0g7?35m2.9ho4:339Y04d=9r><87sa45g9g1=#;jk18564i9594?"3!23k382;65`38294?"35<#<=i1?ll4;|`7a6<62?0;6=u+40g95c><@=9h7)il55208^17e28q?;94rn56f>f3<,:ij6967;h:4>5<#<=i15<54id194?"35<#<=i1>km4;h:a>5<#<=i14o54i2`5>5<#<=i1?o84;h1bf?6=,=>h6>om;:a0`2=93<1<7>t$53f>4`?3A>8o6*=a080?!23k3;mn6Fh64?4;hg0>5<#<=i1>494;n1:4?6=,=>h6?hl;:k;f?6=,=>h65l4;h1a2?6=,=>h6>l9;:k0eg<72->?o7=nb:9~f1c2280=6=4?{%62a?7a02B??n5+2`397>"3\39k0:w99;:|l70`?o77>;:kf7?6=,=>h6?78;:m0=5<72->?o7?o76m;:k0f3<72->?o7=m6:9j7dd=83.?8n43;1:7>50z&75`<6n11C8>m4$3c2>6=#<=i1=kl4H2c4?!4c;3?9i6*=dc8677=]<8h1=v:84;m01c=k11/?no549:8m=1=83.?8n461:9ja6<72->?o7<67:9l7<6=83.?8n4=fb98m=d=83.?8n47b:9j7g0=83.?8n40:6;4?:1y'04c=9o20D9=l;%0b5?5<,=>h6"5l:0>>h5+2e`9164mn:5:;?l>0290/89m59098m`5=83.?8n4=9698k6?7290/89m52ga8?l>e290/89m58c98m6d1290/89m53c48?l5fj3:1(9:l:2ca?>{e?o7?ib:J0e2=#:m919?k4$3fa>0553S>:n7?t4669yk23m3ij7)=la;6;<>o??3:1(9:l:838?lc4290/89m52858?j5>83:1(9:l:3d`?>o?j3:1(9:l:9`8?l5e>3:1(9:l:2`5?>o4ik0;6):;c;1bf>=zj=o26<49:183!26m3;m46F;3b9'6d7=;2.?8n4>fc9K7d1<,;n868{557>xh30;6):;c;;2?>ob;3:1(9:l:3;4?>i4190;6):;c;0eg>=n0k0;6):;c;:a?>o4j?0;6):;c;1a2>=n;hh1<7*;4b80eg=nm7?56;294~"39l0:j55G42a8 7g62:1/89m51g`8L6g03-8o?7;=e:&1`g<2;;1Q8=nm:0;6):;c;0:3>=h;0:1<7*;4b81bf==n;k<1<7*;4b80f3=:783>5}#<8o1=k64H51`?!4f9390(9:l:0da?M5f?2.9h>4:2d9'6ad==:80V9?m:0y731n6nj4$2ab>1>?3`2<6=4+45a9=4=5$56`>6ge32wi8hm51;494?6|,=;n6"5i8087):;c;3ef>N4i>1/>i=553g8 7be2<997W:>b;3x022=ug>?i7mj;%1`e?2?02c3;7>5$56`><7<3`o86=4+45a96<1<3f92<7>5$56`>7`d32c3n7>5$56`>=d<3`9i:7>5$56`>6d132c8mo4?:%67g?5fj21vn9kj:085>5<7s->:i7?i8:J77f=#:h;1?6*;4b82bg=O;h=0(?j<:40f?!4cj3?8>6T;1c821132td?8h4lf:&0gd<3011b4:4?:%67g??632cn?7>5$56`>7?032e85=4?:%67g?4ak21b4o4?:%67g?>e32c8n;4?:%67g?5e>21b?ll50;&70f<4ik10qo:jf;392?6=8r.?=h4>f99K06e<,;k:6>5+45a95cd<@:k<7)"5lk0>??5U40`95~20<3we89k5d19'7fg=<120e5950;&70f<>921bi>4?:%67g?4>?21d?4>50;&70f<5nj10e5l50;&70fom:18'01e=;hh07pl;9982>c<729q/8v>o393:17d:=:188m15=831bi>4?::k2a1<722c:i84?::k2a3<722c:i:4?::k2a=<722c?>44?::k76d<72g>:<7?4;n1`5;|`7<<<62o0;6=u+40g97<1<@=9h7)h50;9j05<722c?=7>5;h61>5<>o6m=0;66g>e483>>o6m?0;66g>e683>>o6m10;66g;2883>>o3:h0;6c:>0;38?j5d03:17b?j3;29?xd31=0:6k4?:1y'04c=;0=0D9=l;%0b5?><@:k<7)"5lk0>?i5U40`96~4703><87sa45g9`6=#;jk18564i2d94?=n<90;66g;1;29?l252900e9=50;9ja6<722c:i94?::k2a0<722c:i;4?::k2a2<722c:i54?::k76<<722c?>l4?:o624?7<3f9h47>5;n3f7?6=3th?5o4>:g83>5}#<8o1?494H51`?!4f9320D>o8;%0g7?35m2.9ho4:3e9Y04d=:r8;47:84;m01c=l=1/?no549:8m6`=831b8=4?::k75?6=3`>96=44i5194?=nm:0;66g>e583>>o6m<0;66g>e783>>o6m>0;66g>e983>>o3:00;66g;2`83>k2683;07b=l8;29?j7b;3:17pl;9882>c<729q/8v>o393:17d:=:188m15=831bi>4?::k2a1<722c:i84?::k2a3<722c:i:4?::k2a=<722c?>44?::k76d<72g>:<7?4;n1`5;|`7=d<62o0;6=u+40g97<1<@=9h7)h50;9j05<722c?=7>5;h61>5<>o6m=0;66g>e483>>o6m?0;66g>e683>>o6m10;66g;2883>>o3:h0;6c:>0;38?j5d03:17b?j3;29?xd31l0:6k4?:1y'04c=;0=0D9=l;%0b5?><@:k<7)"5lk0>?i5U40`96~4703><87sa45g9`2=#;jk18564i2d94?=n<90;66g;1;29?l252900e9=50;9ja6<722c:i94?::k2a0<722c:i;4?::k2a2<722c:i54?::k76<<722c?>l4?:o624?7<3f9h47>5;n3f7?6=3th?5n4>:g83>5}#<8o1?494H51`?!4f9320D>o8;%0g7?35m2.9ho4:3e9Y04d=:r8;47:84;m01c=l11/?no549:8m6`=831b8=4?::k75?6=3`>96=44i5194?=nm:0;66g>e583>>o6m<0;66g>e783>>o6m>0;66g>e983>>o3:00;66g;2`83>k2683;07b=l8;29?j7b;3:17pl;9e82>c<729q/8v>o393:17d:=:188m15=831bi>4?::k2a1<722c:i84?::k2a3<722c:i:4?::k2a=<722c?>44?::k76d<72g>:<7?4;n1`5;|`7h50;9j05<722c?=7>5;h61>5<>o6m=0;66g>e483>>o6m?0;66g>e683>>o6m10;66g;2883>>o3:h0;6c:>0;38?j5d03:17b?j3;29?xd30k0:6k4?:1y'04c=;0=0D9=l;%0b5?><@:k<7)"5lk0>?i5U40`96~4703><87sa45g9`g=#;jk18564i2d94?=n<90;66g;1;29?l252900e9=50;9ja6<722c:i94?::k2a0<722c:i;4?::k2a2<722c:i54?::k76<<722c?>l4?:o624?7<3f9h47>5;n3f7?6=3th?4h4>:g83>5}#<8o1?494H51`?!4f9320D>o8;%0g7?35m2.9ho4:3e9Y04d=:r8;47:84;m01c=lj1/?no549:8m6`=831b8=4?::k75?6=3`>96=44i5194?=nm:0;66g>e583>>o6m<0;66g>e783>>o6m>0;66g>e983>>o3:00;66g;2`83>k2683;07b=l8;29?j7b;3:17pl;8b82>c<729q/8v>o393:17d:=:188m15=831bi>4?::k2a1<722c:i84?::k2a3<722c:i:4?::k2a=<722c?>44?::k76d<72g>:<7?4;n1`5;|`7h50;9j05<722c?=7>5;h61>5<>o6m=0;66g>e483>>o6m?0;66g>e683>>o6m10;66g;2883>>o3:h0;6c:>0;38?j5d03:17b?j3;29?xd3180:6k4?:1y'04c=;0=0D9=l;%0b5?><@:k<7)"5lk0>?i5U40`96~4703><87sa45g9`c=#;jk18564i2d94?=n<90;66g;1;29?l252900e9=50;9ja6<722c:i94?::k2a0<722c:i;4?::k2a2<722c:i54?::k76<<722c?>l4?:o624?7<3f9h47>5;n3f7?6=3th?4k4>:g83>5}#<8o1?494H51`?!4f9320D>o8;%0g7?35m2.9ho4:3e9Y04d=:r8;47:84;m01c=m91/?no549:8m6`=831b8=4?::k75?6=3`>96=44i5194?=nm:0;66g>e583>>o6m<0;66g>e783>>o6m>0;66g>e983>>o3:00;66g;2`83>k2683;07b=l8;29?j7b;3:17pl;9182>c<729q/8v>o393:17d:=:188m15=831bi>4?::k2a1<722c:i84?::k2a3<722c:i:4?::k2a=<722c?>44?::k76d<72g>:<7?4;n1`5;|`7=0<62o0;6=u+40g97<1<@=9h7)h50;9j05<722c?=7>5;h61>5<>o6m=0;66g>e483>>o6m?0;66g>e683>>o6m10;66g;2883>>o3:h0;6c:>0;38?j5d03:17b?j3;29?xd31;0:6k4?:1y'04c=;0=0D9=l;%0b5?><@:k<7)"5lk0>?i5U40`96~4703><87sa45g9a6=#;jk18564i2d94?=n<90;66g;1;29?l252900e9=50;9ja6<722c:i94?::k2a0<722c:i;4?::k2a2<722c:i54?::k76<<722c?>l4?:o624?7<3f9h47>5;n3f7?6=3th?5>4>:g83>5}#<8o1?494H51`?!4f9320D>o8;%0g7?35m2.9ho4:3e9Y04d=:r8;47:84;m01c=m=1/?no549:8m6`=831b8=4?::k75?6=3`>96=44i5194?=nm:0;66g>e583>>o6m<0;66g>e783>>o6m>0;66g>e983>>o3:00;66g;2`83>k2683;07b=l8;29?j7b;3:17pl;9782>c<729q/8v>o393:17d:=:188m15=831bi>4?::k2a1<722c:i84?::k2a3<722c:i:4?::k2a=<722c?>44?::k76d<72g>:<7?4;n1`5;|`7=2<62o0;6=u+40g97<1<@=9h7)h50;9j05<722c?=7>5;h61>5<>o6m=0;66g>e483>>o6m?0;66g>e683>>o6m10;66g;2883>>o3:h0;6c:>0;38?j5d03:17b?j3;29?xd3=o0:6;4?:1y'04c=9o20D9=l;%0b5?5<@:k<7)"3mn:57g?l>0290/89m59098m`5=83.?8n4=9698k6?7290/89m52ga8?l>e290/89m58c98m6>f290/89m53c48?lb3290/89m53``8?xd3=>0:6?4?:1y'04c=:j<0D9=l;%67g?>63-8o?7;=e:l70`"35$56`>7`d3A>?n65rb57a>4<5290;w):>e;0`2>N3;j1/89m5809'6a5==;o0b9:j:dc8 6ef2=927d<7c;29 12d2;3<76a=f283>!23k38mo6F;4c98yg26i3;1<7>50z&75`<39h1/>i=553g8L6b43E>?j7:t$534>6643->:47{t:;;1<7?t^302?xu5:90;6d:p64e=839pR??l;<00e?4?k279=n4<019~w77e2908wS<>b:?17<<50j16>66>=:1i01??n:223?xu5900;6>uQ20;897502;2h70<>9;134>{t:821<7=t^33;?844>383o63=198045=z{;;<6=4<{_023>;5;<094n52205975653z\153=:::>1>5m4=335>6673ty9=84?:2y]643<5;986?6l;<021?5782wx><=50;1xZ7743488>7<7c:?156<4891v??=:180[46:279?<4=8b9>644=;9:0q~<>1;297~X59816>>>529a897762::;7p}=1183>6}Y:8:01?;58o08<=5rs32f>5<4sW8;i63=2e814}r03`?6=;rT9>?;|q14f<72:qU>=m4=30a>7>d348;o7=?0:p65d=839pR?>m;<01e?4?k279=o53128yv4503:1?vP=299>614=:1i01?<7:223?xu5:>0;6>uQ235897262;2h70<=7;134>{t:;<1<7=t^305?8438383o63=278045=z{;8>6=4<{_011>;5;o094n52237975653z\161=:::o1>5m4=307>6673ty9>>4?:2y]675<5;9o6?6l;<017?5782wx>?<50;1xZ7453488o7<7c:?167<4891v??i:180[46n279?o4=8b9>64`=;9:0q~<>4;295~X59=1v?>6:182[4712wx>5:50;3xZ7>33ty94>4?:0y]6=5{_f`?xufm3:1?vPne:?72a<50j16mh4<019~wd?=839pRl74=544>7>d34k26>>?;|q11g<72:qU>8l4=35a>7`4348>n7=?0:p60g=839pR?;n;<04e?4a;2799l4<019~w73?2908wS<:8:?13=<5n:16>8653128yv42?3:1?vP=569>621=:o901?;8:223?xu5=?0;6>uQ244897112;l870<:6;134>{t:;5?=09j>522469756?7>53z\116=::>91>k=4=370>6673ty99?4?:2y]604<5;=96?h<;<066?5782wx>8?50;1xZ736348<=7606=;9:0q~<;f;297~X5;h52g18972a2::;7p}=4e83>6}Y:=n01?8k:3d0?843l39;<6s|25a94?5|V;>h70<9c;0e7>;55<4sW8?n63=6c81b6=::=h1?=>4}r07e?6=;rT98l5227c96c5<5;>j6>>?;|q10<<72:qU>974=34:>7`4348?57=?0:p61>=839pR?:7;<059953128yv43>3:1?vP=479>630=:o901?:9:223?xu5<<0;6>uQ257897022;l870<;5;134>{t:=>1<7=t^367?841<38m?63=458045=z{;<96=4<{_056>;50;09j>52270975653z\124=::1;1>k=4=342>6673ty9:=4?:2y]636<5;2;6?h<;<054?5782wx>8h50;1xZ73a34860c=;9:0q~<:d;297~X5=m16>:j52g18973c2::;7p}=5b83>6}Y:;5=008<=5rs36f>5<4sW8?i63=6d81b6=::=o1?=>4}r077?6=;rT98>5227196c5<5;>86>>?;|qg`?6=;rToh63j0;0e7>;cl39;<6s|d`83>6}Ylh16ho4=f29>`d<4891v5cb=;9:0q~=6b;297~X41k16?4m52g1896?e2::;7p}<9e83>6}Y;0n01>7j:3d0?85>l39;<6s|a`83>6}Yih16mo4=f29>ed<4891v?k7:1826~;3;l09ji524659b2=:<>21j:5246;9b2=:<>k1j:5246`9b2=:<>i1j:5246f9b2=:{t:l31<7km{<60`?4?=27?;:47d:?727034=;k;0198>:d6891062:3:70:91;1a5>;3>9085<5247297g7<5=?n6>7>;<66a?5e927?:o4j5:?72g<418168;l53c3891172:ki70:77;1b`>;30>08m?5249595`c<5=2<6>o<;<6;3?5fk27?4:4;319>0=1=<;o01968:515?82??3>8863;86862>;30>0>863;86867>;30>0>j63;8686a>;30>0>h63;8686g>;30>0>n63;8686e>;30>0>563;8686<>;30>0>;63;86866>;30>0::5524959530<5=2<6<;<;<6;3?72927?4:4>519>0=1=9=l01968:06f?82??3;?h63;86821f=:<1=1=8l4=5:4>43f34>3;7?:9:?7<2<6=1168595145891>028?=70:77;361>;30>0:9952495951e<5=2<6<=>;<6;3?75n27?4:4>2d9>0=1=9;n01968:00`?82??3;9n63;86827d=:<1=1=>74=5:4>45?34>3;7?<7:?7<2<6;?168595127891>0289?70:77;307>;30>0:??52495957g<5=2<6:<4=5:4>26<5=2<6;h4=5:4>20<5=2<6:;4=5:4>22<5=2<6:=4=5:4>3c<5=2<6<8=;<6;3?71827?5540=?=;o168575419>0=?=<8168575439>0=?=<:168575e29>0=?=<;k0197;:2d891?32=:0197;:53891?32=80197;:51891?32l90197;:50b?82>j39m70:6b;63?82>j3>:70:6b;61?82>j3>870:6b;g0?82>j3>9m63;9880b>;3100?<63;98875>;3100?>63;98877>;3100n?63;98876d=:<0k1?k5248c905=:<0k18<5248c907=:<0k18>5248c9a6=:<0k18?o4=5;f>6`<5=3n69>4=5;f>17<5=3n69<4=5;f>15<5=3n6h=4=5;f>14f34>2o7=i;<6:g?2734>2o7:>;<6:g?2534>2o7:<;<6:g?c434>2o7:=a:?7=a<4n27?5i4;0:?7=a<3927?5i4;2:?7=a<3;27?5i4j3:?7=a<3:h1685o53g9>0=g=<91685o5409>0=g=<;1685o5429>0=g=m:1685o543c891>e2:l0196m:52891>e2=;0196m:50891>e2=90196m:d1891>e2=8j70:7e;1e?82?m3>;70:7e;62?82?m3>970:7e;60?82?m3o870:7e;61e>;30j08j63;8b874>;30j0?=63;8b876>;30j0??63;8b8f7>;30j0?>l5249f97c=:<1n18=5249f904=:<1n18?5249f906=:<1n1i>5249f907g<5=3:6>h4=5;2>16<5=3:69?4=5;2>14<5=3:69=4=5;2>`5<5=3:693j7:?;<6;b?2634>3j7:=;<6;b?2434>3j7k<;<6;b?25i27?5=40<3=;o1684;5419>0<3=<81684;5439>0<3=<:1684;5e29>0<3=<;k0197=:2d891?52=:0197=:53891?52=80197=:51891?52l90197=:50b?82>;39m70:63;63?82>;3>:70:63;61?82>;3>870:63;g0?82>;3>9m63;9780b>;31?0?<63;97875>;31?0?>63;97877>;31?0n?63;97876d=:<0=1?k52485905=:<0=18<52485907=:<0=18>52485907g7>52z?73`<50m16>9<52g18yv4393:1>v3;7d81<`=::=;1>k=4}r074?6=:r7?;h4=8g9>616=:o90q~;>b;297~;3?l095=524b39a6=:<021=h=4}r720g0=m:1684:51d18yv37l3:1?v3;7d81=7=:5249;95`552z?73`<5n:168:953c38yv20?3:1>v3;7681b6=:<>214i5rs30a>5<5s4>44=f29~w07b2908w0:8f;0:4>;3k?0n?63;9c82a6=z{<;o6=4<{<64b?4>927?o84j3:?7=d<6m:1v8?l:180820n382>63;c58f7>;3100:i>5rs55e>5<5s4>{t:;o1<77>c3489i772;3;70:l9;g0?82>m3;n?6s|53294?5|5=2;6?7>;<6`2h7?j3:p14`=839p196?:3;1?82d?3o870:6c;3f7>{t<1:1<77`434><57=m1:p02?=838p1996:3d0?820i32o7p}=3083>7}:<1;1>5j4=312>7`43ty9?=4?:3y>0=7=:1o01?=?:3d0?xu5:o0;6?u249396=`<5;8m6?h<;|q64c<72:q685?5282891d?2l90196l:0g0?xu28l0;6>u249396<7<5=h<6h=4=5:a>4c43ty>>?4?:2y>0=7=:08019mn:d1891>f28o87p};8083>7}:<1;1>k=4=55b>6d63ty?;l4?:3y>02g=:o90199m:9f8yv44<3:1>v3;83811>k=4}r007?6=:r7?4?4=8d9>665=:o90q~<<2;296~;30;094k5222096c57>53z?7<7<519168ol5e29>0=`=9l90q~;>1;297~;30;095<524cc9a6=:<1o1=h=4}r724?6=;r7?4?4=939>0g?=m:1685j51d18yv2?:3:1>v3;8381b6=:<>h1?o?4}r64f?6=:r7?;o4=f29>02e=0m1v?=8:18182?;383h63=3681b6=z{;9=6=4={<6;7?4?m279?;4=f29~w7522909w0:73;0;b>;5;<09j>5rs436>5<4s4>3?7<60:?7f`e29~w0732908w0:73;0:5>;3jm0n?63;9082a6=z{<;86=4<{<6;7?4>:27?nn4j3:?7=5<6m:1v96<:18182?;38m?63;7b80f4=z{==h6=4={<64g?4a;27?;i47d:p66g=838p196;:3:g?844i38m?6s|22;94?4|5=2?6?6j;<00=?4a;2wx>>650;0x91>32;2m70<<8;0e7>{t=831<7=t=5:7>7?734>h>7k<;<6:2?7b;2wx9<950;1x91>32;3:70:l0;g0?82>=3;n?6s|50494?5|5=2?6?7=;<6ab?c434>2?7?j3:p0=2=838p196;:3d0?820l39i=6s|49794?4|5==o6?h<;=b54cy>034=01168;?5899>036=011688k5899>03`=:o901968:8a891>020o019l::95891d121=019m>:95891e321=019m::95891e121=019m8:95891e?21=019m6:95891ef21=019l8:95891d?21=019l6:95891df21=019lm:95891dd21=019lk:95891db21=019li:95891e721=019m=:95891e421=019k>:95891c521=019kk:95891`721=019h>:95891`521=019h<:95891`321=019h::95891`121=019k<:95891c321=019k::95891c121=019k8:95891c?21=019k6:95891cf21=019km:95891cd21=019kj:95891ca21=01977:50:?82?13>9563;95876<=:<0h18?74=5;:>14>34>2m7:=9:?7=`<3:01684m543;891?c2=8270:7a;61=>;30k0?>45249g907?<5=2h69<6;<6;`?25127?5<4;289>0=`=<;30197?:50:?82>=3>9563;93876<=:<0918?74=5;5>14>34>2;7:=9:?71cw0:92;::?821932270:90;::?822m32270::7;0e7>{t;0o1<76?73492i7<7c:p7:2;3?85>k383o6s|44d94?2|5=<;6h:4=543>`3<5=?m6>7?;<66=?4?k2wx=kk50;0x91072:3;70?ie;0;g>{t<<21<7;t=57f>`2<5=?n6h;4=5:4>4ca34>>j7k<;<66=?4a;2wxho4?:3y>00c=;0:01il529a8yv21<3:1?v3;6c8;<>;3>h09j>5244`96=e>m7>52z?72g7}:5m4=553>g3=57>52z?72d<50j168:>5a79~w10?2909w0:95;0e7>;3?90j96s|47494?4|5=<>6?6l;<653?4a;2wx8;m50;0x910c2;l870:80;cf?xu5;o0;6<6t=31e>7`434>3;79m;<6:e99>0<2=9l20197m:0g;?82>13;n463;9`82a==:<0o1=h64=5;`>4c?34>2h7?j8:?7b28o370:7c;3f<>;30m0:i55248395`><5=2m6e99>0<4=9l20197<:0g;?82>>3;n463;9682a==z{;9n6=4>8z?17`<5n:1685957`9>0<>=9l=01966:0g4?82><3;n;63;9c82a2=:<031=h94=5;b>4c034>2i7?j7:?7=f<6m>1684j51d5891>f28o<70:7b;3f3>;30l0:i:5249a95`1<5=2o6e69>0<6=9l=0197::0g4?82>:3;n;63;9282a2=:<0<1=h94=5;4>4c03ty9?i4?:0:x975c2;l870:77;5:?82>03;n:63;8882a3=:<0>1=h84=5;a>4c134>257?j6:?7=d<6m?1684k51d4891?d28o=70:6d;3f2>;30h0:i;5249`95`0<5=2n627?4i4>e79>0<7=9l<0196i:0g5?82>83;n:63;9482a3=:<081=h84=5;0>4c134>2:7?j6:?7=2<6m?1v?=l:182<~;5;j09j>5249593==:<021=h;4=5::>4c234>287?j5:?7=g<6m<1684751d7891?f28o>70:6e;3f1>;31j0:i85248f95`3<5=2j6e49>0=e=9l?0196k:0g6?82>93;n963;8g82a0=:<0:1=h;4=5;6>4c234>2>7?j5:?7=6<6m<1684851d7891?028o>7p}=3c83>4>|5;9i6?h<;<6;3?1034>247?j4:?7<<<6m=1684:51d6891?e28o?70:69;3f0>;31h0:i95248g95`2<5=3h6e59>0=d=9l>0196j:0g7?82?k3;n863;8e82a1=:<0;1=h:4=5:e>4c334>2<7?j4:?7=0<6m=1684<51d6891?428o?70:66;3f0>;31>0:i95rs35a>5<5s48c69~w7102909w0<87;0;g>;30>0:o;5rs355>5<5s48<:7<7c:?7<2<6k<1v?9::181840=383o63;8682g1=z{;=?6=4={<040?4?k27?4:4>d29~w7142909w0<83;0;g>;30>0:h?5rs351>5<5s48<>7<7c:?7<2<6l81v?9>:1818409383o63;8682`5=z{;=;6=4={<044?4?k27?4:4>cg9~w70a2909w0<9f;0;g>;30>0:oh5rs34g>5<5s48=h7<7c:?7<2<6km1v?8l:181841k383o63;8682gf=z{;cc9~w70f2909w0<9a;0;g>;30>0:o>5rs34:>5<5s48=57<7c:?7<2<6i>1v?87:1818410383o63;8682e0=z{;<<6=4={<053?4?k27?4:4>a59~w7012909w0<96;0;g>;30>0:m>5rs346>5<5s48=97<7c:?7<2<6i;1v?8;:181841<383o63;8682e4=z{;296=4={<0;6?4?k27?4:4>b19~w7>62909w0<71;0;g>;30>0:mk5rs3:3>5<5s483<7<7c:?7<2<6il1v?9i:181840n383o63;8682ea=z{;=n6=4={<04a?4?k27?4:4>ab9~w71c2909w0<8d;0;g>;30>0:mo5rs35`>5<5s48a99~w7042909w0<93;0;g>;30>0:m=5rsd294?4|5l:1>5m4=eg96c56d634nm6?h<;|q65d<72:q6hk4=8e9>0f5=m:1684951d18yv2>n3:1=v3;b480=5=z{=kj6=4>{<6a2?5>82wx8lj50;3x91e62:3;7p};ad83>4}:1?4>4}r6bb?6=9r7?o84<919~w1d7290:w0:l6;1:4>{t6?73ty?n?4?:0y>0f>=;0:0q~:m3;295~;3k0085=5rs5`7>5<6s4>hm7=60:p0d6=83;p19l8:2;3?xu3i80;6j>7>51z?7f<<4191v9o<:18282ei392<6s|4`694?7|5=hi6>7?;|q7e0<728q68om53828yv2f>3:1=v3;be80=5=z{=k<6=4>{<6aa?5>82wx8l650;3x91da2:3;7p};a883>4}:4}r6bf?6=9r7?o?4<919~w1gd290:w0:l3;1:4>{t`5<5=226>m7;|q7gg<728q68h?53828yv37:3:1>v3;e38f7>;31=08o55rs5f5>5<6s4>n>7=60:p153=838p19kk:d1891??2:i37p};d883>4}:4}r732?6=:r7?j=4j3:?7=<<4k11v9jn:18282a8392<6s|51594?4|5=l:6h=4=5;b>6e?3ty?ho4?:0y>0c7=;0:0q~;?8;296~;3n;0n?63;9c80g==z{=nh6=4>{<6e6?5>82wx9=750;0x91`42l90197l:2a;?xu3lm0;652z?7b1{t=9h1<7`5<5=3n6>m7;|q7`c<728q68k;53828yv37k3:1>v3;f78f7>;30h08o55rs5g3>5<6s4>m:7=60:p0c>=838p19k<:d1891>e2:i37p};cb83>4}:4}r6e=?6=:r7?i94j3:?76h=4=5:g>6e?3ty?oh4?:0y>0`3=;0:0q~:ib;296~;3m?0n?63;8d80g==z{=im6=4>{<6f2?5>82wx8km50;0x91c02l90196i:2a;?xu3l90;6mh7>52z?7a={t`5<5=3:6>m7;|q7`7<728q68h753828yv2an3:1>v3;e`8f7>;31;08o55rs5f0>5<6s4>nm7=60:p156=838p19km:d1891?42:i37p};d583>4}:4}r735?6=:r7?in4j3:?7=0<4k11v9j::18282bk392<6s|51194?4|5=on6h=4=5;5>6e?3ty?h:4?:0y>0`c=;0:0q~;?4;296~;3mo0n?63;9680g==z{=n36=4>{<6fb?5>82wvb8j<:182M2582.9oo4=f09m01c=82we9i:50;3xL1473-8hn7h84?:0yK076<,;ii6?h>;o67a?451zJ765=#:jh1>k?4n56f>6=zf{[032?7|<881qE:=0:X757<6s;:36p`;4d87?xh2l10;64Z531>4}5810vb9:j:49~j0b>290:wW9<6T;138276?2td?8h49;|l6`d<728qQ>=851z626?{O<;:0V9?=:0y14=n6:5rn4fa>5<6sS8;:7?t4009yM2582P?=?4>{32;>xh34}]:9<1=v:>2;K0763;p8<<5}I614>\39;0:w?>7:|l70`hh4?:0yY650=9r>:>7sG4328^17528q9<54rn56f>g=zf{[032?7|<881qE:=0:X757<6s;:36p`;4d8`?xh2m90;64Z531>4}5810vb9:j:e9~j0c6290:wW9<6T;138276?2td?8h4j;|l6a7<728qQ>=851z626?{O<;:0V9?=:0y14=n6k5rn4g0>5<6sS8;:7?t4009yM2582P?=?4>{32;>xh3:>7?t21:9yk23m3;:7p`:e483>4}]:9<1=v:>2;K0763;p8<<5}I614>\39;0:w?>7:|l70`<6<2we9h650;3x^76128q?=?4rH503?_26:3;p>=65}o67a?723td>i44?:0yY650=9r>:>7sG4328^17528q9<54rn56f>4051zX143<6s=;96pF;219Y044=9r8;47sa45g952=zf{[032?7|<881qE:=0:X757<6s;:36p`;4d82<>{i=li1<7?tZ325>4}39;0vD94Z531>4}5810vb9:j:0c8yk3bm3:1=vT=07821752tB?>=5U40095~4703we89k51c9~j0ca290:wW9<6T;138276?2td?8h4>c:m1c6=83;pV?>9:0y7572;3x65>=ug>?i7?k;|l6b4<728qC8?>4$3aa>7`63g>?i7?j;|l6b7<728qC8?>4$3aa>7`63g>?i7?i;|l6b6<728qC8?>4$3aa>7`63g>?i74$3aa>7`63g>?i7<>;|l6b0<728qC8?>4$3aa>7`63g>?i7<=;|l6b3<728qQ>=851z626?{O<;:0V9?=:0yba?{i<=o1>>5rn4d4>5<6sA>9<6`;4d810>{i=o21<7?tH503?k23m38>7p`:f883>4}O<;:0b9:j:348yk3ai3:1=vF;219m01c=:>1vb8hm:182M2582d?8h4=8:m1ce=83;pD93td>ji4?:0yK076n6?o4}o7ea?6=9rB?>=5a45g96g=zf{I614>h3?i7=>;|l540<728qC8?>4n56f>6451zJ765=i<=o1?>5rn724>5<6sA>9<6`;4d800>{i>921<7?tH503?k23m39>7p`90883>4}O<;:0b9:j:248yk07i3:1=vF;219m01c=;>1vb;>m:182M2582d?8h4<8:m25e=83;pD93td=n6>o4}o43a?6=9rB?>=5a45g97g=zf?:m6=4>{I614>h32;295~N3:91e89k53g9~j374290:wE:=0:l70`<382we:<:50;3xL1473g>?i7:>;|l550<728qC8?>4n56f>1451zJ765=i<=o18>5rn734>5<6sA>9<6`;4d870>{i>821<7?tZ325>4}39;0vD9n6974}o42`?6=9rP9<;4>{0db>xN3:91Q=ko51z34>xh3f;296~N3:91e89k54b9m7f`=82we:?>50;3xL1473g>?i7:k;|l564<728qC8?>4n56f>1c7>51zJ765=i<=o18k5rn700>5<6sA>9<6`;4d864>{i>;>1<7?tH503?k23m3?:7p`92483>4}O<;:0b9:j:408yk05>3:1=vF;219m01c==:1vb;<8:182M2582d?8h4:4:m27>=83;pD944?:0yK076n6884}o41e?6=9rB?>=5a45g912=zf?8i6=4>{I614>h346sa63a94?7|@=8;7c:;e;7:?xh1:m0;6>50;3xL1473g>?i7;k;|l574<728qC8?>4n56f>0c7>51zJ765=i<=o19k5rn710>5<6sA>9<6`;4d854>{i>:>1<7?tH503?k23m3<:7p`93483>4}O<;:0b9:j:708yk04>3:1=vF;219m01c=>:1vb;=8:182M2582d?8h494:m26>=83;pD9n6;84}o40e?6=9rB?>=5a45g922=zf?9i6=4>{I614>h350;3xL1473g>?i78k;|l504<728qC8?>4n56f>3c7>51zJ765=i<=o1:k5rn760>5<6sA>9<6`;4d844>{i>=>1<7?tH503?k23m3=:7p`94483>4}O<;:0b9:j:608yk03>3:1=vF;219m01c=?:1vb;:8:182M2582d?8h484:m21>=83;pD9n6:84}o47e?6=9rB?>=5a45g932=zf?>i6=4>{I614>h3k0qc8;e;295~N3:91e89k57c9~j32a290:wE:=0:l70`<0k2we:8>50;3xL1473g>?i79k;|l514<728qC8?>4n56f>2c>7>51zJ765=i<=o1;k5rn770>5<6sA>9<6`;4d8;4>{i><>1<7?tH503?k23m32:7p`95483>4}O<;:0b9:j:908yk02>3:1=vF;219m01c=0:1vb;;8:182M2582d?8h474:m20>=93;pD923td=944>:0yK076n6584$56;>7603td=9l4?:0yK076n6594}o46f?6=9rB?>=5a45g9<==zf??h6=4>{I614>h3?i76j;%67?i76i;|l526<72;qC8?>4n56f><652zJ765=i<=o15<5rn746>5<5sA>9<6`;4d8:6>{i>?<1<7?tH503?k23m3387p`96683>7}O<;:0b9:j:868yk0103:1=vF;219m01c=1<1vb;86:182M2582d?8h466:m23g=83;pD9n6464}o45g?6=9rB?>=5a45g9=<=zf?{I614>h3o0;6m2we::<50;3xL1473g>?i77i;|l536<728qC8?>4n56f>d651zJ765=i<=o1m<5rn756>5<6sA>9<6`;4d8b6>{i>><1<7?tH503?k23m3k87p`97683>4}O<;:0b9:j:`68yk0003:1=vF;219m01c=i<1vb;96:182M2582d?8h4n6:m22g=83;pD9n6l64}o44g?6=9rB?>=5a45g9e<=zf?=o6=4>{I614>h36290:wE:=0:l70`?i7oi;|l5<6<728qC8?>4n56f>g651zJ765=i<=o1n<5rn7:6>5<6sA>9<6`;4d8a6>{i>1<1<7?tH503?k23m3h87p`98683>4}O<;:0b9:j:c68yk0?03:1=vF;219m01c=j<1vb;66:182M2582d?8h4m6:m2=g=83;pD9n6o64}o4;g?6=9rB?>=5a45g9f<=zf?2o6=4>{I614>h34=zf;9<6=4>{I614>h3a3td=5<4?:0yK076<@;l;7c:;e;a3?M>a3td=5?4?:0yK076<@;l;7c:;e;a2?M>a3td=5>4?:0yK076<@;l;7c:;e;a1?M>a3td=594?:0yK076<@;l;7c:;e;a0?M>a3td=584?:0yK076<@;l;7c:;e;a7?M>a3td=5;4?:0yK076<@;l;7c:;e;a6?M>a3td=5:4?:0yK076<@;l;7c:;e;a5?M>a3td=554?:0yK076<@;l;7c:;e;a4?M>a3td=544?:0yK076<@;l;7c:;e;a;?M>a3td=5l4?:0yK076<@;l;7c:;e;a:?M>a3td=5o4?:0yK076<@;l;7c:;e;ab?M>a3td=5n4?:0yK076<@;l;7c:;e;aa?M>a3td=5i4?:0yK076<@;l;7c:;e;a`?M>a3td=5h4?:0yK076<@;l;7c:;e;ag?M>a3td=5k4?:0yK076<@;l;7c:;e;af?M>a3td=m=4?:0yK076<@;l;7c:;e;ae?M>a3td=m<4?:0yK076<@;l;7c:;e;f3?M>a3td=m?4?:0yK076<@;l;7c:;e;f2?M>a3td=m>4?:0yK076<@;l;7c:;e;f1?M>a3td=m94?:0yK076<@;l;7c:;e;f0?M>a3td=m84?:0yK076<@;l;7c:;e;f7?M>a3td=m;4?:0yK076n6i;4H9d8yk0f?3:1=vF;219K6c6n6i84H9d8yk0f03:1=vF;219m01c=l>1C4k5rn7c:>5<6sA>9<6F=f19m01c=l11C4k5rn7cb>5<6sA>9<6`;4d8g=>N?n2we:ll50;3xL1473A8m<6`;4d8ge>N?n2we:lm50;3xL1473g>?i7jm;I:e?xh1im0;6?i7jl;I:e?xh1il0;6=5G2g28j12b2mo0D5h4}o4a4?6=9rB?>=5a45g9`c=O0o1vb;l>:182M2582B9j=5a45g9a5=O0o1vb;l=:182M2582d?8h4j1:J;b>{i>k91<7?tH503?M4a82d?8h4j2:J;b>{i>k>1<7?tH503?k23m3o87E6i;|l5f0<728qC8?>4H3d3?k23m3o?7E6i;|l5f3<728qC8?>4n56f>`3<@1l0qc8m7;295~N3:91C>k>4n56f>`0<@1l0qc8m8;295~N3:91e89k5e69K{I614>N5n91e89k5e99K{I614>h3h34}O<;:0D?h?;o67a?cd3A2m7p`9bd83>4}O<;:0b9:j:df8L=`51zJ765=O:o:0b9:j:dg8L=`51zJ765=i<=o1ik5G8g9~j3e6290:wE:=0:J1b5=i<=o1j=5G8g9~j3e5290:wE:=0:l70`a3td=o84?:0yK076<@;l;7c:;e;d7?M>a3td=o;4?:0yK076n6k;4H9d8yk0d?3:1=vF;219K6c6n6k84H9d8yk0d03:1=vF;219m01c=n>1C4k5rn7a:>5<6sA>9<6F=f19m01c=n11C4k5rn7ab>5<6sA>9<6`;4d8e=>N?n2we:nl50;3xL1473A8m<6`;4d8ee>N?n2we:nm50;3xL1473g>?i7hm;I:e?xh1km0;6?i7hl;I:e?xh1kl0;6=5G2g28j12b2oo0D5h4}o4g4?6=9rB?>=5a45g9bc=O0o1vb;j>:182M2582B9j=5a45g9556<@1l0qpx[21294?7=93h9w^k>:400>7<6;=<>9:4=f431?!25;39;:6*<0080`>N50?1C>h=4H3:4?g2413:18o4m9;`2_>12:q857==:2c9yM4792.8h?42d8nl4m2:X;g?4|;1l1?5j5}[674?4|<>?188m5}o67a?65<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<5<>of13:17b<:b;29?j42i3:17b<:8;29?j42?3:17b<:6;29?j42=3:17b<:4;29?j42;3:17b<:2;29?j4293:17b<:0;29?j43n3:17b<;d;29?j43k3:17b<;b;29?j43i3:17b<;9;29?j4303:17b<;7;29?j43>3:17b<;5;29?j43<3:17b<92;29?j4193:17b<90;29?j42n3:17b<:e;29?j42l3:17b<:c;29?j4213:17b<;e;29?j43;3:17bjk:188kag=831d=kj50;9l75}#<8o1804b3A9o?6B;4g87!26?39;?6*;198140=#<8i1?=:4$53g>6623td?8h4>;|`73`<62>0;6=u+40g96a1<,;:96?h;;%1`e?20>2B:jk5a45g96>o50m0;66g=8d83>>o50o0;66g=9183>>o5180;66g=9383>>i5nj0;66sm46595?2=83:p(9?j:3g6?!5di3><:6F>fg9m01c=;2cm;7>5;h:g>5<5<h383:17d<61;29?l4>:3:17bmn:555?M7an2d?8h4:;hd4>5<4<0290;w):>e;0g3>"58;09j95+3bc9020<@8lm7c:;e;48m7>c2900e?6j:188m7>a2900e?7?:188m7?62900e?7=:188k7`d2900qo:89;390?6=8r.?=h4=e49'7fg=<><0D>o4j80;66a=f283>>{e<1;1=7950;2x 17b2;n<7)"4kh0?;;5G1gd8j12b211b>5j50;9j6=c=831b>5h50;9j6<6=831b>4?50;9j6<4=831d>km50;9~f11f280?6=4?{%62a?4b=2.8ol4;779K5c`n645ff683>>o?l3:17d=m1;29?j4a;3:17pl;8382>2<729q/8N6no1e89k5a:k12B:jk5a45g9f>oa?3:17d6k:188m6d62900c?h<:188yg2?;3;1;7>50z&75`<5l>1/>=<52g68 6ef2===7E?if:l70`5;h0;a?6=3`83j7>5;h0:4?6=3`82=7>5;h0:6?6=3f8mo7>5;|`73f<62=0;6=u+40g96`3<,:ij6999;I3eb>h35<7s->:i7?i7k4i3:g>5<5<5<5<4?::a03`=9381<7>t$53f>=4<,:ij698<;[62f?7|<>>1qE?if:l70`<682c94n4?::m1b6<722wi8;o51;094?6|,=;n65<4$2ab>1043S>:n7?t4619yM7an2d?8h4>1:k14?::a033=9381<7>t$53f>=2<,:ij698<;%35g?26=2.9o44>f69K5c`n6<<4i3:`>5<h3>i5n:0;66sm47f95?4=83:p(9?j:3;0?!5di3>=?6*7a;3g1>"5ko095:5G1gd8j12b28>0e?6l:188k7`42900qo<fg9m01c=9<1b>5m50;9l6c5=831vn?=6:081>5<7s->:i7<63:&0gd<3;01/>nh52858 7eb2;nj7E?if:l70`<6>2c94n4?::m1b6<722wi>>651;094?6|,=;n6?7<;%1`e?2412.9ok4=969'6fc=:mk0D5;n0e7?6=3th9?:4>:383>5}#<8o1>4=4$2ab>15>3-8hj7<67:&1g`<5lh1C=kh4n56f>4>5<5+3bc906?<,;im6?78;%0`a?4ci2B:jk5a45g95<=n:1i1<75`2g194?=zj;9>6<4=:183!26m382?6*494$3af>7bf3A;mj6`;4d82e>o50j0;66a=f283>>{e::>1=7<50;2x 17b2;387)=la;60=>"5ko095:5+2bg96ag<@8lm7c:;e;3a?l4?k3:17bmn:51:?!4dn382;6*=cd81`d=O9ol0b9:j:0a8m7>d2900c?h<:188yg44:3;1>7>50z&75`<51:1/?no542;8 7ea2;3<7)N6no1e89k51e9j6=e=831d>k=50;9~f75628096=4?{%62a?4>;2.8ol4;389'6f`=:0=0(?mj:3fb?M7an2d?8h4>e:k14?::a666=9381<7>t$53f>7?43-9hm7:<9:&1gc<51>1/>nk52ec8L4`a3g>?i7?i;h0;g?6=3f8m?7>5;|`16c<62;0;6=u+40g96<5<,:ij69=6;%0`b?4>?2.9oh4=d`9K5c`n6?>4i3:`>5<74$3ae>7?03-8hi7<5f29a94?=h:o91<75rb30g>4<5290;w):>e;0:7>"4kh0??45+2bd96<1<,;in6?jn;I3eb>h36g=8b83>>i5n:0;66sm23a95?4=83:p(9?j:3;0?!5di3>856*=cg81=2=#:jo1>io4H0de?k23m3887d<7c;29?j4a;3:17pl=2c82>7<729q/8"5kl09hl5G1gd8j12b2;>0e?6l:188k7`42900qo<=a;396?6=8r.?=h4=929'7fg=<:30(?mi:3;4?!4dm38om6F>fg9m01c=:<1b>5m50;9l6c5=831vn?<6:081>5<7s->:i7<63:&0gd<3;01/>nh52858 7eb2;nj7E?if:l70`<5>2c94n4?::m1b6<722wi>9<51;094?6|,=;n6?7<;%1`e?2412.9ok4=969'6fc=:mk0D5;n0e7?6=3th98<4>:383>5}#<8o1>4=4$2ab>15>3-8hj7<67:&1g`<5lh1C=kh4n56f>7>5<5+3bc906?<,;im6?78;%0`a?4ci2B:jk5a45g96<=n:1i1<75`2g194?=zj;9m6<4=:183!26m382?6*494$3af>7bf3A;mj6`;4d81e>o50j0;66a=f283>>{e::o1=7<50;2x 17b2;387)=la;60=>"5ko095:5+2bg96ag<@8lm7c:;e;0a?l4?k3:17bmn:51:?!4dn382;6*=cd81`d=O9ol0b9:j:3a8m7>d2900c?h<:188yg44k3;1>7>50z&75`<51:1/?no542;8 7ea2;3<7)N6no1e89k52e9j6=e=831d>k=50;9~f75e28096=4?{%62a?4>;2.8ol4;389'6f`=:0=0(?mj:3fb?M7an2d?8h4=e:k14?::a62d=9381<7>t$53f>7`13-9hm7:<9:l2ag7`5<h3>i5n:0;66sm26:95?4=83:p(9?j:3d5?!5di3>856`>ec8;?!4dn38mo6*=cd81`d=O9ol0b9:j:238m7>d2900c?h<:188yg40?3;1>7>50z&75`<5n?1/?no542;8j4ce211/>nh52ga8 7eb2;nj7E?if:l70`<4:2c94n4?::m1b6<722wi>:851;094?6|,=;n6?h9;%1`e?2412d:io47;%0`b?4ak2.9oh4=d`9K5c`n6>=4i3:`>5<74n0ga>==#:jl1>km4$3af>7bf3A;mj6`;4d800>o50j0;66a=f283>>{e:>>1=7<50;2x 17b2;l=7)=la;60=>h6mk037)"5kl09hl5G1gd8j12b2:?0e?6l:188k7`42900qo<83;396?6=8r.?=h4=f79'7fg=<:30b4?::a624=9381<7>t$53f>7`13-9hm7:<9:l2ag615<h3>i5n:0;66sm26295?4=83:p(9?j:3d5?!5di3>856`>ec8;?!4dn38mo6*=cd81`d=O9ol0b9:j:2;8m7>d2900c?h<:188yg41n3;1>7>50z&75`<5n?1/?no542;8j4ce211/>nh52ga8 7eb2;nj7E?if:l70`<4i2c94n4?::m1b6<722wi>;j51;094?6|,=;n6?h9;%1`e?2412d:io47;%0`b?4ak2.9oh4=d`9K5c`n6>l4i3:`>5<74n0ga>==#:jl1>km4$3af>7bf3A;mj6`;4d80g>o50j0;66a=f283>>{e:?h1=7<50;2x 17b2;l=7)=la;60=>h6mk037)"5kl09hl5G1gd8j12b2:n0e?6l:188k7`42900qo<9a;396?6=8r.?=h4=f79'7fg=<:30b4?::a63?=9381<7>t$53f>7`13-9hm7:<9:l2ag6`5<h3>i5n:0;66sm27595?4=83:p(9?j:3d5?!5di3>856`>ec8;?!4dn38mo6*=cd81`d=O9ol0b9:j:538m7>d2900c?h<:188yg41>3;1>7>50z&75`<5n?1/?no542;8j4ce211/>nh52ga8 7eb2;nj7E?if:l70`<3:2c94n4?::m1b6<722wi>;;51;094?6|,=;n6?h9;%1`e?2412d:io47;%0`b?4ak2.9oh4=d`9K5c`n69=4i3:`>5<74n0ga>==#:jl1>km4$3af>7bf3A;mj6`;4d870>o50j0;66a=f283>>{e:181=7<50;2x 17b2;l=7)=la;60=>h6mk037)"5kl09hl5G1gd8j12b2=?0e?6l:188k7`42900qo<71;396?6=8r.?=h4=f79'7fg=<:30b4?::a6=6=9381<7>t$53f>7`13-9hm7:<9:l2ag115<h3>i5n:0;66sm26g95?4=83:p(9?j:3d5?!5di3>856`>ec8;?!4dn38mo6*=cd81`d=O9ol0b9:j:5;8m7>d2900c?h<:188yg40l3;1>7>50z&75`<5n?1/?no542;8j4ce211/>nh52ga8 7eb2;nj7E?if:l70`<3i2c94n4?::m1b6<722wi>:m51;094?6|,=;n6?h9;%1`e?2412d:io47;%0`b?4ak2.9oh4=d`9K5c`n69l4i3:`>5<74n0ga>==#:jl1>km4$3af>7bf3A;mj6`;4d87g>o50j0;66a=f283>>{e:?o1=7<50;2x 17b2;l=7)=la;60=>h6mk037)"5kl09hl5G1gd8j12b2=n0e?6l:188k7`42900qo<93;396?6=8r.?=h4=f79'7fg=<:30b4?::aa5<62;0;6=u+40g96c0<,:ij69=6;o3ff?7?3-8hj71`5<h3<6g=8b83>>i5n:0;66sm1gg95?4=83:p(9?j:3d5?!5di3>856`>ec82<>"5ko09jn5+2bg96ag<@8lm7c:;e;72?l4?k3:17bmn:51:?k7bj3;37)"5kl09hl5G1gd8j12b2<80e?6l:188k7`42900qo=6e;396?6=8r.?=h4=f79'7fg=<:30bN6no1e89k5529j6=e=831d>k=50;9~fdd=9381<7>t$53f>7`13-9hm7:<9:l2ag<602.9ok4=fb9'6fc=:mk0D5;n0e7?6=3thoi7?54;294~"39l09i85+3bc906?<@8lm7c:;e;76?l`02900e5j50;9j7g7=831d>k=50;9~fdc=93;1<7>t$53f>7b73-8hj7<67:&1gg<5n81C=kh4n56f>004<6290;w):>e;0g4>"5ko095:5+2b`96c7<@8lm7c:;e;74?j5d13:1V9?9:0yb=?{;I3eb>h346a>{e:8h1=7?50;2x 17b2;n;7)"5kk09j<5G1gd8j12b2<30c>m6:188yg46i3;1=7>50z&75`<5l91/>nh52858 7ee2;l:7E?if:l70`<2i2e8o44?::a64?=93;1<7>t$53f>7b73-8hj7<67:&1gg<5n81C=kh4n56f>0d494$3aa>7`63A;mj6`;4d86g>i4k00;66sm20595?7=83:p(9?j:3f3?!4dn382;6*=cc81b4=O9ol0b9:j:4f8k6e>2900qo<>6;395?6=8r.?=h4=d19'6f`=:0=0(?mm:3d2?M7an2d?8h4:e:m0g<<722wi><;51;394?6|,=;n6?j?;%0`b?4>?2.9oo4=f09K5c`n68h4o2a:>5<;I3eb>h3>{e:881=7?50;2x 17b2;n;7)"5kk09j<5G1gd8j12b2?;0c>m6:188yg4693;1=7>50z&75`<5l91/>nh52858 7ee2;l:7E?if:l70`<1:2e8o44?::a646=93;1<7>t$53f>7b73-8hj7<67:&1gg<5n81C=kh4n56f>35494$3aa>7`63A;mj6`;4d850>i4k00;66sm21g95?7=83:p(9?j:3f3?!4dn382;6*=cc81b4=O9ol0b9:j:778k6e>2900qo=m51;394?6|,=;n6?j?;%0`b?4>?2.9oo4=f09K5c`n6;94o2a:>5<;I3eb>h3>{e:9k1=7?50;2x 17b2;n;7)"5kk09j<5G1gd8j12b2?30c>m6:188yg4503;1=7>50z&75`<5l91/>nh52858 7ee2;l:7E?if:l70`<1i2e8o44?::a671=93;1<7>t$53f>7b73-8hj7<67:&1gg<5n81C=kh4n56f>3d494$3aa>7`63A;mj6`;4d85g>i4k00;66sm23795?7=83:p(9?j:3f3?!4dn382;6*=cc81b4=O9ol0b9:j:7f8k6e>2900qo<=4;395?6=8r.?=h4=d19'6f`=:0=0(?mm:3d2?M7an2d?8h49e:m0g<<722wi>?=51;394?6|,=;n6?j?;%0`b?4>?2.9oo4=f09K5c`n6;h4o2a:>5<7?51;294~"39l09h=5+2bd96<1<,;ii6?h>;I3eb>h3>{e:8l1=7?50;2x 17b2;n;7)"5kk09j<5G1gd8j12b2>;0c>m6:188yg42j3;1=7>50z&75`<5n01e?n<5159'6f`=:oi0D5;|`11d<6280;6=u+40g96c?7`d3A;mj6`;4d847>o4k:0;66sm24:95?7=83:p(9?j:3d:?k5d:3;?7)N6no1e89k5759j7f5=831vn?;8:082>5<7s->:i7n6:;4i2a0>5<:7?51;294~"39l09j45a3b0951=#:jl1>km4H0de?k23m3==7d=l3;29?xd5=<0:6<4?:1y'04c=:o30b>m=:068 7ea2;lh7E?if:l70`<0?2c8o>4?::a602=93;1<7>t$53f>7`>3g9h>7?;;%0`b?4ak2B:jk5a45g93==n;j91<75rb370>4<6290;w):>e;0e=>h4k;0:86*=cg81bf=O9ol0b9:j:6;8m6e42900qo<:2;395?6=8r.?=h4=f89m7f4=9=1/>nh52ga8L4`a3g>?i79n;h1`7?6=3th99<4>:083>5}#<8o1>k74n2a1>42<,;im6?hl;I3eb>h3>{e:<:1=7?50;2x 17b2;l27c=l2;37?!4dn38mo6F>fg9m01c=?j1b?n=50;9~f72a280:6=4?{%62a?4a12d8o?4>4:&1gc<5nj1C=kh4n56f>2b4<729q/80(?mi:3d`?M7an2d?8h48f:k0g6<722wi>9l51;394?6|,=;n6?h6;o1`6?733-8hj7j6<4>:183!26m38m56`"5ko09jn5G1gd8j12b21;0e>m<:188yg4313;1=7>50z&75`<5n01e?n<5159'6f`=:oi0D53`9h?7>5;|`10=<6280;6=u+40g96c?7`d3A;mj6`;4d8;7>o4k:0;66sm25595?7=83:p(9?j:3d:?k5d:3;?7)N6no1e89k5859j7f5=831vn?:9:082>5<7s->:i7n65;4i2a0>5<km4H0de?k23m32=7d=l3;29?xd5<=0:6<4?:1y'04c=:o30b>m=:068 7ea2;lh7E?if:l70`4?::a634=93;1<7>t$53f>7`>3g9h>7?;;%0`b?4ak2B:jk5a45g9<==n;j91<75rb342>4<6290;w):>e;0e=>h4k;0:86*=cg81bf=O9ol0b9:j:9;8m6e42900qo<90;395?6=8r.?=h4=f89m7f4=9=1/>nh52ga8L4`a3g>?i76n;h1`7?6=3th99k4>:083>5}#<8o1>k74n2a1>42<,;im6?hl;I3eb>h3>{e:fg9m01c=0j1b?n=50;9~f73c280:6=4?{%62a?4a12d8o?4>4:&1gc<5nj1C=kh4n56f>=b4<729q/80(?mi:3d`?M7an2d?8h47f:k0g6<722wi>9k51;394?6|,=;n6?h6;o1`6?733-8hj786<4>:183!26m38m56`"5ko09jn5G1gd8j12b20;0e>m<:188ygbc280:6=4?{%62a?4a12d8o?4:0:&1gc<5nj1Q876?2tB:jk5a45g9=7=n;j91<75rbec95?7=83:p(9?j:3d:?k5d:3?;7)N6no1e89k5929j7f5=831vn5<7s->:i7n64:4i2a0>5<km4H0de?k23m33>7d=l3;29?xd41m0:6<4?:1y'04c=:o30b>m=:428 7ea2;lh7E?if:l70`<>>2c8o>4?::aed<6280;6=u+40g96c?4$3ae>7`d3A;mj6`;4d8:3>o4k:0;6W:>9;3x5=:7g94?6|,=;n6>7n;%1`e?2?>2dm>7<;;od0>72"6n80:j:5+1g095c1<,:3m6>l<;%1bb?>e3-9i<76m;%1ba?5c82.??:4=;h:0(?7k:`9'6"5i=0j7)l95a:&1e=d=#:hh1m6*=ab8b?!4fl3k0(?oj:`9'6d`=i2.9n=4n;%0a5?g<,;h96l5+2c19e>"5j=0j7)o95a:&1f=d=#:kh1m6*=bb8b?!4el3k0(?lj:`9'6g`=i2.9o=4n;%0`5?g<,;i96;5+2b192>"4kj0=7)=ld;48 7e32;l:7)=mb;5f?M7an2P3:7mtd;g970<4>39:6>>532800?412;>1>>4=7;m01c=111b?lj50;9j5``=831b?l<50;9j5`c=831b5n4?:[62f?7|<>>1q6g6e;29^17e2;q9<54;758~?l5f;3:17d=nc;29?l25l3:1(>>7:50e?k57?3:07d:=c;29 66?2=8m7c=?7;38?l24;3:1(>>7:516?k57?3:07d:<2;29 66?2=9>7c=?7;38?l2>290/?=65549m751=821b854?:%135<#;921985a31596>=n=80;6)=?8;76?k57?3907d;?:18'75>==<1e?=954:9j0c<72-9;47;:;o133?3<3`>n6=4+31:910=i;9=1:65f4e83>!5703?>7c=?7;58?l2d290/?=65549m751=021b8o4?:%135<#;921985a3159e>=n==<1e?=95c:9j533=83.8<54>669m751=821b=;:50;&04=<6>>1e?=951:9j515=83.8<54>539m751=821b=9<50;&04=<6=;1e?=951:9j517=83.8<54>539m751=:21b=9>50;&04=<6=;1e?=953:9j56`=83.8<54>539m751=<21b=>k50;&04=<6=;1e?=955:9j51d=83.8<54>539m751=>21b=9o50;&04=<6=;1e?=957:9j51?=83.8<54>539m751=021b=9650;&04=<6=;1e?=959:9j511=83.8<54>539m751=i21b=9850;&04=<6=;1e?=95b:9j513=83.8<54>539m751=k21b=9:50;&04=<6=;1e?=95d:9j56b=83.8<54>539m751=m21b=>m50;&04=<6=;1e?=95f:9j577=83.8<54>319m751=821b=?>50;&04=<6;91e?=951:9j54`=83.8<54>319m751=:21b=319m751=<21b=319m751=>21b=?650;&04=<6;91e?=957:9j571=83.8<54>319m751=021b=?850;&04=<6;91e?=959:9j573=83.8<54>319m751=i21b=?:50;&04=<6;91e?=95b:9j575=83.8<54>319m751=k21b=?<50;&04=<6;91e?=95d:9j54d=83.8<54>319m751=m21b=;o133?6<3`!5703=:7c=?7;08?l0c290/?=65709m751=;21b:n4?:%135<#;921;<5a31591>=n>h0;6)=?8;52?k57?3<07d86:18'75>=?81e?=957:9j2=<72-9;479>;o133?><3`<<6=4+31:934=i;9=1565f6783>!5703=:7c=?7;c8?l05290/?=65709m751=j21b:<4?:%13;o133?6<3`;>i7>5$22;>4063g9;;7?4;n3:3?6=,::365$22;>4g13g9;;7?4;n3:1?6=,::365$22;>4g13g9;;7=4;n3:7?6=,::367>5$22;>4g13g9;;7;4;n3:b?6=,::365$22;>4g13g9;;794;n3:`?6=,::36<3f;2o7>5$22;>4g13g9;;774;n3:f?6=,::365$22;>4g13g9;;7l4;n3:=?6=,::365$22;>4g13g9;;7j4;n3:5?6=,::365$22;>4g13g9;;7h4;n3g5;n3ga?6=3f;oh7>5;n3ae?6=,::365$22;>4e>3g9;;7?4;n3a5$22;>4e>3g9;;7=4;n3a2?6=,::365$22;>4e>3g9;;7;4;n3`6?6=,::365$22;>4e>3g9;;794;n3`4?6=,::36<3f;ij7>5$22;>4e>3g9;;774;n3aa?6=,::365$22;>4e>3g9;;7l4;n3ag?6=,::365$22;>4e>3g9;;7j4;n3a0?6=,::365$22;>4e>3g9;;7h4;|`7==<62o096hu+3bc90=><,;k:655U40`96~4703><87sG1gd8j12b2030(?hm:2;4?!26m38356*=8`82bf=n<;k1<75fe283>>o3:00;66g5;h3f0?6=3`;n97>5;h3f2?6=3`;n;7>5;h3f5;n3f7?6=3k;n>7>5e;294~"39l08555G1da8L7?d3g>?i7m>;%13e?`<,;k:655+3bc90=>5<5<5<5<>o3:3:17d:>:188m16=831b?k4?::a7f2=8331<7>t$53f>6?>3A;no6F=9b9K6n6n<4$22b>c=#:h;146*v5;h61=?6=3`>9m7>5;n0e7?6=3ty?>94?:2y]7c=:9l81?k523b697c=z{=8>6=4<{_63?87b:3>;70=l4;63?xu3:?0;6>uQ409>5`4=<816?n:5409~w1402908wS:=;<3f6?25349h87:=;|q76=<72:qU8>521d0906=:;j>18>5rs2;1>5<5sW;n863>e382a1=z{:386=4={_3f1>;6m;0:i85rs2;7>5<5sW;n:63>e382a3=z{:3>6=4={_3f3>;6m;0:i:5rs2;5>5<5sW;n463>e382a==z{l91<7=t^d1894c52l901>m;:d18yv?62908wS:=9:?2a7<3:016?n:543;8yv25i3:1?vP;2`9>5`4=<;k01>m;:50b?xu6m:0;6?uQ1d1894c528o87psm49;95?`=:3op(>mn:5:;?!4f9320V9?m:3y14=<3?=0vD5o51ga8m14f2900eh=50;9j07?=831b?k4?::k74?6=3`>:6=44i5094?=n<:0;66g>e583>>o6m<0;66g>e783>>o6m>0;66g>e983>>i4k10;66a>e283>>d6m;0;6h4?:1y'04c=;020Dh3"5i8037)=la;6;<>\39k09w?>7:557>xo3:h0;66g;2883>>o6m10;66g>e683>>o6m?0;66g>e483>>o6m=0;66a>e283>>ob;3:17d:<:188m14=831b8<4?::k74?6=3`9m6=44}c1`0?6=13:1h3"5i8037)=la;6;<>\39k09w?>7:557>xo4n3:17d:?:188m17=831b8?4?::k77?6=3`o86=44i50:>5<5<987>53z\0b>;6m;08j63{t<;?1<7=t^52894c52=:01>m;:528yv25>3:1?vP;1:?2a7<39278o94;1:p071=839pR9<4=0g1>14<5:i?69<4}r61e3877>;4k=0??6s|38094?4|V8o?70?j2;3f0>{t;091<7{t;0?1<7{tm:0;6>uQe29>5`4=m:16?n:5e29~w<7=839pR9<6;<3f6?251278o94;289~w14f2908wS:=a:?2a7<3:h16?n:543c8yv7b;3:1>vP>e29>5`4=9l90qpl;9582>c<52lq/?no549:8 7g6211Q878;%62a?4?12.94l4>fb9j07g=831bi>4?::k76<<722c8j7>5;h63>5<>o3;3:17d?j4;29?l7b=3:17d?j6;29?l7b?3:17d?j8;29?j5d03:17b?j3;29?g7b:3:1i7>50z&75`<4111C=hm4H3;`?k23m3i>7)=?a;32?!4f9320(>mn:5:;?_26j38p>=654669yl25i3:17d:=9;29?l7b03:17d?j7;29?l7b>3:17d?j5;29?l7b<3:17b?j3;29?lc42900e9=50;9j07<722c?=7>5;h63>5<5<>290;w):>e;1:=>N6mj1C>4m4H3;a?k23m3i=7)=?a;32?!4f9320(>mn:5:;?_26j38p>=654669yl5a2900e9>50;9j04<722c?>7>5;h60>5<uQ419>5`4=<916?n:5419~w1412908wS:>;<3f6?26349h87:>;|q762<72:qU8?521d0907=:;j>18?5rs50;>5<4sW>870?j2;60?85d<3>87p}<9383>7}Y9l>017p}<9583>7}Y9l<017}Y9l20114>349h87:=9:p07g=839pR91132tB:jk5a45g9=f=#:oh1?494$53f>7>>3-83m7?ic:k76d<722cn?7>5;h61=?6=3`9m6=44i5294?=n<80;66g;2;29?l242900e02B:in5G28a8j12b2j=0(>>n:008 7g6211/?no549:8^17e2;q9<54;758~m14f2900e9<6:188m4c?2900e4?::k76?6=3`>:6=44i5294?=n;o0;66sm3b694??=83:p(9?j:2;:?M7bk2B95n5G28`8j12b2j20(>>n:008 7g6211/?no549:8^17e2;q9<54;758~m6`=831b8=4?::k75?6=3`>96=44i5194?=nm:0;66g;2883>>o3:h0;66a=f283>>{t<;>1<7=t^2d894c52:l01>m;:2d8yv25=3:1?vP;0:?2a7<38278o94;0:p070=839pR9?4=0g1>17<5:i?69?4}r613?6=;rT?>63>e3876>;4k=0?>6s|43:94?5|V=901;3:1>vP>e49>5`4=9l?0q~=64;296~X6m?16=h<51d48yv5>=3:1>vP>e69>5`4=9l=0q~=66;296~X6m116=h<51d:8yvc42908wSk<;<3f6?c4349h87k<;|q:5?6=;rT?>4521d0907?<5:i?69<6;|q76d<72:qU8?o4=0g1>14f349h87:=a:p5`5=838pR7:n7"5nk085:5+40g96=?<,;2j65<>o393:17d:=:188m15=831b=h:50;9j5`3=831b=h850;9j5`1=831b=h650;9l7f>=831d=h=50;9a5`4=83o1<7>t$53f>6??3A;no6F=9b9m01c=k01/?=o5129'6d7=02.8ol4;899Y04d=:r8;47:84;j07g=831b8?750;9j5`>=831b=h950;9j5`0=831b=h;50;9j5`2=831d=h=50;9ja6<722c??7>5;h61>5<>o4n3:17pl<<729q/85;h62>5<>ob;3:17d:=9;29?l25i3:17buQ3g9>5`4=;o16?n:53g9~w1422908wS:?;<3f6?27349h87:?;|q763<72:qU8<521d0904=:;j>18<5rs504>5<4sW>970?j2;61?85d<3>97p};2983>6}Y<:16=h<5429>7f2=<:1v>7=:181[7b<27:i?4>e59~w6?42909wS?j5:?2a7<6m<1v>7;:181[7b>27:i?4>e79~w6?22909wS?j7:?2a7<6m>1v>79:181[7b027:i?4>e99~w`5=839pRh=4=0g1>`5<5:i?6h=4}r;2>5<4sW>9563>e3876<=:;j>18?74}r61e?6=;rT?>l521d0907g<5:i?694c43twi84o51;d96?c|,:ij6967;%0b5?>5<4?::`2a7<72l0;6=u+40g97<><@8oh7E<6c:l70`4:&1e444?::k2a=<722c:i:4?::k2a3<722c:i84?::k2a1<722e:i>4?::kf7?6=3`>86=44i5094?=n<80;66g;0;29?l5a2900qo=l4;29=?6=8r.?=h4<989K5`e<@;3h7E<6b:l70`4:&1e4;6=44i5394?=n<;0;66g;3;29?lc42900e9<6:188m14f2900c?h<:188yv25<3:1?vP4=0g1>16<5:i?69>4}r612?6=;rT?=63>e3875>;4k=0?=6s|43594?5|V=8017?j4:p7<5=838pR7?j6:p7<3=838pR7?j8:pa6<72:qUi>521d09a6=:;j>1i>5rs8394?5|V=8270?j2;61=>;4k=0?>45rs50b>5<4sW>9m63>e3876d=:;j>18?o4}r3f7?6=:rT:i>521d095`5:g81>`}#;jk18564$3c2>==]<8h1>ve;0;=>"50h0:jn5f43c94?=nm:0;66g;2883>>o4n3:17d:?:188m17=831b8?4?::k77?6=3`;n87>5;h3f1?6=3`;n:7>5;h3f3?6=3`;n47>5;n1`5;c3f6?6=m3:1<,:ij6967;[62f?4|:9218::5}h61e?6=3`>957>5;h3f5;h3f2?6=3`;n97>5;h3f0?6=3f;n?7>5;hg0>5<>o393:17d:?:188m6`=831vn>m;:18:>5<7s->:i7=69:J2af=O:0i0D?7m;o67a?eb3-9;m7?:;%0b5?><,:ij6967;[62f?4|:9218::5}h1e>5<>o3:3:17d:<:188m`5=831b8?750;9j07g=831d>k=50;9~w1432908wS=i;<3f6?5a349h87=i;|q760<72:qU8=521d0905=:;j>18=5rs505>5<4sW>:70?j2;62?85d<3>:7p};2683>6}Y<;16=h<5439>7f2=<;1v9<7:180[2434;n>7:<;<1`0?243ty85?4?:3y]5`2<58o964c23ty8594?:3y]5`0<58o964c03ty85;4?:3y]5`><58o96e38f7>;4k=0n?6s|9083>6}Y<;301956s|43c94?5|V=8j70?j2;61e>;4k=0?>l5rs0g0>5<5sW;n?63>e382a6=zuk>2o7?5f;09a~"4kh0?455+2`39<>\39k09w?>7:557>xN6no1e89k5a19'6cd=;0=0(9?j:3::?!4?i3;mo6g;2`83>>ob;3:17d:=9;29?l5a2900e9>50;9j04<722c?>7>5;h60>5<5<5<5<5e;1:<>N6mj1C>4m4n56f>f`<,::j6<84$3c2>==#;jk18564Z53a>7}5810?;94ri50b>5<5<5<6=44i0g7>5<50;9j7c<722wi?n:50;;94?6|,=;n6>76;I3fg>N51j1C>4l4n56f>a6<,::j6<84$3c2>==#;jk18564Z53a>7}5810?;94ri2d94?=n<90;66g;1;29?l252900e9=50;9ja6<722c?>44?::k76d<722e9j>4?::p072=839pR>h4=0g1>6`<5:i?6>h4}r611?6=;rT?<63>e3874>;4k=0?<6s|43494?5|V=;01157>52z\2a1=:9l81=h:4}r1:7?6=:rT:i8521d095`352z\2a3=:9l81=h84}r1:1?6=:rT:i:521d095`152z\2a==:9l81=h64}rg0>5<4sWo870?j2;g0?85d<3o87p}61;297~X3:016=h<543;896e32=827p};2`83>6}Y<;k019m6s|1d194?4|V8o870?j2;3f7>{zj=3o6<4i:38f!5di3>346*=a08;?_26j38p>=654669yM7an2d?8h4n1:&1bg<41>1/8f28lh7d:=a;29?lc42900e9<6:188m6`=831b8=4?::k75?6=3`>96=44i5194?=n9l>1<75f1d794?=n9l<1<75f1d594?=n9l21<75`3b:94?=h9l91<75m1d094?c=83:p(9?j:2;;?M7bk2B95n5a45g9`4=#;9k1=45+2`39<>"4kh0?455U40`96~4703><87sf43c94?=n<;31<75f1d:94?=n9l=1<75f1d494?=n9l?1<75f1d694?=h9l91<75fe283>>o3;3:17d:=:188m17=831b8=4?::k0b?6=3th8o94?:883>5}#<8o1?474H0g`?M4>k2B95o5a45g9`7=#;9k1=45+2`39<>"4kh0?455U40`96~4703><87sf3g83>>o383:17d:>:188m14=831b8>4?::kf7?6=3`>957>5;h61e?6=3f8m?7>5;|q761<72:qU?k521d097c=:;j>1?k5rs506>5<4sW>;70?j2;63?85d<3>;7p};2783>6}Y<816=h<5409>7f2=<81v9<8:180[2534;n>7:=;<1`0?253ty?>54?:2y]06=:9l818>523b6906=z{:396=4={_3f0>;6m;0:i95rs2;0>5<5sW;n963>e382a0=z{:3?6=4={_3f2>;6m;0:i;5rs2;6>5<5sW;n;63>e382a2=z{:3=6=4={_3f<>;6m;0:i55rsd194?5|Vl901:180[25127:i?4;289>7f2=<;30q~:=a;297~X3:h16=h<543c896e32=8j7p}>e283>7}Y9l901?i7o=;%0ef?5>?2.?=h4=889'6=g=9oi0e95;h62>5<>o6m=0;66g>e483>>o6m?0;66g>e683>>o6m10;66a>i6m:0;66l>e383>`<729q/8"48h0:m6*=a08;?!5di3>346T;1c8176?2==?6pg;2`83>>o3:00;66g>e983>>o6m>0;66g>e783>>o6m<0;66g>e583>>i6m:0;66gj3;29?l242900e9<50;9j04<722c?<7>5;h1e>5<59;294~"39l08545G1da8L7?d3A82n6`;4d8g0>"48h0:m6*=a08;?!5di3>346T;1c8176?2==?6pg5;hg0>5<5<e380b>;4k=08j6s|43794?5|V=:0114947>53z\77>;6m;0??63{t;081<770?j2;3f1>{t;0>1<7{t;0<1<76}Ym:16=h<5e29>7f2=m:1v4?50;1xZ14>34;n>7:=9:?0g1<3:01v97f2=<;k0q~?j3;296~X6m:16=h<51d18yxd30k0:6k4=:dy'7fg=<120(?o>:99Y04d=:r8;47:84;K5c`n6l=4$3da>6?03->:i7<79:&144?::k0b?6=3`>;6=44i5394?=n<;0;66g;3;29?l7b<3:17d?j5;29?l7b>3:17d?j7;29?l7b03:17b=l8;29?j7b;3:17o?j2;29a?6=8r.?=h4<999K5`e<@;3h7c:;e;f6?!57i3;i7)b;0x65>=<>>1qd:=a;29?l2513:17d?j8;29?l7b?3:17d?j6;29?l7b=3:17d?j4;29?j7b;3:17dk<:188m15=831b8?4?::k75?6=3`>;6=44i2d94?=zj:i?6=46:183!26m39256F>eb9K6b;0x65>=<>>1qd=i:188m16=831b8<4?::k76?6=3`>86=44id194?=n<;31<75f43c94?=h:o91<75rs507>5<4sW9m70?j2;1e?85d<39m7p};2483>6}Y<916=h<5419>7f2=<91v9<9:180[2634;n>7:>;<1`0?263ty?>:4?:2y]07=:9l818?523b6907=z{=836=4<{_60?87b:3>870=l4;60?xu41;0;6?uQ1d6894c528o?7p}<9283>7}Y9l?017}Y9l=017:=a:?0g1<3:h1ve29~yg2?m3;1j7<5ez&0gd<3011/>l?58:X75g<5s;:3699;:|J2bc=i<=o1m95+2g`97<1<,=;n6?66;%0;e?7ak2c?>l4?::kf7?6=3`>957>5;h1e>5<>o3:3:17d:<:188m4c32900em7:188k4c42900n5<7s->:i7=68:J2af=O:0i0b9:j:e58 66f28i0(?o>:99'7fg=<120V9?m:3y14=<3?=0ve92900e7>5;h62>5<>{e;j>1<7750;2x 17b2:327E?jc:J1=f=O:0h0b9:j:e:8 66f28i0(?o>:99'7fg=<120V9?m:3y14=<3?=0ve>h50;9j05<722c?=7>5;h61>5<>o3:00;66g;2`83>>i5n:0;66s|43694?5|V:l01179;7>53z\76>;6m;0?>63{t<;21<7=t^51894c52=901>m;:518yv5>:3:1>vP>e59>5`4=9l>0q~=63;296~X6m<16=h<51d78yv5><3:1>vP>e79>5`4=9l<0q~=65;296~X6m>16=h<51d58yv5>>3:1>vP>e99>5`4=9l20q~k<:180[c434;n>7k<;<1`0?c43ty2=7>53z\76<=:9l818?74=2a7>14>3ty?>l4?:2y]07g<58o9697?j3:~f1>d280m6?4j{%1`e?2?02.9m<47;[62f?4|:9218::5}I3eb>h3574$3:b>4`d3`>9m7>5;hg0>5<=831d?n650;9l5`5=831i=h<50;g94?6|,=;n6>77;I3fg>N51j1e89k5d89'75g=9m1/>l?58:&0gd<3011Q84?::k77?6=3`>96=44i5394?=n<90;66gN51k1e89k5d`9'75g=9m1/>l?58:&0gd<3011Q8:6=44i5094?=n<:0;66gj3;29?l2513:17d:=a;29?j4a;3:17p};2583>6}Y;o16=h<53g9>7f2=;o1v9<::180[2734;n>7:?;<1`0?273ty?>;4?:2y]04=:9l818<523b6904=z{=8<6=4<{_61?87b:3>970=l4;61?xu3:10;6>uQ429>5`4=<:16?n:5429~w6?52909wS?j4:?2a7<6m=1v>7<:181[7b=27:i?4>e49~w6?32909wS?j6:?2a7<6m?1v>7::181[7b?27:i?4>e69~w6?12909wS?j8:?2a7<6m11vh=50;1xZ`5<58o96h=4=2a7>`5;6m;0?>4523b6907?9m7>53z\76d=:9l818?o4=2a7>14f3ty:i>4?:3y]5`5<58o967kt$2ab>1>?3-8j=764Z53a>7}5810?;94rH0de?k23m3k=7)"39l09445+29c95ce>o383:17d:>:188m14=831b8>4?::k2a1<722c:i84?::k2a3<722c:i:4?::k2a=<722e8o54?::m2a6<722h:i?4?:d83>5}#<8o1?464H0g`?M4>k2d?8h4kb:&04d<6m2.9m<47;%1`e?2?02P?=o4={32;>1132tc?>l4?::k76<<722c:i54?::k2a2<722c:i;4?::k2a0<722c:i94?::m2a6<722cn?7>5;h60>5<>o383:17d=i:188yg5d<3:157>50z&75`<4101C=hm4H3;`?M4>j2d?8h4kc:&04d<6m2.9m<47;%1`e?2?02P?=o4={32;>1132tc8j7>5;h63>5<>o3;3:17dk<:188m14>2900e94=2a7>169:7>53z\75>;6m;0?=63{t<;=1<7=t^50894c52=801>m;:508yv2503:1?vP;3:?2a7<3;278o94;3:p7<4=838pR7?j5:p7<2=838pR2wx?4;50;0xZ4c034;n>7?j7:p7<0=838pR4?:2y]a6=:9l81i>523b69a6=z{0;1<7=t^50:?87b:3>9563;6m;0?>l523b6907g52z\2a6=:9l81=h=4}|`7=4<62o096hu+3bc90=><,;k:655U40`96~4703><87sG1gd8j12b2h=0(?hm:2;4?!26m38356*=8`82bf=n<;k1<75fe283>>o3:00;66g5;h3f0?6=3`;n97>5;h3f2?6=3`;n;7>5;h3f5;n3f7?6=3k;n>7>5e;294~"39l08555G1da8L7?d3g>?i7jk;%13e?7a3-8j=764$2ab>1>?3S>:n79m7>5;h61=?6=3`;n47>5;h3f3?6=3`;n:7>5;h3f1?6=3`;n87>5;n3f7?6=3`o86=44i5194?=n<;0;66g;1;29?l272900e>h50;9~f6e329026=4?{%62a?5>12B:in5G28a8L7?e3g>?i7jj;%13e?7a3-8j=764$2ab>1>?3S>:n77=i;<1`0?5a3ty?>84?:2y]05=:9l818=523b6905=z{=8=6=4<{_62?87b:3>:70=l4;62?xu3:>0;6>uQ439>5`4=<;16?n:5439~w14?2908wS:<;<3f6?24349h87:<;|q0=7<72;qU=h:4=0g1>4c33ty85>4?:3y]5`3<58o964c13ty8584?:3y]5`1<58o964c?3tyn?7>53z\f7>;6m;0n?63{t180;6>uQ43;894c52=8270=l4;61=>{t<;k1<7=t^50b?87b:3>9m63;6m;0:i>5r}c6;b?7=n381iv*fg9m01c=i11/>kl53858 17b2;227)<7a;3eg>o3:h0;66gj3;29?l2513:17d=i:188m16=831b8<4?::k76?6=3`>86=44i0g7>5<6=44i0g5>5<5<5<eb9K6n6ih4$22b>76<,;k:655+3bc90=>5<5<5<5<>o3:3:17d:>:188m16=831b?k4?::a7f2=8331<7>t$53f>6?>3A;no6F=9b9K6n6h>4$22b>76<,;k:655+3bc90=>>o393:17d:=:188m15=831bi>4?::k76<<722c?>l4?::m1b6<722wx8?:50;1xZ6`<58o96>h4=2a7>6`997>53z\74>;6m;0?<63{t<;<1<7=t^53894c52=;01>m;:538yv25?3:1?vP;2:?2a7<3:278o94;2:p07>=839pR9=4=0g1>15<5:i?69=4}r1:6?6=:rT:i9521d095`252z\2a0=:9l81=h;4}r1:0?6=:rT:i;521d095`052z\2a2=:9l81=h94}r1:2?6=:rT:i5521d095`>93:1?vP;289>5`4=<;301>m;:50:?xu3:h0;6>uQ43c894c52=8j70=l4;61e>{t9l91<74"5i8037W:>b;0x65>=<>>1qE?if:l70`2900e>h50;9j05<722c?=7>5;h61>5<v1<75`1d194?=nm:0;66g;3;29?l252900e9?50;9j05<722c8j7>5;|`0g1<7200;6=u+40g97v5;h61=?6=3`>9m7>5;n0e7?6=3ty?>94?:2y]7c=:9l81?k523b697c=z{=8>6=4<{_63?87b:3>;70=l4;63?xu3:?0;6>uQ409>5`4=<816?n:5409~w1402908wS:=;<3f6?25349h87:=;|q76=<72:qU8>521d0906=:;j>18>5rs2;1>5<5sW;n863>e382a1=z{:386=4={_3f1>;6m;0:i85rs2;7>5<5sW;n:63>e382a3=z{:3>6=4={_3f3>;6m;0:i:5rs2;5>5<5sW;n463>e382a==z{l91<7=t^d1894c52l901>m;:d18yv?62908wS:=9:?2a7<3:016?n:543;8yv25i3:1?vP;2`9>5`4=<;k01>m;:50b?xu6m:0;6?uQ1d1894c528o87psm48795?`=:3op(>mn:5:;?!4f9320V9?m:3y14=<3?=0vD5o51ga8m14f2900eh=50;9j07?=831b?k4?::k74?6=3`>:6=44i5094?=n<:0;66g>e583>>o6m<0;66g>e783>>o6m>0;66g>e983>>i4k10;66a>e283>>d6m;0;6h4?:1y'04c=;020Dh3"5i8037)=la;6;<>\39k09w?>7:557>xo3:h0;66g;2883>>o6m10;66g>e683>>o6m?0;66g>e483>>o6m=0;66a>e283>>ob;3:17d:<:188m14=831b8<4?::k74?6=3`9m6=44}c1`0?6=13:1h3"5i8037)=la;6;<>\39k09w?>7:557>xo4n3:17d:?:188m17=831b8?4?::k77?6=3`o86=44i50:>5<5<987>53z\0b>;6m;08j63{t<;?1<7=t^52894c52=:01>m;:528yv25>3:1?vP;1:?2a7<39278o94;1:p071=839pR9<4=0g1>14<5:i?69<4}r61e3877>;4k=0??6s|38094?4|V8o?70?j2;3f0>{t;091<7{t;0?1<7{tm:0;6>uQe29>5`4=m:16?n:5e29~w<7=839pR9<6;<3f6?251278o94;289~w14f2908wS:=a:?2a7<3:h16?n:543c8yv7b;3:1>vP>e29>5`4=9l90qpl;9382>c<52lq/?no549:8 7g6211Q8dd<,;li6>78;%62a?4?12.94l4>fb9j07g=831bi>4?::k76<<722c8j7>5;h63>5<>o3;3:17d?j4;29?l7b=3:17d?j6;29?l7b?3:17d?j8;29?j5d03:17b?j3;29?g7b:3:1i7>50z&75`<4111C=hm4H3;`?k23m3o>7)=?a;0:?!4f9320(>mn:5:;?_26j38p>=654669yl25i3:17d:=9;29?l7b03:17d?j7;29?l7b>3:17d?j5;29?l7b<3:17b?j3;29?lc42900e9=50;9j07<722c?=7>5;h63>5<5<>290;w):>e;1:=>N6mj1C>4m4H3;a?k23m3o=7)=?a;0:?!4f9320(>mn:5:;?_26j38p>=654669yl5a2900e9>50;9j04<722c?>7>5;h60>5<uQ419>5`4=<916?n:5419~w1412908wS:>;<3f6?26349h87:>;|q762<72:qU8?521d0907=:;j>18?5rs50;>5<4sW>870?j2;60?85d<3>87p}<9383>7}Y9l>017p}<9583>7}Y9l<017}Y9l20114>349h87:=9:p07g=839pR91132tB:jk5a45g9ef=#:oh1?494$53f>7>>3-83m7?ic:k76d<722cn?7>5;h61=?6=3`9m6=44i5294?=n<80;66g;2;29?l242900e02B:in5G28a8j12b2l=0(>>n:3c8 7g6211/?no549:8^17e2;q9<54;758~m14f2900e9<6:188m4c?2900e4?::k76?6=3`>:6=44i5294?=n;o0;66sm3b694??=83:p(9?j:2;:?M7bk2B95n5G28`8j12b2l20(>>n:3c8 7g6211/?no549:8^17e2;q9<54;758~m6`=831b8=4?::k75?6=3`>96=44i5194?=nm:0;66g;2883>>o3:h0;66a=f283>>{t<;>1<7=t^2d894c52:l01>m;:2d8yv25=3:1?vP;0:?2a7<38278o94;0:p070=839pR9?4=0g1>17<5:i?69?4}r613?6=;rT?>63>e3876>;4k=0?>6s|43:94?5|V=901;3:1>vP>e49>5`4=9l?0q~=64;296~X6m?16=h<51d48yv5>=3:1>vP>e69>5`4=9l=0q~=66;296~X6m116=h<51d:8yvc42908wSk<;<3f6?c4349h87k<;|q:5?6=;rT?>4521d0907?<5:i?69<6;|q76d<72:qU8?o4=0g1>14f349h87:=a:p5`5=838pR7:n7"5nk085:5+40g96=?<,;2j65<>o393:17d:=:188m15=831b=h:50;9j5`3=831b=h850;9j5`1=831b=h650;9l7f>=831d=h=50;9a5`4=83o1<7>t$53f>6??3A;no6F=9b9m01c=m01/?=o52c9'6d7=02.8ol4;899Y04d=:r8;47:84;j07g=831b8?750;9j5`>=831b=h950;9j5`0=831b=h;50;9j5`2=831d=h=50;9ja6<722c??7>5;h61>5<>o4n3:17pl<<729q/85;h62>5<>ob;3:17d:=9;29?l25i3:17buQ3g9>5`4=;o16?n:53g9~w1422908wS:?;<3f6?27349h87:?;|q763<72:qU8<521d0904=:;j>18<5rs504>5<4sW>970?j2;61?85d<3>97p};2983>6}Y<:16=h<5429>7f2=<:1v>7=:181[7b<27:i?4>e59~w6?42909wS?j5:?2a7<6m<1v>7;:181[7b>27:i?4>e79~w6?22909wS?j7:?2a7<6m>1v>79:181[7b027:i?4>e99~w`5=839pRh=4=0g1>`5<5:i?6h=4}r;2>5<4sW>9563>e3876<=:;j>18?74}r61e?6=;rT?>l521d0907g<5:i?694c43twi84951;d96?c|,:ij6967;%0b5?>5<4?::`2a7<72l0;6=u+40g97<><@8oh7E<6c:l70`44?::k2a=<722c:i:4?::k2a3<722c:i84?::k2a1<722e:i>4?::kf7?6=3`>86=44i5094?=n<80;66g;0;29?l5a2900qo=l4;29=?6=8r.?=h4<989K5`e<@;3h7E<6b:l70`;6=44i5394?=n<;0;66g;3;29?lc42900e9<6:188m14f2900c?h<:188yv25<3:1?vP4=0g1>16<5:i?69>4}r612?6=;rT?=63>e3875>;4k=0?=6s|43594?5|V=8017?j4:p7<5=838pR7?j6:p7<3=838pR7?j8:pa6<72:qUi>521d09a6=:;j>1i>5rs8394?5|V=8270?j2;61=>;4k=0?>45rs50b>5<4sW>9m63>e3876d=:;j>18?o4}r3f7?6=:rT:i>521d095`5:783>5}#:981=k74$2ab>13c3->:i7=n9:&0`4<0n2.9m<4<;[62f?7|<>>1qE?if:l70`5;h;2>5<1<75f39c94?=n0k0;66a<9183>>{e<<31=7<50;2x 17b2;n<7)"4kh0??45G2b:8L4`a3g>?i7l?;h0;`?6=3f8mo7>5;|`662<62<0:68u+40g96=?<@=;?7W:>3;3x7g4=ug>?i7l>;h664<5;hg6>5<\39k0:w99;:|9j=839pR9;7;<66a?c334>>i7k:;|q142<72:qU>=94=57f>=><5=?n6574}r0f;3=l085<5rse`94?4|Vmh019;j:2;3?x{e=;21=7;51;7x 17b2;227E:>4:X756<6s:h96p`;4d8a7>o3=o0:66g=0682>>o5m10:66g=e882>>i6nl0:66l;6183>=<729q/8n6o:4id694?=nm<0;66g78;29^17e28q?;94r;h::>55<5<>j7>53z\71c=:;3>903463;618;=>{t:l21<77}Y:l30198?:2;2?xu6nl0;6?uQ1gg891072:3;7psm53;95?2=93>p(9?j:3::?M26<2P?=>4>{2`1>xh3>o5m10:66g=0682>>i41j0:66l;6083>=<729q/8n6o84id694?=nm<0;66g78;29^17e28q?;94r;h::>55<5<53z\1a<=:53z\1a==:;3>803463;608;=>{t;0i1<74<3280?w):>e;0;=>N39=1Q8<=51z1a6?{i<=o1n:5f2d;95?=n:l21=75f21595?=h;0o1=75m47094?>=83:p(9?j:3d4?!5di3>>h6*=a080?!`22120(>mm:6d8L4`a3g>?i7l7;hg7>5<_26j3;p8::5}:k;=?6=@;i<7W:>b;3x022=u2c3n7>5;h1:5?6=3`9i=7>5;n1:4?6=3ty9i44?:2y]6`?<5=<96h:4=541>6?63ty9i54?:2y]6`><5=<96h;4=541>=d53z\142=:;3>;085=5r}c712?7=<3;18v*;1d81<<=O<8>0V9?<:0y0f7n6o74i3g;>4<4<=83:p(9?j:3d4?!5di3>=?6*=a080?!`22;l:7)=lb;1g4>N6no1e89k5b`9ja1<722cn97>5;h:;>5<]<8h1=v:83;8m=?=83B9o:5U40`95~20;3w0e5l50;9j7<7=831b?o?50;9l7<6=831v?k7:180[4b027?:o4j4:?72gh750;1xZ7c>34>=n7k:;<65f?5>92wx8;:50;1xZ10334>=n767;<65f?>>3tyjn7>52z\bf>;3>k085=5r}c71f?7==381:v*;1d81<<=O<8>0V9?<:0y0g0n6ol4i54;>4<4<4<h4?=0;7c=:e;08j671291e?<650:l0<7<63g9>:7?4n23`>5=i;>;1<6`<0e81?k51:380b>?;:19m744=92d8=>4?;o160?7h4?l037c=>b;28j6>0291e?<750:l052<73g95=#l?0:j;5+33695c1<,:9h69?:;%12e?26=2.89>4>f69'703=<8?0(>8;:536?!51l3;m;6*<678750=#;?l1=k94$2:0>4`03-9897:>5:&003<39<1/?;l51g58 60f28l<7)=78;621>"4=10?=85+3439043<,:8:69?:;%15=i:536?!55i3>:96*<4d82b2=#;=n18<;4$240>1723-9<47=m;%1;1?7a?2.3<7<7b:&01a<39<1/?9951g58 60d28l<7)==b;3e3>"4<90:j:5+34d95c1<,:<>69?:;%15=?7a?2.8?<4;149'731=9o=0(>=6:0d4?!50i3>:96*<6d82b2=#;>i18<;4$e19e3=#;1;18<;4$232>4`03-9:i7:>5:&0<5<6n>1/??=54078 6242=;>7)=;a;621>"4:>0?=85+32095c1<,:=<6>94$27b>1723-9==7:>5:&07=<39<1mnk4?.49egg<7&<1mo>4?.49ee=<73oi<6= :;g`a>5(23ohh6=5ic183?ce3291mo54?;g`;>5=akj0;7k=n1;2-44=aj>0;"85ac782?k5?>3<;7cl9:d9mfd<63gi96<5a17c95>h4??0n<6`n7;3`?kef281enh4>;%100?e<,:896:5+3429g>"4?k0>7)=;5;58 6072k1/?965c:&072<03-99h7m4$25:>7c<,:9j6n5+36092>"41=#;>n1;6*<2884?!53<3>0(><9:b9'76d=k2.i<7=7;%16=?1<,:>:6n5+30f93>"4?:027)==f;68 64d2j1/?>k57:&073<33-9:j7m4$206>f=#;;2186*<4g8`?!5483=0(>:6:b9'714=k2.89n4l;%166?2<,:hh6>m8;I3eb>\?>3>p?n4=f;01>73=ug>?i7ll;hc6>5<>oe;3:17dl>:188m6ge2900e>m?:188m4cc2900e4h50;9j7gb=831b>im50;9j5c6=831b?l:50;9jec<72S>:n7?tad8~?l5em3:17dkm:188m`g=831bi44?::kf4?::k247<722c:<<4?::k245<722cm57>5;hd;>5<>if>3:17bj>:188kg?=831do44?::m`b?6=3f;nm7>5;naf>5<>ie=3:17bm::188kgb=831doi4?::m1`0<722e8il4?::m0a<<722e8i54?::m0a2<722e8i;4?::m0a0<722e8i94?::m0a6<722e8i?4?::m0a5<722e8hk4?::m0``<722e8hi4?::m0`f<722e8ho4?::m0`d<722e8h44?::m0`=<722e8h:4?::m0b4<722e8j=4?::m0ac<722e8ih4?::m0aa<722e8in4?::m0ag<722e8i<4?::m0`3<722e8h84?::m230<722e:;94?::m236<722e:;?4?::m234<722e:;=4?::m23a<722e:;n4?::m23g<722e:;l4?::m23<<722e:;54?::m232<722e:;;4?::m22c<722e::h4?::a7f0=83>1<7>t$53f>=5=838pR987;<645?g23ty9i44?:3y]6`?<5==:6>om;|q72f<72;qU8;m4=2a5>7>d3ty?:44?:3y]03?<5==:6l84}r65a?6=:rT?:h524639f0=z{==96=4={<645?ga349h:7?99:~f<6=93:1<7>tH565?!26m32m7E=k3:J1b7=i<=o1nh5rbe:95?6=83:pD9:8;%62a?b03A9o?6F=f39m01c=jo1vn>o9:083>5<7sA>?56*;1d80e0=O;m90D?h=;o67a?e73ty98?4?:3y>02c=:1n01?:=:3d0?xu5<80;6?u246g96=c<5;>:6?h<;|q105<72;q68:k529d897272;l87p}:1c83>7}:<>o1>4>4=5;;>4c43ty>=54?:3y>02c=:0;0197;:0g0?xu28m0;6?u246g96<4<5=226`}:<>=1j:5246:9b2=:<>31j:5246c9b2=:<>h1j:5246a9b2=:<>n1j:52dd8e3>;31>0n?63:2681a==:=;21>h64=40:>7c?34?9m703h63;8680ea=:<1=1?l<4=5:4>4cb34>3;7=n3:?7<2<4ij16859543f891>02=8h70:77;607>;30>0???5249590<=:<1=18552495902=:<1=19<52495915=:<1=18k5249590`=:<1=18i5249590f=:<1=18o5249590d=:<1=18;52495900=:<1=1=;;4=5:4>40334>3;7?;3:?7<2<6<;168595153891>028>;70:77;30b>;30>0:?h52495951d<5=2<6<:n;<6;3?73127?4:4>499>0=1=9==01968:065?82??3;?963;868201=:<1=1=>j4=5:4>45d34>3;7?=1:?7<2<6:916859510d891>028;n70:77;32`>;30>0:=n52495957?<5=2<6<<7;<6;3?75?27?4:4>279>0=1=9;?01968:007?82??3;9?63;868267=:<1=1=47f34>3;78:;<6;3?0334>3;78<;<6;3?0?34>3;788;<6;3?0134>3;78=;<6;3?0634>3;7?:f:?7<2<6=l16846543c891??2l901977:2d891??2=:01977:53891??2=801977:51891>>2=8j70:79;g0?82?139m70:79;63?82?13>:70:79;61?82?13>870:64;61e>;31=0n?63;9580b>;31=0?<63;95875>;31=0?>63;95877>;31k0?>l5248`9a6=:<0h1?k5248`905=:<0h18<5248`907=:<0h18>5248;907g<5=326h=4=5;:>6`<5=3269>4=5;:>17<5=3269<4=5;:>15<5=3j692m7=i;<6:e?2734>2m7:>;<6:e?2534>2m7:<;<6:a?25i27?5h4j3:?7=`<4n27?5h4;0:?7=`<3927?5h4;2:?7=`<3;27?5n4;2`9>0000;70:7a;62?82?i3>970:7a;60?82?j3>9m63;8c8f7>;30k08j63;8c874>;30k0?=63;8c876>;30k0??63;8d876d=:<1o1i>5249g97c=:<1o18=5249g904=:<1o18?5249g906=:<1i18?o4=5:`>`5<5=2h6>h4=5:`>16<5=2h69?4=5:`>14<5=2h69=4=5:g>14f34>3h7k<;<6;`?5a34>3h7:?;<6;`?2634>3h7:=;<6;`?2434>2=7:=a:?7=40=`=;o1685h5419>0=`=<81685h5439>0=`=<:1684>543c891?72l90197?:2d891?72=:0197?:53891?72=80197?:51891?22=8j70:65;g0?82>=39m70:65;63?82>=3>:70:65;61?82>=3>870:62;61e>;31;0n?63;9380b>;31;0?<63;93875>;31;0?>63;93877>;31:0?>l524819a6=:<091?k52481905=:<0918<52481907=:<0918>52484907g<5=3=6h=4=5;5>6`<5=3=69>4=5;5>17<5=3=69<4=5;5>15<5=3<692;7:?;<6:3?2634>2;7:=;<6:3?2434?9;7;2:k09i45rs554>5<5s4><;7?l50;0x911a2;2o70<=b;0e7>{t:;k1<77>b3489m7{t=8i1<77?534>257?j3:p02`=838p199i:3d`?820039i=6s|46:94?4|5==36?h<;<64=?>c3ty9>h4?:3y>0=6=:1n01?529d8974d2;l87p}:2083>7}:<1:1>4>4=5;f>4c43ty>>=4?:3y>0=6=:0;0197k:0g0?xu29o0;6?u249296<4<5=3h652ga8911>2:h:7p};7883>7}:<>31>k=4=55b>=b52z?7<4<50m16>>?52g18yv4483:1>v3;8081<`=::::1>k=4}r01b?6=:r7?4<4=8g9>67`=:o90q~;?f;296~;308095=5249a95`552z?7<4<5181685l51d18yv35:3:1>v3;8081=7=:<1k1=h=4}r6;5?6=:r7?4<4=fb9>02g=;k;0q~:8a;296~;3?h09j>5246`9;5;:09j>5rs311>5<5s4>3>7<7f:?177<5n:1v8?=:18182?:382<63;8g82a6=z{<;:6=4={<6;6?4>927?4h4>e29~w0772909w0:72;0:6>;30m0:i>5rs5:1>5<5s4>3>7{t::=1<77>c3488;738m?6s|22794?4|5=286?6i;<001?4a;2wx9<;50;0x91>42;3;70:62;3f7>{t=8>1<77?634>2=7?j3:p145=838p196<:3;1?82>83;n?6s|49194?4|5=286?hl;<64g?5e92wx8:m50;0x911d2;l870:8d;:g?xu5;h0;6?u249696=b<5;9j6?h<;|q17<<72;q685:529g8975>2;l87p}=3983>7}:<1>1>5h4=31;>7`43ty>=44?:3y>0=2=:0:01979:0g0?xu29>0;6?u249696<7<5=3>67}:<1>1>km4=55g>6d63ty?484?:3y>02b=:o901ik58e9~w10b2909w0:9f;0;g>;2:k0?:h5rs324>5<6mr7?:k4=f29>0=1=1j1685959d9>0<>=<;301966:50:?82><3>9563;9c876<=:<0318?74=5;b>14>34>2i7:=9:?7=f<3:01684j543;891>f2=8270:7b;61=>;30l0?>45249a907?<5=2o69<6;<6:5?25127?4k4;289>0<6=<;30197::50:?82>:3>9563;92876<=:<0<18?74=5;4>14>34>>j77>;<713?47?27>>54=069>17?=:9=01800;6?u247c96=e<5<8i6986;|q721<72;q68;o52g1890412=7}:5m4=544>7`43ty?:54?:3y>033=:o90186}:::k1>5m4=33`>6e>3W8:o6s|20`94?5|5;926?6l;<02f?5d12T9=o5rs33b>5<4s48847<7c:?15d<4k01U>64?=;j30R??6;|q15=<72:q6>>8529a8977?2:i27S<>8:p641=839p1?=::3:`?846?39h56P=169~w7712908w0<<4;0;g>;59?08o45Q2048yv46=3:1?v3=3281u222096=e<5;;86>m6;_027>{t:881<7=t=312>7>d348:>7=l9:\157=z{;;:6=4<{<004?4?k279=<453z?16c<50j16><>53b;8Z7773ty967c=:1i01?>i:2a:?[47n2wx>=k50;1x974c2;2h70X58l1v?>k:180845k383o63=0e80g<=Y:9n0q~6}::;k1>5m4=32a>6e>3W8;n6s|21c94?5|5;826?6l;<03e?5d12T95<4s48?>7<7c:?16=<4k01U>?64}r013?6=;r798<4=8b9>671=;j30R?<8;|q163<72:q6>9>529a897412:i27S<=6:p673=839p1?=i:3:`?845=39h56P=249~w75a290:4v3=3g81b6=:<1=1:i5248:95`><5=226e99>0m3;n463;9b82a==:<0n1=h64=5:b>4c?34>3n7?j8:?7<`<6m11685m51d:891>c28o370:61;3f<>;30o0:i55248295`><5=3>64>e99>0<0=9l201978:0g;?xu5:=0;6>u222g96=e<5;8?6>m6;_010>{t::o1<7?7{<00a?4a;27?4:49c:?7==<6m>1685751d5891?328o<70:6b;3f3>;3100:i:5248c95`1<5=3n6e69>0=g=9l=0196m:0g4?82?m3;n;63;8b82a2=:<1n1=h94=5;2>4c034>3j7?j7:?7=5<6m>1684;51d5891?528o<70:63;3f3>;31?0:i:5248595`153z?17a<50j16>?=53b;8Z7443ty9?i4?:0:x975c2;l870:77;4a?82>03;n:63;8882a3=:<0>1=h84=5;a>4c134>257?j6:?7=d<6m?1684k51d4891?d28o=70:6d;3f2>;30h0:i;5249`95`0<5=2n627?4i4>e79>0<7=9l<0196i:0g5?82>83;n:63;9482a3=:<081=h84=5;0>4c134>2:7?j6:?7=2<6m?1v?<=:180844k383o63=2380g<=Y:;80q~<k=4=5:4>3g<5=336e49>0i3;n963;9d82a0=:<0i1=h;4=5;g>4c234>3m7?j5:?7d28o>70:7d;3f1>;3180:i85249d95`3<5=3;6e49>0<5=9l?01979:0g6?82>?3;n96s|20d94?5|5;9i6?6l;<02b?5d12T9=k5rs31a>5<60r79?o4=f29>0=1=>01684651d6891>>28o?70:64;3f0>;31k0:i95248;95`2<5=3j6e59>00196n:0g7?82?j3;n863;8d82a1=:<1i1=h:4=5:g>4c334>2=7?j4:?751d6891?228o?70:62;3f0>;31:0:i95248495`2<5=3<6:l529a891>028hj7p}=5c83>6}::>h1>k=4=37a>6e43W8>n6s|26c94?4|5;=j6?6l;<6;3?7e12wx>8o50;1x971f2;l870<:a;1`7>X5=h1v?97:1818400383o63;8682f==z{;?36=4<{<0452z?132<50j1685951c58yv42?3:1?v3=7681b6=::<=1?n=4^374?xu5??0;6?u226496=e<5=2<6:852g1897312:i87S<:6:p623=838p1?9::3:`?82??3;i96s|24794?5|5;=>6?h<;<061?5d;2T9985rs357>5<5s48<87<7c:?7<2<6k;1v?;;:180840<38m?63=5580g6=Y:<>0q~<83;296~;5?:094n5249595f7?7>53z?136<5n:16>8=53b18Z7343ty9;?4?:3y>624=:1i01968:0a3?xu5=;0;6>u226096c5<5;?96>m<;_066>{t:>;1<77>d34>3;7?mf:p607=839p1?9>:3d0?842939h?6P=509~w7172909w0<80;0;g>;30>0:nh5rs373>5<4s48<<78>4}r05b?6=:r79:k4=8b9>0=1=9kn0q~<;f;297~;5>o09j>5225d97f5m7p}=6e83>7}::?n1>5m4=5:4>4dd3ty98i4?:2y>63b=:o901?:k:2a0?[43l2wx>;m50;0x970d2;2h70:77;3af>{t:=i1<7=t=34`>7`4348?o7=l3:\10f=z{;b59~w72e2908w0<9b;0e7>;55Q25`8yv41i3:1>v3=6`8161g=;j90R?:n;|q12<<72;q6>;7529a891>0283<7p}=4883>6}::?31>k=4=36:>6e43W8?56s|27:94?4|5;<36?6l;<6;3?7>>2wx>9650;1x970?2;l870<;8;1`7>X5<11v?88:181841?383o63;8682=0=z{;><6=4<{<053?4a;2798:452z?123<50j1685951868yv43>3:1?v3=6781b6=::=<1?n=4^365?xu5><0;6?u227796=e<5=2<6<7<;|q100<72:q6>;;52g1897222:i87S<;5:p632=838p1?8;:3:`?82??3;2>6s|25694?5|5;5<5s483>7<7c:?7<2<61o1v?8=:18084?:38m?63=6380g6=Y:?80q~<71;296~;508094n524959553z?1<4<5n:16>;?53b18Z7063ty94=4?:3y>6=6=:1i01968:0;g?xu5>90;6>u229296c5<5;<;6>m<;_054>{t:>l1<77>d34>3;7?6c:p60`=839p1?9i:3d0?842n39h?6P=5g9~w71b2909w0<8e;0;g>;30>0:5o5rs37f>5<4s488k4}r04`?6=:r79;i4=8b9>0=1=90k0q~<:d;297~;5?m09j>5224f97f57}::>i1>5m4=5:4>4?>3ty99n4?:2y>62e=:o901?;l:2a0?[42k2wx>:750;0x971>2;2h70:77;3:<>{t:<31<7=t=35:>7`4348>57=l3:\11<=z{;909~w72b2908w0<9e;0e7>;55Q25g8yv41;3:1>v3=62814}r077?6=;r79:>4=f29>615=;j90R?:<;|qf4?6=:r7n<7<7c:?ga?4a;2wxhi4?:2y>a5<5n:16hi45<4s4ni6?h<;6e43Wnj7p}>fd83>7}:9oo1>5m4=40;>4`b3ty:ji4?:2y>5cc=:o901{t;0h1<7=t=2;`>7`43492n7=l3:\0=g=z{:3n6=4={<1:a?4?k27>>l4<9d9~w6?c2908w0=6e;0e7>;41m08o>5Q38f8yvge2909w0om:3:`?835>3ki7p}na;297~;fj38m?63na;1`7>Xfi2wx97`d34?9;7::8:p00`=839p19;i:2;3?8221383h63:29871c=zugloj7>51zl70`<73tdmi=4?:0ym01c=92wejh?50;3xj12b2;1vbkk=:181k23m390qchj3;296~h37}i<=o196safd794?7|f=>n6;5rngg5>5<0sg9357?4n56f>2=zfoo<6=4n0zl0<<<73g>?i764}odfv`;4d8g?xhamm0;6?ua45g9a>{inlo1<7c=zfoom6=4>{o67a?773tdmj=4?:0ym01c=981vbkh>:182k23m3;97p`if383>4}i<=o1=>5rngd0>5<6sg>?i7?;;|leb1<72;qe89k5149~jc`22909wc:;e;35?xhan?0;6?ua45g952=zfol<6=4>{o67a?7?3tdmj54?:0ym01c=901vbkh6:182k23m3;j7p`if`83>4}i<=o1=o5rngda>5<6sg>?i7?l;|lebf<72;qe89k51e9~jc`c2909wc:;e;3f?xhanl0;6?ua45g95c=zfolm6=4>{o67a?473td:<=>50;3xj12b2;;0qc??0083>4}i<=o1>?5rn0236?6=9rd?8h4=3:m5564290:wc:;e;07?xh689>1<773?6;296~h35<6sg>?i7<7;|l245>=83;pb9:j:3;8yk77800;651zl70`<5j2we==>m:182k23m38h7p`>01a94?4|f=>n6?j4}o334a<72;qe89k52d9~j467m3:1>v`;4d81b>{i99:m6=4>{o67a?573td:<<>50;3xj12b2:;0qc??1083>4}i<=o1??5rn0226?6=9rd?8h4<3:m5574290:wc:;e;17?xh688>1<763>6;296~h35<6sg>?i7=7;|l244>=83;pb9:j:2;8yk77900;6?ua45g97d=zf8::m7>51cym01c=;k1vb<>>b;296~h35<5sg>?i7=k;|l244b=83;pb9:j:2g8yk779l0;6?ua45g97c=zf8::j7>51zl70`<382we==:7p`>03394?4|f=>n69<4}o3367<728qe89k5429~j465;3:1=v`;4d870>{i998?6=4>{o67a?223td:4}i<=o18:5rn0213?6=9rd?8h4;8:m554?290:wc:;e;6:?xh68;31<7?tn56f>1gl4?:0ym01c==b;295~h35<6sg>?i7:k;|l247b=83;pb9:j:5g8yk77:l0;651zl70`<282we===?:182k23m3?:7p`>02394?7|f=>n68<4}o3377<728qe89k5529~j464;3:1=v`;4d860>{i999?6=4>{o67a?323td:<>;50;3xj12b2<<0qc??3783>4}i<=o19:5rn0203?6=9rd?8h4:8:m555?290:;v`;4d86=>{i99926=4>{o67a?3f3td:<>o50;34k23m3?i7p`>02`94?7|f=>n68m4}o337f<728=pb9:j:4f8yk77;m0;6516ym01c==o1vb<>5<6?rd?8h491:m5526290:wc:;e;41?xh68=81<7?tn56f>354?:0ym01c=>=1vb<>;4;295~h35<6sg>?i789;|l2410=83;pb9:j:758yk77<>0;651zl70`<112we==:6:182k23m305c94?7|f=>n6;l4}o330g<728qe89k56b9~j463k3:1=v`;4d85`>{i99>o6=4>{o67a?0b3td:<9k50;3xj12b2?l0qc??4g83>4}i<=o1;=5rn0264?6=9rd?8h481:m5536290:wc:;e;51?xh68<81<7?tn56f>254?:0ym01c=?=1vb<>:4;295~h35<6sg>?i799;|l2400=83;pb9:j:658yk77=>0;647>51zl70`<012we==;6:182k23m3=j7p`>04c94?7|f=>n6:l4}o331g<728qe89k57b9~j462k3:1=v`;4d84`>{i99?o6=4>{o67a?1b3td:<8k50;3xj12b2>l0qc??5g83>4}i<=o14=5rn0254?6=9rd?8h471:m5506290:wc:;e;:1?xh68?81<7?tn56f>=54?:0ym01c=0=1vb<>94;295~h35<6sg>?i769;|l2430=83;pb9:j:958yk77>>0;651zl70`07c94?7|f=>n65l4}o332g<728qe89k58b9~j461k3:1=v`;4d8;`>{i99{o67a?>b3td:<;k50;3xj12b21l0qc??6g83>4}i<=o15=5rn0244?6=9rd?8h461:m5516290:wc:;e;;1?xh68>81<7?tn56f><54?:0ym01c=1=1vb<>84;295~h35<6sg>?i779;|l2420=83;pb9:j:858yk77?>0;651zl70`<>12we==96:182k23m33j7p`>06c94?7|f=>n64l4}o333g<728qe89k59b9~j460k3:1=v`;4d8:`>{i99=o6=4>{o67a??b3td:<:k50;3xj12b20l0qc??7g83>4}i<=o1m=5rn02;4?6=9rd?8h4n1:m55>6290:wc:;e;c1?xh68181<7?tn56f>d54?:3ym01c=i=1vb<>74;295~h35<5sg>?i7o9;|l24=0=83;pb9:j:`58yk770>0;6?ua45g9e==zf8:347>51zl70`09c94?7|f=>n6ll4}o33{i992o6=4={o67a?gb3td:<5k50;0xj12b2hl0qc??8g83>7}i<=o1n=5rngfa>5<6sg>?i7l>;|~yEFDs8;?>7:811:f5<{GHKqo:v:;4;294?4=?1o1;5j4}z670?6=8381;89574:8y~23<3:1<7<574d9336?>7>50;09<75==l1vw9:=:183>796=4?:38;003i32>7pu;4383>5<521?965j4}z676?6=83814895939~125290;6?475g8:e>{|<=81<7>52;:50??a3tq?8?4?:181>=0d2h=0qv:;2;294?4=0>;1mn5r{561>5<72;03;44m4:x014=83:1>768e;g5?x}3<;0;6=4=:9:2>`??>7>50;09<=2=mj1vw9:=:183>70nj6st45094?6=:323m7h=;|y707<72909656k:g78y~23:3:1<7<58829b==zs=>96=4?:38;=6>>3ln7pu;4383>5<521326<>>;|y707<72909657l:027?x}3<;0;6=4=:9;e>4603tq?8?4?:181>=g528:j7pu;4383>5<521k>6<>k;|y707<7290965o7:033?x}3<;0;6=4=:9ca>4743tq?8?4?:181>=gb28;=7pu;4383>5<521h:647a3tq?8?4?:181>=df28897pu;4383>5<521ho6<<:;|y707<7290965m?:00;?x}3<;0;6=4=:9a0>44e3tq?8?4?:181>=e1288n7pu;4383>5<521i26<=>;|y707<7290965ml:017?x}3<;0;6=4=:9ae>4503tq?8?4?:181>=b5289j7pu;4383>5<521n>6<=k;|y707<7290965j7:063?x}3<;0;6=4=:9fa>4243tq?8?4?:181>=bb28>=7pu;4383>5<521o:6<:6;|y707<7290965k;:06`?x}3<;0;6=4=:9g4>42a3tq?8?4?:181>=cf28?97pu;4383>5<521oo6<;:;|y707<7290965h?:07;?x}3<;0;6=4=:9d0>43e3tq?8?4?:181>=`128?n7pu;4383>5<521l26<8>;|y707<7290965hl:047?x}3<;0;6=4=:9de>4003tq?8?4?:181><65285<520:>6<8k;|y707<7290964>7:053?x}3<;0;6=4=:82a>4143tq?8?4?:181><6b28==7pu;4383>5<520;:6<96;|y707<7290964?;:05`?x}3<;0;6=4=:834>41a3tq?8?4?:181><7f28297pu;4383>5<520;o6<6:;|y707<72909644>e3tq?8?4?:181><41282n7pu;4383>5<520826<7>;|y707<72909644?03tq?8?4?:181><55283j7pu;4383>5<5209>6<7k;|y707<7290964=7:0c3?x}3<;0;6=4=:81a>4g43tq?8?4?:181><5b28k=7pu;4383>5<520>:64ga3tq?8?4?:181><2f28h97pu;4383>5<520>o675f3tq?8?4?:181><312;9h7pu;4383>5<520?36?=j;|y707<7290964;n:363?x}3<;0;6=4=:87`>7253tq?8?4?:181><3b2;>?7pu;4383>5<520<;6?:9;|y707<72909648=:36;?x}3<;0;6=4=:847>72f3tq?8?4?:181><012;>h7pu;4383>5<520<36?:j;|y707<72909648n:373?x}3<;0;6=4=:84`>7353tq?8?4?:181><0b2;??7pu;4383>5<520=;6?;9;|y707<72909649=:37;?x}3<;0;6=4=:857>73f3tq?8?4?:181><112;?h7pu;4383>5<520=36?;j;|y707<72909649n:343?x}3<;0;6=4=:85`>7053tq?8?4?:181><1b2;5<5202;6?89;|y707<72909646=:34;?x}3<;0;6=4=:8:7>70f3tq?8?4?:181><>12;5<520236?8j;|y707<72909646n:353?x}3<;0;6=4=:8:`>7153tq?8?4?:181><>b2;=?7pu;4383>5<5203;6?99;|y707<72909647=:35;?x}3<;0;6=4=:8;7>71f3tq?8?4?:181>5<520336?9j;|y707<72909647n:3:3?x}3<;0;6=4=:8;`>7>53tq?8?4?:181>5<520k;6?69;|y707<7290964o=:3:;?x}3<;0;6=4=:8c7>7>f3tq?8?4?:181>5<520k36?6j;|y707<7290964on:3;3?x}3<;0;6=4=:8c`>7?53tq?8?4?:181>5<520h;6?79;|y707<7290964l=:3;;?x}3<;0;6=4=:8`7>7?f3tq?8?4?:181>5<520h36?7j;|y707<7290964ln:3c3?x}3<;0;6=4=:8``>7g53tq?8?4?:181>5<520i;6?o9;|y707<7290964m=:3c;?x}3<;0;6=4=:8a7>7gf3tq?8?4?:181>5<520i36?oj;|y707<7290964mn:3`3?x}3<;0;6=4=:8a`>7d53tq?8?4?:181>5<520n;6?l9;|y707<7290964j=:3`;?x}3<;0;6=4=:8f7>7df3tq?8?4?:181>5<52h>>698>;|y707<729096l9l:552?x}3<;0;6=4=:``0>1>63tq?8?4?:181>dcf2=3:7pu;4383>5<52k8:69o>;|y707<729096o;7:5`2?x}3<;0;6=4=:c:e>1e63tq?8?4?:181>ge12=n:7pu;4383>5<52klo69k>;|y707<729096n=;:5d2?x}3<;0;6=4=:b4a>0663tq?8?4?:181>fg52<;:7pu;4383>5<52jn268<>;|y707<729096i??:412?x}3<;0;6=4=:e64>0263tq?8?4?:181>a1b25<52mh>688>;|y707<729096ikl:452?x}3<;0;6=4=:d00>0>63tq?8?4?:181>`3f2<3:7pu;4383>5<52l3:68o>;|y707<729096hm7:4`2?x}3<;0;6=4=:dde>0e63tq?8?4?:181>c6125<52o:26i:4}z671?6=8391j=o5d48g2>{|<=?1<7>53;d3f?b02m20qv:;5;294?4=n9i1h45r{566>5<72:0mae?>7>50;09b4b=kk1vw9:::183>6>6=4?:38e65{|<=?1<7>54;d06?e42j21o45r{566>5<72:0m?>4l4;a4?x}3<<0;6=4<:g17>f3=k?1vw9:::183>7{|<=?1<7>52;d73?e63tq?8?4?:181>c322mn0qv:;5;294?5=n<<1hh4j2:x013=83:187h:7;fe>`5=m=1vw9:::183>6;|y700<729096k;6:d78y~23:3:1<7<5f7595f??97>50;09b3>=9jh0qv:;5;294?4=n?31=io4}z671?6=8381j;l51bg8y~23=3:1<7<5f7a95`7?97>50;09b3g=9l<0qv:;2;294?562onh6?ll:4f2>74a2;8o6>6142:=j6>6>:2:;>6>a2:3=6>7k:2c7>6ge2:h96>=m:2`:>6e72:>96>:6:273>6302:?n6>8::24`>6e02:in69>k:537>17e2=8969<6:513>1502=9n69:::56`>6b22=?869;n:2f`>6c42:oj6>h>:2d;>6`a2=:=7pu;4383>5<42ono68m55`9~125290;694idd82fd<2k10>oh5r}ABSxFG \ No newline at end of file diff --git a/fpga/WarpLC_map.psr b/fpga/WarpLC_map.psr new file mode 100644 index 0000000..322f250 --- /dev/null +++ b/fpga/WarpLC_map.psr @@ -0,0 +1,145 @@ +Release 14.7 Physical Synthesis Report P.20131013 (nt64) +Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +TABLE OF CONTENTS + 1) Physical Synthesis Options Summary + 2) Optimizations statistics and details + + +========================================================================= +* Physical Synthesis Options Summary * +========================================================================= +---- Options +Global Optimization : ON + Retiming : OFF + Equivalent Register Removal : ON +Timing-Driven Packing and Placement : ON + Logic Optimization : ON + Register Duplication : OFF + +---- Intelligent clock gating : OFF + +---- Target Parameters +Target Device : 6slx9ftg256-2 + +========================================================================= + + +========================================================================= +* Optimizations * +========================================================================= +---- Statistics + Number of registers added by Synchronous Optimization | 1 + Number of LUTs removed by SmartOpt Trimming | 95 + + Overall change in number of design objects | -94 + + +---- Details + +New or modified components | Optimization | Objective +-------------------------------------------------------|--------------------------|---------------------- +cg/CPUCLKr | Synchronous Optimization | Performance + + +Removed components | Optimization +-------------------------------------------------------|-------------------------- +][288_1 | SmartOpt Trimming +][291_2 | SmartOpt Trimming +][293_4 | SmartOpt Trimming +][294_5 | SmartOpt Trimming +][297_7 | SmartOpt Trimming +][73_8 | SmartOpt Trimming +][const_101_66 | SmartOpt Trimming +][const_102_67 | SmartOpt Trimming +][const_104_68 | SmartOpt Trimming +][const_105_69 | SmartOpt Trimming +][const_107_70 | SmartOpt Trimming +][const_108_71 | SmartOpt Trimming +][const_110_72 | SmartOpt Trimming +][const_111_73 | SmartOpt Trimming +][const_113_74 | SmartOpt Trimming +][const_114_75 | SmartOpt Trimming +][const_116_76 | SmartOpt Trimming +][const_117_77 | SmartOpt Trimming +][const_119_78 | SmartOpt Trimming +][const_120_79 | SmartOpt Trimming +][const_122_80 | SmartOpt Trimming +][const_123_81 | SmartOpt Trimming +][const_125_82 | SmartOpt Trimming +][const_126_83 | SmartOpt Trimming +][const_128_84 | SmartOpt Trimming +][const_129_85 | SmartOpt Trimming +][const_131_86 | SmartOpt Trimming +][const_132_87 | SmartOpt Trimming +][const_134_88 | SmartOpt Trimming +][const_135_89 | SmartOpt Trimming +][const_137_90 | SmartOpt Trimming +][const_138_91 | SmartOpt Trimming +][const_140_92 | SmartOpt Trimming +][const_141_93 | SmartOpt Trimming +][const_143_94 | SmartOpt Trimming +][const_144_95 | SmartOpt Trimming +][const_146_96 | SmartOpt Trimming +][const_147_97 | SmartOpt Trimming +][const_15_9 | SmartOpt Trimming +][const_17_10 | SmartOpt Trimming +][const_18_11 | SmartOpt Trimming +][const_20_12 | SmartOpt Trimming +][const_21_13 | SmartOpt Trimming +][const_23_14 | SmartOpt Trimming +][const_24_15 | SmartOpt Trimming +][const_26_16 | SmartOpt Trimming +][const_27_17 | SmartOpt Trimming +][const_29_18 | SmartOpt Trimming +][const_30_19 | SmartOpt Trimming +][const_32_20 | SmartOpt Trimming +][const_33_21 | SmartOpt Trimming +][const_35_22 | SmartOpt Trimming +][const_36_23 | SmartOpt Trimming +][const_38_24 | SmartOpt Trimming +][const_39_25 | SmartOpt Trimming +][const_41_26 | SmartOpt Trimming +][const_42_27 | SmartOpt Trimming +][const_44_28 | SmartOpt Trimming +][const_45_29 | SmartOpt Trimming +][const_47_30 | SmartOpt Trimming +][const_48_31 | SmartOpt Trimming +][const_50_32 | SmartOpt Trimming +][const_51_33 | SmartOpt Trimming +][const_53_34 | SmartOpt Trimming +][const_54_35 | SmartOpt Trimming +][const_56_36 | SmartOpt Trimming +][const_57_37 | SmartOpt Trimming +][const_59_38 | SmartOpt Trimming +][const_60_39 | SmartOpt Trimming +][const_62_40 | SmartOpt Trimming +][const_63_41 | SmartOpt Trimming +][const_65_42 | SmartOpt Trimming +][const_66_43 | SmartOpt Trimming +][const_68_44 | SmartOpt Trimming +][const_69_45 | SmartOpt Trimming +][const_71_46 | SmartOpt Trimming +][const_72_47 | SmartOpt Trimming +][const_74_48 | SmartOpt Trimming +][const_75_49 | SmartOpt Trimming +][const_77_50 | SmartOpt Trimming +][const_78_51 | SmartOpt Trimming +][const_80_52 | SmartOpt Trimming +][const_81_53 | SmartOpt Trimming +][const_83_54 | SmartOpt Trimming +][const_84_55 | SmartOpt Trimming +][const_86_56 | SmartOpt Trimming +][const_87_57 | SmartOpt Trimming +][const_89_58 | SmartOpt Trimming +][const_90_59 | SmartOpt Trimming +][const_92_60 | SmartOpt Trimming +][const_93_61 | SmartOpt Trimming +][const_95_62 | SmartOpt Trimming +][const_96_63 | SmartOpt Trimming +][const_98_64 | SmartOpt Trimming +][const_99_65 | SmartOpt Trimming + + + Flops added for Enable Generation +------------------------- diff --git a/fpga/WarpLC_map.xrpt b/fpga/WarpLC_map.xrpt index 96cf758..4e37397 100644 --- a/fpga/WarpLC_map.xrpt +++ b/fpga/WarpLC_map.xrpt @@ -1,18 +1,18 @@ - + - +
- + @@ -36,26 +36,31 @@
- - + + - + - - + +
+ + + + + @@ -65,22 +70,22 @@
- - + + - - - - + + + + - - + + @@ -94,7 +99,7 @@ - + @@ -116,28 +121,28 @@
- - - - + + + +
- - + + - - - - + + + + - - + + @@ -145,25 +150,25 @@ - + - + - - - - + + + + - - - - + + + +
- + @@ -179,7 +184,7 @@
- +
@@ -249,18 +254,14 @@ - + - + + + - - - - - - @@ -269,213 +270,419 @@ - - - - - - - - - - - - - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -484,7 +691,7 @@ - + @@ -505,7 +712,7 @@
- + @@ -531,7 +738,7 @@
- + @@ -544,7 +751,7 @@
- +
@@ -568,7 +775,7 @@ - + diff --git a/fpga/WarpLC_ngdbuild.xrpt b/fpga/WarpLC_ngdbuild.xrpt index 676acbb..f6cee5d 100644 --- a/fpga/WarpLC_ngdbuild.xrpt +++ b/fpga/WarpLC_ngdbuild.xrpt @@ -1,18 +1,18 @@ - + - +
- + @@ -36,16 +36,16 @@
- - + + - + - - + +
@@ -62,49 +62,64 @@
- + - +
- - - + + + + - - - - - + + + + + +
- - - + + + + - - - - - + + + + + +
-
-
- - - - +
+ +
+
+ + + + + + + + + + + + +
diff --git a/fpga/WarpLC_pad.csv b/fpga/WarpLC_pad.csv new file mode 100644 index 0000000..e1b208e --- /dev/null +++ b/fpga/WarpLC_pad.csv @@ -0,0 +1,287 @@ +#Release 14.7 - par P.20131013 (nt64) +#Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. + +#Sun Oct 31 15:38:33 2021 + +# +## NOTE: This file is designed to be imported into a spreadsheet program +# such as Microsoft Excel for viewing, printing and sorting. The | +# character is used as the data field separator. This file is also designed +# to support parsing. +# +#INPUT FILE: WarpLC_map.ncd +#OUTPUT FILE: WarpLC_pad.csv +#PART TYPE: xc6slx9 +#SPEED GRADE: -2 +#PACKAGE: ftg256 +# +# Pinout by Pin Number: +# +# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity, +A1,,,GND,,,,,,,,,,,, +A2,,IOBS,IO_L52N_M3A9_3,UNUSED,,3,,,,,,,,, +A3,,IOBS,IO_L83N_VREF_3,UNUSED,,3,,,,,,,,, +A4,CLKFB_OUT,IOB,IO_L1N_VREF_0,OUTPUT,LVCMOS33,0,24,FAST,,,,UNLOCATED,YES,NONE, +A5,FSB_D<0>,IOB,IO_L2N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A6,FSB_D<4>,IOB,IO_L4N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A7,FSB_D<6>,IOB,IO_L6N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A8,FSB_D<12>,IOB,IO_L33N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A9,FSB_D<14>,IOB,IO_L34N_GCLK18_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A10,FSB_D<16>,IOB,IO_L35N_GCLK16_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A11,FSB_D<22>,IOB,IO_L39N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A12,FSB_D<28>,IOB,IO_L62N_VREF_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A13,FSB_D<30>,IOB,IO_L63N_SCP6_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +A14,RAMCLK0,IOB,IO_L65N_SCP2_0,OUTPUT,LVCMOS33,0,24,FAST,,,,UNLOCATED,YES,NONE, +A15,,,TMS,,,,,,,,,,,, +A16,,,GND,,,,,,,,,,,, +B1,,IOBS,IO_L50N_M3BA2_3,UNUSED,,3,,,,,,,,, +B2,,IOBM,IO_L52P_M3A8_3,UNUSED,,3,,,,,,,,, +B3,,IOBM,IO_L83P_3,UNUSED,,3,,,,,,,,, +B4,,,VCCO_0,,,0,,,,,3.30,,,, +B5,CPUCLK,IOB,IO_L2P_0,OUTPUT,LVCMOS33,0,24,FAST,,,,UNLOCATED,YES,NONE, +B6,FSB_D<3>,IOB,IO_L4P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +B7,,,GND,,,,,,,,,,,, +B8,FSB_D<11>,IOB,IO_L33P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +B9,,,VCCO_0,,,0,,,,,3.30,,,, +B10,FSB_D<15>,IOB,IO_L35P_GCLK17_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +B11,,,GND,,,,,,,,,,,, +B12,FSB_D<27>,IOB,IO_L62P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +B13,,,VCCO_0,,,0,,,,,3.30,,,, +B14,FSB_A<2>,IOB,IO_L65P_SCP3_0,INPUT,LVCMOS33,0,,,,NONE,,UNLOCATED,NO,NONE, +B15,FSB_A<6>,IOB,IO_L29P_A23_M1A13_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +B16,FSB_A<7>,IOB,IO_L29N_A22_M1A14_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +C1,,IOBM,IO_L50P_M3WE_3,UNUSED,,3,,,,,,,,, +C2,,IOBS,IO_L48N_M3BA1_3,UNUSED,,3,,,,,,,,, +C3,,IOBM,IO_L48P_M3BA0_3,UNUSED,,3,,,,,,,,, +C4,RAMCLK1,IOB,IO_L1P_HSWAPEN_0,OUTPUT,LVCMOS33,0,24,FAST,,,,UNLOCATED,YES,NONE, +C5,FSB_D<2>,IOB,IO_L3N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C6,FSB_D<10>,IOB,IO_L7N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C7,FSB_D<7>,IOB,IO_L6P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C8,FSB_D<24>,IOB,IO_L38N_VREF_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C9,FSB_D<13>,IOB,IO_L34P_GCLK19_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C10,FSB_D<20>,IOB,IO_L37N_GCLK12_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C11,FSB_D<23>,IOB,IO_L39P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C12,,,TDI,,,,,,,,,,,, +C13,FSB_D<29>,IOB,IO_L63P_SCP7_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +C14,,,TCK,,,,,,,,,,,, +C15,FSB_A<14>,IOB,IO_L33P_A15_M1A10_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +C16,FSB_A<20>,IOB,IO_L33N_A14_M1A4_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +D1,,IOBS,IO_L49N_M3A2_3,UNUSED,,3,,,,,,,,, +D2,,,VCCO_3,,,3,,,,,any******,,,, +D3,,IOBM,IO_L49P_M3A7_3,UNUSED,,3,,,,,,,,, +D4,,,GND,,,,,,,,,,,, +D5,FSB_D<1>,IOB,IO_L3P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +D6,FSB_D<9>,IOB,IO_L7P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +D7,,,VCCO_0,,,0,,,,,3.30,,,, +D8,FSB_D<21>,IOB,IO_L38P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +D9,FSB_D<26>,IOB,IO_L40N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +D10,,,VCCO_0,,,0,,,,,3.30,,,, +D11,FPUCLK,IOB,IO_L66P_SCP1_0,OUTPUT,LVCMOS33,0,24,FAST,,,,UNLOCATED,YES,NONE, +D12,CPU_nSTERM,IOB,IO_L66N_SCP0_0,OUTPUT,LVCMOS33,0,24,FAST,,,,UNLOCATED,NO,NONE, +D13,,,GND,,,,,,,,,,,, +D14,FSB_A<10>,IOB,IO_L31P_A19_M1CKE_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +D15,,,VCCO_1,,,1,,,,,any******,,,, +D16,FSB_A<11>,IOB,IO_L31N_A18_M1A12_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +E1,,IOBS,IO_L46N_M3CLKN_3,UNUSED,,3,,,,,,,,, +E2,,IOBM,IO_L46P_M3CLK_3,UNUSED,,3,,,,,,,,, +E3,,IOBS,IO_L54N_M3A11_3,UNUSED,,3,,,,,,,,, +E4,,IOBM,IO_L54P_M3RESET_3,UNUSED,,3,,,,,,,,, +E5,,,VCCAUX,,,,,,,,2.5,,,, +E6,FSB_D<8>,IOB,IO_L5N_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +E7,FSB_D<17>,IOB,IO_L36P_GCLK15_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +E8,FSB_D<18>,IOB,IO_L36N_GCLK14_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +E9,,,GND,,,,,,,,,,,, +E10,FSB_D<19>,IOB,IO_L37P_GCLK13_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +E11,FSB_A<3>,IOB,IO_L64N_SCP4_0,INPUT,LVCMOS33,0,,,,NONE,,UNLOCATED,NO,NONE, +E12,FSB_A<5>,IOB,IO_L1N_A24_VREF_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +E13,FSB_A<4>,IOB,IO_L1P_A25_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +E14,,,TDO,,,,,,,,,,,, +E15,FSB_A<21>,IOB,IO_L34P_A13_M1WE_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +E16,FSB_A<22>,IOB,IO_L34N_A12_M1BA2_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +F1,,IOBS,IO_L41N_GCLK26_M3DQ5_3,UNUSED,,3,,,,,,,,, +F2,,IOBM,IO_L41P_GCLK27_M3DQ4_3,UNUSED,,3,,,,,,,,, +F3,,IOBS,IO_L53N_M3A12_3,UNUSED,,3,,,,,,,,, +F4,,IOBM,IO_L53P_M3CKE_3,UNUSED,,3,,,,,,,,, +F5,,IOBS,IO_L55N_M3A14_3,UNUSED,,3,,,,,,,,, +F6,,IOBM,IO_L55P_M3A13_3,UNUSED,,3,,,,,,,,, +F7,FSB_D<5>,IOB,IO_L5P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +F8,,,VCCAUX,,,,,,,,2.5,,,, +F9,FSB_D<25>,IOB,IO_L40P_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +F10,FSB_D<31>,IOB,IO_L64P_SCP5_0,OUTPUT,LVCMOS33,0,8,SLOW,,,,UNLOCATED,NO,NONE, +F11,,,VCCAUX,,,,,,,,2.5,,,, +F12,FSB_A<8>,IOB,IO_L30P_A21_M1RESET_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +F13,FSB_A<12>,IOB,IO_L32P_A17_M1A8_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +F14,FSB_A<13>,IOB,IO_L32N_A16_M1A9_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +F15,FSB_A<23>,IOB,IO_L35P_A11_M1A7_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +F16,FSB_A<19>,IOB,IO_L35N_A10_M1A2_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +G1,,IOBS,IO_L40N_M3DQ7_3,UNUSED,,3,,,,,,,,, +G2,,,GND,,,,,,,,,,,, +G3,,IOBM,IO_L40P_M3DQ6_3,UNUSED,,3,,,,,,,,, +G4,,,VCCO_3,,,3,,,,,any******,,,, +G5,,IOBS,IO_L51N_M3A4_3,UNUSED,,3,,,,,,,,, +G6,,IOBM,IO_L51P_M3A10_3,UNUSED,,3,,,,,,,,, +G7,,,VCCINT,,,,,,,,1.2,,,, +G8,,,GND,,,,,,,,,,,, +G9,,,VCCINT,,,,,,,,1.2,,,, +G10,,,VCCAUX,,,,,,,,2.5,,,, +G11,FSB_A<9>,IOB,IO_L30N_A20_M1A11_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +G12,FSB_A<24>,IOB,IO_L38P_A5_M1CLK_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +G13,,,VCCO_1,,,1,,,,,any******,,,, +G14,FSB_A<15>,IOB,IO_L36P_A9_M1BA0_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +G15,,,GND,,,,,,,,,,,, +G16,FSB_A<16>,IOB,IO_L36N_A8_M1BA1_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +H1,,IOBS,IO_L39N_M3LDQSN_3,UNUSED,,3,,,,,,,,, +H2,,IOBM,IO_L39P_M3LDQS_3,UNUSED,,3,,,,,,,,, +H3,,IOBS,IO_L44N_GCLK20_M3A6_3,UNUSED,,3,,,,,,,,, +H4,CLKFB_IN,IOB,IO_L44P_GCLK21_M3A5_3,INPUT,LVCMOS25*,3,,,,NONE,,UNLOCATED,NO,NONE, +H5,,IOBS,IO_L43N_GCLK22_IRDY2_M3CASN_3,UNUSED,,3,,,,,,,,, +H6,,,VCCAUX,,,,,,,,2.5,,,, +H7,,,GND,,,,,,,,,,,, +H8,,,VCCINT,,,,,,,,1.2,,,, +H9,,,GND,,,,,,,,,,,, +H10,,,VCCINT,,,,,,,,1.2,,,, +H11,FSB_A<25>,IOB,IO_L38N_A4_M1CLKN_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +H12,,,GND,,,,,,,,,,,, +H13,FSB_A<26>,IOB,IO_L39P_M1A3_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +H14,FSB_A<27>,IOB,IO_L39N_M1ODT_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +H15,FSB_A<17>,IOB,IO_L37P_A7_M1A0_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +H16,FSB_A<18>,IOB,IO_L37N_A6_M1A1_1,INPUT,LVCMOS33,1,,,,NONE,,UNLOCATED,NO,NONE, +J1,,IOBS,IO_L38N_M3DQ3_3,UNUSED,,3,,,,,,,,, +J2,,,VCCO_3,,,3,,,,,any******,,,, +J3,,IOBM,IO_L38P_M3DQ2_3,UNUSED,,3,,,,,,,,, +J4,CLKIN,IOB,IO_L42N_GCLK24_M3LDM_3,INPUT,LVCMOS25*,3,,,,NONE,,UNLOCATED,NO,NONE, +J5,,,GND,,,,,,,,,,,, +J6,,IOBM,IO_L43P_GCLK23_M3RASN_3,UNUSED,,3,,,,,,,,, +J7,,,VCCINT,,,,,,,,1.2,,,, +J8,,,GND,,,,,,,,,,,, +J9,,,VCCINT,,,,,,,,1.2,,,, +J10,,,VCCAUX,,,,,,,,2.5,,,, +J11,,IOBM,IO_L40P_GCLK11_M1A5_1,UNUSED,,1,,,,,,,,, +J12,,IOBS,IO_L40N_GCLK10_M1A6_1,UNUSED,,1,,,,,,,,, +J13,,IOBM,IO_L41P_GCLK9_IRDY1_M1RASN_1,UNUSED,,1,,,,,,,,, +J14,,IOBM,IO_L43P_GCLK5_M1DQ4_1,UNUSED,,1,,,,,,,,, +J15,,,VCCO_1,,,1,,,,,any******,,,, +J16,,IOBS,IO_L43N_GCLK4_M1DQ5_1,UNUSED,,1,,,,,,,,, +K1,,IOBS,IO_L37N_M3DQ1_3,UNUSED,,3,,,,,,,,, +K2,,IOBM,IO_L37P_M3DQ0_3,UNUSED,,3,,,,,,,,, +K3,,IOBM,IO_L42P_GCLK25_TRDY2_M3UDM_3,UNUSED,,3,,,,,,,,, +K4,,,VCCO_3,,,3,,,,,any******,,,, +K5,,IOBM,IO_L47P_M3A0_3,UNUSED,,3,,,,,,,,, +K6,,IOBS,IO_L47N_M3A1_3,UNUSED,,3,,,,,,,,, +K7,,,GND,,,,,,,,,,,, +K8,,,VCCINT,,,,,,,,1.2,,,, +K9,,,GND,,,,,,,,,,,, +K10,,,VCCINT,,,,,,,,1.2,,,, +K11,,IOBS,IO_L42N_GCLK6_TRDY1_M1LDM_1,UNUSED,,1,,,,,,,,, +K12,,IOBM,IO_L42P_GCLK7_M1UDM_1,UNUSED,,1,,,,,,,,, +K13,,,VCCO_1,,,1,,,,,any******,,,, +K14,,IOBS,IO_L41N_GCLK8_M1CASN_1,UNUSED,,1,,,,,,,,, +K15,,IOBM,IO_L44P_A3_M1DQ6_1,UNUSED,,1,,,,,,,,, +K16,,IOBS,IO_L44N_A2_M1DQ7_1,UNUSED,,1,,,,,,,,, +L1,,IOBS,IO_L36N_M3DQ9_3,UNUSED,,3,,,,,,,,, +L2,,,GND,,,,,,,,,,,, +L3,,IOBM,IO_L36P_M3DQ8_3,UNUSED,,3,,,,,,,,, +L4,,IOBM,IO_L45P_M3A3_3,UNUSED,,3,,,,,,,,, +L5,,IOBS,IO_L45N_M3ODT_3,UNUSED,,3,,,,,,,,, +L6,,,VCCAUX,,,,,,,,2.5,,,, +L7,,IOBS,IO_L62N_D6_2,UNUSED,,2,,,,,,,,, +L8,,IOBM,IO_L62P_D5_2,UNUSED,,2,,,,,,,,, +L9,,,VCCAUX,,,,,,,,2.5,,,, +L10,,IOBM,IO_L16P_2,UNUSED,,2,,,,,,,,, +L11,,,CMPCS_B_2,,,,,,,,,,,, +L12,,IOBM,IO_L53P_1,UNUSED,,1,,,,,,,,, +L13,,IOBS,IO_L53N_VREF_1,UNUSED,,1,,,,,,,,, +L14,,IOBM,IO_L47P_FWE_B_M1DQ0_1,UNUSED,,1,,,,,,,,, +L15,,,GND,,,,,,,,,,,, +L16,,IOBS,IO_L47N_LDC_M1DQ1_1,UNUSED,,1,,,,,,,,, +M1,,IOBS,IO_L35N_M3DQ11_3,UNUSED,,3,,,,,,,,, +M2,,IOBM,IO_L35P_M3DQ10_3,UNUSED,,3,,,,,,,,, +M3,,IOBS,IO_L1N_VREF_3,UNUSED,,3,,,,,,,,, +M4,,IOBM,IO_L1P_3,UNUSED,,3,,,,,,,,, +M5,,IOBM,IO_L2P_3,UNUSED,,3,,,,,,,,, +M6,,IOBM,IO_L64P_D8_2,UNUSED,,2,,,,,,,,, +M7,,IOBS,IO_L31N_GCLK30_D15_2,UNUSED,,2,,,,,,,,, +M8,,,GND,,,,,,,,,,,, +M9,,IOBM,IO_L29P_GCLK3_2,UNUSED,,2,,,,,,,,, +M10,,IOBS,IO_L16N_VREF_2,UNUSED,,2,,,,,,,,, +M11,,IOBS,IO_L2N_CMPMOSI_2,UNUSED,,2,,,,,,,,, +M12,,IOBM,IO_L2P_CMPCLK_2,UNUSED,,2,,,,,,,,, +M13,,IOBM,IO_L74P_AWAKE_1,UNUSED,,1,,,,,,,,, +M14,,IOBS,IO_L74N_DOUT_BUSY_1,UNUSED,,1,,,,,,,,, +M15,,IOBM,IO_L46P_FCS_B_M1DQ2_1,UNUSED,,1,,,,,,,,, +M16,,IOBS,IO_L46N_FOE_B_M1DQ3_1,UNUSED,,1,,,,,,,,, +N1,,IOBS,IO_L34N_M3UDQSN_3,UNUSED,,3,,,,,,,,, +N2,,,VCCO_3,,,3,,,,,any******,,,, +N3,,IOBM,IO_L34P_M3UDQS_3,UNUSED,,3,,,,,,,,, +N4,,IOBS,IO_L2N_3,UNUSED,,3,,,,,,,,, +N5,,IOBM,IO_L49P_D3_2,UNUSED,,2,,,,,,,,, +N6,,IOBS,IO_L64N_D9_2,UNUSED,,2,,,,,,,,, +N7,,,VCCO_2,,,2,,,,,any******,,,, +N8,,IOBS,IO_L29N_GCLK2_2,UNUSED,,2,,,,,,,,, +N9,,IOBM,IO_L14P_D11_2,UNUSED,,2,,,,,,,,, +N10,,,VCCO_2,,,2,,,,,any******,,,, +N11,,IOBM,IO_L13P_M1_2,UNUSED,,2,,,,,,,,, +N12,,IOBM,IO_L12P_D1_MISO2_2,UNUSED,,2,,,,,,,,, +N13,,,GND,,,,,,,,,,,, +N14,,IOBM,IO_L45P_A1_M1LDQS_1,UNUSED,,1,,,,,,,,, +N15,,,VCCO_1,,,1,,,,,any******,,,, +N16,,IOBS,IO_L45N_A0_M1LDQSN_1,UNUSED,,1,,,,,,,,, +P1,,IOBS,IO_L33N_M3DQ13_3,UNUSED,,3,,,,,,,,, +P2,,IOBM,IO_L33P_M3DQ12_3,UNUSED,,3,,,,,,,,, +P3,,,GND,,,,,,,,,,,, +P4,,IOBM,IO_L63P_2,UNUSED,,2,,,,,,,,, +P5,,IOBS,IO_L49N_D4_2,UNUSED,,2,,,,,,,,, +P6,,IOBM,IO_L47P_2,UNUSED,,2,,,,,,,,, +P7,,IOBM,IO_L31P_GCLK31_D14_2,UNUSED,,2,,,,,,,,, +P8,,IOBM,IO_L30P_GCLK1_D13_2,UNUSED,,2,,,,,,,,, +P9,,IOBS,IO_L14N_D12_2,UNUSED,,2,,,,,,,,, +P10,,IOBM,IO_L3P_D0_DIN_MISO_MISO1_2,UNUSED,,2,,,,,,,,, +P11,,IOBS,IO_L13N_D10_2,UNUSED,,2,,,,,,,,, +P12,,IOBS,IO_L12N_D2_MISO3_2,UNUSED,,2,,,,,,,,, +P13,,,DONE_2,,,,,,,,,,,, +P14,,,SUSPEND,,,,,,,,,,,, +P15,,IOBM,IO_L48P_HDC_M1DQ8_1,UNUSED,,1,,,,,,,,, +P16,,IOBS,IO_L48N_M1DQ9_1,UNUSED,,1,,,,,,,,, +R1,,IOBS,IO_L32N_M3DQ15_3,UNUSED,,3,,,,,,,,, +R2,,IOBM,IO_L32P_M3DQ14_3,UNUSED,,3,,,,,,,,, +R3,,IOBM,IO_L65P_INIT_B_2,UNUSED,,2,,,,,,,,, +R4,,,VCCO_2,,,2,,,,,any******,,,, +R5,,IOBM,IO_L48P_D7_2,UNUSED,,2,,,,,,,,, +R6,,,GND,,,,,,,,,,,, +R7,,IOBM,IO_L32P_GCLK29_2,UNUSED,,2,,,,,,,,, +R8,,,VCCO_2,,,2,,,,,any******,,,, +R9,,IOBM,IO_L23P_2,UNUSED,,2,,,,,,,,, +R10,,,GND,,,,,,,,,,,, +R11,,IOBM,IO_L1P_CCLK_2,UNUSED,,2,,,,,,,,, +R12,,IOBM,IO_L52P_M1DQ14_1,UNUSED,,1,,,,,,,,, +R13,,,VCCO_1,,,1,,,,,any******,,,, +R14,,IOBM,IO_L50P_M1UDQS_1,UNUSED,,1,,,,,,,,, +R15,,IOBM,IO_L49P_M1DQ10_1,UNUSED,,1,,,,,,,,, +R16,,IOBS,IO_L49N_M1DQ11_1,UNUSED,,1,,,,,,,,, +T1,,,GND,,,,,,,,,,,, +T2,,,PROGRAM_B_2,,,,,,,,,,,, +T3,,IOBS,IO_L65N_CSO_B_2,UNUSED,,2,,,,,,,,, +T4,,IOBS,IO_L63N_2,UNUSED,,2,,,,,,,,, +T5,,IOBS,IO_L48N_RDWR_B_VREF_2,UNUSED,,2,,,,,,,,, +T6,,IOBS,IO_L47N_2,UNUSED,,2,,,,,,,,, +T7,,IOBS,IO_L32N_GCLK28_2,UNUSED,,2,,,,,,,,, +T8,,IOBS,IO_L30N_GCLK0_USERCCLK_2,UNUSED,,2,,,,,,,,, +T9,,IOBS,IO_L23N_2,UNUSED,,2,,,,,,,,, +T10,,IOBS,IO_L3N_MOSI_CSI_B_MISO0_2,UNUSED,,2,,,,,,,,, +T11,,IOBS,IO_L1N_M0_CMPMISO_2,UNUSED,,2,,,,,,,,, +T12,,IOBS,IO_L52N_M1DQ15_1,UNUSED,,1,,,,,,,,, +T13,,IOBS,IO_L51N_M1DQ13_1,UNUSED,,1,,,,,,,,, +T14,,IOBM,IO_L51P_M1DQ12_1,UNUSED,,1,,,,,,,,, +T15,,IOBS,IO_L50N_M1UDQSN_1,UNUSED,,1,,,,,,,,, +T16,,,GND,,,,,,,,,,,, + +# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +# +#* Default value. +#** This default Pullup/Pulldown value can be overridden in Bitgen. +#****** Special VCCO requirements may apply. Please consult the device +# family datasheet for specific guideline on VCCO requirements. +# +# +# \ No newline at end of file diff --git a/fpga/WarpLC_pad.txt b/fpga/WarpLC_pad.txt index 4ea2cec..441ce29 100644 --- a/fpga/WarpLC_pad.txt +++ b/fpga/WarpLC_pad.txt @@ -1,7 +1,7 @@ -Release 14.7 - par P.20131013 (nt) +Release 14.7 - par P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -Fri Oct 29 17:59:53 2021 +Sun Oct 31 15:38:33 2021 INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: @@ -23,137 +23,137 @@ Pinout by Pin Number: |A1 | | |GND | | | | | | | | | | | | |A2 | |IOBS |IO_L52N_M3A9_3 |UNUSED | |3 | | | | | | | | | |A3 | |IOBS |IO_L83N_VREF_3 |UNUSED | |3 | | | | | | | | | -|A4 | |IOBS |IO_L1N_VREF_0 |UNUSED | |0 | | | | | | | | | -|A5 | |IOBS |IO_L2N_0 |UNUSED | |0 | | | | | | | | | -|A6 | |IOBS |IO_L4N_0 |UNUSED | |0 | | | | | | | | | -|A7 | |IOBS |IO_L6N_0 |UNUSED | |0 | | | | | | | | | -|A8 | |IOBS |IO_L33N_0 |UNUSED | |0 | | | | | | | | | -|A9 | |IOBS |IO_L34N_GCLK18_0 |UNUSED | |0 | | | | | | | | | -|A10 | |IOBS |IO_L35N_GCLK16_0 |UNUSED | |0 | | | | | | | | | -|A11 | |IOBS |IO_L39N_0 |UNUSED | |0 | | | | | | | | | -|A12 | |IOBS |IO_L62N_VREF_0 |UNUSED | |0 | | | | | | | | | -|A13 | |IOBS |IO_L63N_SCP6_0 |UNUSED | |0 | | | | | | | | | -|A14 | |IOBS |IO_L65N_SCP2_0 |UNUSED | |0 | | | | | | | | | +|A4 |CLKFB_OUT |IOB |IO_L1N_VREF_0 |OUTPUT |LVCMOS33 |0 |24 |FAST | | | |UNLOCATED |YES |NONE | +|A5 |FSB_D<0> |IOB |IO_L2N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A6 |FSB_D<4> |IOB |IO_L4N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A7 |FSB_D<6> |IOB |IO_L6N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A8 |FSB_D<12> |IOB |IO_L33N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A9 |FSB_D<14> |IOB |IO_L34N_GCLK18_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A10 |FSB_D<16> |IOB |IO_L35N_GCLK16_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A11 |FSB_D<22> |IOB |IO_L39N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A12 |FSB_D<28> |IOB |IO_L62N_VREF_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A13 |FSB_D<30> |IOB |IO_L63N_SCP6_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|A14 |RAMCLK0 |IOB |IO_L65N_SCP2_0 |OUTPUT |LVCMOS33 |0 |24 |FAST | | | |UNLOCATED |YES |NONE | |A15 | | |TMS | | | | | | | | | | | | |A16 | | |GND | | | | | | | | | | | | -|B1 |CPUCLK |IOB |IO_L50N_M3BA2_3 |OUTPUT |LVCMOS33 |3 |24 |FAST | | | |UNLOCATED |YES |NONE | +|B1 | |IOBS |IO_L50N_M3BA2_3 |UNUSED | |3 | | | | | | | | | |B2 | |IOBM |IO_L52P_M3A8_3 |UNUSED | |3 | | | | | | | | | |B3 | |IOBM |IO_L83P_3 |UNUSED | |3 | | | | | | | | | -|B4 | | |VCCO_0 | | |0 | | | | |any******| | | | -|B5 | |IOBM |IO_L2P_0 |UNUSED | |0 | | | | | | | | | -|B6 | |IOBM |IO_L4P_0 |UNUSED | |0 | | | | | | | | | +|B4 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|B5 |CPUCLK |IOB |IO_L2P_0 |OUTPUT |LVCMOS33 |0 |24 |FAST | | | |UNLOCATED |YES |NONE | +|B6 |FSB_D<3> |IOB |IO_L4P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | |B7 | | |GND | | | | | | | | | | | | -|B8 | |IOBM |IO_L33P_0 |UNUSED | |0 | | | | | | | | | -|B9 | | |VCCO_0 | | |0 | | | | |any******| | | | -|B10 | |IOBM |IO_L35P_GCLK17_0 |UNUSED | |0 | | | | | | | | | +|B8 |FSB_D<11> |IOB |IO_L33P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|B9 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|B10 |FSB_D<15> |IOB |IO_L35P_GCLK17_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | |B11 | | |GND | | | | | | | | | | | | -|B12 | |IOBM |IO_L62P_0 |UNUSED | |0 | | | | | | | | | -|B13 | | |VCCO_0 | | |0 | | | | |any******| | | | -|B14 | |IOBM |IO_L65P_SCP3_0 |UNUSED | |0 | | | | | | | | | -|B15 | |IOBM |IO_L29P_A23_M1A13_1 |UNUSED | |1 | | | | | | | | | -|B16 | |IOBS |IO_L29N_A22_M1A14_1 |UNUSED | |1 | | | | | | | | | -|C1 |FPUCLK |IOB |IO_L50P_M3WE_3 |OUTPUT |LVCMOS33 |3 |24 |FAST | | | |UNLOCATED |YES |NONE | -|C2 |INt |IOB |IO_L48N_M3BA1_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|C3 |OUTt |IOB |IO_L48P_M3BA0_3 |OUTPUT |LVCMOS33 |3 |24 |FAST | | | |UNLOCATED |NO |NONE | -|C4 | |IOBM |IO_L1P_HSWAPEN_0 |UNUSED | |0 | | | | | | | | | -|C5 | |IOBS |IO_L3N_0 |UNUSED | |0 | | | | | | | | | -|C6 | |IOBS |IO_L7N_0 |UNUSED | |0 | | | | | | | | | -|C7 | |IOBM |IO_L6P_0 |UNUSED | |0 | | | | | | | | | -|C8 | |IOBS |IO_L38N_VREF_0 |UNUSED | |0 | | | | | | | | | -|C9 | |IOBM |IO_L34P_GCLK19_0 |UNUSED | |0 | | | | | | | | | -|C10 | |IOBS |IO_L37N_GCLK12_0 |UNUSED | |0 | | | | | | | | | -|C11 | |IOBM |IO_L39P_0 |UNUSED | |0 | | | | | | | | | +|B12 |FSB_D<27> |IOB |IO_L62P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|B13 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|B14 |FSB_A<2> |IOB |IO_L65P_SCP3_0 |INPUT |LVCMOS33 |0 | | | |NONE | |UNLOCATED |NO |NONE | +|B15 |FSB_A<6> |IOB |IO_L29P_A23_M1A13_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|B16 |FSB_A<7> |IOB |IO_L29N_A22_M1A14_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|C1 | |IOBM |IO_L50P_M3WE_3 |UNUSED | |3 | | | | | | | | | +|C2 | |IOBS |IO_L48N_M3BA1_3 |UNUSED | |3 | | | | | | | | | +|C3 | |IOBM |IO_L48P_M3BA0_3 |UNUSED | |3 | | | | | | | | | +|C4 |RAMCLK1 |IOB |IO_L1P_HSWAPEN_0 |OUTPUT |LVCMOS33 |0 |24 |FAST | | | |UNLOCATED |YES |NONE | +|C5 |FSB_D<2> |IOB |IO_L3N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|C6 |FSB_D<10> |IOB |IO_L7N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|C7 |FSB_D<7> |IOB |IO_L6P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|C8 |FSB_D<24> |IOB |IO_L38N_VREF_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|C9 |FSB_D<13> |IOB |IO_L34P_GCLK19_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|C10 |FSB_D<20> |IOB |IO_L37N_GCLK12_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|C11 |FSB_D<23> |IOB |IO_L39P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | |C12 | | |TDI | | | | | | | | | | | | -|C13 | |IOBM |IO_L63P_SCP7_0 |UNUSED | |0 | | | | | | | | | +|C13 |FSB_D<29> |IOB |IO_L63P_SCP7_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | |C14 | | |TCK | | | | | | | | | | | | -|C15 | |IOBM |IO_L33P_A15_M1A10_1 |UNUSED | |1 | | | | | | | | | -|C16 | |IOBS |IO_L33N_A14_M1A4_1 |UNUSED | |1 | | | | | | | | | -|D1 |RAMCLK0 |IOB |IO_L49N_M3A2_3 |OUTPUT |LVCMOS33 |3 |24 |FAST | | | |UNLOCATED |YES |NONE | -|D2 | | |VCCO_3 | | |3 | | | | |3.30 | | | | -|D3 |RAMCLK1 |IOB |IO_L49P_M3A7_3 |OUTPUT |LVCMOS33 |3 |24 |FAST | | | |UNLOCATED |YES |NONE | +|C15 |FSB_A<14> |IOB |IO_L33P_A15_M1A10_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|C16 |FSB_A<20> |IOB |IO_L33N_A14_M1A4_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|D1 | |IOBS |IO_L49N_M3A2_3 |UNUSED | |3 | | | | | | | | | +|D2 | | |VCCO_3 | | |3 | | | | |any******| | | | +|D3 | |IOBM |IO_L49P_M3A7_3 |UNUSED | |3 | | | | | | | | | |D4 | | |GND | | | | | | | | | | | | -|D5 | |IOBM |IO_L3P_0 |UNUSED | |0 | | | | | | | | | -|D6 | |IOBM |IO_L7P_0 |UNUSED | |0 | | | | | | | | | -|D7 | | |VCCO_0 | | |0 | | | | |any******| | | | -|D8 | |IOBM |IO_L38P_0 |UNUSED | |0 | | | | | | | | | -|D9 | |IOBS |IO_L40N_0 |UNUSED | |0 | | | | | | | | | -|D10 | | |VCCO_0 | | |0 | | | | |any******| | | | -|D11 | |IOBM |IO_L66P_SCP1_0 |UNUSED | |0 | | | | | | | | | -|D12 | |IOBS |IO_L66N_SCP0_0 |UNUSED | |0 | | | | | | | | | +|D5 |FSB_D<1> |IOB |IO_L3P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|D6 |FSB_D<9> |IOB |IO_L7P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|D7 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|D8 |FSB_D<21> |IOB |IO_L38P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|D9 |FSB_D<26> |IOB |IO_L40N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|D10 | | |VCCO_0 | | |0 | | | | |3.30 | | | | +|D11 |FPUCLK |IOB |IO_L66P_SCP1_0 |OUTPUT |LVCMOS33 |0 |24 |FAST | | | |UNLOCATED |YES |NONE | +|D12 |CPU_nSTERM |IOB |IO_L66N_SCP0_0 |OUTPUT |LVCMOS33 |0 |24 |FAST | | | |UNLOCATED |NO |NONE | |D13 | | |GND | | | | | | | | | | | | -|D14 | |IOBM |IO_L31P_A19_M1CKE_1 |UNUSED | |1 | | | | | | | | | +|D14 |FSB_A<10> |IOB |IO_L31P_A19_M1CKE_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | |D15 | | |VCCO_1 | | |1 | | | | |any******| | | | -|D16 | |IOBS |IO_L31N_A18_M1A12_1 |UNUSED | |1 | | | | | | | | | -|E1 |FSB_A<27> |IOB |IO_L46N_M3CLKN_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|E2 |FSB_A<24> |IOB |IO_L46P_M3CLK_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|D16 |FSB_A<11> |IOB |IO_L31N_A18_M1A12_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|E1 | |IOBS |IO_L46N_M3CLKN_3 |UNUSED | |3 | | | | | | | | | +|E2 | |IOBM |IO_L46P_M3CLK_3 |UNUSED | |3 | | | | | | | | | |E3 | |IOBS |IO_L54N_M3A11_3 |UNUSED | |3 | | | | | | | | | |E4 | |IOBM |IO_L54P_M3RESET_3 |UNUSED | |3 | | | | | | | | | |E5 | | |VCCAUX | | | | | | | |2.5 | | | | -|E6 | |IOBS |IO_L5N_0 |UNUSED | |0 | | | | | | | | | -|E7 | |IOBM |IO_L36P_GCLK15_0 |UNUSED | |0 | | | | | | | | | -|E8 | |IOBS |IO_L36N_GCLK14_0 |UNUSED | |0 | | | | | | | | | +|E6 |FSB_D<8> |IOB |IO_L5N_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|E7 |FSB_D<17> |IOB |IO_L36P_GCLK15_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|E8 |FSB_D<18> |IOB |IO_L36N_GCLK14_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | |E9 | | |GND | | | | | | | | | | | | -|E10 | |IOBM |IO_L37P_GCLK13_0 |UNUSED | |0 | | | | | | | | | -|E11 | |IOBS |IO_L64N_SCP4_0 |UNUSED | |0 | | | | | | | | | -|E12 | |IOBS |IO_L1N_A24_VREF_1 |UNUSED | |1 | | | | | | | | | -|E13 | |IOBM |IO_L1P_A25_1 |UNUSED | |1 | | | | | | | | | +|E10 |FSB_D<19> |IOB |IO_L37P_GCLK13_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|E11 |FSB_A<3> |IOB |IO_L64N_SCP4_0 |INPUT |LVCMOS33 |0 | | | |NONE | |UNLOCATED |NO |NONE | +|E12 |FSB_A<5> |IOB |IO_L1N_A24_VREF_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|E13 |FSB_A<4> |IOB |IO_L1P_A25_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | |E14 | | |TDO | | | | | | | | | | | | -|E15 | |IOBM |IO_L34P_A13_M1WE_1 |UNUSED | |1 | | | | | | | | | -|E16 | |IOBS |IO_L34N_A12_M1BA2_1 |UNUSED | |1 | | | | | | | | | -|F1 |FSB_A<23> |IOB |IO_L41N_GCLK26_M3DQ5_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|F2 |FSB_A<22> |IOB |IO_L41P_GCLK27_M3DQ4_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|E15 |FSB_A<21> |IOB |IO_L34P_A13_M1WE_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|E16 |FSB_A<22> |IOB |IO_L34N_A12_M1BA2_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|F1 | |IOBS |IO_L41N_GCLK26_M3DQ5_3 |UNUSED | |3 | | | | | | | | | +|F2 | |IOBM |IO_L41P_GCLK27_M3DQ4_3 |UNUSED | |3 | | | | | | | | | |F3 | |IOBS |IO_L53N_M3A12_3 |UNUSED | |3 | | | | | | | | | |F4 | |IOBM |IO_L53P_M3CKE_3 |UNUSED | |3 | | | | | | | | | |F5 | |IOBS |IO_L55N_M3A14_3 |UNUSED | |3 | | | | | | | | | |F6 | |IOBM |IO_L55P_M3A13_3 |UNUSED | |3 | | | | | | | | | -|F7 | |IOBM |IO_L5P_0 |UNUSED | |0 | | | | | | | | | +|F7 |FSB_D<5> |IOB |IO_L5P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | |F8 | | |VCCAUX | | | | | | | |2.5 | | | | -|F9 | |IOBM |IO_L40P_0 |UNUSED | |0 | | | | | | | | | -|F10 | |IOBM |IO_L64P_SCP5_0 |UNUSED | |0 | | | | | | | | | +|F9 |FSB_D<25> |IOB |IO_L40P_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | +|F10 |FSB_D<31> |IOB |IO_L64P_SCP5_0 |OUTPUT |LVCMOS33 |0 |8 |SLOW | | | |UNLOCATED |NO |NONE | |F11 | | |VCCAUX | | | | | | | |2.5 | | | | -|F12 | |IOBM |IO_L30P_A21_M1RESET_1 |UNUSED | |1 | | | | | | | | | -|F13 | |IOBM |IO_L32P_A17_M1A8_1 |UNUSED | |1 | | | | | | | | | -|F14 | |IOBS |IO_L32N_A16_M1A9_1 |UNUSED | |1 | | | | | | | | | -|F15 | |IOBM |IO_L35P_A11_M1A7_1 |UNUSED | |1 | | | | | | | | | -|F16 | |IOBS |IO_L35N_A10_M1A2_1 |UNUSED | |1 | | | | | | | | | -|G1 |FSB_A<29> |IOB |IO_L40N_M3DQ7_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|F12 |FSB_A<8> |IOB |IO_L30P_A21_M1RESET_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|F13 |FSB_A<12> |IOB |IO_L32P_A17_M1A8_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|F14 |FSB_A<13> |IOB |IO_L32N_A16_M1A9_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|F15 |FSB_A<23> |IOB |IO_L35P_A11_M1A7_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|F16 |FSB_A<19> |IOB |IO_L35N_A10_M1A2_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|G1 | |IOBS |IO_L40N_M3DQ7_3 |UNUSED | |3 | | | | | | | | | |G2 | | |GND | | | | | | | | | | | | -|G3 |FSB_A<19> |IOB |IO_L40P_M3DQ6_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|G4 | | |VCCO_3 | | |3 | | | | |3.30 | | | | +|G3 | |IOBM |IO_L40P_M3DQ6_3 |UNUSED | |3 | | | | | | | | | +|G4 | | |VCCO_3 | | |3 | | | | |any******| | | | |G5 | |IOBS |IO_L51N_M3A4_3 |UNUSED | |3 | | | | | | | | | -|G6 |CLKFB_OUT |IOB |IO_L51P_M3A10_3 |OUTPUT |LVCMOS33 |3 |24 |FAST | | | |UNLOCATED |YES |NONE | +|G6 | |IOBM |IO_L51P_M3A10_3 |UNUSED | |3 | | | | | | | | | |G7 | | |VCCINT | | | | | | | |1.2 | | | | |G8 | | |GND | | | | | | | | | | | | |G9 | | |VCCINT | | | | | | | |1.2 | | | | |G10 | | |VCCAUX | | | | | | | |2.5 | | | | -|G11 | |IOBS |IO_L30N_A20_M1A11_1 |UNUSED | |1 | | | | | | | | | -|G12 | |IOBM |IO_L38P_A5_M1CLK_1 |UNUSED | |1 | | | | | | | | | +|G11 |FSB_A<9> |IOB |IO_L30N_A20_M1A11_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|G12 |FSB_A<24> |IOB |IO_L38P_A5_M1CLK_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | |G13 | | |VCCO_1 | | |1 | | | | |any******| | | | -|G14 | |IOBM |IO_L36P_A9_M1BA0_1 |UNUSED | |1 | | | | | | | | | +|G14 |FSB_A<15> |IOB |IO_L36P_A9_M1BA0_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | |G15 | | |GND | | | | | | | | | | | | -|G16 | |IOBS |IO_L36N_A8_M1BA1_1 |UNUSED | |1 | | | | | | | | | -|H1 |FSB_A<26> |IOB |IO_L39N_M3LDQSN_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|H2 |FSB_A<25> |IOB |IO_L39P_M3LDQS_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|H3 |CPU_nAS |IOB |IO_L44N_GCLK20_M3A6_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|G16 |FSB_A<16> |IOB |IO_L36N_A8_M1BA1_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|H1 | |IOBS |IO_L39N_M3LDQSN_3 |UNUSED | |3 | | | | | | | | | +|H2 | |IOBM |IO_L39P_M3LDQS_3 |UNUSED | |3 | | | | | | | | | +|H3 | |IOBS |IO_L44N_GCLK20_M3A6_3 |UNUSED | |3 | | | | | | | | | |H4 |CLKFB_IN |IOB |IO_L44P_GCLK21_M3A5_3 |INPUT |LVCMOS25* |3 | | | |NONE | |UNLOCATED |NO |NONE | -|H5 |FSB_A<30> |IOB |IO_L43N_GCLK22_IRDY2_M3CASN_3|INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|H5 | |IOBS |IO_L43N_GCLK22_IRDY2_M3CASN_3|UNUSED | |3 | | | | | | | | | |H6 | | |VCCAUX | | | | | | | |2.5 | | | | |H7 | | |GND | | | | | | | | | | | | |H8 | | |VCCINT | | | | | | | |1.2 | | | | |H9 | | |GND | | | | | | | | | | | | |H10 | | |VCCINT | | | | | | | |1.2 | | | | -|H11 | |IOBS |IO_L38N_A4_M1CLKN_1 |UNUSED | |1 | | | | | | | | | +|H11 |FSB_A<25> |IOB |IO_L38N_A4_M1CLKN_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | |H12 | | |GND | | | | | | | | | | | | -|H13 | |IOBM |IO_L39P_M1A3_1 |UNUSED | |1 | | | | | | | | | -|H14 | |IOBS |IO_L39N_M1ODT_1 |UNUSED | |1 | | | | | | | | | -|H15 | |IOBM |IO_L37P_A7_M1A0_1 |UNUSED | |1 | | | | | | | | | -|H16 | |IOBS |IO_L37N_A6_M1A1_1 |UNUSED | |1 | | | | | | | | | -|J1 |FSB_A<17> |IOB |IO_L38N_M3DQ3_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|J2 | | |VCCO_3 | | |3 | | | | |3.30 | | | | -|J3 |FSB_A<16> |IOB |IO_L38P_M3DQ2_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|H13 |FSB_A<26> |IOB |IO_L39P_M1A3_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|H14 |FSB_A<27> |IOB |IO_L39N_M1ODT_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|H15 |FSB_A<17> |IOB |IO_L37P_A7_M1A0_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|H16 |FSB_A<18> |IOB |IO_L37N_A6_M1A1_1 |INPUT |LVCMOS33 |1 | | | |NONE | |UNLOCATED |NO |NONE | +|J1 | |IOBS |IO_L38N_M3DQ3_3 |UNUSED | |3 | | | | | | | | | +|J2 | | |VCCO_3 | | |3 | | | | |any******| | | | +|J3 | |IOBM |IO_L38P_M3DQ2_3 |UNUSED | |3 | | | | | | | | | |J4 |CLKIN |IOB |IO_L42N_GCLK24_M3LDM_3 |INPUT |LVCMOS25* |3 | | | |NONE | |UNLOCATED |NO |NONE | |J5 | | |GND | | | | | | | | | | | | -|J6 |FSB_A<21> |IOB |IO_L43P_GCLK23_M3RASN_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|J6 | |IOBM |IO_L43P_GCLK23_M3RASN_3 |UNUSED | |3 | | | | | | | | | |J7 | | |VCCINT | | | | | | | |1.2 | | | | |J8 | | |GND | | | | | | | | | | | | |J9 | | |VCCINT | | | | | | | |1.2 | | | | @@ -164,12 +164,12 @@ Pinout by Pin Number: |J14 | |IOBM |IO_L43P_GCLK5_M1DQ4_1 |UNUSED | |1 | | | | | | | | | |J15 | | |VCCO_1 | | |1 | | | | |any******| | | | |J16 | |IOBS |IO_L43N_GCLK4_M1DQ5_1 |UNUSED | |1 | | | | | | | | | -|K1 |FSB_A<5> |IOB |IO_L37N_M3DQ1_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|K2 |FSB_A<14> |IOB |IO_L37P_M3DQ0_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|K3 |FSB_A<28> |IOB |IO_L42P_GCLK25_TRDY2_M3UDM_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|K4 | | |VCCO_3 | | |3 | | | | |3.30 | | | | -|K5 |CPUCLKi |IOB |IO_L47P_M3A0_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|K6 |FSB_A<18> |IOB |IO_L47N_M3A1_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|K1 | |IOBS |IO_L37N_M3DQ1_3 |UNUSED | |3 | | | | | | | | | +|K2 | |IOBM |IO_L37P_M3DQ0_3 |UNUSED | |3 | | | | | | | | | +|K3 | |IOBM |IO_L42P_GCLK25_TRDY2_M3UDM_3 |UNUSED | |3 | | | | | | | | | +|K4 | | |VCCO_3 | | |3 | | | | |any******| | | | +|K5 | |IOBM |IO_L47P_M3A0_3 |UNUSED | |3 | | | | | | | | | +|K6 | |IOBS |IO_L47N_M3A1_3 |UNUSED | |3 | | | | | | | | | |K7 | | |GND | | | | | | | | | | | | |K8 | | |VCCINT | | | | | | | |1.2 | | | | |K9 | | |GND | | | | | | | | | | | | @@ -180,11 +180,11 @@ Pinout by Pin Number: |K14 | |IOBS |IO_L41N_GCLK8_M1CASN_1 |UNUSED | |1 | | | | | | | | | |K15 | |IOBM |IO_L44P_A3_M1DQ6_1 |UNUSED | |1 | | | | | | | | | |K16 | |IOBS |IO_L44N_A2_M1DQ7_1 |UNUSED | |1 | | | | | | | | | -|L1 |FSB_A<11> |IOB |IO_L36N_M3DQ9_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|L1 | |IOBS |IO_L36N_M3DQ9_3 |UNUSED | |3 | | | | | | | | | |L2 | | |GND | | | | | | | | | | | | -|L3 |FSB_A<4> |IOB |IO_L36P_M3DQ8_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|L4 |FSB_A<31> |IOB |IO_L45P_M3A3_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|L5 |FSB_A<20> |IOB |IO_L45N_M3ODT_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|L3 | |IOBM |IO_L36P_M3DQ8_3 |UNUSED | |3 | | | | | | | | | +|L4 | |IOBM |IO_L45P_M3A3_3 |UNUSED | |3 | | | | | | | | | +|L5 | |IOBS |IO_L45N_M3ODT_3 |UNUSED | |3 | | | | | | | | | |L6 | | |VCCAUX | | | | | | | |2.5 | | | | |L7 | |IOBS |IO_L62N_D6_2 |UNUSED | |2 | | | | | | | | | |L8 | |IOBM |IO_L62P_D5_2 |UNUSED | |2 | | | | | | | | | @@ -196,11 +196,11 @@ Pinout by Pin Number: |L14 | |IOBM |IO_L47P_FWE_B_M1DQ0_1 |UNUSED | |1 | | | | | | | | | |L15 | | |GND | | | | | | | | | | | | |L16 | |IOBS |IO_L47N_LDC_M1DQ1_1 |UNUSED | |1 | | | | | | | | | -|M1 |FSB_A<1> |IOB |IO_L35N_M3DQ11_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|M2 |FSB_A<2> |IOB |IO_L35P_M3DQ10_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|M3 |FSB_A<6> |IOB |IO_L1N_VREF_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|M4 |FSB_A<8> |IOB |IO_L1P_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|M5 |FSB_A<15> |IOB |IO_L2P_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|M1 | |IOBS |IO_L35N_M3DQ11_3 |UNUSED | |3 | | | | | | | | | +|M2 | |IOBM |IO_L35P_M3DQ10_3 |UNUSED | |3 | | | | | | | | | +|M3 | |IOBS |IO_L1N_VREF_3 |UNUSED | |3 | | | | | | | | | +|M4 | |IOBM |IO_L1P_3 |UNUSED | |3 | | | | | | | | | +|M5 | |IOBM |IO_L2P_3 |UNUSED | |3 | | | | | | | | | |M6 | |IOBM |IO_L64P_D8_2 |UNUSED | |2 | | | | | | | | | |M7 | |IOBS |IO_L31N_GCLK30_D15_2 |UNUSED | |2 | | | | | | | | | |M8 | | |GND | | | | | | | | | | | | @@ -212,10 +212,10 @@ Pinout by Pin Number: |M14 | |IOBS |IO_L74N_DOUT_BUSY_1 |UNUSED | |1 | | | | | | | | | |M15 | |IOBM |IO_L46P_FCS_B_M1DQ2_1 |UNUSED | |1 | | | | | | | | | |M16 | |IOBS |IO_L46N_FOE_B_M1DQ3_1 |UNUSED | |1 | | | | | | | | | -|N1 |FSB_A<10> |IOB |IO_L34N_M3UDQSN_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|N2 | | |VCCO_3 | | |3 | | | | |3.30 | | | | -|N3 |FSB_A<13> |IOB |IO_L34P_M3UDQS_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|N4 |FSB_A<0> |IOB |IO_L2N_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|N1 | |IOBS |IO_L34N_M3UDQSN_3 |UNUSED | |3 | | | | | | | | | +|N2 | | |VCCO_3 | | |3 | | | | |any******| | | | +|N3 | |IOBM |IO_L34P_M3UDQS_3 |UNUSED | |3 | | | | | | | | | +|N4 | |IOBS |IO_L2N_3 |UNUSED | |3 | | | | | | | | | |N5 | |IOBM |IO_L49P_D3_2 |UNUSED | |2 | | | | | | | | | |N6 | |IOBS |IO_L64N_D9_2 |UNUSED | |2 | | | | | | | | | |N7 | | |VCCO_2 | | |2 | | | | |any******| | | | @@ -228,8 +228,8 @@ Pinout by Pin Number: |N14 | |IOBM |IO_L45P_A1_M1LDQS_1 |UNUSED | |1 | | | | | | | | | |N15 | | |VCCO_1 | | |1 | | | | |any******| | | | |N16 | |IOBS |IO_L45N_A0_M1LDQSN_1 |UNUSED | |1 | | | | | | | | | -|P1 |FSB_A<7> |IOB |IO_L33N_M3DQ13_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|P2 |FSB_A<12> |IOB |IO_L33P_M3DQ12_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|P1 | |IOBS |IO_L33N_M3DQ13_3 |UNUSED | |3 | | | | | | | | | +|P2 | |IOBM |IO_L33P_M3DQ12_3 |UNUSED | |3 | | | | | | | | | |P3 | | |GND | | | | | | | | | | | | |P4 | |IOBM |IO_L63P_2 |UNUSED | |2 | | | | | | | | | |P5 | |IOBS |IO_L49N_D4_2 |UNUSED | |2 | | | | | | | | | @@ -244,8 +244,8 @@ Pinout by Pin Number: |P14 | | |SUSPEND | | | | | | | | | | | | |P15 | |IOBM |IO_L48P_HDC_M1DQ8_1 |UNUSED | |1 | | | | | | | | | |P16 | |IOBS |IO_L48N_M1DQ9_1 |UNUSED | |1 | | | | | | | | | -|R1 |FSB_A<9> |IOB |IO_L32N_M3DQ15_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | -|R2 |FSB_A<3> |IOB |IO_L32P_M3DQ14_3 |INPUT |LVCMOS33 |3 | | | |NONE | |UNLOCATED |NO |NONE | +|R1 | |IOBS |IO_L32N_M3DQ15_3 |UNUSED | |3 | | | | | | | | | +|R2 | |IOBM |IO_L32P_M3DQ14_3 |UNUSED | |3 | | | | | | | | | |R3 | |IOBM |IO_L65P_INIT_B_2 |UNUSED | |2 | | | | | | | | | |R4 | | |VCCO_2 | | |2 | | | | |any******| | | | |R5 | |IOBM |IO_L48P_D7_2 |UNUSED | |2 | | | | | | | | | diff --git a/fpga/WarpLC_par.xrpt b/fpga/WarpLC_par.xrpt index 9552a2c..e1ad349 100644 --- a/fpga/WarpLC_par.xrpt +++ b/fpga/WarpLC_par.xrpt @@ -1,18 +1,18 @@ - + - +
- + @@ -36,35 +36,36 @@
- - + + - + - - + +
+ - +
- - + + - - + +
@@ -79,22 +80,22 @@ - + - - - + + + - + - - - + + +
@@ -135,80 +136,157 @@ - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + @@ -220,17 +298,10 @@ - - + - - + - - - - - @@ -250,21 +321,35 @@ - + - + + - + + + + + + + - + + - + + + + + + + @@ -272,23 +357,37 @@ - + + - + + + + + + + - + - + + - + + + + + + + @@ -296,134 +395,195 @@ - + + - + + + + + + + - + - + + - + + + + + + - + + - + + + + + + - + + - + + + + + + - - + + + + + + + + + + + + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + @@ -431,10 +591,17 @@ - + + - + + + + + + + @@ -442,51 +609,49 @@ - + + - + + + + + + - + + - + + + + + + - - + - - + - - - - - - + - - + - - + - - - - - @@ -494,57 +659,99 @@ - + + - + + + + + + + - + + - + + + + + + + - + - + + - + + + + + + + - + + - + + + + + + + - + - + + - + + + + + + + - + + - + + + + + + + @@ -552,10 +759,16 @@ - + + - + + + + + + @@ -565,36 +778,30 @@ - + + - + + + + + + - - + - - + - - - - - - + - - + - - - - @@ -617,24 +824,45 @@ - + + - + + + + + + + - + + - + + + + + + + - + + - + + + + + + + @@ -642,31 +870,56 @@ - + + - + + + + + + + - + + - + + + + + + - + + - + + + + + + - + + - + + + + + + @@ -674,43 +927,43 @@ - + + - + + + + + + - + + - + + + + + + - - + - - + - - - - - - + - - + - - - - @@ -742,10 +995,17 @@ - + + - + + + + + + + @@ -754,17 +1014,31 @@ - + + - + + + + + + + - + + - + + + + + + + @@ -773,74 +1047,92 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + - - + - - - - - + @@ -851,17 +1143,10 @@ - - + - - + - - - - - @@ -884,17 +1169,29 @@ - + + - + + + + + + - + + - + + + + + + @@ -904,10 +1201,16 @@ - + + - + + + + + + @@ -915,49 +1218,37 @@ - + + - + + + + + + - - + - - + - - - - - - + - - + - - - - - - + - - + - - - - @@ -974,16 +1265,10 @@ - - + - - + - - - - @@ -1010,10 +1295,16 @@ - + + - + + + + + + @@ -1021,63 +1312,75 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - + - - + - - - - @@ -1098,16 +1401,10 @@ - - + - - + - - - - @@ -1171,74 +1468,44 @@ - - + - - + - - - - - - + - - + - - - - - - + - - + - - - - - + - - + - - + - - - - - - + - - + - - - - @@ -1301,16 +1568,10 @@ - - + - - + - - - - @@ -1318,42 +1579,24 @@ - - + - - + - - - - - - + - - + - - - - - - + - - + - - - - @@ -1424,68 +1667,38 @@ - - + - - + - - - - - - + - - + - - - - - - + - - + - - - - - - + - - + - - - - - - + - - + - - - - @@ -1563,48 +1776,30 @@ - - + - - + - - - - - + - - + - - + - - - - - - + - - + - - - - @@ -1686,29 +1881,17 @@ - - + - - + - - - - - - + - - + - - - - @@ -1801,29 +1984,17 @@ - - + - - + - - - - - - + - - + - - - - @@ -2032,14 +2203,14 @@ - +
- + @@ -2063,37 +2234,37 @@
- - + + - + - - + +
- - + + - - - - + + + + - - + + @@ -2101,25 +2272,25 @@ - + - + - - - - + + + + - - - - + + + +
- + @@ -2135,7 +2306,7 @@
- +
diff --git a/fpga/WarpLC_preroute.twr b/fpga/WarpLC_preroute.twr index 4af12de..3d9dcbe 100644 --- a/fpga/WarpLC_preroute.twr +++ b/fpga/WarpLC_preroute.twr @@ -1,9 +1,9 @@ -------------------------------------------------------------------------------- -Release 14.7 Trace (nt) +Release 14.7 Trace (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. -C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\trce.exe -intstyle ise -v 3 -s 2 -n -3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr +C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 2 +-n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf Design file: WarpLC_map.ncd @@ -16,6 +16,69 @@ Environment Variable Effect NONE No environment variables were set -------------------------------------------------------------------------------- +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<27> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<27>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<26> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<26>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<25> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<25>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<24> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<24>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<23> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<23>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<22> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<22>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<21> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<21>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<20> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<20>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<19> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<19>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<18> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<18>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<17> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<17>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<16> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<16>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<15> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<15>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<14> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<14>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<13> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<13>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<12> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<12>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<11> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<11>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<10> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<10>" OFFSET = IN 12 ns + VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<9> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<9>" OFFSET = IN 12 ns VALID + 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<8> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<8>" OFFSET = IN 12 ns VALID + 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis +WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<7> +WARNING:Timing:3225 - Timing constraint COMP "FSB_A<7>" OFFSET = IN 12 ns VALID + 12 ns BEFORE COMP "CLKIN"; ignored during timing analysis INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612). INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the @@ -29,67 +92,46 @@ INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on please see the device datasheet. ================================================================================ -Timing constraint: NET "FSBCLK" PERIOD = 10 ns HIGH 50%; +Timing constraint: TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 component switching limit errors) - Minimum period is 1.866ns. + Minimum period is 10.000ns. -------------------------------------------------------------------------------- -Component Switching Limit Checks: NET "FSBCLK" PERIOD = 10 ns HIGH 50%; +Component Switching Limit Checks: TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%; -------------------------------------------------------------------------------- -Slack: 8.134ns (period - min period limit) - Period: 10.000ns - Min period limit: 1.866ns (535.906MHz) (Tickper) - Physical resource: OUTt_OBUF/CLK0 - Logical resource: OUTt/CLK0 - Location pin: ILOGIC_X0Y5.CLK0 - Clock network: FSBCLK +Slack: 13.948ns (period - min period limit) + Period: 15.000ns + Min period limit: 1.052ns (950.570MHz) (Tpllper_CLKOUT(Foutmax)) + Physical resource: cg/pll/pll_base_inst/PLL_ADV/CLKOUT0 + Logical resource: cg/pll/pll_base_inst/PLL_ADV/CLKOUT0 + Location pin: PLL_ADV_X0Y1.CLKOUT0 + Clock network: cg/pll/clkout0 +-------------------------------------------------------------------------------- +Slack: 20.000ns (period - (min low pulse limit / (low pulse / period))) + Period: 30.000ns + Low pulse: 15.000ns + Low pulse limit: 5.000ns (Tdcmpw_CLKIN_25_50) + Physical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 + Logical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 + Location pin: PLL_ADV_X0Y1.CLKIN1 + Clock network: cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK +-------------------------------------------------------------------------------- +Slack: 20.000ns (period - (min high pulse limit / (high pulse / period))) + Period: 30.000ns + High pulse: 15.000ns + High pulse limit: 5.000ns (Tdcmpw_CLKIN_25_50) + Physical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 + Logical resource: cg/pll/pll_base_inst/PLL_ADV/CLKIN1 + Location pin: PLL_ADV_X0Y1.CLKIN1 + Clock network: cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK -------------------------------------------------------------------------------- ================================================================================ -Timing constraint: NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; -For more information, see Period Analysis in the Timing Closure User Guide (UG612). - - 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 component switching limit errors) - Minimum period is 5.000ns. --------------------------------------------------------------------------------- - -Component Switching Limit Checks: NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; --------------------------------------------------------------------------------- -Slack: 15.000ns (period - (min low pulse limit / (low pulse / period))) - Period: 20.000ns - Low pulse: 10.000ns - Low pulse limit: 2.500ns (Tdcmpw_CLKIN_50_100) - Physical resource: instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Logical resource: instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Location pin: PLL_ADV_X0Y1.CLKIN1 - Clock network: instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK --------------------------------------------------------------------------------- -Slack: 15.000ns (period - (min high pulse limit / (high pulse / period))) - Period: 20.000ns - High pulse: 10.000ns - High pulse limit: 2.500ns (Tdcmpw_CLKIN_50_100) - Physical resource: instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Logical resource: instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Location pin: PLL_ADV_X0Y1.CLKIN1 - Clock network: instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK --------------------------------------------------------------------------------- -Slack: 17.780ns (period - min period limit) - Period: 20.000ns - Min period limit: 2.220ns (450.450MHz) (Tpllper_CLKIN(Finmax)) - Physical resource: instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Logical resource: instance_name/pll_base_inst/PLL_ADV/CLKIN1 - Location pin: PLL_ADV_X0Y1.CLKIN1 - Clock network: instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: PERIOD analysis for net "instance_name/clkfbout" derived -from NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; duty cycle corrected -to 20 nS HIGH 10 nS +Timing constraint: TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" +TS_CLKIN HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints @@ -97,449 +139,1061 @@ For more information, see Period Analysis in the Timing Closure User Guide (UG61 Minimum period is 2.666ns. -------------------------------------------------------------------------------- -Component Switching Limit Checks: PERIOD analysis for net "instance_name/clkfbout" derived from - NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; - duty cycle corrected to 20 nS HIGH 10 nS - +Component Switching Limit Checks: TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%; -------------------------------------------------------------------------------- -Slack: 17.334ns (period - min period limit) - Period: 20.000ns +Slack: 27.334ns (period - min period limit) + Period: 30.000ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) - Physical resource: instance_name/clkfbout_bufg/I0 - Logical resource: instance_name/clkfbout_bufg/I0 + Physical resource: cg/pll/clkfbout_bufg/I0 + Logical resource: cg/pll/clkfbout_bufg/I0 Location pin: BUFGMUX_X2Y3.I0 - Clock network: instance_name/clkfbout + Clock network: cg/pll/clkfbout -------------------------------------------------------------------------------- -Slack: 17.751ns (period - min period limit) - Period: 20.000ns +Slack: 27.751ns (period - min period limit) + Period: 30.000ns Min period limit: 2.249ns (444.642MHz) (Tockper) Physical resource: CLKFB_OUT_OBUF/CLK0 - Logical resource: instance_name/clkfbout_oddr/CK0 - Location pin: OLOGIC_X0Y7.CLK0 - Clock network: instance_name/clkfb_bufg_out + Logical resource: cg/pll/clkfbout_oddr/CK0 + Location pin: OLOGIC_X1Y63.CLK0 + Clock network: cg/pll/clkfb_bufg_out -------------------------------------------------------------------------------- -Slack: 17.780ns (period - min period limit) - Period: 20.000ns - Min period limit: 2.220ns (450.450MHz) (Tpllper_CLKFB) - Physical resource: instance_name/pll_base_inst/PLL_ADV/CLKFBOUT - Logical resource: instance_name/pll_base_inst/PLL_ADV/CLKFBOUT - Location pin: PLL_ADV_X0Y1.CLKFBOUT - Clock network: instance_name/clkfbout +Slack: 27.960ns (period - min period limit) + Period: 30.000ns + Min period limit: 2.040ns (490.196MHz) (Tockper) + Physical resource: CLKFB_OUT_OBUF/CLK1 + Logical resource: cg/pll/clkfbout_oddr/CK1 + Location pin: OLOGIC_X1Y63.CLK1 + Clock network: cg/pll/clkfb_bufg_out -------------------------------------------------------------------------------- ================================================================================ -Timing constraint: PERIOD analysis for net "instance_name/clkout0" derived from - NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; divided by 2.00 to 10 nS - +Timing constraint: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN +/ 2 HIGH 50%; For more information, see Period Analysis in the Timing Closure User Guide (UG612). - 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints - 0 timing errors detected. (0 component switching limit errors) - Minimum period is 2.666ns. + 6 paths analyzed, 6 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) + Minimum period is 3.649ns. -------------------------------------------------------------------------------- -Component Switching Limit Checks: PERIOD analysis for net "instance_name/clkout0" derived from - NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; - divided by 2.00 to 10 nS +Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y62.D1), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.351ns (requirement - (data path - clock path skew + uncertainty)) + Source: cg/CPUCLKr (FF) + Destination: cg/CPUCLK_inst (FF) + Requirement: 15.000ns + Data Path Delay: 3.533ns (Levels of Logic = 1) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 0.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.116ns + + Clock Uncertainty: 0.116ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: cg/CPUCLKr to cg/CPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y63.DQ Tcko 0.476 cg/CPUCLKr + cg/CPUCLKr + SLICE_X14Y63.D4 net (fanout=4) e 0.491 cg/CPUCLKr + SLICE_X14Y63.D Tilo 0.235 cg/CPUCLKr + l2pre/CPUCLKr_INV_15_o1_INV_0 + OLOGIC_X1Y62.D1 net (fanout=3) e 1.365 l2pre/CPUCLKr_INV_15_o + OLOGIC_X1Y62.CLK0 Todck 0.966 CPUCLK_OBUF + cg/CPUCLK_inst + ------------------------------------------------- --------------------------- + Total 3.533ns (1.677ns logic, 1.856ns route) + (47.5% logic, 52.5% route) -------------------------------------------------------------------------------- -Slack: 7.334ns (period - min period limit) - Period: 10.000ns + +Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y62.D2), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 11.751ns (requirement - (data path - clock path skew + uncertainty)) + Source: cg/CPUCLKr (FF) + Destination: cg/CPUCLK_inst (FF) + Requirement: 15.000ns + Data Path Delay: 3.133ns (Levels of Logic = 1) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 0.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.116ns + + Clock Uncertainty: 0.116ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: cg/CPUCLKr to cg/CPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y63.DQ Tcko 0.476 cg/CPUCLKr + cg/CPUCLKr + SLICE_X14Y63.D4 net (fanout=4) e 0.491 cg/CPUCLKr + SLICE_X14Y63.D Tilo 0.235 cg/CPUCLKr + l2pre/CPUCLKr_INV_15_o1_INV_0 + OLOGIC_X1Y62.D2 net (fanout=3) e 1.100 l2pre/CPUCLKr_INV_15_o + OLOGIC_X1Y62.CLK0 Todck 0.831 CPUCLK_OBUF + cg/CPUCLK_inst + ------------------------------------------------- --------------------------- + Total 3.133ns (1.542ns logic, 1.591ns route) + (49.2% logic, 50.8% route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y63.D1), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 12.451ns (requirement - (data path - clock path skew + uncertainty)) + Source: cg/CPUCLKr (FF) + Destination: cg/FPUCLK_inst (FF) + Requirement: 15.000ns + Data Path Delay: 2.433ns (Levels of Logic = 0) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 0.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.116ns + + Clock Uncertainty: 0.116ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.070ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.000ns + + Maximum Data Path at Slow Process Corner: cg/CPUCLKr to cg/FPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y63.DQ Tcko 0.476 cg/CPUCLKr + cg/CPUCLKr + OLOGIC_X11Y63.D1 net (fanout=4) e 0.991 cg/CPUCLKr + OLOGIC_X11Y63.CLK0 Todck 0.966 FPUCLK_OBUF + cg/FPUCLK_inst + ------------------------------------------------- --------------------------- + Total 2.433ns (1.442ns logic, 0.991ns route) + (59.3% logic, 40.7% route) + +-------------------------------------------------------------------------------- + +Hold Paths: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%; +-------------------------------------------------------------------------------- + +Paths for end point cg/CPUCLKr (SLICE_X14Y63.SR), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 0.577ns (requirement - (clock path skew + uncertainty - data path)) + Source: cg/CPUCLKr (FF) + Destination: cg/CPUCLKr (FF) + Requirement: 0.000ns + Data Path Delay: 0.577ns (Levels of Logic = 0) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 15.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: cg/CPUCLKr to cg/CPUCLKr + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y63.DQ Tcko 0.200 cg/CPUCLKr + cg/CPUCLKr + SLICE_X14Y63.SR net (fanout=4) e 0.376 cg/CPUCLKr + SLICE_X14Y63.CLK Tcksr (-Th) -0.001 cg/CPUCLKr + cg/CPUCLKr + ------------------------------------------------- --------------------------- + Total 0.577ns (0.201ns logic, 0.376ns route) + (34.8% logic, 65.2% route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y63.D2), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.346ns (requirement - (clock path skew + uncertainty - data path)) + Source: cg/CPUCLKr (FF) + Destination: cg/FPUCLK_inst (FF) + Requirement: 0.000ns + Data Path Delay: 1.346ns (Levels of Logic = 0) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 15.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: cg/CPUCLKr to cg/FPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y63.DQ Tcko 0.200 cg/CPUCLKr + cg/CPUCLKr + OLOGIC_X11Y63.D2 net (fanout=4) e 0.991 cg/CPUCLKr + OLOGIC_X11Y63.CLK0 Tockd (-Th) -0.155 FPUCLK_OBUF + cg/FPUCLK_inst + ------------------------------------------------- --------------------------- + Total 1.346ns (0.355ns logic, 0.991ns route) + (26.4% logic, 73.6% route) + +-------------------------------------------------------------------------------- + +Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y63.D1), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 1.521ns (requirement - (clock path skew + uncertainty - data path)) + Source: cg/CPUCLKr (FF) + Destination: cg/FPUCLK_inst (FF) + Requirement: 0.000ns + Data Path Delay: 1.521ns (Levels of Logic = 0) + Clock Path Skew: 0.000ns + Source Clock: FSBCLK rising at 15.000ns + Destination Clock: FSBCLK rising at 15.000ns + Clock Uncertainty: 0.000ns + + Minimum Data Path at Fast Process Corner: cg/CPUCLKr to cg/FPUCLK_inst + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + SLICE_X14Y63.DQ Tcko 0.200 cg/CPUCLKr + cg/CPUCLKr + OLOGIC_X11Y63.D1 net (fanout=4) e 0.991 cg/CPUCLKr + OLOGIC_X11Y63.CLK0 Tockd (-Th) -0.330 FPUCLK_OBUF + cg/FPUCLK_inst + ------------------------------------------------- --------------------------- + Total 1.521ns (0.530ns logic, 0.991ns route) + (34.8% logic, 65.2% route) + +-------------------------------------------------------------------------------- + +Component Switching Limit Checks: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%; +-------------------------------------------------------------------------------- +Slack: 11.430ns (period - min period limit) + Period: 15.000ns + Min period limit: 3.570ns (280.112MHz) (Trper_CLKA(Fmax)) + Physical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKAWRCLK + Logical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKAWRCLK + Location pin: RAMB8_X1Y31.CLKAWRCLK + Clock network: FSBCLK +-------------------------------------------------------------------------------- +Slack: 11.430ns (period - min period limit) + Period: 15.000ns + Min period limit: 3.570ns (280.112MHz) (Trper_CLKB(Fmax)) + Physical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKBRDCLK + Logical resource: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKBRDCLK + Location pin: RAMB8_X1Y31.CLKBRDCLK + Clock network: FSBCLK +-------------------------------------------------------------------------------- +Slack: 12.334ns (period - min period limit) + Period: 15.000ns Min period limit: 2.666ns (375.094MHz) (Tbcper_I) - Physical resource: instance_name/clkout1_buf/I0 - Logical resource: instance_name/clkout1_buf/I0 + Physical resource: cg/pll/clkout1_buf/I0 + Logical resource: cg/pll/clkout1_buf/I0 Location pin: BUFGMUX_X3Y13.I0 - Clock network: instance_name/clkout0 --------------------------------------------------------------------------------- -Slack: 8.948ns (period - min period limit) - Period: 10.000ns - Min period limit: 1.052ns (950.570MHz) (Tpllper_CLKOUT(Foutmax)) - Physical resource: instance_name/pll_base_inst/PLL_ADV/CLKOUT0 - Logical resource: instance_name/pll_base_inst/PLL_ADV/CLKOUT0 - Location pin: PLL_ADV_X0Y1.CLKOUT0 - Clock network: instance_name/clkout0 --------------------------------------------------------------------------------- -Slack: 310.000ns (max period limit - period) - Period: 10.000ns - Max period limit: 320.000ns (3.125MHz) (Tpllper_CLKOUT(Foutmin)) - Physical resource: instance_name/pll_base_inst/PLL_ADV/CLKOUT0 - Logical resource: instance_name/pll_base_inst/PLL_ADV/CLKOUT0 - Location pin: PLL_ADV_X0Y1.CLKOUT0 - Clock network: instance_name/clkout0 + Clock network: cg/pll/clkout0 -------------------------------------------------------------------------------- ================================================================================ -Timing constraint: COMP "CPU_nAS" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP +Timing constraint: COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 9.880ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (ILOGIC_X0Y5.SR), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 0.120ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: CPU_nAS (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 10.000ns - Data Path Delay: 4.575ns (Levels of Logic = 1) - Clock Path Delay: -4.891ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: CPU_nAS to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N4.I Tiopi 1.557 CPU_nAS - CPU_nAS - CPU_nAS_IBUF - ProtoComp0.IMUX.1 - ILOGIC_X0Y5.SR net (fanout=1) e 2.043 CPU_nAS_IBUF - ILOGIC_X0Y5.CLK0 Tisrck 0.975 OUTt_OBUF - OUTt - ------------------------------------------------- --------------------------- - Total 4.575ns (2.532ns logic, 2.043ns route) - (55.3% logic, 44.7% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - instance_name/clkin1_buf - ProtoComp0.IMUX.3 - BUFIO2_X0Y23.I net (fanout=1) e 0.000 instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.248 instance_name/pll_base_inst/PLL_ADV - instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 instance_name/clkout0 - BUFGMUX_X3Y13.O Tgi0o 0.197 instance_name/clkout1_buf - instance_name/clkout1_buf - ILOGIC_X0Y5.CLK0 net (fanout=1) e 1.574 FSBCLK - ------------------------------------------------- --------------------------- - Total -4.891ns (-6.970ns logic, 2.079ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "CPU_nAS" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point OUTt (ILOGIC_X0Y5.SR), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 6.650ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: CPU_nAS (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 1.000ns - Data Path Delay: 2.965ns (Levels of Logic = 1) - Clock Path Delay: -3.099ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: CPU_nAS to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - N4.I Tiopi 0.763 CPU_nAS - CPU_nAS - CPU_nAS_IBUF - ProtoComp0.IMUX.1 - ILOGIC_X0Y5.SR net (fanout=1) e 2.043 CPU_nAS_IBUF - ILOGIC_X0Y5.CLK0 Ticksr (-Th) -0.159 OUTt_OBUF - OUTt - ------------------------------------------------- --------------------------- - Total 2.965ns (0.922ns logic, 2.043ns route) - (31.1% logic, 68.9% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - instance_name/clkin1_buf - ProtoComp0.IMUX.3 - BUFIO2_X0Y23.I net (fanout=1) e 0.000 instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.738 instance_name/pll_base_inst/PLL_ADV - instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 instance_name/clkout0 - BUFGMUX_X3Y13.O Tgi0o 0.063 instance_name/clkout1_buf - instance_name/clkout1_buf - ILOGIC_X0Y5.CLK0 net (fanout=1) e 1.574 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.099ns (-5.178ns logic, 2.079ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "INt" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP -"CLKIN"; -For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - - 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints - 0 timing errors detected. (0 setup errors, 0 hold errors) - Minimum allowable offset is 8.814ns. --------------------------------------------------------------------------------- - -Paths for end point OUTt (ILOGIC_X0Y5.D), 1 path --------------------------------------------------------------------------------- -Slack (setup path): 1.186ns (requirement - (data path - clock path - clock arrival + uncertainty)) - Source: INt (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 10.000ns - Data Path Delay: 3.509ns (Levels of Logic = 2) - Clock Path Delay: -4.891ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Maximum Data Path at Slow Process Corner: INt to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M4.I Tiopi 1.557 INt - INt - INt_IBUF - ProtoComp0.IMUX.2 - ILOGIC_X0Y5.D net (fanout=1) e 0.229 CPU_nAS_INt_AND_1_o_norst - ILOGIC_X0Y5.CLK0 Tidock 1.723 OUTt_OBUF - ProtoComp3.D2OFFBYP_SRC - OUTt - ------------------------------------------------- --------------------------- - Total 3.509ns (3.280ns logic, 0.229ns route) - (93.5% logic, 6.5% route) - - Minimum Clock Path at Slow Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.902 CLKIN - CLKIN - instance_name/clkin1_buf - ProtoComp0.IMUX.3 - BUFIO2_X0Y23.I net (fanout=1) e 0.000 instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.248 instance_name/pll_base_inst/PLL_ADV - instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 instance_name/clkout0 - BUFGMUX_X3Y13.O Tgi0o 0.197 instance_name/clkout1_buf - instance_name/clkout1_buf - ILOGIC_X0Y5.CLK0 net (fanout=1) e 1.574 FSBCLK - ------------------------------------------------- --------------------------- - Total -4.891ns (-6.970ns logic, 2.079ns route) - --------------------------------------------------------------------------------- - -Hold Paths: COMP "INt" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN"; --------------------------------------------------------------------------------- - -Paths for end point OUTt (ILOGIC_X0Y5.D), 1 path --------------------------------------------------------------------------------- -Slack (hold path): 5.307ns (requirement - (clock path + clock arrival + uncertainty - data path)) - Source: INt (PAD) - Destination: OUTt (FF) - Destination Clock: FSBCLK rising at 0.000ns - Requirement: 1.000ns - Data Path Delay: 1.622ns (Levels of Logic = 2) - Clock Path Delay: -3.099ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns - - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE - Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns - - Minimum Data Path at Fast Process Corner: INt to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - M4.I Tiopi 0.763 INt - INt - INt_IBUF - ProtoComp0.IMUX.2 - ILOGIC_X0Y5.D net (fanout=1) e 0.229 CPU_nAS_INt_AND_1_o_norst - ILOGIC_X0Y5.CLK0 Tiockd (-Th) -0.630 OUTt_OBUF - ProtoComp3.D2OFFBYP_SRC - OUTt - ------------------------------------------------- --------------------------- - Total 1.622ns (1.393ns logic, 0.229ns route) - (85.9% logic, 14.1% route) - - Maximum Clock Path at Fast Process Corner: CLKIN to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - J4.I Tiopi 0.367 CLKIN - CLKIN - instance_name/clkin1_buf - ProtoComp0.IMUX.3 - BUFIO2_X0Y23.I net (fanout=1) e 0.000 instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.738 instance_name/pll_base_inst/PLL_ADV - instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 instance_name/clkout0 - BUFGMUX_X3Y13.O Tgi0o 0.063 instance_name/clkout1_buf - instance_name/clkout1_buf - ILOGIC_X0Y5.CLK0 net (fanout=1) e 1.574 FSBCLK - ------------------------------------------------- --------------------------- - Total -3.099ns (-5.178ns logic, 2.079ns route) - --------------------------------------------------------------------------------- - -================================================================================ -Timing constraint: COMP "OUTt" OFFSET = OUT 4 ns AFTER COMP "CLKIN"; -For more information, see Offset Out Analysis in the Timing Closure User Guide (UG612). - - 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints 0 timing errors detected. - Minimum allowable offset is 1.412ns. -------------------------------------------------------------------------------- -Paths for end point OUTt (M3.PAD), 1 path --------------------------------------------------------------------------------- -Slack (slowest paths): 2.588ns (requirement - (clock arrival + clock path + data path + uncertainty)) - Source: OUTt (FF) - Destination: OUTt (PAD) - Source Clock: FSBCLK rising at 0.000ns - Requirement: 4.000ns - Data Path Delay: 6.068ns (Levels of Logic = 1) - Clock Path Delay: -5.070ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns +================================================================================ +Timing constraint: COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 0 paths analyzed, 0 endpoints analyzed, 0 failing endpoints + 0 timing errors detected. +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 9.606ns. +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR9), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 2.394ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: FSB_A<6> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 12.000ns + Data Path Delay: 4.419ns (Levels of Logic = 1) + Clock Path Delay: -4.891ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns - Maximum Clock Path at Slow Process Corner: CLKIN to OUTt + Maximum Data Path at Slow Process Corner: FSB_A<6> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + B15.I Tiopi 1.557 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + RAMB8_X1Y31.ADDRBRDADDR9 net (fanout=13) e 2.462 FSB_A_6_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.419ns (1.957ns logic, 2.462ns route) + (44.3% logic, 55.7% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - J4.I Tiopi 1.037 CLKIN + J4.I Tiopi 0.902 CLKIN CLKIN - instance_name/clkin1_buf - ProtoComp0.IMUX.3 - BUFIO2_X0Y23.I net (fanout=1) e 0.000 instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.190 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.585 instance_name/pll_base_inst/PLL_ADV - instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 instance_name/clkout0 - BUFGMUX_X3Y13.O Tgi0o 0.209 instance_name/clkout1_buf - instance_name/clkout1_buf - ILOGIC_X0Y5.CLK0 net (fanout=1) e 1.574 FSBCLK + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.248 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK ------------------------------------------------- --------------------------- - Total -5.070ns (-7.149ns logic, 2.079ns route) - - Maximum Data Path at Slow Process Corner: OUTt to OUTt - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - ILOGIC_X0Y5.Q4 Tickq 1.778 OUTt_OBUF - OUTt - M3.O net (fanout=1) e 2.308 OUTt_OBUF - M3.PAD Tioop 1.982 OUTt - OUTt_OBUF - OUTt - ------------------------------------------------- --------------------------- - Total 6.068ns (3.760ns logic, 2.308ns route) - (62.0% logic, 38.0% route) + Total -4.891ns (-6.970ns logic, 2.079ns route) -------------------------------------------------------------------------------- -Fastest Paths: COMP "OUTt" OFFSET = OUT 4 ns AFTER COMP "CLKIN"; +Hold Paths: COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; -------------------------------------------------------------------------------- -Paths for end point OUTt (M3.PAD), 1 path +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR9), 1 path -------------------------------------------------------------------------------- -Delay (fastest paths): 0.213ns (clock arrival + clock path + data path - uncertainty) - Source: OUTt (FF) - Destination: OUTt (PAD) - Source Clock: FSBCLK rising at 0.000ns - Data Path Delay: 3.663ns (Levels of Logic = 1) - Clock Path Delay: -3.036ns (Levels of Logic = 4) - Clock Uncertainty: 0.414ns +Slack (hold path): 5.962ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: FSB_A<6> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.159ns (Levels of Logic = 1) + Clock Path Delay: -3.099ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns - Clock Uncertainty: 0.414ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE Total System Jitter (TSJ): 0.050ns - Discrete Jitter (DJ): 0.287ns - Phase Error (PE): 0.267ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns - Minimum Clock Path at Fast Process Corner: CLKIN to OUTt + Minimum Data Path at Fast Process Corner: FSB_A<6> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + B15.I Tiopi 0.763 FSB_A<6> + FSB_A<6> + FSB_A_6_IBUF + ProtoComp0.IMUX.24 + RAMB8_X1Y31.ADDRBRDADDR9 net (fanout=13) e 2.462 FSB_A_6_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 3.159ns (0.697ns logic, 2.462ns route) + (22.1% logic, 77.9% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - J4.I Tiopi 0.321 CLKIN + J4.I Tiopi 0.367 CLKIN CLKIN - instance_name/clkin1_buf - ProtoComp0.IMUX.3 - BUFIO2_X0Y23.I net (fanout=1) e 0.000 instance_name/clkin1 - BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.122 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 - PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK - PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.617 instance_name/pll_base_inst/PLL_ADV - instance_name/pll_base_inst/PLL_ADV - BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 instance_name/clkout0 - BUFGMUX_X3Y13.O Tgi0o 0.059 instance_name/clkout1_buf - instance_name/clkout1_buf - ILOGIC_X0Y5.CLK0 net (fanout=1) e 1.574 FSBCLK + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.738 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK ------------------------------------------------- --------------------------- - Total -3.036ns (-5.115ns logic, 2.079ns route) + Total -3.099ns (-5.178ns logic, 2.079ns route) - Minimum Data Path at Fast Process Corner: OUTt to OUTt +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 9.777ns. +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR8), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 2.223ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: FSB_A<5> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 12.000ns + Data Path Delay: 4.590ns (Levels of Logic = 1) + Clock Path Delay: -4.891ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Data Path at Slow Process Corner: FSB_A<5> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E12.I Tiopi 1.557 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + RAMB8_X1Y31.ADDRBRDADDR8 net (fanout=13) e 2.633 FSB_A_5_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.590ns (1.957ns logic, 2.633ns route) + (42.6% logic, 57.4% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - ILOGIC_X0Y5.Q4 Tickq 0.656 OUTt_OBUF - OUTt - M3.O net (fanout=1) e 2.308 OUTt_OBUF - M3.PAD Tioop 0.699 OUTt - OUTt_OBUF - OUTt + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.248 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK ------------------------------------------------- --------------------------- - Total 3.663ns (1.355ns logic, 2.308ns route) - (37.0% logic, 63.0% route) + Total -4.891ns (-6.970ns logic, 2.079ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR8), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 6.133ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: FSB_A<5> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.330ns (Levels of Logic = 1) + Clock Path Delay: -3.099ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Data Path at Fast Process Corner: FSB_A<5> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E12.I Tiopi 0.763 FSB_A<5> + FSB_A<5> + FSB_A_5_IBUF + ProtoComp0.IMUX.23 + RAMB8_X1Y31.ADDRBRDADDR8 net (fanout=13) e 2.633 FSB_A_5_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 3.330ns (0.697ns logic, 2.633ns route) + (20.9% logic, 79.1% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.738 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK + ------------------------------------------------- --------------------------- + Total -3.099ns (-5.178ns logic, 2.079ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 9.445ns. +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 2.555ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: FSB_A<4> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 12.000ns + Data Path Delay: 4.258ns (Levels of Logic = 1) + Clock Path Delay: -4.891ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Data Path at Slow Process Corner: FSB_A<4> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E13.I Tiopi 1.557 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + RAMB8_X1Y31.ADDRBRDADDR7 net (fanout=13) e 2.301 FSB_A_4_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.258ns (1.957ns logic, 2.301ns route) + (46.0% logic, 54.0% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.248 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.891ns (-6.970ns logic, 2.079ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 5.801ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: FSB_A<4> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.998ns (Levels of Logic = 1) + Clock Path Delay: -3.099ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Data Path at Fast Process Corner: FSB_A<4> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + E13.I Tiopi 0.763 FSB_A<4> + FSB_A<4> + FSB_A_4_IBUF + ProtoComp0.IMUX.22 + RAMB8_X1Y31.ADDRBRDADDR7 net (fanout=13) e 2.301 FSB_A_4_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 2.998ns (0.697ns logic, 2.301ns route) + (23.2% logic, 76.8% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.738 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK + ------------------------------------------------- --------------------------- + Total -3.099ns (-5.178ns logic, 2.079ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 9.381ns. +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR6), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 2.619ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: FSB_A<3> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 12.000ns + Data Path Delay: 4.194ns (Levels of Logic = 1) + Clock Path Delay: -4.891ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Data Path at Slow Process Corner: FSB_A<3> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + D12.I Tiopi 1.557 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + RAMB8_X1Y31.ADDRBRDADDR6 net (fanout=13) e 2.237 FSB_A_3_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.194ns (1.957ns logic, 2.237ns route) + (46.7% logic, 53.3% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.248 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.891ns (-6.970ns logic, 2.079ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR6), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 5.737ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: FSB_A<3> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 2.934ns (Levels of Logic = 1) + Clock Path Delay: -3.099ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Data Path at Fast Process Corner: FSB_A<3> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + D12.I Tiopi 0.763 FSB_A<3> + FSB_A<3> + FSB_A_3_IBUF + ProtoComp0.IMUX.21 + RAMB8_X1Y31.ADDRBRDADDR6 net (fanout=13) e 2.237 FSB_A_3_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 2.934ns (0.697ns logic, 2.237ns route) + (23.8% logic, 76.2% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.738 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK + ------------------------------------------------- --------------------------- + Total -3.099ns (-5.178ns logic, 2.079ns route) + +-------------------------------------------------------------------------------- + +================================================================================ +Timing constraint: COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP +"CLKIN"; +For more information, see Offset In Analysis in the Timing Closure User Guide (UG612). + + 1 path analyzed, 1 endpoint analyzed, 0 failing endpoints + 0 timing errors detected. (0 setup errors, 0 hold errors) + Minimum allowable offset is 9.717ns. +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR5), 1 path +-------------------------------------------------------------------------------- +Slack (setup path): 2.283ns (requirement - (data path - clock path - clock arrival + uncertainty)) + Source: FSB_A<2> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 12.000ns + Data Path Delay: 4.530ns (Levels of Logic = 1) + Clock Path Delay: -4.891ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Maximum Data Path at Slow Process Corner: FSB_A<2> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + D11.I Tiopi 1.557 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + RAMB8_X1Y31.ADDRBRDADDR5 net (fanout=13) e 2.573 FSB_A_2_IBUF + RAMB8_X1Y31.CLKBRDCLK Trcck_ADDRB 0.400 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 4.530ns (1.957ns logic, 2.573ns route) + (43.2% logic, 56.8% route) + + Minimum Clock Path at Slow Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.902 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.179 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -8.248 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.197 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK + ------------------------------------------------- --------------------------- + Total -4.891ns (-6.970ns logic, 2.079ns route) + +-------------------------------------------------------------------------------- + +Hold Paths: COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +-------------------------------------------------------------------------------- + +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR5), 1 path +-------------------------------------------------------------------------------- +Slack (hold path): 6.073ns (requirement - (clock path + clock arrival + uncertainty - data path)) + Source: FSB_A<2> (PAD) + Destination: l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAM) + Destination Clock: FSBCLK rising at 0.000ns + Requirement: 0.000ns + Data Path Delay: 3.270ns (Levels of Logic = 1) + Clock Path Delay: -3.099ns (Levels of Logic = 4) + Clock Uncertainty: 0.296ns + + Clock Uncertainty: 0.296ns ((TSJ^2 + DJ^2)^1/2) / 2 + PE + Total System Jitter (TSJ): 0.050ns + Discrete Jitter (DJ): 0.221ns + Phase Error (PE): 0.182ns + + Minimum Data Path at Fast Process Corner: FSB_A<2> to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ----------------------------------------------------- ------------------- + D11.I Tiopi 0.763 FSB_A<2> + FSB_A<2> + FSB_A_2_IBUF + ProtoComp0.IMUX.20 + RAMB8_X1Y31.ADDRBRDADDR5 net (fanout=13) e 2.573 FSB_A_2_IBUF + RAMB8_X1Y31.CLKBRDCLK Trckc_ADDRB (-Th) 0.066 l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + ----------------------------------------------------- --------------------------- + Total 3.270ns (0.697ns logic, 2.573ns route) + (21.3% logic, 78.7% route) + + Maximum Clock Path at Fast Process Corner: CLKIN to l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram + Location Delay type Delay(ns) Physical Resource + Logical Resource(s) + ------------------------------------------------- ------------------- + J4.I Tiopi 0.367 CLKIN + CLKIN + cg/pll/clkin1_buf + ProtoComp0.IMUX + BUFIO2_X0Y23.I net (fanout=1) e 0.000 cg/pll/clkin1 + BUFIO2_X0Y23.DIVCLK Tbufcko_DIVCLK 0.130 SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0 + PLL_ADV_X0Y1.CLKIN1 net (fanout=1) e 0.000 cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLK + PLL_ADV_X0Y1.CLKOUT0 Tpllcko_CLK -5.738 cg/pll/pll_base_inst/PLL_ADV + cg/pll/pll_base_inst/PLL_ADV + BUFGMUX_X3Y13.I0 net (fanout=1) e 0.505 cg/pll/clkout0 + BUFGMUX_X3Y13.O Tgi0o 0.063 cg/pll/clkout1_buf + cg/pll/clkout1_buf + RAMB8_X1Y31.CLKBRDCLKnet (fanout=17) e 1.574 FSBCLK + ------------------------------------------------- --------------------------- + Total -3.099ns (-5.178ns logic, 2.079ns route) -------------------------------------------------------------------------------- Derived Constraint Report -Derived Constraints for instance_name/clkin1 +Derived Constraints for TS_CLKIN +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ | | Period | Actual Period | Timing Errors | Paths Analyzed | | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ -|instance_name/clkin1 | 20.000ns| 5.000ns| 5.332ns| 0| 0| 0| 0| -| instance_name/clkfbout | 20.000ns| 2.666ns| N/A| 0| 0| 0| 0| -| instance_name/clkout0 | 10.000ns| 2.666ns| N/A| 0| 0| 0| 0| +|TS_CLKIN | 30.000ns| 10.000ns| 7.298ns| 0| 0| 0| 6| +| TS_cg_pll_clkfbout | 30.000ns| 2.666ns| N/A| 0| 0| 0| 0| +| TS_cg_pll_clkout0 | 15.000ns| 3.649ns| N/A| 0| 0| 6| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ All constraints were met. @@ -554,48 +1208,75 @@ Setup/Hold to clock CLKIN |Max Setup to| Process |Max Hold to | Process | | Clock | Source | clk (edge) | Corner | clk (edge) | Corner |Internal Clock(s) | Phase | ------------+------------+------------+------------+------------+------------------+--------+ -CPU_nAS | 9.880(R)| SLOW | -5.650(R)| FAST |FSBCLK | 0.000| -INt | 8.814(R)| SLOW | -4.307(R)| FAST |FSBCLK | 0.000| +FSB_A<2> | 9.717(R)| SLOW | -6.073(R)| FAST |FSBCLK | 0.000| +FSB_A<3> | 9.381(R)| SLOW | -5.737(R)| FAST |FSBCLK | 0.000| +FSB_A<4> | 9.445(R)| SLOW | -5.801(R)| FAST |FSBCLK | 0.000| +FSB_A<5> | 9.777(R)| SLOW | -6.133(R)| FAST |FSBCLK | 0.000| +FSB_A<6> | 9.606(R)| SLOW | -5.962(R)| FAST |FSBCLK | 0.000| ------------+------------+------------+------------+------------+------------------+--------+ -Clock CLKIN to Pad -------------+-----------------+------------+-----------------+------------+------------------+--------+ - |Max (slowest) clk| Process |Min (fastest) clk| Process | | Clock | -Destination | (edge) to PAD | Corner | (edge) to PAD | Corner |Internal Clock(s) | Phase | -------------+-----------------+------------+-----------------+------------+------------------+--------+ -OUTt | 1.412(R)| SLOW | 0.213(R)| FAST |FSBCLK | 0.000| -------------+-----------------+------------+-----------------+------------+------------------+--------+ +Clock to Setup on destination clock CLKIN +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +CLKIN | 3.649| | | | +---------------+---------+---------+---------+---------+ -COMP "CPU_nAS" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 4.230; Ideal Clock Offset To Actual Clock 3.265; +COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Worst Case Data Window 3.644; Ideal Clock Offset To Actual Clock 1.784; ------------------+------------+------------+------------+------------+---------+---------+-------------+ | | Process | | Process | Setup | Hold |Source Offset| Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | ------------------+------------+------------+------------+------------+---------+---------+-------------+ -CPU_nAS | 9.880(R)| SLOW | -5.650(R)| FAST | 0.120| 6.650| -3.265| +FSB_A<6> | 9.606(R)| SLOW | -5.962(R)| FAST | 2.394| 5.962| -1.784| ------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 9.880| - | -5.650| - | 0.120| 6.650| | +Worst Case Summary| 9.606| - | -5.962| - | 2.394| 5.962| | ------------------+------------+------------+------------+------------+---------+---------+-------------+ -COMP "INt" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN"; -Worst Case Data Window 4.507; Ideal Clock Offset To Actual Clock 2.061; +COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Worst Case Data Window 3.644; Ideal Clock Offset To Actual Clock 1.955; ------------------+------------+------------+------------+------------+---------+---------+-------------+ | | Process | | Process | Setup | Hold |Source Offset| Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | ------------------+------------+------------+------------+------------+---------+---------+-------------+ -INt | 8.814(R)| SLOW | -4.307(R)| FAST | 1.186| 5.307| -2.061| +FSB_A<5> | 9.777(R)| SLOW | -6.133(R)| FAST | 2.223| 6.133| -1.955| ------------------+------------+------------+------------+------------+---------+---------+-------------+ -Worst Case Summary| 8.814| - | -4.307| - | 1.186| 5.307| | +Worst Case Summary| 9.777| - | -6.133| - | 2.223| 6.133| | ------------------+------------+------------+------------+------------+---------+---------+-------------+ -COMP "OUTt" OFFSET = OUT 4 ns AFTER COMP "CLKIN"; -Bus Skew: 0.000 ns; ------------------------------------------------+-------------+------------+-------------+------------+--------------+ - |Max (slowest)| Process |Min (fastest)| Process | | -PAD | Delay (ns) | Corner | Delay (ns) | Corner |Edge Skew (ns)| ------------------------------------------------+-------------+------------+-------------+------------+--------------+ -OUTt | 1.412| SLOW | 0.213| FAST | 0.000| ------------------------------------------------+-------------+------------+-------------+------------+--------------+ +COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Worst Case Data Window 3.644; Ideal Clock Offset To Actual Clock 1.623; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +FSB_A<4> | 9.445(R)| SLOW | -5.801(R)| FAST | 2.555| 5.801| -1.623| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 9.445| - | -5.801| - | 2.555| 5.801| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Worst Case Data Window 3.644; Ideal Clock Offset To Actual Clock 1.559; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +FSB_A<3> | 9.381(R)| SLOW | -5.737(R)| FAST | 2.619| 5.737| -1.559| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 9.381| - | -5.737| - | 2.619| 5.737| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ + +COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Worst Case Data Window 3.644; Ideal Clock Offset To Actual Clock 1.895; +------------------+------------+------------+------------+------------+---------+---------+-------------+ + | | Process | | Process | Setup | Hold |Source Offset| +Source | Setup | Corner | Hold | Corner | Slack | Slack | To Center | +------------------+------------+------------+------------+------------+---------+---------+-------------+ +FSB_A<2> | 9.717(R)| SLOW | -6.073(R)| FAST | 2.283| 6.073| -1.895| +------------------+------------+------------+------------+------------+---------+---------+-------------+ +Worst Case Summary| 9.717| - | -6.073| - | 2.283| 6.073| | +------------------+------------+------------+------------+------------+---------+---------+-------------+ Timing summary: @@ -603,25 +1284,24 @@ Timing summary: Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) -Constraints cover 3 paths, 0 nets, and 12 connections +Constraints cover 11 paths, 0 nets, and 24 connections Design statistics: - Minimum period: 5.000ns{1} (Maximum frequency: 200.000MHz) - Minimum input required time before clock: 9.880ns - Minimum output required time after clock: 1.412ns + Minimum period: 10.000ns{1} (Maximum frequency: 100.000MHz) + Minimum input required time before clock: 9.777ns ------------------------------------Footnotes----------------------------------- 1) The minimum period statistic assumes all single cycle delays. -Analysis completed Fri Oct 29 10:25:28 2021 +Analysis completed Sun Oct 31 14:40:54 2021 -------------------------------------------------------------------------------- Trace Settings: ------------------------- Trace Settings -Peak Memory Usage: 167 MB +Peak Memory Usage: 213 MB diff --git a/fpga/WarpLC_preroute.twx b/fpga/WarpLC_preroute.twx index b8c79c5..abce77c 100644 --- a/fpga/WarpLC_preroute.twx +++ b/fpga/WarpLC_preroute.twx @@ -329,26 +329,33 @@ ]> -Release 14.7 Trace (nt)Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\trce.exe -intstyle ise -v 3 -s 2 -n -3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr +Release 14.7 Trace (nt64)Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\trce.exe -intstyle ise -v 3 -s 2 +-n 3 -fastpaths -xml WarpLC_preroute.twx WarpLC_map.ncd -o WarpLC_preroute.twr WarpLC.pcf -ucf PLL.ucf -WarpLC_map.ncdWarpLC_map.ncdWarpLC.pcfWarpLC.pcfxc6slx9C-2PRODUCTION 1.23 2013-10-1313INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3284 - This timing report was generated using estimated delay information. For accurate numbers, please refer to the post Place and Route timing report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.NET "FSBCLK" PERIOD = 10 ns HIGH 50%;00000001.866Component Switching Limit Checks: NET "FSBCLK" PERIOD = 10 ns HIGH 50%;NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%;00000005.000Component Switching Limit Checks: NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%;PERIOD analysis for net "instance_name/clkfbout" derived from NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; duty cycle corrected to 20 nS HIGH 10 nS 00000002.666Component Switching Limit Checks: PERIOD analysis for net "instance_name/clkfbout" derived from - NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; - duty cycle corrected to 20 nS HIGH 10 nS -PERIOD analysis for net "instance_name/clkout0" derived from NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; divided by 2.00 to 10 nS 00000002.666Component Switching Limit Checks: PERIOD analysis for net "instance_name/clkout0" derived from - NET "instance_name/clkin1" PERIOD = 20 ns HIGH 50%; - divided by 2.00 to 10 nS -COMP "CPU_nAS" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN";10000109.880Paths for end point OUTt (ILOGIC_X0Y5.SR), 1 path -0.120CPU_nASOUTt-4.891CLKINOUTt_OBUF10.000CPU_nASCLKIN0.414CPU_nASOUTt1N4.PADN4.ITiopi1.557CPU_nASCPU_nASCPU_nAS_IBUFProtoComp0.IMUX.1ILOGIC_X0Y5.SRnet12.043CPU_nAS_IBUFILOGIC_X0Y5.CLK0Tisrck0.975OUTt_OBUFOUTt2.5322.0434.575FSBCLK55.344.7CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINinstance_name/clkin1_bufProtoComp0.IMUX.3BUFIO2_X0Y23.Inet10.000instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.248instance_name/pll_base_inst/PLL_ADVinstance_name/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505instance_name/clkout0BUFGMUX_X3Y13.OTgi0o0.197instance_name/clkout1_bufinstance_name/clkout1_bufILOGIC_X0Y5.CLK0net11.574FSBCLK-6.9702.079-4.891Hold Paths: COMP "CPU_nAS" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN"; -Paths for end point OUTt (ILOGIC_X0Y5.SR), 1 path -6.650CPU_nASOUTt-3.099CLKINOUTt_OBUF1.000CPU_nASCLKIN0.414CPU_nASOUTt1N4.PADN4.ITiopi0.763CPU_nASCPU_nASCPU_nAS_IBUFProtoComp0.IMUX.1ILOGIC_X0Y5.SRnet12.043CPU_nAS_IBUFILOGIC_X0Y5.CLK0Ticksr0.159OUTt_OBUFOUTt0.9222.0432.965FSBCLK31.168.9CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINinstance_name/clkin1_bufProtoComp0.IMUX.3BUFIO2_X0Y23.Inet10.000instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.738instance_name/pll_base_inst/PLL_ADVinstance_name/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505instance_name/clkout0BUFGMUX_X3Y13.OTgi0o0.063instance_name/clkout1_bufinstance_name/clkout1_bufILOGIC_X0Y5.CLK0net11.574FSBCLK-5.1782.079-3.099COMP "INt" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN";10000108.814Paths for end point OUTt (ILOGIC_X0Y5.D), 1 path -1.186INtOUTt-4.891CLKINOUTt_OBUF10.000INtCLKIN0.414INtOUTt2M4.PADM4.ITiopi1.557INtINtINt_IBUFProtoComp0.IMUX.2ILOGIC_X0Y5.Dnet10.229CPU_nAS_INt_AND_1_o_norstILOGIC_X0Y5.CLK0Tidock1.723OUTt_OBUFProtoComp3.D2OFFBYP_SRCOUTt3.2800.2293.509FSBCLK93.56.5CLKINOUTt4J4.PADJ4.ITiopi0.902CLKINCLKINinstance_name/clkin1_bufProtoComp0.IMUX.3BUFIO2_X0Y23.Inet10.000instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.248instance_name/pll_base_inst/PLL_ADVinstance_name/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505instance_name/clkout0BUFGMUX_X3Y13.OTgi0o0.197instance_name/clkout1_bufinstance_name/clkout1_bufILOGIC_X0Y5.CLK0net11.574FSBCLK-6.9702.079-4.891Hold Paths: COMP "INt" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN"; -Paths for end point OUTt (ILOGIC_X0Y5.D), 1 path -5.307INtOUTt-3.099CLKINOUTt_OBUF1.000INtCLKIN0.414INtOUTt2M4.PADM4.ITiopi0.763INtINtINt_IBUFProtoComp0.IMUX.2ILOGIC_X0Y5.Dnet10.229CPU_nAS_INt_AND_1_o_norstILOGIC_X0Y5.CLK0Tiockd0.630OUTt_OBUFProtoComp3.D2OFFBYP_SRCOUTt1.3930.2291.622FSBCLK85.914.1CLKINOUTt4J4.PADJ4.ITiopi0.367CLKINCLKINinstance_name/clkin1_bufProtoComp0.IMUX.3BUFIO2_X0Y23.Inet10.000instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.738instance_name/pll_base_inst/PLL_ADVinstance_name/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505instance_name/clkout0BUFGMUX_X3Y13.OTgi0o0.063instance_name/clkout1_bufinstance_name/clkout1_bufILOGIC_X0Y5.CLK0net11.574FSBCLK-5.1782.079-3.099COMP "OUTt" OFFSET = OUT 4 ns AFTER COMP "CLKIN";10000101.412Paths for end point OUTt (M3.PAD), 1 path -2.588OUTtOUTt-5.070CLKINOUTt_OBUF6.068OUTt_OBUFOUTt4.000CLKINOUTt0.414CLKINOUTt4J4.PADJ4.ITiopi1.037CLKINCLKINinstance_name/clkin1_bufProtoComp0.IMUX.3BUFIO2_X0Y23.Inet10.000instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.190SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.585instance_name/pll_base_inst/PLL_ADVinstance_name/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505instance_name/clkout0BUFGMUX_X3Y13.OTgi0o0.209instance_name/clkout1_bufinstance_name/clkout1_bufILOGIC_X0Y5.CLK0net11.574FSBCLK-7.1492.079-5.070OUTtOUTt1ILOGIC_X0Y5.CLK0FSBCLKILOGIC_X0Y5.Q4Tickq1.778OUTt_OBUFOUTtM3.Onet12.308OUTt_OBUFM3.PADTioop1.982OUTtOUTt_OBUFOUTt3.7602.3086.06862.038.0Fastest Paths: COMP "OUTt" OFFSET = OUT 4 ns AFTER COMP "CLKIN"; -Paths for end point OUTt (M3.PAD), 1 path -0.213OUTtOUTt-3.036CLKINOUTt_OBUF3.663OUTt_OBUFOUTt4.000CLKINOUTt0.414CLKINOUTt4J4.PADJ4.ITiopi0.321CLKINCLKINinstance_name/clkin1_bufProtoComp0.IMUX.3BUFIO2_X0Y23.Inet10.000instance_name/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.122SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000instance_name/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.617instance_name/pll_base_inst/PLL_ADVinstance_name/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505instance_name/clkout0BUFGMUX_X3Y13.OTgi0o0.059instance_name/clkout1_bufinstance_name/clkout1_bufILOGIC_X0Y5.CLK0net11.574FSBCLK-5.1152.079-3.036OUTtOUTt1ILOGIC_X0Y5.CLK0FSBCLKILOGIC_X0Y5.Q4Tickq0.656OUTt_OBUFOUTtM3.Onet12.308OUTt_OBUFM3.PADTioop0.699OUTtOUTt_OBUFOUTt1.3552.3083.66337.063.00CLKINCPU_nAS9.880-5.650INt8.814-4.307CLKINCOMP "CPU_nAS" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN";CPU_nAS9.880-5.650COMP "INt" OFFSET = IN 10 ns VALID 11 ns BEFORE COMP "CLKIN";INt8.814-4.307COMP "OUTt" OFFSET = OUT 4 ns AFTER COMP "CLKIN";000030125.000200.0009.8801.412Fri Oct 29 10:25:28 2021 TraceTrace Settings +WarpLC_map.ncdWarpLC_map.ncdWarpLC.pcfWarpLC.pcfxc6slx9C-2PRODUCTION 1.23 2013-10-1313WARNING:Timing:3175 - CLKIN does not clock data from FSB_A<27>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<26>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<25>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<24>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<23>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<22>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<21>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<20>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<19>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<18>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<17>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<16>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<15>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<14>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<13>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<12>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<11>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<10>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<9>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<8>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisWARNING:Timing:3175 - CLKIN does not clock data from FSB_A<7>WARNING:Timing:3225 - Timing constraint COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; ignored during timing analysisINFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3284 - This timing report was generated using estimated delay information. For accurate numbers, please refer to the post Place and Route timing report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%;000000010.000Component Switching Limit Checks: TS_CLKIN = PERIOD TIMEGRP "CLKIN" 30 ns HIGH 50%;TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%;00000002.666Component Switching Limit Checks: TS_cg_pll_clkfbout = PERIOD TIMEGRP "cg_pll_clkfbout" TS_CLKIN HIGH 50%;TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%;60000603.649Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y62.D1), 1 path +11.351cg/CPUCLKrcg/CPUCLK_inst3.5330.00015.0000.116cg/CPUCLKrcg/CPUCLK_inst1SLICE_X14Y63.CLKFSBCLKSLICE_X14Y63.DQTcko0.476cg/CPUCLKrcg/CPUCLKrSLICE_X14Y63.D4net40.491cg/CPUCLKrSLICE_X14Y63.DTilo0.235cg/CPUCLKrl2pre/CPUCLKr_INV_15_o1_INV_0OLOGIC_X1Y62.D1net31.365l2pre/CPUCLKr_INV_15_oOLOGIC_X1Y62.CLK0Todck0.966CPUCLK_OBUFcg/CPUCLK_inst1.6771.8563.533FSBCLK47.552.5Paths for end point cg/CPUCLK_inst (OLOGIC_X1Y62.D2), 1 path +11.751cg/CPUCLKrcg/CPUCLK_inst3.1330.00015.0000.116cg/CPUCLKrcg/CPUCLK_inst1SLICE_X14Y63.CLKFSBCLKSLICE_X14Y63.DQTcko0.476cg/CPUCLKrcg/CPUCLKrSLICE_X14Y63.D4net40.491cg/CPUCLKrSLICE_X14Y63.DTilo0.235cg/CPUCLKrl2pre/CPUCLKr_INV_15_o1_INV_0OLOGIC_X1Y62.D2net31.100l2pre/CPUCLKr_INV_15_oOLOGIC_X1Y62.CLK0Todck0.831CPUCLK_OBUFcg/CPUCLK_inst1.5421.5913.133FSBCLK49.250.8Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y63.D1), 1 path +12.451cg/CPUCLKrcg/FPUCLK_inst2.4330.00015.0000.116cg/CPUCLKrcg/FPUCLK_inst0SLICE_X14Y63.CLKFSBCLKSLICE_X14Y63.DQTcko0.476cg/CPUCLKrcg/CPUCLKrOLOGIC_X11Y63.D1net40.991cg/CPUCLKrOLOGIC_X11Y63.CLK0Todck0.966FPUCLK_OBUFcg/FPUCLK_inst1.4420.9912.433FSBCLK59.340.7Hold Paths: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%; +Paths for end point cg/CPUCLKr (SLICE_X14Y63.SR), 1 path +0.577cg/CPUCLKrcg/CPUCLKr0.5770.0000.0000.000cg/CPUCLKrcg/CPUCLKr0SLICE_X14Y63.CLKFSBCLKSLICE_X14Y63.DQTcko0.200cg/CPUCLKrcg/CPUCLKrSLICE_X14Y63.SRnet40.376cg/CPUCLKrSLICE_X14Y63.CLKTcksr0.001cg/CPUCLKrcg/CPUCLKr0.2010.3760.577FSBCLK34.865.2Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y63.D2), 1 path +1.346cg/CPUCLKrcg/FPUCLK_inst1.3460.0000.0000.000cg/CPUCLKrcg/FPUCLK_inst0SLICE_X14Y63.CLKFSBCLKSLICE_X14Y63.DQTcko0.200cg/CPUCLKrcg/CPUCLKrOLOGIC_X11Y63.D2net40.991cg/CPUCLKrOLOGIC_X11Y63.CLK0Tockd0.155FPUCLK_OBUFcg/FPUCLK_inst0.3550.9911.346FSBCLK26.473.6Paths for end point cg/FPUCLK_inst (OLOGIC_X11Y63.D1), 1 path +1.521cg/CPUCLKrcg/FPUCLK_inst1.5210.0000.0000.000cg/CPUCLKrcg/FPUCLK_inst0SLICE_X14Y63.CLKFSBCLKSLICE_X14Y63.DQTcko0.200cg/CPUCLKrcg/CPUCLKrOLOGIC_X11Y63.D1net40.991cg/CPUCLKrOLOGIC_X11Y63.CLK0Tockd0.330FPUCLK_OBUFcg/FPUCLK_inst0.5300.9911.521FSBCLK34.865.2Component Switching Limit Checks: TS_cg_pll_clkout0 = PERIOD TIMEGRP "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%;COMP "FSB_A<27>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<26>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<25>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<24>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<23>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<22>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<21>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<20>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<19>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<18>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<17>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<16>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<15>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<14>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<13>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<12>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<11>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<10>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<9>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<8>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<7>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";0000000COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";10000109.606Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR9), 1 path +2.394FSB_A<6>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-4.891CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram12.000FSB_A<6>CLKIN0.296FSB_A<6>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1B15.PADB15.ITiopi1.557FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24RAMB8_X1Y31.ADDRBRDADDR9net132.462FSB_A_6_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.4624.419FSBCLK44.355.7CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.248cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-6.9702.079-4.891Hold Paths: COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR9), 1 path +5.962FSB_A<6>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-3.099CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.000FSB_A<6>CLKIN0.296FSB_A<6>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1B15.PADB15.ITiopi0.763FSB_A<6>FSB_A<6>FSB_A_6_IBUFProtoComp0.IMUX.24RAMB8_X1Y31.ADDRBRDADDR9net132.462FSB_A_6_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6972.4623.159FSBCLK22.177.9CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.738cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-5.1782.079-3.099COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";10000109.777Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR8), 1 path +2.223FSB_A<5>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-4.891CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram12.000FSB_A<5>CLKIN0.296FSB_A<5>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E12.PADE12.ITiopi1.557FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23RAMB8_X1Y31.ADDRBRDADDR8net132.633FSB_A_5_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.6334.590FSBCLK42.657.4CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.248cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-6.9702.079-4.891Hold Paths: COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR8), 1 path +6.133FSB_A<5>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-3.099CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.000FSB_A<5>CLKIN0.296FSB_A<5>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E12.PADE12.ITiopi0.763FSB_A<5>FSB_A<5>FSB_A_5_IBUFProtoComp0.IMUX.23RAMB8_X1Y31.ADDRBRDADDR8net132.633FSB_A_5_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6972.6333.330FSBCLK20.979.1CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.738cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-5.1782.079-3.099COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";10000109.445Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +2.555FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-4.891CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram12.000FSB_A<4>CLKIN0.296FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E13.PADE13.ITiopi1.557FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22RAMB8_X1Y31.ADDRBRDADDR7net132.301FSB_A_4_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.3014.258FSBCLK46.054.0CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.248cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-6.9702.079-4.891Hold Paths: COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR7), 1 path +5.801FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-3.099CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.000FSB_A<4>CLKIN0.296FSB_A<4>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1E13.PADE13.ITiopi0.763FSB_A<4>FSB_A<4>FSB_A_4_IBUFProtoComp0.IMUX.22RAMB8_X1Y31.ADDRBRDADDR7net132.301FSB_A_4_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6972.3012.998FSBCLK23.276.8CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.738cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-5.1782.079-3.099COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";10000109.381Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR6), 1 path +2.619FSB_A<3>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-4.891CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram12.000FSB_A<3>CLKIN0.296FSB_A<3>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1D12.PADD12.ITiopi1.557FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21RAMB8_X1Y31.ADDRBRDADDR6net132.237FSB_A_3_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.2374.194FSBCLK46.753.3CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.248cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-6.9702.079-4.891Hold Paths: COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR6), 1 path +5.737FSB_A<3>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-3.099CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.000FSB_A<3>CLKIN0.296FSB_A<3>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1D12.PADD12.ITiopi0.763FSB_A<3>FSB_A<3>FSB_A_3_IBUFProtoComp0.IMUX.21RAMB8_X1Y31.ADDRBRDADDR6net132.237FSB_A_3_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6972.2372.934FSBCLK23.876.2CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.738cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-5.1782.079-3.099COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";10000109.717Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR5), 1 path +2.283FSB_A<2>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-4.891CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram12.000FSB_A<2>CLKIN0.296FSB_A<2>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1D11.PADD11.ITiopi1.557FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20RAMB8_X1Y31.ADDRBRDADDR5net132.573FSB_A_2_IBUFRAMB8_X1Y31.CLKBRDCLKTrcck_ADDRB0.400l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1.9572.5734.530FSBCLK43.256.8CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.902CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.179SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-8.248cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.197cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-6.9702.079-4.891Hold Paths: COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN"; +Paths for end point l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram (RAMB8_X1Y31.ADDRBRDADDR5), 1 path +6.073FSB_A<2>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram-3.099CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.000FSB_A<2>CLKIN0.296FSB_A<2>l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram1D11.PADD11.ITiopi0.763FSB_A<2>FSB_A<2>FSB_A_2_IBUFProtoComp0.IMUX.20RAMB8_X1Y31.ADDRBRDADDR5net132.573FSB_A_2_IBUFRAMB8_X1Y31.CLKBRDCLKTrckc_ADDRB-0.066l2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.raml2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram0.6972.5733.270FSBCLK21.378.7CLKINl2pre/Way0Data/U0/xst_blk_mem_generator/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram4J4.PADJ4.ITiopi0.367CLKINCLKINcg/pll/clkin1_bufProtoComp0.IMUXBUFIO2_X0Y23.Inet10.000cg/pll/clkin1BUFIO2_X0Y23.DIVCLKTbufcko_DIVCLK0.130SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0SP6_BUFIO2_INSERT_PLL1_ML_BUFIO2_0PLL_ADV_X0Y1.CLKIN1net10.000cg/pll/pll_base_inst/PLL_ADV_ML_NEW_DIVCLKPLL_ADV_X0Y1.CLKOUT0Tpllcko_CLK-5.738cg/pll/pll_base_inst/PLL_ADVcg/pll/pll_base_inst/PLL_ADVBUFGMUX_X3Y13.I0net10.505cg/pll/clkout0BUFGMUX_X3Y13.OTgi0o0.063cg/pll/clkout1_bufcg/pll/clkout1_bufRAMB8_X1Y31.CLKBRDCLKnet171.574FSBCLK-5.1782.079-3.0990CLKINFSB_A<2>9.717-6.073FSB_A<3>9.381-5.737FSB_A<4>9.445-5.801FSB_A<5>9.777-6.133FSB_A<6>9.606-5.962CLKINCLKIN3.649COMP "FSB_A<6>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<6>9.606-5.962COMP "FSB_A<5>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<5>9.777-6.133COMP "FSB_A<4>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<4>9.445-5.801COMP "FSB_A<3>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<3>9.381-5.737COMP "FSB_A<2>" OFFSET = IN 12 ns VALID 12 ns BEFORE COMP "CLKIN";FSB_A<2>9.717-6.07300001102410.000100.0009.777Sun Oct 31 14:40:54 2021 TraceTrace Settings -Peak Memory Usage: 167 MB +Peak Memory Usage: 213 MB diff --git a/fpga/WarpLC_summary.html b/fpga/WarpLC_summary.html index f5c2012..15201ee 100644 --- a/fpga/WarpLC_summary.html +++ b/fpga/WarpLC_summary.html @@ -2,7 +2,7 @@ - + @@ -19,13 +19,12 @@ - + - + @@ -60,13 +59,13 @@ System Settings - + - + @@ -90,31 +89,31 @@ System Settings - + - + - + - + - + @@ -126,87 +125,99 @@ System Settings - + - + - + + + + + + + - - - - - - - + - + + + + + + + + + + + + + - + - + - + - - - + + + - - - + + + - - - + + + - + - + - + - + @@ -222,9 +233,9 @@ System Settings - + - + @@ -390,7 +401,7 @@ System Settings - + @@ -427,20 +438,21 @@ System Settings - - - - + + + + - +
WarpLC Project Status (10/29/2021 - 17:39:15)
ClkGen Project Status (10/31/2021 - 15:38:40)
Project File: WarpLC.xiseTarget Device: xc6slx9-2ftg256
  • Errors:
-No Errors 
Product Version:ISE 14.7
  • Warnings:
7 Warnings (0 new) 
Design Goal: Slice Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Registers341 11,440 1%  
    Number used as Flip Flops341        
Number of Slice LUTs1733 5,720 1%  
    Number used as logic139 5,720 1%  
        Number using O6 output only118      
        Number using O5 output only01      
        Number using O5 and O620        
    Number used as Memory024 1,4400%1%  
    Number used exclusively as route-thrus
        Number used as Dual Port RAM24   
            Number using O6 output only 4      
        Number with same-slice register load4   
        Number with same-slice carry load
            Number using O5 output only 0      
        Number with other load
            Number using O5 and O620   
        Number used as Single Port RAM0   
        Number used as Shift Register 0      
Number of occupied Slices119 1,430 1%  
Number of MUXCYs used128 2,860 1%  
Number of LUT Flip Flop pairs used4133      
    Number with an unused Flip Flop114126%323396%  
    Number with an unused LUT244158%0330%  
    Number of fully used LUT-FF pairs64114%1333%  
    Number of unique control sets12      
    Number of slice register sites lost
        to control set restrictions
611 11,440 1%  
Number of bonded IOBs4366 18623%35%  
    IOB Flip Flops  
Number of RAMB8BWERs01 640%1%  
Number of BUFIO2/BUFIO2_2CLKs  
Average Fanout of Non-Clock Nets1.411.67      
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentSat Oct 30 17:25:53 202107 Warnings (0 new)2 Infos (0 new)
Translation ReportCurrentSat Oct 30 17:25:53 2021001 Info (0 new)
Map ReportCurrentSat Oct 30 17:25:53 2021006 Infos (0 new)
Place and Route ReportCurrentSat Oct 30 17:25:53 2021000
Synthesis ReportCurrentSun Oct 31 15:37:53 2021017 Warnings (0 new)3 Infos (0 new)
Translation ReportCurrentSun Oct 31 15:37:59 202109 Warnings (0 new)2 Infos (0 new)
Map ReportCurrentSun Oct 31 15:38:27 2021   
Place and Route ReportCurrentSun Oct 31 15:38:33 2021001 Info (0 new)
Power Report     
Post-PAR Static Timing ReportCurrentSat Oct 30 17:25:53 2021003 Infos (0 new)
Post-PAR Static Timing ReportCurrentSun Oct 31 15:38:38 2021003 Infos (0 new)
Bitgen Report     
 
- + +
Secondary Reports [-]
Report NameStatusGenerated
Post-Map Static Timing ReportOut of DateSat Oct 30 17:25:53 2021
Post-Map Static Timing ReportOut of DateSun Oct 31 14:40:54 2021
Physical Synthesis ReportOut of DateSun Oct 31 15:38:26 2021
-
Date Generated: 10/30/2021 - 17:26:36
+
Date Generated: 10/31/2021 - 15:38:40
\ No newline at end of file diff --git a/fpga/WarpLC_summary.xml b/fpga/WarpLC_summary.xml new file mode 100644 index 0000000..45e1373 --- /dev/null +++ b/fpga/WarpLC_summary.xml @@ -0,0 +1,10 @@ + + + + + + diff --git a/fpga/WarpLC_usage.xml b/fpga/WarpLC_usage.xml new file mode 100644 index 0000000..fdcbe3a --- /dev/null +++ b/fpga/WarpLC_usage.xml @@ -0,0 +1,73 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/WarpLC_xst.xrpt b/fpga/WarpLC_xst.xrpt index 4fe86d0..91bcdbb 100644 --- a/fpga/WarpLC_xst.xrpt +++ b/fpga/WarpLC_xst.xrpt @@ -1,18 +1,18 @@ - + - +
- + @@ -36,16 +36,16 @@
- - + + - + - - + +
@@ -56,13 +56,13 @@ - + - + @@ -109,25 +109,20 @@
- - - - - - + + +
- - - - - + + +
- - + +
@@ -140,42 +135,46 @@
- - + + - - - - + + + - - + + + + - - + + - +
- - - - - - - - - - + + + + + + + + + + + + +
@@ -183,8 +182,8 @@
- - + +
diff --git a/fpga/_ngo/netlist.lst b/fpga/_ngo/netlist.lst index 630f97b..3c69daa 100644 --- a/fpga/_ngo/netlist.lst +++ b/fpga/_ngo/netlist.lst @@ -1,2 +1,4 @@ -C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\WarpLC.ngc 1635544779 +C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.ngc 1635709073 +ipcore_dir/PrefetchTagRAM.ngc 1635704546 +ipcore_dir/PrefetchDataRAM.ngc 1635685742 OK diff --git a/fpga/_xmsgs/map.xmsgs b/fpga/_xmsgs/map.xmsgs index 3a413e8..f1db959 100644 --- a/fpga/_xmsgs/map.xmsgs +++ b/fpga/_xmsgs/map.xmsgs @@ -5,10 +5,22 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -No environment variables are currently set. +Map is running with the multi-threading option on. Map currently supports the use of up to 2 processors. Based on the the user options and machine load, Map will use 2 processors during this run. -Net Timing constraints on signal CLKIN are pushed forward through input buffer. +Logical network FSB_A<31> has no load. + + +The above info message is repeated 54 more times for the following (max. 5 shown): +FSB_A<30>, +FSB_A<29>, +FSB_A<28>, +FSB_A<1>, +FSB_A<0> +To see the details of these info messages, please use the -detail switch. + + +No environment variables are currently set. Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) @@ -23,5 +35,8 @@ Map created a placed design. +This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999. + + diff --git a/fpga/_xmsgs/ngdbuild.xmsgs b/fpga/_xmsgs/ngdbuild.xmsgs index 318499a..e3635a7 100644 --- a/fpga/_xmsgs/ngdbuild.xmsgs +++ b/fpga/_xmsgs/ngdbuild.xmsgs @@ -5,7 +5,39 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -The Period constraint <NET CLKIN PERIOD = 30ns HIGH;> [PLL.ucf(3)], is specified using the Net Period method which is not recommended. Please use the Timespec PERIOD method. +Constraint <NET "CPU_nAS" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/CPU_nAS' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_SIZ<0>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_SIZ<0>' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_SIZ<1>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_SIZ<1>' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_A<0>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_A<0>' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_A<1>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_A<1>' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_A<28>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_A<28>' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_A<29>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_A<29>' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_A<30>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_A<30>' because those design objects do not contain or drive any instances of the correct type. + + +Constraint <NET "FSB_A<31>" IOBDELAY = NONE>: This constraint cannot be distributed from the design objects matching 'NET: UniqueName: /WarpLC/EXPANDED/FSB_A<31>' because those design objects do not contain or drive any instances of the correct type. + + +TNM 'CLKIN', used in period specification 'TS_CLKIN', was traced into PLL_ADV instance PLL_ADV. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +CLKFBOUT: <TIMESPEC TS_cg_pll_clkfbout = PERIOD "cg_pll_clkfbout" TS_CLKIN HIGH 50%> + + +TNM 'CLKIN', used in period specification 'TS_CLKIN', was traced into PLL_ADV instance PLL_ADV. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +CLKOUT0: <TIMESPEC TS_cg_pll_clkout0 = PERIOD "cg_pll_clkout0" TS_CLKIN / 2 HIGH 50%> diff --git a/fpga/_xmsgs/par.xmsgs b/fpga/_xmsgs/par.xmsgs index f84336a..d069575 100644 --- a/fpga/_xmsgs/par.xmsgs +++ b/fpga/_xmsgs/par.xmsgs @@ -5,5 +5,9 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> + +Extra Effort Level "c"ontinue is not a runtime optimized effort level. It is intended to be used for designs that are not meeting timing but where the designer wants the tools to continue iterating on the design until no further design speed improvements are possible. This can result in very long runtimes since the tools will continue improving the design even if the time specs can not be met. If you are looking for the best possible design speed available from a long but reasonable runtime use Extra Effort Level "n"ormal. It will stop iterating on the design when the design speed improvements have shrunk to the point that the time specs are not expected to be met. + + diff --git a/fpga/_xmsgs/pn_parser.xmsgs b/fpga/_xmsgs/pn_parser.xmsgs index 38798db..3c04736 100644 --- a/fpga/_xmsgs/pn_parser.xmsgs +++ b/fpga/_xmsgs/pn_parser.xmsgs @@ -11,8 +11,5 @@ Analyzing Verilog file "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/PrefetchBuf.v" into library work -"C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/PrefetchBuf.v" Line 36. Syntax error near ")". - - diff --git a/fpga/_xmsgs/trce.xmsgs b/fpga/_xmsgs/trce.xmsgs index b8e4b55..551d88e 100644 --- a/fpga/_xmsgs/trce.xmsgs +++ b/fpga/_xmsgs/trce.xmsgs @@ -5,9 +5,9 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -To improve timing, see the Timing Closure User Guide (UG612). +Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. -To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. +To improve timing, see the Timing Closure User Guide (UG612). The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. diff --git a/fpga/_xmsgs/xst.xmsgs b/fpga/_xmsgs/xst.xmsgs index f585de3..67ce101 100644 --- a/fpga/_xmsgs/xst.xmsgs +++ b/fpga/_xmsgs/xst.xmsgs @@ -5,28 +5,61 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 128: Assignment to clkout1_unused ignored, since the identifier is never used +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ClkGen.v" Line 32: Port LOCKED is not connected to this instance -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 129: Assignment to clkout2_unused ignored, since the identifier is never used +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 129: Assignment to clkout1_unused ignored, since the identifier is never used -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 130: Assignment to clkout3_unused ignored, since the identifier is never used +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 130: Assignment to clkout2_unused ignored, since the identifier is never used -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 131: Assignment to clkout4_unused ignored, since the identifier is never used +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 131: Assignment to clkout3_unused ignored, since the identifier is never used -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 132: Assignment to clkout5_unused ignored, since the identifier is never used +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 132: Assignment to clkout4_unused ignored, since the identifier is never used -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\ipcore_dir\CLK.v" Line 133: Assignment to locked_unused ignored, since the identifier is never used +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PLL.v" Line 133: Assignment to clkout5_unused ignored, since the identifier is never used -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\WarpLC.v" Line 84: Assignment to CPUCLKr ignored, since the identifier is never used +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v" Line 94: Assignment to FSB_B ignored, since the identifier is never used -"C:\Users\zanek\Documents\GitHub\Warp-LC\fpga\WarpLC.v" line 79: Output port <CPUCLKr> of the instance <CLKGEN_inst> is unconnected or connected to loadless signal. +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PrefetchTagRAM.v" Line 39: Empty module <PrefetchTagRAM> remains a black box. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v" Line 33: Size mismatch in connection of port <a>. Formal port size is 5-bit while actual signal size is 7-bit. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v" Line 36: Size mismatch in connection of port <dpra>. Formal port size is 5-bit while actual signal size is 7-bit. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\PrefetchDataRAM.v" Line 39: Empty module <PrefetchDataRAM> remains a black box. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\PrefetchBuf.v" Line 44: Size mismatch in connection of port <addra>. Formal port size is 7-bit while actual signal size is 5-bit. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v" line 91. All outputs of instance <sd> of block <SizeDecode> are unconnected in block <WarpLC>. Underlying logic will be removed. + + +Input <FSB_A<31:29>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <CPU_nAS> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\WarpLC.v" line 91: Output port <B> of the instance <sd> is unconnected or connected to loadless signal. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ClkGen.v" line 32: Output port <LOCKED> of the instance <pll> is unconnected or connected to loadless signal. + + +Input <RDA<28:28>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <WRA<28:28>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Instance pll_base_inst in unit pll_base_inst of type PLL_BASE has been replaced by PLL_ADV diff --git a/fpga/ipcore_dir/CLK/implement/xst.prj b/fpga/ipcore_dir/CLK/implement/xst.prj deleted file mode 100644 index 5f6e4f5..0000000 --- a/fpga/ipcore_dir/CLK/implement/xst.prj +++ /dev/null @@ -1,2 +0,0 @@ -verilog work ../../CLK.v -verilog work ../example_design/CLK_exdes.v diff --git a/fpga/ipcore_dir/CLK/simulation/functional/vcs_session.tcl b/fpga/ipcore_dir/CLK/simulation/functional/vcs_session.tcl deleted file mode 100644 index d96eeac..0000000 --- a/fpga/ipcore_dir/CLK/simulation/functional/vcs_session.tcl +++ /dev/null @@ -1,15 +0,0 @@ -gui_open_window Wave -gui_sg_create CLK_group -gui_list_add_group -id Wave.1 {CLK_group} -gui_sg_addsignal -group CLK_group {CLK_tb.test_phase} -gui_set_radix -radix {ascii} -signals {CLK_tb.test_phase} -gui_sg_addsignal -group CLK_group {{Input_clocks}} -divider -gui_sg_addsignal -group CLK_group {CLK_tb.CLK_IN1} -gui_sg_addsignal -group CLK_group {{Output_clocks}} -divider -gui_sg_addsignal -group CLK_group {CLK_tb.dut.clk} -gui_list_expand -id Wave.1 CLK_tb.dut.clk -gui_sg_addsignal -group CLK_group {{Counters}} -divider -gui_sg_addsignal -group CLK_group {CLK_tb.COUNT} -gui_sg_addsignal -group CLK_group {CLK_tb.dut.counter} -gui_list_expand -id Wave.1 CLK_tb.dut.counter -gui_zoom -window Wave.1 -full diff --git a/fpga/ipcore_dir/CLK_flist.txt b/fpga/ipcore_dir/CLK_flist.txt deleted file mode 100644 index b82c289..0000000 --- a/fpga/ipcore_dir/CLK_flist.txt +++ /dev/null @@ -1,55 +0,0 @@ -# Output products list for -CLK.asy -CLK.gise -CLK.sym -CLK.ucf -CLK.v -CLK.veo -CLK.xco -CLK.xdc -CLK.xise -CLK\clk_wiz_v3_6_readme.txt -CLK\doc\clk_wiz_v3_6_readme.txt -CLK\doc\clk_wiz_v3_6_vinfo.html -CLK\doc\pg065_clk_wiz.pdf -CLK\example_design\CLK_exdes.ucf -CLK\example_design\CLK_exdes.v -CLK\example_design\CLK_exdes.xdc -CLK\implement\implement.bat -CLK\implement\implement.sh -CLK\implement\planAhead_ise.bat -CLK\implement\planAhead_ise.sh -CLK\implement\planAhead_ise.tcl -CLK\implement\planAhead_rdn.bat -CLK\implement\planAhead_rdn.sh -CLK\implement\planAhead_rdn.tcl -CLK\implement\xst.prj -CLK\implement\xst.scr -CLK\simulation\CLK_tb.v -CLK\simulation\functional\simcmds.tcl -CLK\simulation\functional\simulate_isim.bat -CLK\simulation\functional\simulate_isim.sh -CLK\simulation\functional\simulate_mti.bat -CLK\simulation\functional\simulate_mti.do -CLK\simulation\functional\simulate_mti.sh -CLK\simulation\functional\simulate_ncsim.sh -CLK\simulation\functional\simulate_vcs.sh -CLK\simulation\functional\ucli_commands.key -CLK\simulation\functional\vcs_session.tcl -CLK\simulation\functional\wave.do -CLK\simulation\functional\wave.sv -CLK\simulation\timing\CLK_tb.v -CLK\simulation\timing\sdf_cmd_file -CLK\simulation\timing\simcmds.tcl -CLK\simulation\timing\simulate_isim.sh -CLK\simulation\timing\simulate_mti.bat -CLK\simulation\timing\simulate_mti.do -CLK\simulation\timing\simulate_mti.sh -CLK\simulation\timing\simulate_ncsim.sh -CLK\simulation\timing\simulate_vcs.sh -CLK\simulation\timing\ucli_commands.key -CLK\simulation\timing\vcs_session.tcl -CLK\simulation\timing\wave.do -CLK_flist.txt -CLK_xmdf.tcl -_xmsgs\pn_parser.xmsgs diff --git a/fpga/ipcore_dir/CLK.asy b/fpga/ipcore_dir/PLL.asy similarity index 78% rename from fpga/ipcore_dir/CLK.asy rename to fpga/ipcore_dir/PLL.asy index 97dcfae..ad71efa 100644 --- a/fpga/ipcore_dir/CLK.asy +++ b/fpga/ipcore_dir/PLL.asy @@ -1,6 +1,6 @@ Version 4 SymbolType BLOCK -TEXT 32 32 LEFT 4 CLK +TEXT 32 32 LEFT 4 PLL RECTANGLE Normal 32 32 576 1088 LINE Normal 0 80 32 80 PIN 0 80 LEFT 36 @@ -18,4 +18,8 @@ LINE Normal 608 752 576 752 PIN 608 752 RIGHT 36 PINATTR PinName clkfb_out PINATTR Polarity OUT +LINE Normal 608 976 576 976 +PIN 608 976 RIGHT 36 +PINATTR PinName locked +PINATTR Polarity OUT diff --git a/fpga/ipcore_dir/CLK.gise b/fpga/ipcore_dir/PLL.gise similarity index 65% rename from fpga/ipcore_dir/CLK.gise rename to fpga/ipcore_dir/PLL.gise index a69e8d8..8855d57 100644 --- a/fpga/ipcore_dir/CLK.gise +++ b/fpga/ipcore_dir/PLL.gise @@ -19,31 +19,31 @@ 11.1 - + - - + + - + - + - + - + - + diff --git a/fpga/ipcore_dir/CLK.ncf b/fpga/ipcore_dir/PLL.ncf similarity index 96% rename from fpga/ipcore_dir/CLK.ncf rename to fpga/ipcore_dir/PLL.ncf index 664a529..e28b9c4 100644 --- a/fpga/ipcore_dir/CLK.ncf +++ b/fpga/ipcore_dir/PLL.ncf @@ -1,4 +1,4 @@ -# file: CLK.ucf +# file: PLL.ucf # # (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. # @@ -51,7 +51,7 @@ # input clocks. You can use these to time your system #---------------------------------------------------------------- NET "CLK_IN1" TNM_NET = "CLK_IN1"; -TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 30.0 ns HIGH 50% INPUT_JITTER 250.0ps; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 30.000 ns HIGH 50% INPUT_JITTER 300.0ps; # Constraints for external feedback. diff --git a/fpga/ipcore_dir/CLK.sym b/fpga/ipcore_dir/PLL.sym similarity index 73% rename from fpga/ipcore_dir/CLK.sym rename to fpga/ipcore_dir/PLL.sym index 894b463..6d53c0a 100644 --- a/fpga/ipcore_dir/CLK.sym +++ b/fpga/ipcore_dir/PLL.sym @@ -1,13 +1,14 @@ - + BLOCK - 2021-10-29T21:49:45 + 2021-10-31T17:58:31 + - CLK + PLL @@ -17,5 +18,7 @@ + + diff --git a/fpga/ipcore_dir/CLK.ucf b/fpga/ipcore_dir/PLL.ucf similarity index 96% rename from fpga/ipcore_dir/CLK.ucf rename to fpga/ipcore_dir/PLL.ucf index 3a57aec..e43ec9b 100644 --- a/fpga/ipcore_dir/CLK.ucf +++ b/fpga/ipcore_dir/PLL.ucf @@ -1,4 +1,4 @@ -# file: CLK.ucf +# file: PLL.ucf # # (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. # @@ -51,7 +51,7 @@ # input clocks. You can use these to time your system #---------------------------------------------------------------- NET "CLK_IN1" TNM_NET = "CLK_IN1"; -TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 30.0 ns HIGH 50% INPUT_JITTER 250.0ps; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 30.000 ns HIGH 50% INPUT_JITTER 300.0ps; # Constraints for external feedback. diff --git a/fpga/ipcore_dir/CLK.v b/fpga/ipcore_dir/PLL.v similarity index 84% rename from fpga/ipcore_dir/CLK.v rename to fpga/ipcore_dir/PLL.v index be673fe..57d41cd 100644 --- a/fpga/ipcore_dir/CLK.v +++ b/fpga/ipcore_dir/PLL.v @@ -1,4 +1,4 @@ -// file: CLK.v +// file: PLL.v // // (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. // @@ -55,23 +55,25 @@ // "Output Output Phase Duty Pk-to-Pk Phase" // "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" //---------------------------------------------------------------------------- -// CLK_OUT1____66.667______0.000______50.0______300.590____267.927 +// CLK_OUT1____66.667______0.000______50.0______252.559____182.342 // //---------------------------------------------------------------------------- // "Input Clock Freq (MHz) Input Jitter (UI)" //---------------------------------------------------------------------------- -// __primary_________33.3333___________0.00833333333333 +// __primary_________33.3333____________0.010 `timescale 1ps/1ps -(* CORE_GENERATION_INFO = "CLK,clk_wiz_v3_6,{component_name=CLK,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO_OFFCHIP,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=30.0,clkin2_period=30.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}" *) -module CLK +(* CORE_GENERATION_INFO = "PLL,clk_wiz_v3_6,{component_name=PLL,use_phase_alignment=true,use_min_o_jitter=true,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO_OFFCHIP,primtype_sel=PLL_BASE,num_out_clk=1,clkin1_period=30.000,clkin2_period=30.000,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}" *) +module PLL (// Clock in ports input CLKIN, input CLKFB_IN, // Clock out ports output FSBCLK, - output CLKFB_OUT + output CLKFB_OUT, + // Status and control signals + output LOCKED ); // Input buffering @@ -100,7 +102,6 @@ module CLK // * Unused outputs are labeled unused wire [15:0] do_unused; wire drdy_unused; - wire locked_unused; wire clkfbout; wire clkfbout_buf; wire clkout1_unused; @@ -110,17 +111,17 @@ module CLK wire clkout5_unused; PLL_BASE - #(.BANDWIDTH ("OPTIMIZED"), + #(.BANDWIDTH ("HIGH"), .CLK_FEEDBACK ("CLKFBOUT"), .COMPENSATION ("EXTERNAL"), .DIVCLK_DIVIDE (1), - .CLKFBOUT_MULT (12), + .CLKFBOUT_MULT (28), .CLKFBOUT_PHASE (0.000), - .CLKOUT0_DIVIDE (6), + .CLKOUT0_DIVIDE (14), .CLKOUT0_PHASE (0.000), .CLKOUT0_DUTY_CYCLE (0.500), - .CLKIN_PERIOD (30.0), - .REF_JITTER (0.008)) + .CLKIN_PERIOD (30.000), + .REF_JITTER (0.010)) pll_base_inst // Output clocks (.CLKFBOUT (clkfbout), @@ -130,7 +131,8 @@ module CLK .CLKOUT3 (clkout3_unused), .CLKOUT4 (clkout4_unused), .CLKOUT5 (clkout5_unused), - .LOCKED (locked_unused), + // Status and control signals + .LOCKED (LOCKED), .RST (1'b0), // Input clock control .CLKFBIN (clkfb_in_buf_out), diff --git a/fpga/ipcore_dir/CLK.veo b/fpga/ipcore_dir/PLL.veo similarity index 93% rename from fpga/ipcore_dir/CLK.veo rename to fpga/ipcore_dir/PLL.veo index 0f5e37c..13bc9d8 100644 --- a/fpga/ipcore_dir/CLK.veo +++ b/fpga/ipcore_dir/PLL.veo @@ -54,12 +54,12 @@ // "Output Output Phase Duty Pk-to-Pk Phase" // "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" //---------------------------------------------------------------------------- -// CLK_OUT1____66.667______0.000______50.0______300.590____267.927 +// CLK_OUT1____66.667______0.000______50.0______252.559____182.342 // //---------------------------------------------------------------------------- // "Input Clock Freq (MHz) Input Jitter (UI)" //---------------------------------------------------------------------------- -// __primary_________33.3333___________0.00833333333333 +// __primary_________33.3333____________0.010 // The following must be inserted into your Verilog file for this // core to be instantiated. Change the instance name and port connections @@ -67,11 +67,13 @@ //----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG - CLK instance_name + PLL instance_name (// Clock in ports .CLKIN(CLKIN), // IN .CLKFB_IN(CLKFB_IN), // IN // Clock out ports .FSBCLK(FSBCLK), // OUT - .CLKFB_OUT(CLKFB_OUT)); // OUT + .CLKFB_OUT(CLKFB_OUT), // OUT + // Status and control signals + .LOCKED(LOCKED)); // OUT // INST_TAG_END ------ End INSTANTIATION Template --------- diff --git a/fpga/ipcore_dir/CLK.xco b/fpga/ipcore_dir/PLL.xco similarity index 83% rename from fpga/ipcore_dir/CLK.xco rename to fpga/ipcore_dir/PLL.xco index 69a24b6..b81c18e 100644 --- a/fpga/ipcore_dir/CLK.xco +++ b/fpga/ipcore_dir/PLL.xco @@ -1,7 +1,7 @@ ############################################################## # # Xilinx Core Generator version 14.7 -# Date: Fri Oct 29 21:49:29 2021 +# Date: Sun Oct 31 17:58:14 2021 # ############################################################## # @@ -43,13 +43,13 @@ CSET calc_done=DONE CSET clk_in_sel_port=CLK_IN_SEL CSET clk_out1_port=FSBCLK CSET clk_out1_use_fine_ps_gui=false -CSET clk_out2_port=RAMCLK +CSET clk_out2_port=CLK_OUT2 CSET clk_out2_use_fine_ps_gui=false -CSET clk_out3_port=CPUCLK +CSET clk_out3_port=CLK_OUT3 CSET clk_out3_use_fine_ps_gui=false -CSET clk_out4_port=C80M +CSET clk_out4_port=CLK_OUT4 CSET clk_out4_use_fine_ps_gui=false -CSET clk_out5_port=C50M +CSET clk_out5_port=CLK_OUT5 CSET clk_out5_use_fine_ps_gui=false CSET clk_out6_port=CLK_OUT6 CSET clk_out6_use_fine_ps_gui=false @@ -64,66 +64,66 @@ CSET clkfb_out_n_port=CLKFB_OUT_N CSET clkfb_out_p_port=CLKFB_OUT_P CSET clkfb_out_port=CLKFB_OUT CSET clkfb_stopped_port=CLKFB_STOPPED -CSET clkin1_jitter_ps=250.0 -CSET clkin1_ui_jitter=250.000 -CSET clkin2_jitter_ps=40.0 -CSET clkin2_ui_jitter=100.000 +CSET clkin1_jitter_ps=300.0 +CSET clkin1_ui_jitter=0.010 +CSET clkin2_jitter_ps=100.0 +CSET clkin2_ui_jitter=0.010 CSET clkout1_drives=BUFG CSET clkout1_requested_duty_cycle=50.0 CSET clkout1_requested_out_freq=66.667 CSET clkout1_requested_phase=0.000 CSET clkout2_drives=BUFG CSET clkout2_requested_duty_cycle=50.0 -CSET clkout2_requested_out_freq=33.3333 -CSET clkout2_requested_phase=0 +CSET clkout2_requested_out_freq=33.333 +CSET clkout2_requested_phase=0.000 CSET clkout2_used=false CSET clkout3_drives=BUFG CSET clkout3_requested_duty_cycle=50.0 -CSET clkout3_requested_out_freq=33.3333 -CSET clkout3_requested_phase=-72 +CSET clkout3_requested_out_freq=100.000 +CSET clkout3_requested_phase=0.000 CSET clkout3_used=false CSET clkout4_drives=BUFG CSET clkout4_requested_duty_cycle=50.0 -CSET clkout4_requested_out_freq=33.3333 -CSET clkout4_requested_phase=0 +CSET clkout4_requested_out_freq=100.000 +CSET clkout4_requested_phase=0.000 CSET clkout4_used=false CSET clkout5_drives=BUFG CSET clkout5_requested_duty_cycle=50.0 -CSET clkout5_requested_out_freq=33.3333 +CSET clkout5_requested_out_freq=100.000 CSET clkout5_requested_phase=0.000 CSET clkout5_used=false CSET clkout6_drives=BUFG CSET clkout6_requested_duty_cycle=50.0 -CSET clkout6_requested_out_freq=33.3333 +CSET clkout6_requested_out_freq=100.000 CSET clkout6_requested_phase=0.000 CSET clkout6_used=false CSET clkout7_drives=BUFG CSET clkout7_requested_duty_cycle=50.0 -CSET clkout7_requested_out_freq=33.3333 +CSET clkout7_requested_out_freq=100.000 CSET clkout7_requested_phase=0.000 CSET clkout7_used=false CSET clock_mgr_type=MANUAL -CSET component_name=CLK +CSET component_name=PLL CSET daddr_port=DADDR CSET dclk_port=DCLK -CSET dcm_clk_feedback=1X -CSET dcm_clk_out1_port=CLK0 -CSET dcm_clk_out2_port=CLK2X +CSET dcm_clk_feedback=2X +CSET dcm_clk_out1_port=CLK2X +CSET dcm_clk_out2_port=CLK0 CSET dcm_clk_out3_port=CLKFX -CSET dcm_clk_out4_port=CLKFX -CSET dcm_clk_out5_port=CLKFX +CSET dcm_clk_out4_port=CLK0 +CSET dcm_clk_out5_port=CLK0 CSET dcm_clk_out6_port=CLK0 CSET dcm_clkdv_divide=2.0 CSET dcm_clkfx_divide=1 CSET dcm_clkfx_multiply=4 CSET dcm_clkgen_clk_out1_port=CLKFX CSET dcm_clkgen_clk_out2_port=CLKFX -CSET dcm_clkgen_clk_out3_port=CLKFX180 -CSET dcm_clkgen_clkfx_divide=2 +CSET dcm_clkgen_clk_out3_port=CLKFX +CSET dcm_clkgen_clkfx_divide=1 CSET dcm_clkgen_clkfx_md_max=0.000 -CSET dcm_clkgen_clkfx_multiply=2 +CSET dcm_clkgen_clkfx_multiply=4 CSET dcm_clkgen_clkfxdv_divide=2 -CSET dcm_clkgen_clkin_period=30.303 +CSET dcm_clkgen_clkin_period=10.000 CSET dcm_clkgen_notes=None CSET dcm_clkgen_spread_spectrum=NONE CSET dcm_clkgen_startup_wait=false @@ -144,10 +144,10 @@ CSET feedback_source=FDBK_AUTO_OFFCHIP CSET in_freq_units=Units_MHz CSET in_jitter_units=Units_UI CSET input_clk_stopped_port=INPUT_CLK_STOPPED -CSET jitter_options=PS -CSET jitter_sel=No_Jitter +CSET jitter_options=UI +CSET jitter_sel=Min_O_Jitter CSET locked_port=LOCKED -CSET mmcm_bandwidth=OPTIMIZED +CSET mmcm_bandwidth=HIGH CSET mmcm_clkfbout_mult_f=4.000 CSET mmcm_clkfbout_phase=0.000 CSET mmcm_clkfbout_use_fine_ps=false @@ -195,24 +195,24 @@ CSET override_dcm_clkgen=false CSET override_mmcm=false CSET override_pll=false CSET platform=nt -CSET pll_bandwidth=OPTIMIZED +CSET pll_bandwidth=HIGH CSET pll_clk_feedback=CLKFBOUT -CSET pll_clkfbout_mult=12 +CSET pll_clkfbout_mult=28 CSET pll_clkfbout_phase=0.000 -CSET pll_clkin_period=30.0 -CSET pll_clkout0_divide=6 +CSET pll_clkin_period=30.000 +CSET pll_clkout0_divide=14 CSET pll_clkout0_duty_cycle=0.500 CSET pll_clkout0_phase=0.000 -CSET pll_clkout1_divide=10 +CSET pll_clkout1_divide=12 CSET pll_clkout1_duty_cycle=0.500 CSET pll_clkout1_phase=0.000 -CSET pll_clkout2_divide=10 +CSET pll_clkout2_divide=4 CSET pll_clkout2_duty_cycle=0.500 -CSET pll_clkout2_phase=-72.000 -CSET pll_clkout3_divide=10 +CSET pll_clkout2_phase=0.000 +CSET pll_clkout3_divide=1 CSET pll_clkout3_duty_cycle=0.500 CSET pll_clkout3_phase=0.000 -CSET pll_clkout4_divide=16 +CSET pll_clkout4_divide=1 CSET pll_clkout4_duty_cycle=0.500 CSET pll_clkout4_phase=0.000 CSET pll_clkout5_divide=1 @@ -221,10 +221,10 @@ CSET pll_clkout5_phase=0.000 CSET pll_compensation=EXTERNAL CSET pll_divclk_divide=1 CSET pll_notes=None -CSET pll_ref_jitter=0.008 +CSET pll_ref_jitter=0.010 CSET power_down_port=POWER_DOWN CSET prim_in_freq=33.3333 -CSET prim_in_jitter=0.00833333333333 +CSET prim_in_jitter=0.010 CSET prim_source=Single_ended_clock_capable_pin CSET primary_port=CLKIN CSET primitive=MMCM @@ -236,7 +236,7 @@ CSET psincdec_port=PSINCDEC CSET relative_inclk=REL_PRIMARY CSET reset_port=RESET CSET secondary_in_freq=100.000 -CSET secondary_in_jitter=0.004 +CSET secondary_in_jitter=0.010 CSET secondary_port=CLK_IN2 CSET secondary_source=Single_ended_clock_capable_pin CSET ss_mod_freq=250 @@ -251,7 +251,7 @@ CSET use_freeze=false CSET use_freq_synth=true CSET use_inclk_stopped=false CSET use_inclk_switchover=false -CSET use_locked=false +CSET use_locked=true CSET use_max_i_jitter=false CSET use_min_o_jitter=false CSET use_min_power=false @@ -266,4 +266,4 @@ CSET use_status=false MISC pkg_timestamp=2012-05-10T12:44:55Z # END Extra information GENERATE -# CRC: 51d6f701 +# CRC: 8225559f diff --git a/fpga/ipcore_dir/CLK.xdc b/fpga/ipcore_dir/PLL.xdc similarity index 96% rename from fpga/ipcore_dir/CLK.xdc rename to fpga/ipcore_dir/PLL.xdc index e693d26..7f6c3e0 100644 --- a/fpga/ipcore_dir/CLK.xdc +++ b/fpga/ipcore_dir/PLL.xdc @@ -1,4 +1,4 @@ -# file: CLK.xdc +# file: PLL.xdc # # (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. # @@ -50,9 +50,9 @@ # Input clock periods. These duplicate the values entered for the # input clocks. You can use these to time your system #---------------------------------------------------------------- -create_clock -name CLK_IN1 -period 30.0 [get_ports CLK_IN1] +create_clock -name CLK_IN1 -period 30.000 [get_ports CLK_IN1] set_propagated_clock CLK_IN1 -set_input_jitter CLK_IN1 0.25 +set_input_jitter CLK_IN1 0.3 # Derived clock periods. These are commented out because they are diff --git a/fpga/ipcore_dir/PLL.xise b/fpga/ipcore_dir/PLL.xise new file mode 100644 index 0000000..8a82be7 --- /dev/null +++ b/fpga/ipcore_dir/PLL.xise @@ -0,0 +1,403 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/fpga/ipcore_dir/CLK/clk_wiz_v3_6_readme.txt b/fpga/ipcore_dir/PLL/clk_wiz_v3_6_readme.txt similarity index 100% rename from fpga/ipcore_dir/CLK/clk_wiz_v3_6_readme.txt rename to fpga/ipcore_dir/PLL/clk_wiz_v3_6_readme.txt diff --git a/fpga/ipcore_dir/CLK/doc/clk_wiz_v3_6_readme.txt b/fpga/ipcore_dir/PLL/doc/clk_wiz_v3_6_readme.txt similarity index 100% rename from fpga/ipcore_dir/CLK/doc/clk_wiz_v3_6_readme.txt rename to fpga/ipcore_dir/PLL/doc/clk_wiz_v3_6_readme.txt diff --git a/fpga/ipcore_dir/CLK/doc/clk_wiz_v3_6_vinfo.html b/fpga/ipcore_dir/PLL/doc/clk_wiz_v3_6_vinfo.html similarity index 100% rename from fpga/ipcore_dir/CLK/doc/clk_wiz_v3_6_vinfo.html rename to fpga/ipcore_dir/PLL/doc/clk_wiz_v3_6_vinfo.html diff --git a/fpga/ipcore_dir/CLK/doc/pg065_clk_wiz.pdf b/fpga/ipcore_dir/PLL/doc/pg065_clk_wiz.pdf similarity index 100% rename from fpga/ipcore_dir/CLK/doc/pg065_clk_wiz.pdf rename to fpga/ipcore_dir/PLL/doc/pg065_clk_wiz.pdf diff --git a/fpga/ipcore_dir/CLK/example_design/CLK_exdes.ucf b/fpga/ipcore_dir/PLL/example_design/PLL_exdes.ucf similarity index 96% rename from fpga/ipcore_dir/CLK/example_design/CLK_exdes.ucf rename to fpga/ipcore_dir/PLL/example_design/PLL_exdes.ucf index e0a36c3..3483ae7 100644 --- a/fpga/ipcore_dir/CLK/example_design/CLK_exdes.ucf +++ b/fpga/ipcore_dir/PLL/example_design/PLL_exdes.ucf @@ -1,4 +1,4 @@ -# file: CLK_exdes.ucf +# file: PLL_exdes.ucf # # (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. # @@ -51,7 +51,7 @@ # input clocks. You can use these to time your system #---------------------------------------------------------------- NET "CLK_IN1" TNM_NET = "CLK_IN1"; -TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 30.0 ns HIGH 50% INPUT_JITTER 250.0ps; +TIMESPEC "TS_CLK_IN1" = PERIOD "CLK_IN1" 30.000 ns HIGH 50% INPUT_JITTER 300.0ps; # Constraints for external feedback. diff --git a/fpga/ipcore_dir/CLK/example_design/CLK_exdes.v b/fpga/ipcore_dir/PLL/example_design/PLL_exdes.v similarity index 92% rename from fpga/ipcore_dir/CLK/example_design/CLK_exdes.v rename to fpga/ipcore_dir/PLL/example_design/PLL_exdes.v index 53802a9..9f0cfd4 100644 --- a/fpga/ipcore_dir/CLK/example_design/CLK_exdes.v +++ b/fpga/ipcore_dir/PLL/example_design/PLL_exdes.v @@ -1,4 +1,4 @@ -// file: CLK_exdes.v +// file: PLL_exdes.v // // (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. // @@ -56,7 +56,7 @@ `timescale 1ps/1ps -module CLK_exdes +module PLL_exdes #( parameter TCQ = 100 ) @@ -68,15 +68,17 @@ module CLK_exdes output [1:1] CLK_OUT, // High bits of counters driven by clocks output COUNT, - output CLKFB_OUT + output CLKFB_OUT, + // Status and control signals + output LOCKED ); // Parameters for the counters //------------------------------- // Counter width localparam C_W = 16; - // Create reset for the counters - wire reset_int = COUNTER_RESET; + // When the clock goes out of lock, reset the counters + wire reset_int = !LOCKED || COUNTER_RESET; reg rst_sync; reg rst_sync_int; @@ -93,13 +95,15 @@ module CLK_exdes // Instantiation of the clocking network //-------------------------------------- - CLK clknetwork + PLL clknetwork (// Clock in ports .CLKIN (CLK_IN1), .CLKFB_IN (CLKFB_IN), // Clock out ports .FSBCLK (clk_int), - .CLKFB_OUT (CLKFB_OUT)); + .CLKFB_OUT (CLKFB_OUT), + // Status and control signals + .LOCKED (LOCKED)); assign clk_n = ~clk; diff --git a/fpga/ipcore_dir/CLK/example_design/CLK_exdes.xdc b/fpga/ipcore_dir/PLL/example_design/PLL_exdes.xdc similarity index 96% rename from fpga/ipcore_dir/CLK/example_design/CLK_exdes.xdc rename to fpga/ipcore_dir/PLL/example_design/PLL_exdes.xdc index ec862c5..f453214 100644 --- a/fpga/ipcore_dir/CLK/example_design/CLK_exdes.xdc +++ b/fpga/ipcore_dir/PLL/example_design/PLL_exdes.xdc @@ -1,4 +1,4 @@ -# file: CLK_exdes.xdc +# file: PLL_exdes.xdc # # (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. # @@ -50,9 +50,9 @@ # Input clock periods. These duplicate the values entered for the # input clocks. You can use these to time your system #---------------------------------------------------------------- -create_clock -name CLK_IN1 -period 30.0 [get_ports CLK_IN1] +create_clock -name CLK_IN1 -period 30.000 [get_ports CLK_IN1] set_propagated_clock CLK_IN1 -set_input_jitter CLK_IN1 0.25 +set_input_jitter CLK_IN1 0.3 # FALSE PATH constraint added on COUNTER_RESET set_false_path -from [get_ports "COUNTER_RESET"] diff --git a/fpga/ipcore_dir/CLK/implement/implement.bat b/fpga/ipcore_dir/PLL/implement/implement.bat similarity index 93% rename from fpga/ipcore_dir/CLK/implement/implement.bat rename to fpga/ipcore_dir/PLL/implement/implement.bat index a520f3b..f7a4610 100644 --- a/fpga/ipcore_dir/CLK/implement/implement.bat +++ b/fpga/ipcore_dir/PLL/implement/implement.bat @@ -61,19 +61,19 @@ copy %XILINX%\verilog\src\iSE\unisim_comp.v .\results\ REM Synthesize the Verilog Wrapper Files echo 'Synthesizing Clocking Wizard design with XST' xst -ifn xst.scr -move CLK_exdes.ngc results\ +move PLL_exdes.ngc results\ REM Copy the constraints files generated by Coregen echo 'Copying files from constraints directory to results directory' -copy ..\example_design\CLK_exdes.ucf results\ +copy ..\example_design\PLL_exdes.ucf results\ cd results echo 'Running ngdbuild' -ngdbuild -uc CLK_exdes.ucf CLK_exdes +ngdbuild -uc PLL_exdes.ucf PLL_exdes echo 'Running map' -map -timing -pr b CLK_exdes -o mapped.ncd +map -timing -pr b PLL_exdes -o mapped.ncd echo 'Running par' par -w mapped.ncd routed mapped.pcf @@ -85,6 +85,6 @@ echo 'Running design through bitgen' bitgen -w routed echo 'Running netgen to create gate level model for the clocking wizard example design' -netgen -ofmt verilog -sim -sdf_anno false -tm CLK_exdes -w routed.ncd routed.v +netgen -ofmt verilog -sim -sdf_anno false -tm PLL_exdes -w routed.ncd routed.v cd .. diff --git a/fpga/ipcore_dir/CLK/implement/implement.sh b/fpga/ipcore_dir/PLL/implement/implement.sh similarity index 93% rename from fpga/ipcore_dir/CLK/implement/implement.sh rename to fpga/ipcore_dir/PLL/implement/implement.sh index 1d78066..08e5f51 100644 --- a/fpga/ipcore_dir/CLK/implement/implement.sh +++ b/fpga/ipcore_dir/PLL/implement/implement.sh @@ -62,19 +62,19 @@ cp $XILINX/verilog/src/iSE/unisim_comp.v ./results/ # Synthesize the Verilog Wrapper Files echo 'Synthesizing Clocking Wizard design with XST' xst -ifn xst.scr -mv CLK_exdes.ngc results/ +mv PLL_exdes.ngc results/ # Copy the constraints files generated by Coregen echo 'Copying files from constraints directory to results directory' -cp ../example_design/CLK_exdes.ucf results/ +cp ../example_design/PLL_exdes.ucf results/ cd results echo 'Running ngdbuild' -ngdbuild -uc CLK_exdes.ucf CLK_exdes +ngdbuild -uc PLL_exdes.ucf PLL_exdes echo 'Running map' -map -timing CLK_exdes -o mapped.ncd +map -timing PLL_exdes -o mapped.ncd echo 'Running par' par -w mapped.ncd routed mapped.pcf @@ -86,6 +86,6 @@ echo 'Running design through bitgen' bitgen -w routed echo 'Running netgen to create gate level model for the clocking wizard example design' -netgen -ofmt verilog -sim -sdf_anno false -tm CLK_exdes -w routed.ncd routed.v +netgen -ofmt verilog -sim -sdf_anno false -tm PLL_exdes -w routed.ncd routed.v cd .. diff --git a/fpga/ipcore_dir/CLK/implement/planAhead_ise.bat b/fpga/ipcore_dir/PLL/implement/planAhead_ise.bat similarity index 100% rename from fpga/ipcore_dir/CLK/implement/planAhead_ise.bat rename to fpga/ipcore_dir/PLL/implement/planAhead_ise.bat diff --git a/fpga/ipcore_dir/CLK/implement/planAhead_ise.sh b/fpga/ipcore_dir/PLL/implement/planAhead_ise.sh similarity index 100% rename from fpga/ipcore_dir/CLK/implement/planAhead_ise.sh rename to fpga/ipcore_dir/PLL/implement/planAhead_ise.sh diff --git a/fpga/ipcore_dir/CLK/implement/planAhead_ise.tcl b/fpga/ipcore_dir/PLL/implement/planAhead_ise.tcl similarity index 95% rename from fpga/ipcore_dir/CLK/implement/planAhead_ise.tcl rename to fpga/ipcore_dir/PLL/implement/planAhead_ise.tcl index 0c78784..37642dc 100644 --- a/fpga/ipcore_dir/CLK/implement/planAhead_ise.tcl +++ b/fpga/ipcore_dir/PLL/implement/planAhead_ise.tcl @@ -48,8 +48,8 @@ # set projDir [file dirname [info script]] -set projName CLK -set topName CLK_exdes +set projName PLL +set topName PLL_exdes set device xc6slx9ftg256-2 create_project $projName $projDir/results/$projName -part $device @@ -58,12 +58,12 @@ set_property design_mode RTL [get_filesets sources_1] ## Source files #set verilogSources [glob $srcDir/*.v] -import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/CLK_exdes.v -import_files -fileset [get_filesets sources_1] -force -norecurse ../../../CLK.v +import_files -fileset [get_filesets sources_1] -force -norecurse ../../example_design/PLL_exdes.v +import_files -fileset [get_filesets sources_1] -force -norecurse ../../../PLL.v #UCF file -import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/CLK_exdes.ucf +import_files -fileset [get_filesets constrs_1] -force -norecurse ../../example_design/PLL_exdes.ucf set_property top $topName [get_property srcset [current_run]] diff --git a/fpga/ipcore_dir/CLK/implement/planAhead_rdn.bat b/fpga/ipcore_dir/PLL/implement/planAhead_rdn.bat similarity index 100% rename from fpga/ipcore_dir/CLK/implement/planAhead_rdn.bat rename to fpga/ipcore_dir/PLL/implement/planAhead_rdn.bat diff --git a/fpga/ipcore_dir/CLK/implement/planAhead_rdn.sh b/fpga/ipcore_dir/PLL/implement/planAhead_rdn.sh similarity index 100% rename from fpga/ipcore_dir/CLK/implement/planAhead_rdn.sh rename to fpga/ipcore_dir/PLL/implement/planAhead_rdn.sh diff --git a/fpga/ipcore_dir/CLK/implement/planAhead_rdn.tcl b/fpga/ipcore_dir/PLL/implement/planAhead_rdn.tcl similarity index 88% rename from fpga/ipcore_dir/CLK/implement/planAhead_rdn.tcl rename to fpga/ipcore_dir/PLL/implement/planAhead_rdn.tcl index 350f5b3..f41b391 100644 --- a/fpga/ipcore_dir/CLK/implement/planAhead_rdn.tcl +++ b/fpga/ipcore_dir/PLL/implement/planAhead_rdn.tcl @@ -48,22 +48,22 @@ # set device xc6slx9ftg256-2 -set projName CLK -set design CLK +set projName PLL +set design PLL set projDir [file dirname [info script]] create_project $projName $projDir/results/$projName -part $device -force set_property design_mode RTL [current_fileset -srcset] -set top_module CLK_exdes -set_property top CLK_exdes [get_property srcset [current_run]] -add_files -norecurse {../../../CLK.v} -add_files -norecurse {../../example_design/CLK_exdes.v} -import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/CLK_exdes.xdc} +set top_module PLL_exdes +set_property top PLL_exdes [get_property srcset [current_run]] +add_files -norecurse {../../../PLL.v} +add_files -norecurse {../../example_design/PLL_exdes.v} +import_files -fileset [get_filesets constrs_1 ] -force -norecurse {../../example_design/PLL_exdes.xdc} synth_design opt_design place_design route_design -write_sdf -rename_top_module CLK_exdes -file routed.sdf -write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module CLK_exdes -file routed.v +write_sdf -rename_top_module PLL_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module PLL_exdes -file routed.v report_timing -nworst 30 -path_type full -file routed.twr report_drc -file report.drc write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} -file routed.bit diff --git a/fpga/ipcore_dir/PLL/implement/xst.prj b/fpga/ipcore_dir/PLL/implement/xst.prj new file mode 100644 index 0000000..ef5e701 --- /dev/null +++ b/fpga/ipcore_dir/PLL/implement/xst.prj @@ -0,0 +1,2 @@ +verilog work ../../PLL.v +verilog work ../example_design/PLL_exdes.v diff --git a/fpga/ipcore_dir/CLK/implement/xst.scr b/fpga/ipcore_dir/PLL/implement/xst.scr similarity index 80% rename from fpga/ipcore_dir/CLK/implement/xst.scr rename to fpga/ipcore_dir/PLL/implement/xst.scr index da9dce2..94b103e 100644 --- a/fpga/ipcore_dir/CLK/implement/xst.scr +++ b/fpga/ipcore_dir/PLL/implement/xst.scr @@ -1,9 +1,9 @@ run -ifmt MIXED --top CLK_exdes +-top PLL_exdes -p xc6slx9-ftg256-2 -ifn xst.prj --ofn CLK_exdes +-ofn PLL_exdes -keep_hierarchy soft -equivalent_register_removal no -max_fanout 65535 diff --git a/fpga/ipcore_dir/CLK/simulation/CLK_tb.v b/fpga/ipcore_dir/PLL/simulation/PLL_tb.v similarity index 94% rename from fpga/ipcore_dir/CLK/simulation/CLK_tb.v rename to fpga/ipcore_dir/PLL/simulation/PLL_tb.v index 5843f60..d09b379 100644 --- a/fpga/ipcore_dir/CLK/simulation/CLK_tb.v +++ b/fpga/ipcore_dir/PLL/simulation/PLL_tb.v @@ -1,4 +1,4 @@ -// file: CLK_tb.v +// file: PLL_tb.v // // (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. // @@ -57,9 +57,9 @@ `timescale 1ps/1ps -`define wait_lock @(posedge dut.clknetwork.pll_base_inst.LOCKED) +`define wait_lock @(posedge LOCKED) -module CLK_tb (); +module PLL_tb (); // Clock to Q delay of 100ps localparam TCQ = 100; @@ -71,7 +71,7 @@ module CLK_tb (); // how many cycles to run localparam COUNT_PHASE = 1024; // we'll be using the period in many locations - localparam time PER1 = 30.0*ONE_NS; + localparam time PER1 = 30.000*ONE_NS; localparam time PER1_1 = PER1/2; localparam time PER1_2 = PER1 - PER1/2; @@ -83,6 +83,8 @@ module CLK_tb (); // Connect the feedback wire CLKFB_OUT; wire CLKFB_IN = CLKFB_OUT; + // Status and control signals + wire LOCKED; reg COUNTER_RESET = 0; wire [1:1] CLK_OUT; //Freq Check using the M & D values setting and actual Frequency generated @@ -119,7 +121,7 @@ wire [1:1] CLK_OUT; // Instantiation of the example design containing the clock // network and sampling counters //--------------------------------------------------------- - CLK_exdes + PLL_exdes #( .TCQ (TCQ) ) dut @@ -131,7 +133,9 @@ wire [1:1] CLK_OUT; .CLK_OUT (CLK_OUT), // High bits of the counters .COUNT (COUNT), - .CLKFB_OUT (CLKFB_OUT)); + .CLKFB_OUT (CLKFB_OUT), + // Status and control signals + .LOCKED (LOCKED)); // Freq Check diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simcmds.tcl b/fpga/ipcore_dir/PLL/simulation/functional/simcmds.tcl similarity index 77% rename from fpga/ipcore_dir/CLK/simulation/functional/simcmds.tcl rename to fpga/ipcore_dir/PLL/simulation/functional/simcmds.tcl index 4998299..4494d25 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simcmds.tcl +++ b/fpga/ipcore_dir/PLL/simulation/functional/simcmds.tcl @@ -2,7 +2,7 @@ # create the simulation script vcd dumpfile isim.vcd -vcd dumpvars -m /CLK_tb -l 0 +vcd dumpvars -m /PLL_tb -l 0 wave add / run 50000ns quit diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simulate_isim.bat b/fpga/ipcore_dir/PLL/simulation/functional/simulate_isim.bat similarity index 91% rename from fpga/ipcore_dir/CLK/simulation/functional/simulate_isim.bat rename to fpga/ipcore_dir/PLL/simulation/functional/simulate_isim.bat index 9a7e252..5df66f8 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simulate_isim.bat +++ b/fpga/ipcore_dir/PLL/simulation/functional/simulate_isim.bat @@ -48,12 +48,12 @@ REM PART OF THIS FILE AT ALL TIMES. REM vlogcomp -work work %XILINX%\verilog\src\glbl.v -vlogcomp -work work ..\..\..\CLK.v -vlogcomp -work work ..\..\example_design\CLK_exdes.v -vlogcomp -work work ..\CLK_tb.v +vlogcomp -work work ..\..\..\PLL.v +vlogcomp -work work ..\..\example_design\PLL_exdes.v +vlogcomp -work work ..\PLL_tb.v REM compile the project -fuse work.CLK_tb work.glbl -L unisims_ver -o CLK_isim.exe +fuse work.PLL_tb work.glbl -L unisims_ver -o PLL_isim.exe REM run the simulation script -.\CLK_isim.exe -gui -tclbatch simcmds.tcl +.\PLL_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simulate_isim.sh b/fpga/ipcore_dir/PLL/simulation/functional/simulate_isim.sh similarity index 91% rename from fpga/ipcore_dir/CLK/simulation/functional/simulate_isim.sh rename to fpga/ipcore_dir/PLL/simulation/functional/simulate_isim.sh index 91882e9..66d0ff6 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simulate_isim.sh +++ b/fpga/ipcore_dir/PLL/simulation/functional/simulate_isim.sh @@ -50,12 +50,12 @@ # nt # create the project vlogcomp -work work ${XILINX}/verilog/src/glbl.v -vlogcomp -work work ../../../CLK.v -vlogcomp -work work ../../example_design/CLK_exdes.v -vlogcomp -work work ../CLK_tb.v +vlogcomp -work work ../../../PLL.v +vlogcomp -work work ../../example_design/PLL_exdes.v +vlogcomp -work work ../PLL_tb.v # compile the project -fuse work.CLK_tb work.glbl -L unisims_ver -o CLK_isim.exe +fuse work.PLL_tb work.glbl -L unisims_ver -o PLL_isim.exe # run the simulation script -./CLK_isim.exe -gui -tclbatch simcmds.tcl +./PLL_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.bat b/fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.bat similarity index 92% rename from fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.bat rename to fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.bat index 5ef414c..7a1ba59 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.bat +++ b/fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.bat @@ -52,10 +52,10 @@ vlib work REM compile all of the files vlog -work work %XILINX%\verilog\src\glbl.v -vlog -work work ..\..\..\CLK.v -vlog -work work ..\..\example_design\CLK_exdes.v -vlog -work work ..\CLK_tb.v +vlog -work work ..\..\..\PLL.v +vlog -work work ..\..\example_design\PLL_exdes.v +vlog -work work ..\PLL_tb.v REM run the simulation -vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.CLK_tb work.glbl +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.PLL_tb work.glbl diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.do b/fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.do similarity index 92% rename from fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.do rename to fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.do index d093124..1577984 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.do +++ b/fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.do @@ -53,13 +53,13 @@ vlib work # compile all of the files vlog -work work $env(XILINX)/verilog/src/glbl.v -vlog -work work ../../../CLK.v -vlog -work work ../../example_design/CLK_exdes.v -vlog -work work ../CLK_tb.v +vlog -work work ../../../PLL.v +vlog -work work ../../example_design/PLL_exdes.v +vlog -work work ../PLL_tb.v # run the simulation -vsim -t ps -voptargs="+acc" -L unisims_ver work.CLK_tb work.glbl +vsim -t ps -voptargs="+acc" -L unisims_ver work.PLL_tb work.glbl do wave.do -log CLK_tb/dut/counter +log PLL_tb/dut/counter log -r /* run 50000ns diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.sh b/fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.sh similarity index 92% rename from fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.sh rename to fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.sh index 8ea4efb..91906b1 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simulate_mti.sh +++ b/fpga/ipcore_dir/PLL/simulation/functional/simulate_mti.sh @@ -53,9 +53,9 @@ vlib work # compile all of the files vlog -work work $XILINX/verilog/src/glbl.v -vlog -work work ../../../CLK.v -vlog -work work ../../example_design/CLK_exdes.v -vlog -work work ../CLK_tb.v +vlog -work work ../../../PLL.v +vlog -work work ../../example_design/PLL_exdes.v +vlog -work work ../PLL_tb.v # run the simulation -vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.CLK_tb work.glbl +vsim -c -t ps -voptargs="+acc" -L secureip -L unisims_ver work.PLL_tb work.glbl diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simulate_ncsim.sh b/fpga/ipcore_dir/PLL/simulation/functional/simulate_ncsim.sh similarity index 91% rename from fpga/ipcore_dir/CLK/simulation/functional/simulate_ncsim.sh rename to fpga/ipcore_dir/PLL/simulation/functional/simulate_ncsim.sh index 54cc86a..95675c3 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simulate_ncsim.sh +++ b/fpga/ipcore_dir/PLL/simulation/functional/simulate_ncsim.sh @@ -53,10 +53,10 @@ mkdir work # compile all of the files ncvlog -work work ${XILINX}/verilog/src/glbl.v -ncvlog -work work ../../../CLK.v -ncvlog -work work ../../example_design/CLK_exdes.v -ncvlog -work work ../CLK_tb.v +ncvlog -work work ../../../PLL.v +ncvlog -work work ../../example_design/PLL_exdes.v +ncvlog -work work ../PLL_tb.v # elaborate and run the simulation -ncelab -work work -access +wc work.CLK_tb work.glbl -ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.CLK_tb +ncelab -work work -access +wc work.PLL_tb work.glbl +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; probe dut.counter; run 50000ns; exit" work.PLL_tb diff --git a/fpga/ipcore_dir/CLK/simulation/functional/simulate_vcs.sh b/fpga/ipcore_dir/PLL/simulation/functional/simulate_vcs.sh similarity index 95% rename from fpga/ipcore_dir/CLK/simulation/functional/simulate_vcs.sh rename to fpga/ipcore_dir/PLL/simulation/functional/simulate_vcs.sh index b7b3716..37d55b3 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/simulate_vcs.sh +++ b/fpga/ipcore_dir/PLL/simulation/functional/simulate_vcs.sh @@ -58,12 +58,12 @@ rm -rf simv* csrc DVEfiles AN.DB # [63:0] from time vlogan -sverilog \ ${XILINX}/verilog/src/glbl.v \ - ../../../CLK.v \ - ../../example_design/CLK_exdes.v \ - ../CLK_tb.v + ../../../PLL.v \ + ../../example_design/PLL_exdes.v \ + ../PLL_tb.v # prepare the simulation -vcs +vcs+lic+wait -debug CLK_tb glbl +vcs +vcs+lic+wait -debug PLL_tb glbl # run the simulation ./simv -ucli -i ucli_commands.key diff --git a/fpga/ipcore_dir/CLK/simulation/functional/ucli_commands.key b/fpga/ipcore_dir/PLL/simulation/functional/ucli_commands.key similarity index 53% rename from fpga/ipcore_dir/CLK/simulation/functional/ucli_commands.key rename to fpga/ipcore_dir/PLL/simulation/functional/ucli_commands.key index ce8b641..85fccfd 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/ucli_commands.key +++ b/fpga/ipcore_dir/PLL/simulation/functional/ucli_commands.key @@ -1,5 +1,5 @@ call {$vcdpluson} -call {$vcdplusmemon(CLK_tb.dut.counter)} +call {$vcdplusmemon(PLL_tb.dut.counter)} run call {$vcdplusclose} quit diff --git a/fpga/ipcore_dir/PLL/simulation/functional/vcs_session.tcl b/fpga/ipcore_dir/PLL/simulation/functional/vcs_session.tcl new file mode 100644 index 0000000..e251b46 --- /dev/null +++ b/fpga/ipcore_dir/PLL/simulation/functional/vcs_session.tcl @@ -0,0 +1,17 @@ +gui_open_window Wave +gui_sg_create PLL_group +gui_list_add_group -id Wave.1 {PLL_group} +gui_sg_addsignal -group PLL_group {PLL_tb.test_phase} +gui_set_radix -radix {ascii} -signals {PLL_tb.test_phase} +gui_sg_addsignal -group PLL_group {{Input_clocks}} -divider +gui_sg_addsignal -group PLL_group {PLL_tb.CLK_IN1} +gui_sg_addsignal -group PLL_group {{Output_clocks}} -divider +gui_sg_addsignal -group PLL_group {PLL_tb.dut.clk} +gui_list_expand -id Wave.1 PLL_tb.dut.clk +gui_sg_addsignal -group PLL_group {{Status_control}} -divider +gui_sg_addsignal -group PLL_group {PLL_tb.LOCKED} +gui_sg_addsignal -group PLL_group {{Counters}} -divider +gui_sg_addsignal -group PLL_group {PLL_tb.COUNT} +gui_sg_addsignal -group PLL_group {PLL_tb.dut.counter} +gui_list_expand -id Wave.1 PLL_tb.dut.counter +gui_zoom -window Wave.1 -full diff --git a/fpga/ipcore_dir/CLK/simulation/functional/wave.do b/fpga/ipcore_dir/PLL/simulation/functional/wave.do similarity index 85% rename from fpga/ipcore_dir/CLK/simulation/functional/wave.do rename to fpga/ipcore_dir/PLL/simulation/functional/wave.do index a402293..fcd5332 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/wave.do +++ b/fpga/ipcore_dir/PLL/simulation/functional/wave.do @@ -47,11 +47,13 @@ # PART OF THIS FILE AT ALL TIMES. # -add wave -noupdate -format Literal -radix ascii /CLK_tb/test_phase +add wave -noupdate -format Literal -radix ascii /PLL_tb/test_phase add wave -noupdate -divider {Input clocks} -add wave -noupdate -format Logic /CLK_tb/CLK_IN1 +add wave -noupdate -format Logic /PLL_tb/CLK_IN1 add wave -noupdate -divider {Output clocks} -add wave -noupdate -format Logic /CLK_tb/dut/clk +add wave -noupdate -format Logic /PLL_tb/dut/clk +add wave -noupdate -divider Status/control +add wave -noupdate -format Logic /PLL_tb/LOCKED add wave -noupdate -divider Counters -add wave -noupdate -format Literal -radix hexadecimal /CLK_tb/COUNT -add wave -noupdate -format Literal -radix hexadecimal /CLK_tb/dut/counter +add wave -noupdate -format Literal -radix hexadecimal /PLL_tb/COUNT +add wave -noupdate -format Literal -radix hexadecimal /PLL_tb/dut/counter diff --git a/fpga/ipcore_dir/CLK/simulation/functional/wave.sv b/fpga/ipcore_dir/PLL/simulation/functional/wave.sv similarity index 89% rename from fpga/ipcore_dir/CLK/simulation/functional/wave.sv rename to fpga/ipcore_dir/PLL/simulation/functional/wave.sv index cfbe893..e928f63 100644 --- a/fpga/ipcore_dir/CLK/simulation/functional/wave.sv +++ b/fpga/ipcore_dir/PLL/simulation/functional/wave.sv @@ -54,8 +54,8 @@ if {[catch {window new WatchList -name "Design Browser 1" -geometry 1054x819+536 window target "Design Browser 1" on browser using {Design Browser 1} browser set \ - -scope nc::CLK_tb -browser yview see nc::CLK_tb + -scope nc::PLL_tb +browser yview see nc::PLL_tb browser timecontrol set -lock 0 if {[catch {window new WaveWindow -name "Waveform 1" -geometry 1010x600+0+541}] != ""} { @@ -81,7 +81,7 @@ catch {group new -name {Output clocks} -overlay 0} catch {group new -name {Status/control} -overlay 0} catch {group new -name {Counters} -overlay 0} -set id [waveform add -signals [list {nc::CLK_tb.CLK_IN1}]] +set id [waveform add -signals [list {nc::PLL_tb.CLK_IN1}]] group using {Output clocks} group set -overlay 0 @@ -89,7 +89,7 @@ group set -comment {} group clear 0 end group insert \ - {CLK_tb.dut.clk} \ + {PLL_tb.dut.clk} \ group using {Counters} group set -overlay 0 @@ -97,12 +97,20 @@ group set -comment {} group clear 0 end group insert \ - {CLK_tb.dut.counter} \ + {PLL_tb.dut.counter} \ + +group using {Status/control} +group set -overlay 0 +group set -comment {} +group clear 0 end + +group insert \ + {nc::PLL_tb.LOCKED} -set id [waveform add -signals [list {nc::CLK_tb.COUNT} ]] +set id [waveform add -signals [list {nc::PLL_tb.COUNT} ]] -set id [waveform add -signals [list {nc::CLK_tb.test_phase} ]] +set id [waveform add -signals [list {nc::PLL_tb.test_phase} ]] waveform format $id -radix %a set groupId [waveform add -groups {{Input clocks}}] diff --git a/fpga/ipcore_dir/CLK/simulation/timing/CLK_tb.v b/fpga/ipcore_dir/PLL/simulation/timing/PLL_tb.v similarity index 88% rename from fpga/ipcore_dir/CLK/simulation/timing/CLK_tb.v rename to fpga/ipcore_dir/PLL/simulation/timing/PLL_tb.v index e5533f3..a344f75 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/CLK_tb.v +++ b/fpga/ipcore_dir/PLL/simulation/timing/PLL_tb.v @@ -1,4 +1,4 @@ -// file: CLK_tb.v +// file: PLL_tb.v // // (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. // @@ -57,8 +57,9 @@ `timescale 1ps/1ps +`define wait_lock @(posedge LOCKED) -module CLK_tb (); +module PLL_tb (); // Clock to Q delay of 100ps localparam TCQ = 100; @@ -70,7 +71,7 @@ module CLK_tb (); // how many cycles to run localparam COUNT_PHASE = 1024; // we'll be using the period in many locations - localparam time PER1 = 30.0*ONE_NS; + localparam time PER1 = 30.000*ONE_NS; localparam time PER1_1 = PER1/2; localparam time PER1_2 = PER1 - PER1/2; @@ -82,6 +83,8 @@ module CLK_tb (); // Connect the feedback wire CLKFB_OUT; wire CLKFB_IN = CLKFB_OUT; + // Status and control signals + wire LOCKED; reg COUNTER_RESET = 0; wire [1:1] CLK_OUT; //Freq Check using the M & D values setting and actual Frequency generated @@ -103,7 +106,7 @@ wire [1:1] CLK_OUT; $display ("Timing checks are not valid"); COUNTER_RESET = 0; test_phase = "wait lock"; - #(PER1*50); + `wait_lock; #(PER1*6); COUNTER_RESET = 1; #(PER1*19.5) @@ -119,11 +122,21 @@ wire [1:1] CLK_OUT; end + always@(posedge CLK_IN1) begin + timeout_counter <= timeout_counter + 1'b1; + if (timeout_counter == 14'b10000000000000) begin + if (LOCKED != 1'b1) begin + $display("ERROR : NO LOCK signal"); + $display("SYSTEM_CLOCK_COUNTER : %0d\n",$time/PER1); + $finish; + end + end + end // Instantiation of the example design containing the clock // network and sampling counters //--------------------------------------------------------- - CLK_exdes + PLL_exdes dut (// Clock in ports .CLK_IN1 (CLK_IN1), @@ -133,7 +146,9 @@ wire [1:1] CLK_OUT; .CLK_OUT (CLK_OUT), // High bits of the counters .COUNT (COUNT), - .CLKFB_OUT (CLKFB_OUT)); + .CLKFB_OUT (CLKFB_OUT), + // Status and control signals + .LOCKED (LOCKED)); // Freq Check diff --git a/fpga/ipcore_dir/CLK/simulation/timing/sdf_cmd_file b/fpga/ipcore_dir/PLL/simulation/timing/sdf_cmd_file similarity index 75% rename from fpga/ipcore_dir/CLK/simulation/timing/sdf_cmd_file rename to fpga/ipcore_dir/PLL/simulation/timing/sdf_cmd_file index 3402a10..d970635 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/sdf_cmd_file +++ b/fpga/ipcore_dir/PLL/simulation/timing/sdf_cmd_file @@ -1,2 +1,2 @@ COMPILED_SDF_FILE = "../../implement/results/routed.sdf.X", -SCOPE = CLK_tb.dut; +SCOPE = PLL_tb.dut; diff --git a/fpga/ipcore_dir/CLK/simulation/timing/simcmds.tcl b/fpga/ipcore_dir/PLL/simulation/timing/simcmds.tcl similarity index 78% rename from fpga/ipcore_dir/CLK/simulation/timing/simcmds.tcl rename to fpga/ipcore_dir/PLL/simulation/timing/simcmds.tcl index b70cdac..f18f562 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/simcmds.tcl +++ b/fpga/ipcore_dir/PLL/simulation/timing/simcmds.tcl @@ -2,7 +2,7 @@ # create the simulation script vcd dumpfile isim.vcd -vcd dumpvars -m /CLK_tb -l 0 +vcd dumpvars -m /PLL_tb -l 0 wave add / run 50000ns quit diff --git a/fpga/ipcore_dir/CLK/simulation/timing/simulate_isim.sh b/fpga/ipcore_dir/PLL/simulation/timing/simulate_isim.sh similarity index 92% rename from fpga/ipcore_dir/CLK/simulation/timing/simulate_isim.sh rename to fpga/ipcore_dir/PLL/simulation/timing/simulate_isim.sh index 93a7233..44e7ab6 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/simulate_isim.sh +++ b/fpga/ipcore_dir/PLL/simulation/timing/simulate_isim.sh @@ -50,13 +50,13 @@ # create the project vlogcomp -work work ${XILINX}/verilog/src/glbl.v vlogcomp -work work ../../implement/results/routed.v -vlogcomp -work work CLK_tb.v +vlogcomp -work work PLL_tb.v # compile the project -fuse work.CLK_tb work.glbl -L secureip -L simprims_ver -o CLK_isim.exe +fuse work.PLL_tb work.glbl -L secureip -L simprims_ver -o PLL_isim.exe # run the simulation script -./CLK_isim.exe -tclbatch simcmds.tcl -sdfmax /CLK_tb/dut=../../implement/results/routed.sdf +./PLL_isim.exe -tclbatch simcmds.tcl -sdfmax /PLL_tb/dut=../../implement/results/routed.sdf # run the simulation script -#./CLK_isim.exe -gui -tclbatch simcmds.tcl +#./PLL_isim.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.bat b/fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.bat similarity index 95% rename from fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.bat rename to fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.bat index ecf6c94..5add906 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.bat +++ b/fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.bat @@ -53,7 +53,7 @@ vlib work REM compile all of the files vlog -work work %XILINX%\verilog\src\glbl.v vlog -work work ..\..\implement\results\routed.v -vlog -work work CLK_tb.v +vlog -work work PLL_tb.v REM run the simulation -vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax CLK_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.CLK_tb work.glbl +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax PLL_tb\dut=..\..\implement\results\routed.sdf +no_notifier work.PLL_tb work.glbl diff --git a/fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.do b/fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.do similarity index 95% rename from fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.do rename to fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.do index d28ed7b..e4eb9d1 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.do +++ b/fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.do @@ -54,10 +54,10 @@ vlib work # compile all of the files vlog -work work $env(XILINX)/verilog/src/glbl.v vlog -work work ../../implement/results/routed.v -vlog -work work CLK_tb.v +vlog -work work PLL_tb.v # run the simulation -vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax CLK_tb/dut=../../implement/results/routed.sdf +no_notifier work.CLK_tb work.glbl +vsim -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax PLL_tb/dut=../../implement/results/routed.sdf +no_notifier work.PLL_tb work.glbl #do wave.do #log -r /* run 50000ns diff --git a/fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.sh b/fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.sh similarity index 94% rename from fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.sh rename to fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.sh index a02888d..63b1cf7 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/simulate_mti.sh +++ b/fpga/ipcore_dir/PLL/simulation/timing/simulate_mti.sh @@ -55,7 +55,7 @@ vlib work # compile all of the files vlog -work work $XILINX/verilog/src/glbl.v vlog -work work ../../implement/results/routed.v -vlog -work work CLK_tb.v +vlog -work work PLL_tb.v # run the simulation -vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax CLK_tb/dut=../../implement/results/routed.sdf +no_notifier work.CLK_tb work.glbl +vsim -c -t ps +transport_int_delays -voptargs="+acc" -L secureip -L simprims_ver -sdfmax PLL_tb/dut=../../implement/results/routed.sdf +no_notifier work.PLL_tb work.glbl diff --git a/fpga/ipcore_dir/CLK/simulation/timing/simulate_ncsim.sh b/fpga/ipcore_dir/PLL/simulation/timing/simulate_ncsim.sh similarity index 94% rename from fpga/ipcore_dir/CLK/simulation/timing/simulate_ncsim.sh rename to fpga/ipcore_dir/PLL/simulation/timing/simulate_ncsim.sh index b00eac4..eabc738 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/simulate_ncsim.sh +++ b/fpga/ipcore_dir/PLL/simulation/timing/simulate_ncsim.sh @@ -54,11 +54,11 @@ mkdir work # compile all of the files ncvlog -work work ${XILINX}/verilog/src/glbl.v ncvlog -work work ../../implement/results/routed.v -ncvlog -work work CLK_tb.v +ncvlog -work work PLL_tb.v # elaborate and run the simulation ncsdfc ../../implement/results/routed.sdf -ncelab -work work -access +wc -pulse_r 10 -nonotifier work.CLK_tb work.glbl -sdf_cmd_file sdf_cmd_file -ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.CLK_tb +ncelab -work work -access +wc -pulse_r 10 -nonotifier work.PLL_tb work.glbl -sdf_cmd_file sdf_cmd_file +ncsim -input "@database -open -shm nc; probe -create -database nc -all -depth all; run 50000ns; exit" work.PLL_tb diff --git a/fpga/ipcore_dir/CLK/simulation/timing/simulate_vcs.sh b/fpga/ipcore_dir/PLL/simulation/timing/simulate_vcs.sh similarity index 94% rename from fpga/ipcore_dir/CLK/simulation/timing/simulate_vcs.sh rename to fpga/ipcore_dir/PLL/simulation/timing/simulate_vcs.sh index bb19a19..b8c3ddb 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/simulate_vcs.sh +++ b/fpga/ipcore_dir/PLL/simulation/timing/simulate_vcs.sh @@ -57,13 +57,13 @@ rm -rf simv* csrc DVEfiles AN.DB # localparam for the periods in the testbench file to # [63:0] from time vlogan -sverilog \ - CLK_tb.v \ + PLL_tb.v \ ../../implement/results/routed.v # prepare the simulation -vcs -sdf max:CLK_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ - +libext+.v -debug CLK_tb.v ../../implement/results/routed.v +vcs -sdf max:PLL_exdes:../../implement/results/routed.sdf +v2k -y $XILINX/verilog/src/simprims \ + +libext+.v -debug PLL_tb.v ../../implement/results/routed.v # run the simulation ./simv -ucli -i ucli_commands.key diff --git a/fpga/ipcore_dir/CLK/simulation/timing/ucli_commands.key b/fpga/ipcore_dir/PLL/simulation/timing/ucli_commands.key similarity index 100% rename from fpga/ipcore_dir/CLK/simulation/timing/ucli_commands.key rename to fpga/ipcore_dir/PLL/simulation/timing/ucli_commands.key diff --git a/fpga/ipcore_dir/CLK/simulation/timing/vcs_session.tcl b/fpga/ipcore_dir/PLL/simulation/timing/vcs_session.tcl similarity index 100% rename from fpga/ipcore_dir/CLK/simulation/timing/vcs_session.tcl rename to fpga/ipcore_dir/PLL/simulation/timing/vcs_session.tcl diff --git a/fpga/ipcore_dir/CLK/simulation/timing/wave.do b/fpga/ipcore_dir/PLL/simulation/timing/wave.do similarity index 96% rename from fpga/ipcore_dir/CLK/simulation/timing/wave.do rename to fpga/ipcore_dir/PLL/simulation/timing/wave.do index 1dab840..55f29d8 100644 --- a/fpga/ipcore_dir/CLK/simulation/timing/wave.do +++ b/fpga/ipcore_dir/PLL/simulation/timing/wave.do @@ -49,8 +49,9 @@ onerror {resume} quietly WaveActivateNextPane {} 0 -add wave -noupdate /CLK_tb/CLK_IN1 -add wave -noupdate /CLK_tb/COUNT +add wave -noupdate /PLL_tb/CLK_IN1 +add wave -noupdate /PLL_tb/COUNT +add wave -noupdate /PLL_tb/LOCKED TreeUpdate [SetDefaultTree] WaveRestoreCursors {{Cursor 1} {3223025 ps} 0} configure wave -namecolwidth 238 diff --git a/fpga/ipcore_dir/PLL_flist.txt b/fpga/ipcore_dir/PLL_flist.txt new file mode 100644 index 0000000..e7ff659 --- /dev/null +++ b/fpga/ipcore_dir/PLL_flist.txt @@ -0,0 +1,55 @@ +# Output products list for +PLL.asy +PLL.gise +PLL.sym +PLL.ucf +PLL.v +PLL.veo +PLL.xco +PLL.xdc +PLL.xise +PLL\clk_wiz_v3_6_readme.txt +PLL\doc\clk_wiz_v3_6_readme.txt +PLL\doc\clk_wiz_v3_6_vinfo.html +PLL\doc\pg065_clk_wiz.pdf +PLL\example_design\PLL_exdes.ucf +PLL\example_design\PLL_exdes.v +PLL\example_design\PLL_exdes.xdc +PLL\implement\implement.bat +PLL\implement\implement.sh +PLL\implement\planAhead_ise.bat +PLL\implement\planAhead_ise.sh +PLL\implement\planAhead_ise.tcl +PLL\implement\planAhead_rdn.bat +PLL\implement\planAhead_rdn.sh +PLL\implement\planAhead_rdn.tcl +PLL\implement\xst.prj +PLL\implement\xst.scr +PLL\simulation\PLL_tb.v +PLL\simulation\functional\simcmds.tcl +PLL\simulation\functional\simulate_isim.bat +PLL\simulation\functional\simulate_isim.sh +PLL\simulation\functional\simulate_mti.bat +PLL\simulation\functional\simulate_mti.do +PLL\simulation\functional\simulate_mti.sh +PLL\simulation\functional\simulate_ncsim.sh +PLL\simulation\functional\simulate_vcs.sh +PLL\simulation\functional\ucli_commands.key +PLL\simulation\functional\vcs_session.tcl +PLL\simulation\functional\wave.do +PLL\simulation\functional\wave.sv +PLL\simulation\timing\PLL_tb.v +PLL\simulation\timing\sdf_cmd_file +PLL\simulation\timing\simcmds.tcl +PLL\simulation\timing\simulate_isim.sh +PLL\simulation\timing\simulate_mti.bat +PLL\simulation\timing\simulate_mti.do +PLL\simulation\timing\simulate_mti.sh +PLL\simulation\timing\simulate_ncsim.sh +PLL\simulation\timing\simulate_vcs.sh +PLL\simulation\timing\ucli_commands.key +PLL\simulation\timing\vcs_session.tcl +PLL\simulation\timing\wave.do +PLL_flist.txt +PLL_xmdf.tcl +_xmsgs\pn_parser.xmsgs diff --git a/fpga/ipcore_dir/CLK_xmdf.tcl b/fpga/ipcore_dir/PLL_xmdf.tcl similarity index 82% rename from fpga/ipcore_dir/CLK_xmdf.tcl rename to fpga/ipcore_dir/PLL_xmdf.tcl index 00fb124..14e8193 100644 --- a/fpga/ipcore_dir/CLK_xmdf.tcl +++ b/fpga/ipcore_dir/PLL_xmdf.tcl @@ -1,29 +1,29 @@ # The package naming convention is _xmdf -package provide CLK_xmdf 1.0 +package provide PLL_xmdf 1.0 # This includes some utilities that support common XMDF operations package require utilities_xmdf # Define a namespace for this package. The name of the name space # is _xmdf -namespace eval ::CLK_xmdf { +namespace eval ::PLL_xmdf { # Use this to define any statics } # Function called by client to rebuild the params and port arrays # Optional when the use context does not require the param or ports # arrays to be available. -proc ::CLK_xmdf::xmdfInit { instance } { +proc ::PLL_xmdf::xmdfInit { instance } { # Variable containg name of library into which module is compiled # Recommendation: # Required -utilities_xmdf::xmdfSetData $instance Module Attributes Name CLK +utilities_xmdf::xmdfSetData $instance Module Attributes Name PLL } -# ::CLK_xmdf::xmdfInit +# ::PLL_xmdf::xmdfInit # Function called by client to fill in all the xmdf* data variables # based on the current settings of the parameters -proc ::CLK_xmdf::xmdfApplyParams { instance } { +proc ::PLL_xmdf::xmdfApplyParams { instance } { set fcount 0 # Array containing libraries that are assumed to exist @@ -36,103 +36,103 @@ utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/clk_wiz_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/clk_wiz_readme.txt utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/doc/clk_wiz_ds709.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/doc/clk_wiz_ds709.pdf utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/doc/clk_wiz_gsg521.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/doc/clk_wiz_gsg521.pdf utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/implement/implement.bat utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/implement/implement.sh utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/implement/xst.prj utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/implement/xst.scr utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/CLK_tb.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/PLL_tb.v utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/simcmds.tcl utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/simulate_isim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/simulate_isim.sh utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/simulate_mti.do utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/simulate_ncsim.sh utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/simulate_vcs.sh utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/ucli_commands.key utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/vcs_session.tcl utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/wave.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/wave.do utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK/simulation/functional/wave.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL/simulation/functional/wave.sv utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL.asy utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK.ejp +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL.ejp utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL.ucf utilities_xmdf::xmdfSetData $instance FileSet $fcount type ucf incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL.v utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL.veo utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL.xco utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path CLK_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PLL_xmdf.tcl utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView incr fcount -utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module CLK +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module PLL incr fcount } diff --git a/fpga/ipcore_dir/PrefetchDataRAM.asy b/fpga/ipcore_dir/PrefetchDataRAM.asy new file mode 100644 index 0000000..6a17ea8 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM.asy @@ -0,0 +1,41 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 PrefetchDataRAM +RECTANGLE Normal 32 32 544 1376 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName addra[6:0] +PINATTR Polarity IN +LINE Wide 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName dina[31:0] +PINATTR Polarity IN +LINE Normal 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName ena +PINATTR Polarity IN +LINE Wide 0 208 32 208 +PIN 0 208 LEFT 36 +PINATTR PinName wea[3:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName clka +PINATTR Polarity IN +LINE Wide 0 432 32 432 +PIN 0 432 LEFT 36 +PINATTR PinName addrb[6:0] +PINATTR Polarity IN +LINE Normal 0 496 32 496 +PIN 0 496 LEFT 36 +PINATTR PinName enb +PINATTR Polarity IN +LINE Normal 0 624 32 624 +PIN 0 624 LEFT 36 +PINATTR PinName clkb +PINATTR Polarity IN +LINE Wide 576 368 544 368 +PIN 576 368 RIGHT 36 +PINATTR PinName doutb[31:0] +PINATTR Polarity OUT + diff --git a/fpga/ipcore_dir/PrefetchDataRAM.gise b/fpga/ipcore_dir/PrefetchDataRAM.gise new file mode 100644 index 0000000..09f5798 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM.gise @@ -0,0 +1,53 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/CLKGEN.ucf b/fpga/ipcore_dir/PrefetchDataRAM.ncf similarity index 100% rename from fpga/CLKGEN.ucf rename to fpga/ipcore_dir/PrefetchDataRAM.ncf diff --git a/fpga/ipcore_dir/PrefetchDataRAM.ngc b/fpga/ipcore_dir/PrefetchDataRAM.ngc new file mode 100644 index 0000000..d38f8f2 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$04f44<,[o}e~g`n;"2*73>(-80!<;40123416<89:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?012345679h1:?7GAPTV9EABUI^XJHI\31383:4g<9:0BB][[:@FGVGTCIMNY0<<50?37?40=AGZ^X7JFAEK?50<768>0=;4FNQWW>AOEL@6:97>114922?IR\Y__6IANDN>21?699<1::7AZTQWW>AIELF6:97>11591>LHW]]0OE]OKIQ>2>586<281EC^ZT;FJTGBNX5;1<3?<;38JJUSS2^OJ[HB31;2=56=52@D[YY4XECUFQ97=87;>7?4@UURVP?BHXHND\1?50?36?7<5IORVP?bnfh68=7>114906?IR\Y__6iaztc>05?69991?6D@_UU8tad:4294o7;}cx8dwc731%;;97:4FNQWW>dbczh6=6=0>2:59MKVR\3kohl36;2=a>GCL[K\^LJKR=2=b>GCL[K\^LJKR=33:c=FLMXJ[_OKDS>25;763HNO^LY]AEFQ844=87l0MIJ]AVPB@AT;9;4n7LJKR@UQEABU484n7LJKR@UQEABU4;4n7LJKR@UQEABU4:4n7LJKR@UQEABU4=4n7LJKR@UQEABU4<4n7LJKR@UQEABU4?4n7LJKR@UQEABU4>4n7LJKR@UQEABU414n7LJKR@UQEABU404n7LJKRCPGEABU494m7LJKRCPGEABU48:5j6OKDS@Q@DBCZ5;:2g9B@ATEZMKOH_2>2?g8EABUJ[NJHI\31?g8EABUJ[NJHI\32?g8EABUJ[NJHI\33?g8EABUJ[NJHI\34?g8EABUJ[NJHI\35?g8EABUJ[NJHI\36?g8EABUJ[NJHI\37?g8EABUJ[NJHI\38?g8EABUJ[NJHI\39?18EIJ?3K_XSD@IO39@A440OAE?6:AOO4>7>2IGG?9?5:AOO7^?3JF@>U?7049@HN?7=2IGGL?8;BNHE4B5?2IGGL?K469@HNG6L130OAENWSAOO0=DDBH:56MCKCPGGIM23JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIX99@HN@_91:27NABMHVWAA733JEYIRIJNDPBPLIIW@DMC:5LRDCWAAeBF\HU\EIZG_C:8@LGCA5:556JFAEK?558>3MCJHD2>1?;8@LGCA5;9245KI@FJ845912NBMIG31519:FJEAO;9<437IGNDH>2:==CAHNB0?07;EKB@L:4611OELJF<5<;?AOFL@6>255KI@FJ838?3MCJHD28>99GMDBN41437IGNDH>::==CAKNB0=06;EKA@L:68730HDLKI=32:<=CAKNB0<<19:FJFAO;9:427IGMDH>20;d720HDLKI=5=<>BNJMC74364DH@GM9?9i2NB\LJFP=2=g>BNXHNB\1?50?c8@LVFL@Z7=3o4DHRA@LV;87i0HD^MDHR?5?69i2NB\OJFP=3=<>BHIME7<374DNCGK977601OCLJ@<03==>BHIME7=?06;EMB@J:6;730HBOKO=37:g=CGHND0<;50?;8@JGCG5;>255KO@FL848?3MEJHB2=>99GKDBH4:437IANDN>7:==CGHND0807;EMB@J:1611OCLJ@<6<;?AIFLF63255KO@FL8<803MEJS_KH8:FLFAI;8730HBLKO=33:<=CGKND027;?c9GKGBH48?1<374DN@GK972611OCOJ@<0<;?AIELF69255KOCFL868?3MEIHB2;>99GKGBH4<437IAMDN>5:==CGKND0:07;EMA@J:?611OCOJ@<8<4?AIEW[OLm6J@P@FLT969k2ND\LJ@P=394;g@FDZO:7J=4GOF;?LHN\YU;<55FNHVS[57?3@DBX]Q?299JJLRWW99<7D@FT^233>OIA]U;=:5FNHV\471L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L=7D@FT^C5?LHN\VH27D@FT^DJH@0=?<1130[I24)eXiqcTxt~j=0.`[gsmVybvh37,b]`khkhfVigg0?#c^ffbdsk|Vnjxl3?,b]gmvgedlU|m`Pbit\gjjk59&hSig|acnf[rgufVhczR|nnfg>4)eXmekico{inl\`lt:KV%^h||/Emd+Aid}doex~!HiuJvf*Qfzy'GO"hg`-jtfiumVnb~"zbp.]`c**dWldjnakP33`jpZgcl{ox0>#c^dbilj~5zzj~yoa6-a\lduXiqcTei3?,b]kevYbfh7: nQgar]fjg;6$jUcm~Qfnkg`p`tu59&hSeo|_lgn[jssx|~T~hi|_`?3(fYoizUfi`Q`uurvpZtbozUi1="l_icp[hsWf|xzPrdep[d;7$jUcm~Qbuy]lqqvr|Vxnk~Qm=1.`[mgtW{oloho20-a\lduXzlmhio3?,b]kevYu{}k64)eXagcSkgce<@oekmT|{cek!mPiokw[cokmVdjah3ao^alaZ`ndlUgcljjd-a\mkosiV|j`0>#c^kmmqdX~hf6,b]nq}YwayogeckPsucdav;7$jU{~dcPtxrf94*dW{ojhRjjpuj\e8750%iT~hok_egspmYe5883 nQ}e`f\slbs`Vk6??"l_sgb`Zqnl}bTn0==,b]qwqYwz`eyeywPaW\HD$jUyy|nm^c>4)eXzz~ym`Qm=1.`[vojWjeg`d}foo]`l`el5HFG nQzsd]avdkXjeehg0>#c^wpaZd~|lU|il3>,b]vw`Yeq}oT{hl21-a\qvcXllljyazPd`vb95*dW|ynShml=1.`[pubWzemxhml=1.`[rcfW~coxe3;,b]tagYpam~c19"l_vpjp`Ycmy~cSl3>29.`[rtn|lUoi}zg_c?26=*dW~xbxhQboeg\e8TBIMUME_][,b]tvlrbWdeoiRl2RDCG[COU[]&hSz|ftd]tmaroWh78>!mPwskwaZqnl}bTn0==,b]{``pnklljadbv=rrbvqgi>t887]|jfdv`lAgsi[KF obd_lgn[bciW=T?!ur9:PBIG>E_LX37_OB_LMGAd=UMHNTJD\\T79QABEBIk1YIJMJBSGDG@2UOZLMTIUZ]ABV\JBEb3ZBYIJQBIO]PM_C6;2YBARM@LMKPMJHXKAOHG;5\OTP@A2=TZ_KGSL94SSTBHZD13Z^JXX];;R[MG1=SQYO3:g=PMH]N@1?50?;8S@GPME6:245XECUFQ969j2]NNZKZ<083:<=PMK]NY1?1c:UQMQCXEFNNSLm4WSKWAZKHLLUI=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB:6V\TMKA3>^T\VMEHo5W_BMQAZOINF<0TilPIed8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy=4Ydq;?dbczh6;255ndepb848?3hno~l2=>99b`atf4:437ljkr`>7:==flmxj080n;`fgvd:129437ljkr`>5:==flmxi0=07;`fgvg:6611jhi|m<3<;?dbczk68255ndepa818?3hno~o2:>`9b`ate4?0;255ndepa838602hggRcjm^efjZabflxboRm|ts35?gjlWdofSjka_qpjiZqnl}b:n6lck^ofiZabfVzye`Qxr`rsawYt>o1i`fQbel]dakYsgy;;7obd_lgn[bciW=T??m4bmi\i`kXoldTz:Q<,!Zjhlh(JEYI-Ijndpbpjt'9=$<=95mlj]nahY`mgU};R=Pxrv27>dkcVgnaRgaptv\fiidc8>0naePmdo\kprw}}Ui`bmd4:aood23:==cagk7==07;ekme976611oeco313<;?aoii5;8255kioc?518?3mcem1?:>99gmkg;9?437igaa=34:==cagk7=507;ekme97>6>1oeco31?:8`lhf4;:546jfn`>15;>bnfh699364dhlb870902nbbl2=7?:8`lhf4;2546jfn`>1=;169gmkg;=7=0hd`n<7<4?aoii5=5;6jfn`>;:2=cagk75364dnwwf96912ndyyl311<:?air|k6:=374dnwwf975601ocxzm<01==>bh}}h7=906;emvpg:6=730hb{{b=35:<=cg|~i0<919:flqqd;91427iaztc>2=;>15;?89gkpre4;9556j`uu`?618>3me~xo2=5?;8`jssj58=245kotva871912ndyyl329<:?air|k695364dnwwf94912ndyyl33119:flqqd;;8437iaztc>0:==cg|~i0907;emvpg:2611ocxzm<7<;?air|k6<255kotva8=8?3me~xo26>29fjd579tad:66?1|il2=>99tad:4294=7zkn<2<~DEv6091KLujl:G87>4}T4=i99?1:6*>038ea>{T<00:<;4k7;300332?3;mj?o4Sbg9531=83;88;;:7;3eb03<[=31=;950;300332?3;mj884dea94?7=9rY?n7??6;f4>453>4612m=1=>:954595c`5i2.m97j?;W337?4|}m;1=6{k2;28y!b?2890nim50;75>7<2?rBm86*i2;f`?_5427?;:02950<693w/==?510f8 63=ll1/8l4kd:&ff?7<,lk1==94i04g>5<#lh0::n5ad883?>o6>k0;6)jn:04`?kb>2810e<8n:18'`d<6>j1eh44=;:k22<<72-nj6<8l;of:>6=0e83>!bf28:n7cj6:098m46d290/hl4>0d9m`<<532c:46b3gn26>54i02b>5<#lh0:o6800;6)jn:02f?kb>2<10e<>7:18'`d<68l1eh449;:k27f<72-nj6<=m;of:>5=3983>!bf289i7cj6:398m450290/hl4>3c9m`<<432c:?;4?:%fb>45e3gn26954i016>5<#lh0:?o5ad886?>o6;=0;6)jn:01a?kb>2?10e<=<:18'`d<6;k1eh448;:k277<72-nj6<=m;of:>==3183>!bf289i7cj6:`98m44a290/hl4>3c9m`<i4?:%fb>45e3gn26n54i00`>5<#lh0:?o5ad88g?>o6:k0;6)jn:01a?kb>2l10e<46<3`;947>5$ec956d5<#lh0:?o5ad8826>=n9;<1<7*ka;30f>hc13;876g>2483>!bf289i7cj6:068?l75<3:1(io512`8ja?=9<10e<:<:18'`d<6;k1eh44>6:9j514=83.om7?45e3gn26<64;h374?6=,mk1=>l4ne;95<=o6;m0;6)jn:01a?kb>28i07d?<9;29 ag=9:h0bi751e98m44b290/hl4>3c9m`<<6m21b=?=50;&ge?74j2do57?i;:k252<72-nj65=6=4+d`8253=il00:76g>1583>!bf28;=7cj6:398m474290/hl4>179m`<<432c:=?4?:%fb>4713gn26954i032>5<#lh0:=;5ad886?>o6990;6)jn:035?kb>2?10e<<>:188m4022900e<<=:188m4012900c<;k:18'`d<6=j1eh44?;:m21g<72-nj6<;l;of:>4=5983>!bf28?h7cj6:298k430290/hl4>5b9m`<<332e:9;4?:%fb>43d3gn26854o076>5<#lh0:9n5ad885?>i6==0;6)jn:07`?kb>2>10c<;<:18'`d<6=j1eh447;:m217<72-nj6<;l;of:><=5183>!bf28?h7cj6:c98k42b290/hl4>5b9m`<43d3gn26i54o06`>5<#lh0:9n5ad88f?>i62o10c<:n:18'`d<6=j1eh44>0:9l51?=83.om7?:c:lg=?7632e:854?:%fb>43d3gn26<<4;n373?6=,mk1=8m4ne;956==6=4+d`821f=il00:865`15794?"ci3;>o6`k9;36?>i6>=0;6)jn:07`?kb>28<07b?93;29 ag=95b9m`<<6021d=;?50;&ge?72k2do57?6;:m225<72-nj6<;l;of:>4g<3f;>j7>5$ec950e5<#lh0:9n5ad882g>=h9hc13;o76a>4g83>!bf28?h7cj6:0g8?j73<3:1(io514a8ja?=9o10n<>?:182>5<7s-l96l84Hgd8Lc20V>=5cz691?c=n3h1m7m5d;:93?0=13w/h;4>699m0f<5<2d?h7<;;o:4>5=i100;7)o=:`68 d5=i=1/m:4<;%c;>6=#i0087)on:29'eg<43-kh6>5+ae80?!gb2:1/mk4<;%`3>6=#j8087)l=:29'f6<43-h?6>5+b480?!d12:1/n:4<;%`;>6=#j0087)ln:29'fg<43-hh6>5+be80?!db2:1/nk4<;%a3>6=#k8087)m=:29'g6<43-i?6>5+c480?!e12:1/o:4<;%a;>6=#k0087)mn:29'gg<53-ih6?5+ce8g1>"b83o27)k9:g38 `1=;=1/i54<4:&fg?553-on6?5+eg81?!`d2l;0(kj5e09ja0<722cj=7>5;hg1>5<>o3:3:17dk<:188m`2=831bj54?:%fb>c1!bf2o=0bi751:9l3a<72-nj6:m4ne;94>=h?k0;6)jn:6a8ja?=921d;l4?:%fb>2e65`7883>!bf2>i0bi753:9l3=<72-nj6:m4ne;90>=h?>0;6)jn:6a8ja?==21d4;4?:%fb>2e!bf2>i0bi757:9l<1<72-nj6:m4ne;9<>=h0:0;6)jn:6a8ja?=121d4?4?:%fb>2e!bf2>i0bi75b:9l<5<72-nj6:m4ne;9g>=h?o0;6)jn:6a8ja?=l21d;h4?:%fb>2e!bf2>i0bi75f:9l=f<72-nj64l4ne;94>=h1h0;6)jn:8`8ja?=921d5k4?:%fb>!bf20o0bi751:9jbg<72-nj6ko4ne;94>=nn00;6)jn:gc8ja?=921b=l4?:%fb>4?!bf2830bi751:9j52<72-nj6<74ne;96>=n::0;6)jn:0;8ja?=;21b>?4?:%fb>4?!bf2830bi755:9j65<72-nj6<74ne;92>=n9o0;6)jn:0;8ja?=?21b=h4?:%fb>4?!bf2830bi759:9j5f<72-nj6<74ne;9e>=n9k0;6)jn:0;8ja?=j21b=;4?:%fb>4?!bf2>>0bi750:9j36<72-nj6::4ne;95>=n>?0;6)jn:778ja?=821b:94?:%fb>33!bf2??0bi752:9j27<72-nj6;;4ne;97>=n>80;6)jn:778ja?=<21b:=4?:%fb>33!bf2??0bi756:9j2`<72-nj6;;4ne;93>=n>m0;6)jn:778ja?=021b:n4?:%fb>33!bf2??0bi75a:9j2d<72-nj6;;4ne;9f>=n>00;6)jn:778ja?=k21b:54?:%fb>33!bf2??0bi75e:9j1c<72-nj6;;4ne;9b>=n=<0;6)jn:468ja?=821b9>4?:%fb>02!bf2<>0bi752:9j14<72-nj68:4ne;97>=n=90;6)jn:468ja?=<21b8k4?:%fb>02!bf2<>0bi756:9j1a<72-nj68:4ne;93>=n=j0;6)jn:468ja?=021b9o4?:%fb>02!bf2<>0bi75a:9j1<<72-nj68:4ne;9f>=n=10;6)jn:468ja?=k21b9:4?:%fb>02!bf2<>0bi75e:9j0`<72-nj68:4ne;9b>=n:10;6)jn:358ja?=821b>;4?:%fb>71!bf2;=0bi752:9j74<72-nj6?94ne;97>=n;90;6)jn:358ja?=<21b>k4?:%fb>71!bf2;=0bi756:9j6a<72-nj6?94ne;93>=n:j0;6)jn:358ja?=021b>o4?:%fb>71!bf2;=0bi75a:9j6<<72-nj6?94ne;9f>=n:=0;6)jn:358ja?=k21d4k4?:%fb>=c!bf21o0bi751:9l=h0k0;6)jn:9g8ja?=;21d4l4?:%fb>=c!bf21o0bi755:9l==<72-nj65k4ne;92>=h1>0;6)jn:9g8ja?=?21d5;4?:%fb>=c!bf21o0bi759:9l=1<72-nj65k4ne;9e>=h1:0;6)jn:9g8ja?=j21d5?4?:%fb>=c!bf21o0bi75d:9l=5<72-nj65k4ne;9a>=h010;6)jn:9g8ja?=n21b;?4?:%fb>27!bf2>;0bi751:9~wa5=83;>w0??0;c6?8`428k01k=51d9>b6<6l27m?7?l;4d<5o91=;52f281<>;a;38h70h<:3`89c5=:h16j>4=9:?e7?4334l86:<4=g1935=:n:0<963i3;50?8`42l801k=5e29>b6m6=4={_37b>;a;3=n7p}>5`83>7}Y973ty:9k4?:3y]50`<5o914<5rs043>5<5sW;=<63i3;:1?xu6>80;6?uQ17389c5=0:1v<8=:181[71:27m?76;;|q226<72;qU=;=4=g19<0=z{8;a;32=7p}>4483>7}Y9=?01k=5769~w4212909wS?;6:?e7?1?3ty:8:4?:3y]511<5o91;45rs06;>5<5sW;?463i3;5b?xu6<00;6?uQ15;89c5=?k1v<:n:181[73i27m?79k;|q20g<72;qU=9l4=g19<==z{8>h6=4={_37g>;a;33;7p}>4e83>7}Y9=n01k=5909~w42b2909wS?;e:?e7??53ty:9=4?:3y]506<5o915>5rs072>5<5sW;>=63i3;;7?xu6=;0;6?uQ14089c5=1<1v<;<:181[72;27m?779;|q211<72;qU=8:4=g19=2=z{8?>6=4={_361>;a;3337p}>5783>7}Y9<<01k=5889~w4302909wS?:7:?e7?>f3ty:954?:3y]50><5o914o5rs07:>5<5sW;>563i3;:`?xu6=k0;6?uQ14`89c5=0m1v<;k:181[72l27m?76i;|q223<72;qU=;84=g19e4=z{8896=4={_316>;a;3>97p}>6483>7}Y9??01k=5a19~w4462909wS?=1:?e7?273ty:==4?:3y]546<5o91>i5rs032>5<5sW;:=63i3;0f?xu69;0;6?uQ10089c5=:o1v6=4={_321>;a;38>7p}>1683>7}Y98=01k=5279~w4442909wS?=3:?e7?2b3ty:>h4?:3y]57c<5o919;5rs01:>5<5sW;8563i3;74?xu6;m0;6?uQ12f89c5==11v<=j:181[74m27m?7;6;|q27c<72;qU=>h4=g191d=z{8>;6=4={_374>;a;3?i7p}>4083>7}Y9=;01k=55b9~w4252909wS?;2:?e7?3c3ty:8>4?:3y]515<5o919h5rs007>5<5sW;9863i3;6e?xu6:<0;6?uQ13789c5==91v<<9:181[75>27m?7;>;|q262<72;qU=?94=g1917=z{8836=4={_31<>;a;3?87p}>2883>7}Y9;301k=5549~w44f2909wS?=a:?e7?3a3ty:>o4?:3y]57d<5o91::5rs00`>5<5sW;9o63i3;4;?xu6:m0;6?uQ13f89c5=>01v<>4=g192g=z{89:6=4={_305>;a;33383>7}Y9:801k=56e9~w4542909wS?<3:?e7?0b3ty:?94?:3y]562<5o91:k5rs016>5<5sW;8963i3;43?xu6;?0;6?uQ12489c5=>81v<=8:181[74?27m?78=;|q27=<72;qU=>64=g1926=z{89j6=4={_30e>;a;33b83>7}Y9:i01k=5679~w46?2909wS??8:?e7?7a3ty:<44?:3y]55?<5o91>=5rs02b>5<5sW;;m63i3;02?xu68k0;6?uQ11`89c5=:;1v<>l:181[77k27m?7<<;|q24a<72;qU==j4=g1952=z{8:m6=4={_33b>;a;3;37p}>6883>7}Y9?301k=5f79~w40f2909wS?9a:?e7?`?3ty::o4?:3y]53d<5o91j45rs04g>5<5sW;=h63i3;da?x{il;0;65<6sAl?7p`k5;295~Na<2weh;4?:0yKb1=zfm=1<7?tHg68ykb?290:wEh;;|lg=?6=9rBm86sad`83>4}On=1vbil50;3xLc2{Id7?xhcl3:1=vFi4:m``<728qCj95rned94?7|@o>0qck?:182M`33tdn=7>51zJe0>{im;0;65<6sAl?7p`j5;295~Na<2wei;4?:0yKb1=zfl=1<7?tHg68ykc?290:wEh;;|lf=?6=9rBm86sae`83>4}On=1vbhl50;3xLc2{Id7?xhbl3:1=vFi4:ma`<728qCj95rndd94?7|@o>0qch?:182M`33tdm=7>51zJe0>{in;0;65<6sAl?7p`i5;295~Na<2wej;4?:0yKb1=zfo=1<7?tHg68yk`?290:wEh;;|le=?6=9rBm86saf`83>4}On=1vbkl50;3xLc2{Id7?xhal3:1=vFi4:mb`<728qCj95rngd94?7|@o>0qc??0;295~Na<2we==?50;3xLc27>51zJe0>{i9991<7?tHg68yk77<3:1=vFi4:m553=83;pDk:4}o332?6=9rBm86sa11594?7|@o>0qc??8;295~Na<2we==750;3xLc251zJe0>{i99h1<7?tHg68yk77k3:1=vFi4:m55b=83;pDk:4}o33a?6=9rBm86sa11d94?7|@o>0qc?>0;295~Na<2we=7>51zJe0>{i9891<7?tHg68yk76<3:1=vFi4:m543=83;pDk:4}o322?6=9rBm86sa10594?7|@o>0qc?>8;295~Na<2we=<750;3xLc251zJe0>{i98h1<7?tHg68yk76k3:1=vFi4:m54b=83;pDk:4}o32a?6=9rBm86sa10d94?7|@o>0qc?=0;295~Na<2we=??50;3xLc27>51zJe0>{i9;91<7?tHg68yk75<3:1=vFi4:m573=83;pDk:4}o312?6=9rBm86sa13594?7|@o>0qc?=8;295~Na<2wvqpNOCz3;4?g1<=h=4>sO@Cy3yEFWstJK \ No newline at end of file diff --git a/fpga/ipcore_dir/PrefetchDataRAM.sym b/fpga/ipcore_dir/PrefetchDataRAM.sym new file mode 100644 index 0000000..cf7b267 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM.sym @@ -0,0 +1,36 @@ + + + BLOCK + 2021-10-31T13:9:7 + + + + + + + + + + + PrefetchDataRAM + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/ipcore_dir/PrefetchDataRAM.v b/fpga/ipcore_dir/PrefetchDataRAM.v new file mode 100644 index 0000000..6b16f4f --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM.v @@ -0,0 +1,188 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2021 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// You must compile the wrapper file PrefetchDataRAM.v when simulating +// the core, PrefetchDataRAM. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +`timescale 1ns/1ps + +module PrefetchDataRAM( + clka, + ena, + wea, + addra, + dina, + clkb, + enb, + addrb, + doutb +); + +input clka; +input ena; +input [3 : 0] wea; +input [6 : 0] addra; +input [31 : 0] dina; +input clkb; +input enb; +input [6 : 0] addrb; +output [31 : 0] doutb; + +// synthesis translate_off + + BLK_MEM_GEN_V7_3 #( + .C_ADDRA_WIDTH(7), + .C_ADDRB_WIDTH(7), + .C_ALGORITHM(1), + .C_AXI_ID_WIDTH(4), + .C_AXI_SLAVE_TYPE(0), + .C_AXI_TYPE(1), + .C_BYTE_SIZE(8), + .C_COMMON_CLK(1), + .C_DEFAULT_DATA("0"), + .C_DISABLE_WARN_BHV_COLL(0), + .C_DISABLE_WARN_BHV_RANGE(0), + .C_ENABLE_32BIT_ADDRESS(0), + .C_FAMILY("spartan6"), + .C_HAS_AXI_ID(0), + .C_HAS_ENA(1), + .C_HAS_ENB(1), + .C_HAS_INJECTERR(0), + .C_HAS_MEM_OUTPUT_REGS_A(0), + .C_HAS_MEM_OUTPUT_REGS_B(0), + .C_HAS_MUX_OUTPUT_REGS_A(0), + .C_HAS_MUX_OUTPUT_REGS_B(0), + .C_HAS_REGCEA(0), + .C_HAS_REGCEB(0), + .C_HAS_RSTA(0), + .C_HAS_RSTB(0), + .C_HAS_SOFTECC_INPUT_REGS_A(0), + .C_HAS_SOFTECC_OUTPUT_REGS_B(0), + .C_INIT_FILE("BlankString"), + .C_INIT_FILE_NAME("no_coe_file_loaded"), + .C_INITA_VAL("0"), + .C_INITB_VAL("0"), + .C_INTERFACE_TYPE(0), + .C_LOAD_INIT_FILE(0), + .C_MEM_TYPE(1), + .C_MUX_PIPELINE_STAGES(0), + .C_PRIM_TYPE(1), + .C_READ_DEPTH_A(128), + .C_READ_DEPTH_B(128), + .C_READ_WIDTH_A(32), + .C_READ_WIDTH_B(32), + .C_RST_PRIORITY_A("CE"), + .C_RST_PRIORITY_B("CE"), + .C_RST_TYPE("SYNC"), + .C_RSTRAM_A(0), + .C_RSTRAM_B(0), + .C_SIM_COLLISION_CHECK("ALL"), + .C_USE_BRAM_BLOCK(0), + .C_USE_BYTE_WEA(1), + .C_USE_BYTE_WEB(1), + .C_USE_DEFAULT_DATA(0), + .C_USE_ECC(0), + .C_USE_SOFTECC(0), + .C_WEA_WIDTH(4), + .C_WEB_WIDTH(4), + .C_WRITE_DEPTH_A(128), + .C_WRITE_DEPTH_B(128), + .C_WRITE_MODE_A("READ_FIRST"), + .C_WRITE_MODE_B("READ_FIRST"), + .C_WRITE_WIDTH_A(32), + .C_WRITE_WIDTH_B(32), + .C_XDEVICEFAMILY("spartan6") + ) + inst ( + .CLKA(clka), + .ENA(ena), + .WEA(wea), + .ADDRA(addra), + .DINA(dina), + .CLKB(clkb), + .ENB(enb), + .ADDRB(addrb), + .DOUTB(doutb), + .RSTA(), + .REGCEA(), + .DOUTA(), + .RSTB(), + .REGCEB(), + .WEB(), + .DINB(), + .INJECTSBITERR(), + .INJECTDBITERR(), + .SBITERR(), + .DBITERR(), + .RDADDRECC(), + .S_ACLK(), + .S_ARESETN(), + .S_AXI_AWID(), + .S_AXI_AWADDR(), + .S_AXI_AWLEN(), + .S_AXI_AWSIZE(), + .S_AXI_AWBURST(), + .S_AXI_AWVALID(), + .S_AXI_AWREADY(), + .S_AXI_WDATA(), + .S_AXI_WSTRB(), + .S_AXI_WLAST(), + .S_AXI_WVALID(), + .S_AXI_WREADY(), + .S_AXI_BID(), + .S_AXI_BRESP(), + .S_AXI_BVALID(), + .S_AXI_BREADY(), + .S_AXI_ARID(), + .S_AXI_ARADDR(), + .S_AXI_ARLEN(), + .S_AXI_ARSIZE(), + .S_AXI_ARBURST(), + .S_AXI_ARVALID(), + .S_AXI_ARREADY(), + .S_AXI_RID(), + .S_AXI_RDATA(), + .S_AXI_RRESP(), + .S_AXI_RLAST(), + .S_AXI_RVALID(), + .S_AXI_RREADY(), + .S_AXI_INJECTSBITERR(), + .S_AXI_INJECTDBITERR(), + .S_AXI_SBITERR(), + .S_AXI_DBITERR(), + .S_AXI_RDADDRECC() + ); + +// synthesis translate_on + +endmodule diff --git a/fpga/ipcore_dir/PrefetchDataRAM.veo b/fpga/ipcore_dir/PrefetchDataRAM.veo new file mode 100644 index 0000000..ab93229 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM.veo @@ -0,0 +1,67 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2021 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ + +/******************************************************************************* +* Generated from core with identifier: xilinx.com:ip:blk_mem_gen:7.3 * +* * +* The Xilinx LogiCORE IP Block Memory Generator replaces the Dual Port * +* Block Memory and Single Port Block Memory LogiCOREs, but is not a * +* direct drop-in replacement. It should be used in all new Xilinx * +* designs. The core supports RAM and ROM functions over a wide range of * +* widths and depths. Use this core to generate block memories with * +* symmetric or asymmetric read and write port widths, as well as cores * +* which can perform simultaneous write operations to separate * +* locations, and simultaneous read operations from the same location. * +* For more information on differences in interface and feature support * +* between this core and the Dual Port Block Memory and Single Port * +* Block Memory LogiCOREs, please consult the data sheet. * +*******************************************************************************/ + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +PrefetchDataRAM your_instance_name ( + .clka(clka), // input clka + .ena(ena), // input ena + .wea(wea), // input [3 : 0] wea + .addra(addra), // input [6 : 0] addra + .dina(dina), // input [31 : 0] dina + .clkb(clkb), // input clkb + .enb(enb), // input enb + .addrb(addrb), // input [6 : 0] addrb + .doutb(doutb) // output [31 : 0] doutb +); +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file PrefetchDataRAM.v when simulating +// the core, PrefetchDataRAM. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/ipcore_dir/PrefetchDataRAM.xco b/fpga/ipcore_dir/PrefetchDataRAM.xco new file mode 100644 index 0000000..5be7920 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM.xco @@ -0,0 +1,108 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Sun Oct 31 13:08:26 2021 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:blk_mem_gen:7.3 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx9 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ftg256 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator xilinx.com:ip:blk_mem_gen:7.3 +# END Select +# BEGIN Parameters +CSET additional_inputs_for_power_estimation=false +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=true +CSET axi_id_width=4 +CSET axi_slave_type=Memory_Slave +CSET axi_type=AXI4_Full +CSET byte_size=8 +CSET coe_file=no_coe_file_loaded +CSET collision_warnings=ALL +CSET component_name=PrefetchDataRAM +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET ecctype=No_ECC +CSET enable_32bit_address=false +CSET enable_a=Use_ENA_Pin +CSET enable_b=Use_ENB_Pin +CSET error_injection_type=Single_Bit_Error_Injection +CSET fill_remaining_memory_locations=false +CSET interface_type=Native +CSET load_init_file=false +CSET mem_file=no_Mem_file_loaded +CSET memory_type=Simple_Dual_Port_RAM +CSET operating_mode_a=READ_FIRST +CSET operating_mode_b=READ_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET port_a_clock=100 +CSET port_a_enable_rate=100 +CSET port_a_write_rate=50 +CSET port_b_clock=100 +CSET port_b_enable_rate=100 +CSET port_b_write_rate=0 +CSET primitive=8kx2 +CSET read_width_a=32 +CSET read_width_b=32 +CSET register_porta_input_of_softecc=false +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET register_portb_output_of_softecc=false +CSET remaining_memory_locations=0 +CSET reset_memory_latch_a=false +CSET reset_memory_latch_b=false +CSET reset_priority_a=CE +CSET reset_priority_b=CE +CSET reset_type=SYNC +CSET softecc=false +CSET use_axi_id=false +CSET use_bram_block=Stand_Alone +CSET use_byte_write_enable=true +CSET use_error_injection_pins=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_rsta_pin=false +CSET use_rstb_pin=false +CSET write_depth_a=128 +CSET write_width_a=32 +CSET write_width_b=32 +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-19T16:22:25Z +# END Extra information +GENERATE +# CRC: 748d0e4 diff --git a/fpga/ipcore_dir/CLK.xise b/fpga/ipcore_dir/PrefetchDataRAM.xise similarity index 82% rename from fpga/ipcore_dir/CLK.xise rename to fpga/ipcore_dir/PrefetchDataRAM.xise index 3949e06..a3e0a8c 100644 --- a/fpga/ipcore_dir/CLK.xise +++ b/fpga/ipcore_dir/PrefetchDataRAM.xise @@ -15,15 +15,16 @@ - - + + + - - - - - - + + + + + + @@ -33,9 +34,9 @@ - - - + + + @@ -48,17 +49,15 @@ - + - - + + - - - + diff --git a/fpga/ipcore_dir/PrefetchDataRAM/blk_mem_gen_v7_3_readme.txt b/fpga/ipcore_dir/PrefetchDataRAM/blk_mem_gen_v7_3_readme.txt new file mode 100644 index 0000000..80625fa --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/blk_mem_gen_v7_3_readme.txt @@ -0,0 +1,213 @@ + Core name: Xilinx LogiCORE Block Memory Generator + Version: 7.3 Rev 1 + Release: ISE 14.4 / Vivado 2012.4 + Release Date: October 16, 2012 + +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURES HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Block Memory Generator v7.3 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/Block_Memory_Generator.htm + + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + All 7 Series devices + Zynq-7000 devices + +................................................................................ + +3. NEW FEATURES HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + + +................................................................................ + + +4. RESOLVED ISSUES + + +The following issues are resolved in Block Memory Generator v7.3: + + 4.1 ISE + + + 4.2 Vivado + + +................................................................................ + + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + The following are known issues for v7.3 of this core at time of release: + + 1. Power estimation figures in the datasheet are preliminary for Virtex-5 and Spartan-3. + + 3. Core does not generate for large memories. Depending on the + machine the ISE CORE Generator software runs on, the maximum size of the memory that + can be generated will vary. For example, a Dual Pentium-4 server + with 2 GB RAM can generate a memory core of size 1.8 MBits or 230 KBytes + - CR 415768 + - AR 24034 + + + 5.2 Vivado + + The following are known issues for v7.3 of this core at time of release: + + The most recent information, including known issues, workarounds, and resolutions for + this version is provided in the IP Release Notes User Guide located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + + + +7. CORE RELEASE HISTORY + +Date By Version Description +================================================================================ +12/16/2012 Xilinx, Inc. 7.3 Rev 1 ISE 14.4 and Vivado 2012.4 support; +10/16/2012 Xilinx, Inc. 7.3 ISE 14.3 and Vivado 2012.3 support; +07/25/2012 Xilinx, Inc. 7.2 ISE 14.2 and Vivado 2012.2 support; +04/24/2012 Xilinx, Inc. 7.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support +01/18/2011 Xilinx, Inc. 6.3 ISE 13.4 support;Artix7L*, AArtix-7* device support +06/22/2011 Xilinx, Inc. 6.2 ISE 13.2 support;Virtex-7L,Kintex-7L,Artix7 and Zynq-7000* device support; +03/01/2011 Xilinx, Inc. 6.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support; AXI4/AXI4-Lite Support +09/21/2010 Xilinx, Inc. 4.3 ISE 12.3 support +07/23/2010 Xilinx, Inc. 4.2 ISE 12.2 support +04/19/2010 Xilinx, Inc. 4.1 ISE 12.1 support +03/09/2010 Xilinx, Inc. 3.3 rev 2 Fix for V6 Memory collision issue +12/02/2009 Xilinx, Inc. 3.3 rev 1 ISE 11.4 support; Spartan-6 Low Power + Device support; Automotive Spartan 3A + DSP device support +09/16/2009 Xilinx, Inc. 3.3 Revised to v3.3 +06/24/2009 Xilinx, Inc. 3.2 Revised to v3.2 +04/24/2009 Xilinx, Inc. 3.1 Revised to v3.1 +09/19/2008 Xilinx, Inc. 2.8 Revised to v2.8 +03/24/2008 Xilinx, Inc. 2.7 10.1 support; Revised to v2.7 +10/03/2007 Xilinx, Inc. 2.6 Revised to v2.6 +07/2007 Xilinx, Inc. 2.5 Revised to v2.5 +04/2007 Xilinx, Inc. 2.4 Revised to v2.4 rev 1 +02/2007 Xilinx, Inc. 2.4 Revised to v2.4 +11/2006 Xilinx, Inc. 2.3 Revised to v2.3 +09/2006 Xilinx, Inc. 2.2 Revised to v2.2 +06/2006 Xilinx, Inc. 2.1 Revised to v2.1 +01/2006 Xilinx, Inc. 1.1 Initial release +================================================================================ + +8. Legal Disclaimer + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/ipcore_dir/PrefetchDataRAM/doc/blk_mem_gen_v7_3_vinfo.html b/fpga/ipcore_dir/PrefetchDataRAM/doc/blk_mem_gen_v7_3_vinfo.html new file mode 100644 index 0000000..01edd02 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/doc/blk_mem_gen_v7_3_vinfo.html @@ -0,0 +1,224 @@ + + +blk_mem_gen_v7_3_vinfo + + + +

+                Core name: Xilinx LogiCORE Block Memory Generator
+                Version: 7.3 Rev 1
+                Release: ISE 14.4 / Vivado 2012.4
+                Release Date: October 16, 2012
+
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURES HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Block Memory Generator v7.3 
+solution. For the latest core updates, see the product page at:
+ 
+ www.xilinx.com/products/ipcenter/Block_Memory_Generator.htm
+
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+  
+  The following device families are supported by the core for this release.
+  
+  All 7 Series devices
+  Zynq-7000 devices
+  All Virtex-6 devices
+  All Spartan-6 devices
+  All Virtex-5 devices
+  All Spartan-3 devices
+  All Virtex-4 devices
+  
+
+  2.2 Vivado 
+  All 7 Series devices
+  Zynq-7000 devices
+
+................................................................................
+
+3. NEW FEATURES HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+
+  3.2 Vivado
+  
+    - 2012.4 software support
+
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+The following issues are resolved in Block Memory Generator v7.3: 
+  
+  4.1 ISE 
+  
+
+  4.2 Vivado
+
+
+................................................................................
+
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+  5.1 ISE 
+
+    The following are known issues for v7.3 of this core at time of release:
+  
+    1. Power estimation figures in the datasheet are preliminary for Virtex-5 and Spartan-3.
+  
+    3. Core does not generate for large memories. Depending on the
+       machine the ISE CORE Generator software runs on, the maximum size of the memory that
+       can be generated will vary.  For example, a Dual Pentium-4 server 
+       with 2 GB RAM can generate a memory core of size 1.8 MBits or 230 KBytes
+      - CR 415768
+      - AR 24034
+    
+
+  5.2 Vivado 
+
+    The following are known issues for v7.3 of this core at time of release:
+     
+  The most recent information, including known issues, workarounds, and resolutions for 
+  this version is provided in the IP Release Notes User Guide located at
+    
+         www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+
+
+7. CORE RELEASE HISTORY 
+
+Date        By            Version      Description
+================================================================================
+12/16/2012  Xilinx, Inc.  7.3 Rev 1    ISE 14.4 and Vivado 2012.4 support;
+10/16/2012  Xilinx, Inc.  7.3          ISE 14.3 and Vivado 2012.3 support;
+07/25/2012  Xilinx, Inc.  7.2          ISE 14.2 and Vivado 2012.2 support;
+04/24/2012  Xilinx, Inc.  7.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+01/18/2011  Xilinx, Inc.  6.3          ISE 13.4 support;Artix7L*, AArtix-7* device support 
+06/22/2011  Xilinx, Inc.  6.2          ISE 13.2 support;Virtex-7L,Kintex-7L,Artix7 and Zynq-7000* device support;
+03/01/2011  Xilinx, Inc.  6.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support; AXI4/AXI4-Lite Support
+09/21/2010  Xilinx, Inc.  4.3          ISE 12.3 support
+07/23/2010  Xilinx, Inc.  4.2          ISE 12.2 support
+04/19/2010  Xilinx, Inc.  4.1          ISE 12.1 support
+03/09/2010  Xilinx, Inc.  3.3 rev 2    Fix for V6 Memory collision issue 
+12/02/2009  Xilinx, Inc.  3.3 rev 1    ISE 11.4 support; Spartan-6 Low Power
+                                       Device support; Automotive Spartan 3A
+                                       DSP device support
+09/16/2009  Xilinx, Inc.  3.3          Revised to v3.3
+06/24/2009  Xilinx, Inc.  3.2          Revised to v3.2
+04/24/2009  Xilinx, Inc.  3.1          Revised to v3.1
+09/19/2008  Xilinx, Inc.  2.8          Revised to v2.8
+03/24/2008  Xilinx, Inc.  2.7          10.1 support; Revised to v2.7
+10/03/2007  Xilinx, Inc.  2.6          Revised to v2.6
+07/2007     Xilinx, Inc.  2.5          Revised to v2.5
+04/2007     Xilinx, Inc.  2.4          Revised to v2.4 rev 1
+02/2007     Xilinx, Inc.  2.4          Revised to v2.4
+11/2006     Xilinx, Inc.  2.3          Revised to v2.3
+09/2006     Xilinx, Inc.  2.2          Revised to v2.2
+06/2006     Xilinx, Inc.  2.1          Revised to v2.1
+01/2006     Xilinx, Inc.  1.1          Initial release
+================================================================================
+
+8. Legal Disclaimer
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+
+ + diff --git a/fpga/ipcore_dir/PrefetchDataRAM/doc/pg058-blk-mem-gen.pdf b/fpga/ipcore_dir/PrefetchDataRAM/doc/pg058-blk-mem-gen.pdf new file mode 100644 index 0000000..54dbe43 Binary files /dev/null and b/fpga/ipcore_dir/PrefetchDataRAM/doc/pg058-blk-mem-gen.pdf differ diff --git a/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.ucf b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.ucf new file mode 100644 index 0000000..3762632 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.ucf @@ -0,0 +1,61 @@ +################################################################################ +# +# (c) Copyright 2002 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Tx Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +NET "CLKA" TNM_NET = "CLKA"; + +NET "CLKB" TNM_NET = "CLKB"; + +TIMESPEC "TS_CLKA" = PERIOD "CLKA" 25 MHZ; + +TIMESPEC "TS_CLKB" = PERIOD "CLKB" 25 MHZ; + +################################################################################ diff --git a/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.vhd b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.vhd new file mode 100644 index 0000000..61da4b6 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.vhd @@ -0,0 +1,185 @@ + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7.1 Core - Top-level core wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchDataRAM_exdes.vhd +-- +-- Description: +-- This is the actual BMG core wrapper. +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: August 31, 2005 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY UNISIM; +USE UNISIM.VCOMPONENTS.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY PrefetchDataRAM_exdes IS + PORT ( + --Inputs - Port A + ENA : IN STD_LOGIC; --opt port + + WEA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + + DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + + CLKA : IN STD_LOGIC; + + + --Inputs - Port B + ENB : IN STD_LOGIC; --opt port + ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + CLKB : IN STD_LOGIC + + ); + +END PrefetchDataRAM_exdes; + + +ARCHITECTURE xilinx OF PrefetchDataRAM_exdes IS + + COMPONENT BUFG IS + PORT ( + I : IN STD_ULOGIC; + O : OUT STD_ULOGIC + ); + END COMPONENT; + + COMPONENT PrefetchDataRAM IS + PORT ( + --Port A + ENA : IN STD_LOGIC; --opt port + + WEA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + + DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + + + CLKA : IN STD_LOGIC; + + + --Port B + ENB : IN STD_LOGIC; --opt port + ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + CLKB : IN STD_LOGIC + + + ); + END COMPONENT; + + SIGNAL CLKA_buf : STD_LOGIC; + SIGNAL CLKB_buf : STD_LOGIC; + SIGNAL S_ACLK_buf : STD_LOGIC; + +BEGIN + + bufg_A : BUFG + PORT MAP ( + I => CLKA, + O => CLKA_buf + ); + + bufg_B : BUFG + PORT MAP ( + I => CLKB, + O => CLKB_buf + ); + + + bmg0 : PrefetchDataRAM + PORT MAP ( + --Port A + ENA => ENA, + + WEA => WEA, + ADDRA => ADDRA, + + DINA => DINA, + + CLKA => CLKA_buf, + + + --Port B + ENB => ENB, + ADDRB => ADDRB, + DOUTB => DOUTB, + CLKB => CLKB_buf + + ); + +END xilinx; diff --git a/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.xdc b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.xdc new file mode 100644 index 0000000..62e72c7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.xdc @@ -0,0 +1,56 @@ +################################################################################ +# +# (c) Copyright 2002 - 2011 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +create_clock -name "TS_CLKA" -period 20.0 [ get_ports CLKA ] + +create_clock -name "TS_CLKB" -period 20.0 [ get_ports CLKB ] +################################################################################ diff --git a/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_prod.vhd b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_prod.vhd new file mode 100644 index 0000000..1c71153 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/example_design/PrefetchDataRAM_prod.vhd @@ -0,0 +1,279 @@ + + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7.1 Core - Top-level wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchDataRAM_prod.vhd +-- +-- Description: +-- This is the top-level BMG wrapper (over BMG core). +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: August 31, 2005 - First Release +-------------------------------------------------------------------------------- +-- +-- Configured Core Parameter Values: +-- (Refer to the SIM Parameters table in the datasheet for more information on +-- the these parameters.) +-- C_FAMILY : spartan6 +-- C_XDEVICEFAMILY : spartan6 +-- C_INTERFACE_TYPE : 0 +-- C_ENABLE_32BIT_ADDRESS : 0 +-- C_AXI_TYPE : 1 +-- C_AXI_SLAVE_TYPE : 0 +-- C_AXI_ID_WIDTH : 4 +-- C_MEM_TYPE : 1 +-- C_BYTE_SIZE : 8 +-- C_ALGORITHM : 1 +-- C_PRIM_TYPE : 1 +-- C_LOAD_INIT_FILE : 0 +-- C_INIT_FILE_NAME : no_coe_file_loaded +-- C_USE_DEFAULT_DATA : 0 +-- C_DEFAULT_DATA : 0 +-- C_RST_TYPE : SYNC +-- C_HAS_RSTA : 0 +-- C_RST_PRIORITY_A : CE +-- C_RSTRAM_A : 0 +-- C_INITA_VAL : 0 +-- C_HAS_ENA : 1 +-- C_HAS_REGCEA : 0 +-- C_USE_BYTE_WEA : 1 +-- C_WEA_WIDTH : 4 +-- C_WRITE_MODE_A : READ_FIRST +-- C_WRITE_WIDTH_A : 32 +-- C_READ_WIDTH_A : 32 +-- C_WRITE_DEPTH_A : 128 +-- C_READ_DEPTH_A : 128 +-- C_ADDRA_WIDTH : 7 +-- C_HAS_RSTB : 0 +-- C_RST_PRIORITY_B : CE +-- C_RSTRAM_B : 0 +-- C_INITB_VAL : 0 +-- C_HAS_ENB : 1 +-- C_HAS_REGCEB : 0 +-- C_USE_BYTE_WEB : 1 +-- C_WEB_WIDTH : 4 +-- C_WRITE_MODE_B : READ_FIRST +-- C_WRITE_WIDTH_B : 32 +-- C_READ_WIDTH_B : 32 +-- C_WRITE_DEPTH_B : 128 +-- C_READ_DEPTH_B : 128 +-- C_ADDRB_WIDTH : 7 +-- C_HAS_MEM_OUTPUT_REGS_A : 0 +-- C_HAS_MEM_OUTPUT_REGS_B : 0 +-- C_HAS_MUX_OUTPUT_REGS_A : 0 +-- C_HAS_MUX_OUTPUT_REGS_B : 0 +-- C_HAS_SOFTECC_INPUT_REGS_A : 0 +-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 +-- C_MUX_PIPELINE_STAGES : 0 +-- C_USE_ECC : 0 +-- C_USE_SOFTECC : 0 +-- C_HAS_INJECTERR : 0 +-- C_SIM_COLLISION_CHECK : ALL +-- C_COMMON_CLK : 1 +-- C_DISABLE_WARN_BHV_COLL : 0 +-- C_DISABLE_WARN_BHV_RANGE : 0 + +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY UNISIM; +USE UNISIM.VCOMPONENTS.ALL; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +ENTITY PrefetchDataRAM_prod IS + PORT ( + --Port A + CLKA : IN STD_LOGIC; + RSTA : IN STD_LOGIC; --opt port + ENA : IN STD_LOGIC; --optional port + REGCEA : IN STD_LOGIC; --optional port + WEA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + + --Port B + CLKB : IN STD_LOGIC; + RSTB : IN STD_LOGIC; --opt port + ENB : IN STD_LOGIC; --optional port + REGCEB : IN STD_LOGIC; --optional port + WEB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + + --ECC + INJECTSBITERR : IN STD_LOGIC; --optional port + INJECTDBITERR : IN STD_LOGIC; --optional port + SBITERR : OUT STD_LOGIC; --optional port + DBITERR : OUT STD_LOGIC; --optional port + RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --optional port + -- AXI BMG Input and Output Port Declarations + + -- AXI Global Signals + S_ACLK : IN STD_LOGIC; + S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_AWVALID : IN STD_LOGIC; + S_AXI_AWREADY : OUT STD_LOGIC; + S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_WSTRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + S_AXI_WLAST : IN STD_LOGIC; + S_AXI_WVALID : IN STD_LOGIC; + S_AXI_WREADY : OUT STD_LOGIC; + S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); + S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_BVALID : OUT STD_LOGIC; + S_AXI_BREADY : IN STD_LOGIC; + + -- AXI Full/Lite Slave Read (Write side) + S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); + S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_ARVALID : IN STD_LOGIC; + S_AXI_ARREADY : OUT STD_LOGIC; + S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); + S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); + S_AXI_RLAST : OUT STD_LOGIC; + S_AXI_RVALID : OUT STD_LOGIC; + S_AXI_RREADY : IN STD_LOGIC; + + -- AXI Full/Lite Sideband Signals + S_AXI_INJECTSBITERR : IN STD_LOGIC; + S_AXI_INJECTDBITERR : IN STD_LOGIC; + S_AXI_SBITERR : OUT STD_LOGIC; + S_AXI_DBITERR : OUT STD_LOGIC; + S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); + S_ARESETN : IN STD_LOGIC + + + ); + +END PrefetchDataRAM_prod; + + +ARCHITECTURE xilinx OF PrefetchDataRAM_prod IS + + COMPONENT PrefetchDataRAM_exdes IS + PORT ( + --Port A + ENA : IN STD_LOGIC; --opt port + + WEA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + + DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + + + CLKA : IN STD_LOGIC; + + + --Port B + ENB : IN STD_LOGIC; --opt port + ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + CLKB : IN STD_LOGIC + + + + ); + END COMPONENT; + +BEGIN + + bmg0 : PrefetchDataRAM_exdes + PORT MAP ( + --Port A + ENA => ENA, + + WEA => WEA, + ADDRA => ADDRA, + + DINA => DINA, + + CLKA => CLKA, + + --Port B + ENB => ENB, + ADDRB => ADDRB, + DOUTB => DOUTB, + CLKB => CLKB + + + + ); +END xilinx; diff --git a/fpga/ipcore_dir/PrefetchDataRAM/implement/implement.bat b/fpga/ipcore_dir/PrefetchDataRAM/implement/implement.bat new file mode 100644 index 0000000..aae34df --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/implement/implement.bat @@ -0,0 +1,48 @@ + + + + + + + + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy PrefetchDataRAM_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\PrefetchDataRAM.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\PrefetchDataRAM_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc6slx9-ftg256-2 PrefetchDataRAM_exdes + +echo 'Running map' +map PrefetchDataRAM_exdes -o mapped.ncd -pr i + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm PrefetchDataRAM_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/ipcore_dir/PrefetchDataRAM/implement/implement.sh b/fpga/ipcore_dir/PrefetchDataRAM/implement/implement.sh new file mode 100644 index 0000000..07f4f4b --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/implement/implement.sh @@ -0,0 +1,48 @@ + + + + + + + + +#!/bin/sh + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp PrefetchDataRAM_exdes.ngc ./results/ + + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../PrefetchDataRAM.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/PrefetchDataRAM_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc6slx9-ftg256-2 PrefetchDataRAM_exdes + +echo 'Running map' +map PrefetchDataRAM_exdes -o mapped.ncd -pr i + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm PrefetchDataRAM_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.bat b/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.bat new file mode 100644 index 0000000..e7c019e --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.bat @@ -0,0 +1,55 @@ +#!/bin/sh +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\PrefetchDataRAM.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.sh b/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.sh new file mode 100644 index 0000000..f0072bd --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../PrefetchDataRAM.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.tcl b/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.tcl new file mode 100644 index 0000000..f54632c --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/implement/planAhead_ise.tcl @@ -0,0 +1,67 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + +set device xc6slx9ftg256-2 +set projName PrefetchDataRAM +set design PrefetchDataRAM +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module PrefetchDataRAM_exdes +add_files -norecurse {../../example_design/PrefetchDataRAM_exdes.vhd} +add_files -norecurse {./PrefetchDataRAM.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/PrefetchDataRAM_exdes.xdc} +set_property top PrefetchDataRAM_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module PrefetchDataRAM_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module PrefetchDataRAM_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/ipcore_dir/PrefetchDataRAM/implement/xst.prj b/fpga/ipcore_dir/PrefetchDataRAM/implement/xst.prj new file mode 100644 index 0000000..f595de5 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/implement/xst.prj @@ -0,0 +1 @@ +work ../example_design/PrefetchDataRAM_exdes.vhd diff --git a/fpga/ipcore_dir/PrefetchDataRAM/implement/xst.scr b/fpga/ipcore_dir/PrefetchDataRAM/implement/xst.scr new file mode 100644 index 0000000..d0d8a75 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/implement/xst.scr @@ -0,0 +1,13 @@ +run +-ifmt VHDL +-ent PrefetchDataRAM_exdes +-p xc6slx9-ftg256-2 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn PrefetchDataRAM_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/PrefetchDataRAM_synth.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/PrefetchDataRAM_synth.vhd new file mode 100644 index 0000000..d17ff8c --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/PrefetchDataRAM_synth.vhd @@ -0,0 +1,336 @@ + + + + + + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Synthesizable Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchDataRAM_synth.vhd +-- +-- Description: +-- Synthesizable Testbench +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY STD; +USE STD.TEXTIO.ALL; + +--LIBRARY unisim; +--USE unisim.vcomponents.ALL; + +LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + +ENTITY PrefetchDataRAM_synth IS +PORT( + CLK_IN : IN STD_LOGIC; + CLKB_IN : IN STD_LOGIC; + RESET_IN : IN STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA + ); +END ENTITY; + +ARCHITECTURE PrefetchDataRAM_synth_ARCH OF PrefetchDataRAM_synth IS + + +COMPONENT PrefetchDataRAM_exdes + PORT ( + --Inputs - Port A + ENA : IN STD_LOGIC; --opt port + WEA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + ADDRA : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + CLKA : IN STD_LOGIC; + + --Inputs - Port B + ENB : IN STD_LOGIC; --opt port + ADDRB : IN STD_LOGIC_VECTOR(6 DOWNTO 0); + DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); + CLKB : IN STD_LOGIC + + ); + +END COMPONENT; + + + SIGNAL CLKA: STD_LOGIC := '0'; + SIGNAL RSTA: STD_LOGIC := '0'; + SIGNAL ENA: STD_LOGIC := '0'; + SIGNAL ENA_R: STD_LOGIC := '0'; + SIGNAL WEA: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); + SIGNAL WEA_R: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ADDRA: STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ADDRA_R: STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DINA: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DINA_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL CLKB: STD_LOGIC := '0'; + SIGNAL RSTB: STD_LOGIC := '0'; + SIGNAL ENB: STD_LOGIC := '0'; + SIGNAL ENB_R: STD_LOGIC := '0'; + SIGNAL ADDRB: STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ADDRB_R: STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DOUTB: STD_LOGIC_VECTOR(31 DOWNTO 0); + SIGNAL CHECKER_EN : STD_LOGIC:='0'; + SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; + SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); + SIGNAL clk_in_i: STD_LOGIC; + + SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; + + SIGNAL clkb_in_i: STD_LOGIC; + SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; + SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; + SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; + SIGNAL ITER_R0 : STD_LOGIC := '0'; + SIGNAL ITER_R1 : STD_LOGIC := '0'; + SIGNAL ITER_R2 : STD_LOGIC := '0'; + + SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + + BEGIN + +-- clk_buf: bufg +-- PORT map( +-- i => CLK_IN, +-- o => clk_in_i +-- ); + clk_in_i <= CLK_IN; + CLKA <= clk_in_i; + +-- clkb_buf: bufg +-- PORT map( +-- i => CLKB_IN, +-- o => clkb_in_i +-- ); + clkb_in_i <= CLKB_IN; + CLKB <= clkb_in_i; + RSTA <= RESET_SYNC_R3 AFTER 50 ns; + + + PROCESS(clk_in_i) + BEGIN + IF(RISING_EDGE(clk_in_i)) THEN + RESET_SYNC_R1 <= RESET_IN; + RESET_SYNC_R2 <= RESET_SYNC_R1; + RESET_SYNC_R3 <= RESET_SYNC_R2; + END IF; + END PROCESS; + + RSTB <= RESETB_SYNC_R3 AFTER 50 ns; + + PROCESS(clkb_in_i) + BEGIN + IF(RISING_EDGE(clkb_in_i)) THEN + RESETB_SYNC_R1 <= RESET_IN; + RESETB_SYNC_R2 <= RESETB_SYNC_R1; + RESETB_SYNC_R3 <= RESETB_SYNC_R2; + END IF; + END PROCESS; + +PROCESS(CLKA) +BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ISSUE_FLAG_STATUS<= (OTHERS => '0'); + ELSE + ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; + END IF; + END IF; +END PROCESS; + +STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; + + + + + BMG_DATA_CHECKER_INST: ENTITY work.CHECKER + GENERIC MAP ( + WRITE_WIDTH => 32, + READ_WIDTH => 32 ) + PORT MAP ( + CLK => clkb_in_i, + RST => RSTB, + EN => CHECKER_EN_R, + DATA_IN => DOUTB, + STATUS => ISSUE_FLAG(0) + ); + + PROCESS(clkb_in_i) + BEGIN + IF(RISING_EDGE(clkb_in_i)) THEN + IF(RSTB='1') THEN + CHECKER_EN_R <= '0'; + ELSE + CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + + BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN + PORT MAP( + CLKA => clk_in_i, + CLKB => clkb_in_i, + TB_RST => RSTA, + ADDRA => ADDRA, + DINA => DINA, + ENA => ENA, + WEA => WEA, + ADDRB => ADDRB, + ENB => ENB, + CHECK_DATA => CHECKER_EN + ); + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STATUS(8) <= '0'; + iter_r2 <= '0'; + iter_r1 <= '0'; + iter_r0 <= '0'; + ELSE + STATUS(8) <= iter_r2; + iter_r2 <= iter_r1; + iter_r1 <= iter_r0; + iter_r0 <= STIMULUS_FLOW(8); + END IF; + END IF; + END PROCESS; + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STIMULUS_FLOW <= (OTHERS => '0'); + ELSIF(WEA(0)='1') THEN + STIMULUS_FLOW <= STIMULUS_FLOW+1; + END IF; + END IF; + END PROCESS; + + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ENA_R <= '0' AFTER 50 ns; + WEA_R <= (OTHERS=>'0') AFTER 50 ns; + DINA_R <= (OTHERS=>'0') AFTER 50 ns; + ENB_R <= '0' AFTER 50 ns; + + + ELSE + ENA_R <= ENA AFTER 50 ns; + WEA_R <= WEA AFTER 50 ns; + DINA_R <= DINA AFTER 50 ns; + ENB_R <= ENB AFTER 50 ns; + + END IF; + END IF; + END PROCESS; + + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; + ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; + ELSE + ADDRA_R <= ADDRA AFTER 50 ns; + ADDRB_R <= ADDRB AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + + BMG_PORT: PrefetchDataRAM_exdes PORT MAP ( + --Port A + ENA => ENA_R, + WEA => WEA_R, + ADDRA => ADDRA_R, + DINA => DINA_R, + CLKA => CLKA, + --Port B + ENB => ENB_R, + ADDRB => ADDRB_R, + DOUTB => DOUTB, + CLKB => CLKB + + ); +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/PrefetchDataRAM_tb.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/PrefetchDataRAM_tb.vhd new file mode 100644 index 0000000..ab2657e --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/PrefetchDataRAM_tb.vhd @@ -0,0 +1,142 @@ +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Top File for the Example Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- Filename: PrefetchDataRAM_tb.vhd +-- Description: +-- Testbench Top +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY PrefetchDataRAM_tb IS +END ENTITY; + + +ARCHITECTURE PrefetchDataRAM_tb_ARCH OF PrefetchDataRAM_tb IS + SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); + SIGNAL CLK : STD_LOGIC := '1'; + SIGNAL CLKB : STD_LOGIC := '1'; + SIGNAL RESET : STD_LOGIC; + + BEGIN + + + CLK_GEN: PROCESS BEGIN + CLK <= NOT CLK; + WAIT FOR 100 NS; + CLK <= NOT CLK; + WAIT FOR 100 NS; + END PROCESS; + CLKB_GEN: PROCESS BEGIN + CLKB <= NOT CLKB; + WAIT FOR 100 NS; + CLKB <= NOT CLKB; + WAIT FOR 100 NS; + END PROCESS; + + RST_GEN: PROCESS BEGIN + RESET <= '1'; + WAIT FOR 1000 NS; + RESET <= '0'; + WAIT; + END PROCESS; + + +--STOP_SIM: PROCESS BEGIN +-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS +-- ASSERT FALSE +-- REPORT "END SIMULATION TIME REACHED" +-- SEVERITY FAILURE; +--END PROCESS; +-- +PROCESS BEGIN + WAIT UNTIL STATUS(8)='1'; + IF( STATUS(7 downto 0)/="0") THEN + ASSERT false + REPORT "Test Completed Successfully" + SEVERITY NOTE; + REPORT "Simulation Failed" + SEVERITY FAILURE; + ELSE + ASSERT false + REPORT "TEST PASS" + SEVERITY NOTE; + REPORT "Test Completed Successfully" + SEVERITY FAILURE; + END IF; + +END PROCESS; + + PrefetchDataRAM_synth_inst:ENTITY work.PrefetchDataRAM_synth + PORT MAP( + CLK_IN => CLK, + CLKB_IN => CLK, + RESET_IN => RESET, + STATUS => STATUS + ); + +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/addr_gen.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/addr_gen.vhd new file mode 100644 index 0000000..62706ca --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/addr_gen.vhd @@ -0,0 +1,117 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Address Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: addr_gen.vhd +-- +-- Description: +-- Address Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY ADDR_GEN IS + GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; + RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); + RST_INC : INTEGER := 0); + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + LOAD :IN STD_LOGIC; + LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); + ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR + ); +END ADDR_GEN; + +ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS + SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); +BEGIN + ADDR_OUT <= ADDR_TEMP; + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + IF(EN='1') THEN + IF(LOAD='1') THEN + ADDR_TEMP <=LOAD_VALUE; + ELSE + IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + ADDR_TEMP <= ADDR_TEMP + '1'; + END IF; + END IF; + END IF; + END IF; + END IF; + END PROCESS; +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/bmg_stim_gen.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/bmg_stim_gen.vhd new file mode 100644 index 0000000..65f34ea --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/bmg_stim_gen.vhd @@ -0,0 +1,437 @@ + + + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: bmg_stim_gen.vhd +-- +-- Description: +-- Stimulus Generation For SDP Configuration +-- 100 Writes and 100 Reads will be performed in a repeatitive loop till the +-- simulation ends +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + + LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + + +ENTITY REGISTER_LOGIC IS + PORT( + Q : OUT STD_LOGIC; + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + D : IN STD_LOGIC + ); +END REGISTER_LOGIC; + +ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS +SIGNAL Q_O : STD_LOGIC :='0'; +BEGIN + Q <= Q_O; + FF_BEH: PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST ='1') THEN + Q_O <= '0'; + ELSE + Q_O <= D; + END IF; + END IF; + END PROCESS; +END REGISTER_ARCH; + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY work; +USE work.ALL; +USE work.BMG_TB_PKG.ALL; + + +ENTITY BMG_STIM_GEN IS + PORT ( + CLKA : IN STD_LOGIC; + CLKB : IN STD_LOGIC; + TB_RST : IN STD_LOGIC; + ADDRA: OUT STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0'); + DINA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + ENA : OUT STD_LOGIC :='0'; + WEA : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '0'); + ADDRB: OUT STD_LOGIC_VECTOR(6 DOWNTO 0) := (OTHERS => '0'); + ENB : OUT STD_LOGIC :='0'; + CHECK_DATA: OUT STD_LOGIC:='0' + ); +END BMG_STIM_GEN; + + +ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS + +CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); +SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); +SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); +SIGNAL DINA_INT : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); +SIGNAL DO_WRITE : STD_LOGIC := '0'; +SIGNAL DO_READ : STD_LOGIC := '0'; +SIGNAL DO_READ_R : STD_LOGIC := '0'; +SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0'); +SIGNAL PORTA_WR : STD_LOGIC:='0'; +SIGNAL COUNT : INTEGER :=0; +SIGNAL INCR_WR_CNT : STD_LOGIC:='0'; +SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0'; +SIGNAL PORTB_RD : STD_LOGIC:='0'; +SIGNAL COUNT_RD : INTEGER :=0; +SIGNAL INCR_RD_CNT : STD_LOGIC:='0'; +SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0'; +SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0'; +SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0'; +SIGNAL PORTA_WR_L1 :STD_LOGIC := '0'; +SIGNAL PORTA_WR_L2 :STD_LOGIC := '0'; +SIGNAL PORTB_RD_R2 :STD_LOGIC := '0'; +SIGNAL PORTB_RD_R1 :STD_LOGIC := '0'; +SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0'; +SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0'; +SIGNAL PORTB_RD_L1 : STD_LOGIC := '0'; +SIGNAL PORTB_RD_L2 : STD_LOGIC := '0'; +SIGNAL PORTA_WR_R2 : STD_LOGIC := '0'; +SIGNAL PORTA_WR_R1 : STD_LOGIC := '0'; + +CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8; +CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((7 <= 7),WR_RD_DEEP_COUNT, + ((32/32)*WR_RD_DEEP_COUNT)); +CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((7 <= 7),WR_RD_DEEP_COUNT, + ((32/32)*WR_RD_DEEP_COUNT)); + +BEGIN + + ADDRA <= WRITE_ADDR(6 DOWNTO 0) ; + DINA <= DINA_INT ; + ADDRB <= READ_ADDR(6 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0'); + CHECK_DATA <= DO_READ; + + RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN + GENERIC MAP( + C_MAX_DEPTH => 128 , + RST_INC => 1 ) + PORT MAP( + CLK => CLKB, + RST => TB_RST, + EN => DO_READ, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => READ_ADDR + ); + + WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN + GENERIC MAP( + C_MAX_DEPTH => 128, + RST_INC => 1 ) + PORT MAP( + CLK => CLKA, + RST => TB_RST, + EN => DO_WRITE, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => WRITE_ADDR + ); + + WR_DATA_GEN_INST:ENTITY work.DATA_GEN + GENERIC MAP ( + DATA_GEN_WIDTH => 32, + DOUT_WIDTH => 32 , + DATA_PART_CNT => 1, + SEED => 2) + PORT MAP ( + CLK => CLKA, + RST => TB_RST, + EN => DO_WRITE, + DATA_OUT => DINA_INT + ); + + + PORTA_WR_PROCESS: PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(TB_RST='1') THEN + PORTA_WR<='1'; + ELSE + PORTA_WR<=PORTB_RD_COMPLETE; + END IF; + END IF; + END PROCESS; + + PORTB_RD_PROCESS: PROCESS(CLKB) + BEGIN + IF(RISING_EDGE(CLKB)) THEN + IF(TB_RST='1') THEN + PORTB_RD<='0'; + ELSE + PORTB_RD<=PORTA_WR_L2; + END IF; + END IF; + END PROCESS; + + PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB) + BEGIN + IF(RISING_EDGE(CLKB)) THEN + IF(TB_RST='1') THEN + LATCH_PORTB_RD_COMPLETE<='0'; + ELSIF(PORTB_RD_COMPLETE='1') THEN + LATCH_PORTB_RD_COMPLETE <='1'; + ELSIF(PORTA_WR_HAPPENED='1') THEN + LATCH_PORTB_RD_COMPLETE<='0'; + END IF; + END IF; + END PROCESS; + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(TB_RST='1') THEN + PORTB_RD_L1 <='0'; + PORTB_RD_L2 <='0'; + ELSE + PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE; + PORTB_RD_L2 <= PORTB_RD_L1; + END IF; + END IF; + END PROCESS; + + PROCESS(CLKB) + BEGIN + IF(RISING_EDGE(CLKB)) THEN + IF(TB_RST='1') THEN + PORTA_WR_R1 <='0'; + PORTA_WR_R2 <='0'; + ELSE + PORTA_WR_R1 <= PORTA_WR; + PORTA_WR_R2 <= PORTA_WR_R1; + END IF; + END IF; + END PROCESS; + + PORTA_WR_HAPPENED <= PORTA_WR_R2; + + PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(TB_RST='1') THEN + LATCH_PORTA_WR_COMPLETE<='0'; + ELSIF(PORTA_WR_COMPLETE='1') THEN + LATCH_PORTA_WR_COMPLETE <='1'; + --ELSIF(PORTB_RD_HAPPENED='1') THEN + ELSE + LATCH_PORTA_WR_COMPLETE<='0'; + END IF; + END IF; + END PROCESS; + + PROCESS(CLKB) + BEGIN + IF(RISING_EDGE(CLKB)) THEN + IF(TB_RST='1') THEN + PORTA_WR_L1 <='0'; + PORTA_WR_L2 <='0'; + ELSE + PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE; + PORTA_WR_L2 <= PORTA_WR_L1; + END IF; + END IF; + END PROCESS; + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(TB_RST='1') THEN + PORTB_RD_R1 <='0'; + PORTB_RD_R2 <='0'; + ELSE + PORTB_RD_R1 <= PORTB_RD; + PORTB_RD_R2 <= PORTB_RD_R1; + END IF; + END IF; + END PROCESS; + + PORTB_RD_HAPPENED <= PORTB_RD_R2; + + PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0'; + + start_rd_counter: process(clkb) + begin + if(rising_edge(clkb)) then + if(tb_rst='1') then + incr_rd_cnt <= '0'; + elsif(portb_rd ='1') then + incr_rd_cnt <='1'; + elsif(portb_rd_complete='1') then + incr_rd_cnt <='0'; + end if; + end if; + end process; + + RD_COUNTER: process(clkb) + begin + if(rising_edge(clkb)) then + if(tb_rst='1') then + count_rd <= 0; + elsif(incr_rd_cnt='1') then + count_rd<=count_rd+1; + end if; + --if(count_rd=(wr_rd_deep_count)) then + if(count_rd=(RD_DEEP_COUNT)) then + count_rd<=0; + end if; + end if; + end process; + + DO_READ<='1' when (count_rd DO_READ_REG(0), + CLK => CLKB, + RST => TB_RST, + D => DO_READ + ); + END GENERATE DFF_RIGHT; + + DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE + BEGIN + SHIFT_INST: ENTITY work.REGISTER_LOGIC + PORT MAP( + Q => DO_READ_REG(I), + CLK =>CLKB, + RST =>TB_RST, + D =>DO_READ_REG(I-1) + ); + END GENERATE DFF_OTHERS; + END GENERATE BEGIN_SHIFT_REG; + + REGCE_PROCESS: PROCESS(CLKB) + BEGIN + IF(RISING_EDGE(CLKB)) THEN + IF(TB_RST='1') THEN + DO_READ_R <= '0'; + ELSE + DO_READ_R <= DO_READ; + END IF; + END IF; + END PROCESS; + + + ENB <= DO_READ; + ENA <= DO_WRITE ; + WEA <= ( OTHERS => '1') ; + + +END ARCHITECTURE; + + + + + diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/bmg_tb_pkg.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/bmg_tb_pkg.vhd new file mode 100644 index 0000000..4928909 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/bmg_tb_pkg.vhd @@ -0,0 +1,200 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Testbench Package +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: bmg_tb_pkg.vhd +-- +-- Description: +-- BMG Testbench Package files +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE BMG_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE :STRING) + RETURN STRING; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE :STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER; + +END BMG_TB_PKG; + +PACKAGE BODY BMG_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER IS + VARIABLE DIV : INTEGER; + BEGIN + DIV := DATA_VALUE/DIVISOR; + IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN + DIV := DIV+1; + END IF; + RETURN DIV; + END DIVROUNDUP; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE : STD_LOGIC) + RETURN STD_LOGIC IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER IS + VARIABLE RETVAL : INTEGER := 0; + BEGIN + IF CONDITION=FALSE THEN + RETVAL:=FALSE_CASE; + ELSE + RETVAL:=TRUE_CASE; + END IF; + RETURN RETVAL; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE : STRING) + RETURN STRING IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + ------------------------------- + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER IS + VARIABLE WIDTH : INTEGER := 0; + VARIABLE CNT : INTEGER := 1; + BEGIN + IF (DATA_VALUE <= 1) THEN + WIDTH := 1; + ELSE + WHILE (CNT < DATA_VALUE) LOOP + WIDTH := WIDTH + 1; + CNT := CNT *2; + END LOOP; + END IF; + RETURN WIDTH; + END LOG2ROUNDUP; + +END BMG_TB_PKG; diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/checker.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/checker.vhd new file mode 100644 index 0000000..15a2753 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/checker.vhd @@ -0,0 +1,161 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Checker +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: checker.vhd +-- +-- Description: +-- Checker +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.BMG_TB_PKG.ALL; + +ENTITY CHECKER IS + GENERIC ( WRITE_WIDTH : INTEGER :=32; + READ_WIDTH : INTEGER :=32 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR + STATUS : OUT STD_LOGIC:= '0' + ); +END CHECKER; + +ARCHITECTURE CHECKER_ARCH OF CHECKER IS + SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); + SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); + SIGNAL EN_R : STD_LOGIC := '0'; + SIGNAL EN_2R : STD_LOGIC := '0'; +--DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT +--IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) +--IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) + CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); + CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); + SIGNAL ERR_HOLD : STD_LOGIC :='0'; + SIGNAL ERR_DET : STD_LOGIC :='0'; +BEGIN + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST= '1') THEN + EN_R <= '0'; + EN_2R <= '0'; + DATA_IN_R <= (OTHERS=>'0'); + ELSE + EN_R <= EN; + EN_2R <= EN_R; + DATA_IN_R <= DATA_IN; + END IF; + END IF; + END PROCESS; + + EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN + GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, + DOUT_WIDTH => READ_WIDTH, + DATA_PART_CNT => DATA_PART_CNT, + SEED => 2 + ) + PORT MAP ( + CLK => CLK, + RST => RST, + EN => EN_2R, + DATA_OUT => EXPECTED_DATA + ); + + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(EN_2R='1') THEN + IF(EXPECTED_DATA = DATA_IN_R) THEN + ERR_DET<='0'; + ELSE + ERR_DET<= '1'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(CLK,RST) + BEGIN + IF(RST='1') THEN + ERR_HOLD <= '0'; + ELSIF(RISING_EDGE(CLK)) THEN + ERR_HOLD <= ERR_HOLD OR ERR_DET ; + END IF; + END PROCESS; + + STATUS <= ERR_HOLD; + +END ARCHITECTURE; + + + diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/data_gen.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/data_gen.vhd new file mode 100644 index 0000000..fe3dca7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/data_gen.vhd @@ -0,0 +1,140 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Data Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: data_gen.vhd +-- +-- Description: +-- Data Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.BMG_TB_PKG.ALL; + +ENTITY DATA_GEN IS + GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; + DOUT_WIDTH : INTEGER := 32; + DATA_PART_CNT : INTEGER := 1; + SEED : INTEGER := 2 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR + ); +END DATA_GEN; + +ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS + CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); + SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); + SIGNAL LOCAL_CNT : INTEGER :=1; + SIGNAL DATA_GEN_I : STD_LOGIC :='0'; +BEGIN + + LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); + DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); + DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; + + PROCESS(CLK) + BEGIN + IF(RISING_EDGE (CLK)) THEN + IF(EN ='1' AND (DATA_PART_CNT =1)) THEN + LOCAL_CNT <=1; + ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN + IF(LOCAL_CNT = 1) THEN + LOCAL_CNT <= LOCAL_CNT+1; + ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN + LOCAL_CNT <= LOCAL_CNT+1; + ELSE + LOCAL_CNT <= 1; + END IF; + ELSE + LOCAL_CNT <= 1; + END IF; + END IF; + END PROCESS; + + RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + RAND_GEN_INST:ENTITY work.RANDOM + GENERIC MAP( + WIDTH => 8, + SEED => (SEED+N) + ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DATA_GEN_I, + RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) + ); + END GENERATE RAND_GEN; + +END ARCHITECTURE; + diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simcmds.tcl b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simcmds.tcl new file mode 100644 index 0000000..eba16e7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simcmds.tcl @@ -0,0 +1,66 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + + + + + + +wcfg new +isim set radix hex +wave add /PrefetchDataRAM_tb/status + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/CLKA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ADDRA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/DINA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/WEA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ENA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/CLKB + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ADDRB + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ENB + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/DOUTB +run all +quit diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_isim.bat b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_isim.bat new file mode 100644 index 0000000..5ccf5b6 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_isim.bat @@ -0,0 +1,69 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. +::-------------------------------------------------------------------------------- + + + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogcomp -work work ..\..\..\PrefetchDataRAM.v +vhpcomp -work work ..\..\example_design\PrefetchDataRAM_exdes.vhd + +echo "Compiling Test Bench Files" + +vhpcomp -work work ..\bmg_tb_pkg.vhd +vhpcomp -work work ..\random.vhd +vhpcomp -work work ..\data_gen.vhd +vhpcomp -work work ..\addr_gen.vhd +vhpcomp -work work ..\checker.vhd +vhpcomp -work work ..\bmg_stim_gen.vhd +vhpcomp -work work ..\PrefetchDataRAM_synth.vhd +vhpcomp -work work ..\PrefetchDataRAM_tb.vhd + + +vlogcomp -work work $XILINX\verilog\src\glbl.v +fuse work.PrefetchDataRAM_tb work.glbl -L unisims_ver -L xilinxcorelib_ver -o PrefetchDataRAM_tb.exe + +.\PrefetchDataRAM_tb.exe -gui -tclbatch simcmds.tcl diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.bat b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.bat new file mode 100644 index 0000000..5964f52 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.bat @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.do b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.do new file mode 100644 index 0000000..b5a36f1 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.do @@ -0,0 +1,76 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../PrefetchDataRAM.v +vcom -work work ../../example_design/PrefetchDataRAM_exdes.vhd + +echo "Compiling Test Bench Files" + +vcom -work work ../bmg_tb_pkg.vhd +vcom -work work ../random.vhd +vcom -work work ../data_gen.vhd +vcom -work work ../addr_gen.vhd +vcom -work work ../checker.vhd +vcom -work work ../bmg_stim_gen.vhd +vcom -work work ../PrefetchDataRAM_synth.vhd +vcom -work work ../PrefetchDataRAM_tb.vhd + + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -novopt -t ps -L XilinxCoreLib_ver -L unisims_ver glbl work.PrefetchDataRAM_tb + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.sh b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.sh new file mode 100644 index 0000000..5964f52 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_mti.sh @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_ncsim.sh b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_ncsim.sh new file mode 100644 index 0000000..7b2be68 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_ncsim.sh @@ -0,0 +1,71 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + + +mkdir work +echo "Compiling Core Verilog UNISIM/Behavioral model" +ncvlog -work work ../../../PrefetchDataRAM.v +ncvhdl -v93 -work work ../../example_design/PrefetchDataRAM_exdes.vhd + +echo "Compiling Test Bench Files" + +ncvhdl -v93 -work work ../bmg_tb_pkg.vhd +ncvhdl -v93 -work work ../random.vhd +ncvhdl -v93 -work work ../data_gen.vhd +ncvhdl -v93 -work work ../addr_gen.vhd +ncvhdl -v93 -work work ../checker.vhd +ncvhdl -v93 -work work ../bmg_stim_gen.vhd +ncvhdl -v93 -work work ../PrefetchDataRAM_synth.vhd +ncvhdl -v93 -work work ../PrefetchDataRAM_tb.vhd + +echo "Elaborating Design" +ncvlog -work work $XILINX/verilog/src/glbl.v +ncelab -access +rwc glbl work.PrefetchDataRAM_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" work.PrefetchDataRAM_tb diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_vcs.sh b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_vcs.sh new file mode 100644 index 0000000..d5475a2 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/simulate_vcs.sh @@ -0,0 +1,70 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +#!/bin/sh +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../../PrefetchDataRAM.v +vhdlan ../../example_design/PrefetchDataRAM_exdes.vhd + +echo "Compiling Test Bench Files" +vhdlan ../bmg_tb_pkg.vhd +vhdlan ../random.vhd +vhdlan ../data_gen.vhd +vhdlan ../addr_gen.vhd +vhdlan ../checker.vhd +vhdlan ../bmg_stim_gen.vhd +vhdlan ../PrefetchDataRAM_synth.vhd +vhdlan ../PrefetchDataRAM_tb.vhd + +echo "Elaborating Design" +vlogan +v2k $XILINX/verilog/src/glbl.v +vcs +vcs+lic+wait -debug PrefetchDataRAM_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/ucli_commands.key b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/ucli_commands.key new file mode 100644 index 0000000..6990cc7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file bmg_vcs.vpd -type VPD +dump -add PrefetchDataRAM_tb +run +quit diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/vcs_session.tcl b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/vcs_session.tcl new file mode 100644 index 0000000..725c748 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/vcs_session.tcl @@ -0,0 +1,86 @@ + + + + + + + + +#-------------------------------------------------------------------------------- +#-- +#-- BMG core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- +if { ![gui_is_db_opened -db {bmg_vcs.vpd}] } { + gui_open_db -design V1 -file bmg_vcs.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create PrefetchDataRAM_Group +gui_list_add_group -id Wave.1 {PrefetchDataRAM_Group} + + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/status + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DINA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/WEA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKB + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRB + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENB + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DOUTB + +gui_zoom -window Wave.1 -full diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/wave_mti.do b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/wave_mti.do new file mode 100644 index 0000000..af1de45 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/wave_mti.do @@ -0,0 +1,39 @@ + + + + + + + + +onerror {resume} +quietly WaveActivateNextPane {} 0 + + add wave -noupdate /PrefetchDataRAM_tb/status + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DINA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/WEA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKB + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRB + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENB + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DOUTB + +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 197 +configure wave -valuecolwidth 106 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/wave_ncsim.sv b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/wave_ncsim.sv new file mode 100644 index 0000000..9353190 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/functional/wave_ncsim.sv @@ -0,0 +1,24 @@ + + + + + + + + + +window new WaveWindow -name "Waves for BMG Example Design" +waveform using "Waves for BMG Example Design" + + waveform add -signals /PrefetchDataRAM_tb/status + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DINA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/WEA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKB + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRB + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENB + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DOUTB + +console submit -using simulator -wait no "run" diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/random.vhd b/fpga/ipcore_dir/PrefetchDataRAM/simulation/random.vhd new file mode 100644 index 0000000..b0d417c --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/random.vhd @@ -0,0 +1,112 @@ + +-------------------------------------------------------------------------------- +-- +-- BLK MEM GEN v7_3 Core - Random Number Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: random.vhd +-- +-- Description: +-- Random Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + + +ENTITY RANDOM IS + GENERIC ( WIDTH : INTEGER := 32; + SEED : INTEGER :=2 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR + ); +END RANDOM; + +ARCHITECTURE BEHAVIORAL OF RANDOM IS +BEGIN + PROCESS(CLK) + VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + VARIABLE TEMP : STD_LOGIC := '0'; + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + ELSE + IF(EN = '1') THEN + TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); + RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); + RAND_TEMP(0) := TEMP; + END IF; + END IF; + END IF; + RANDOM_NUM <= RAND_TEMP; + END PROCESS; +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simcmds.tcl b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simcmds.tcl new file mode 100644 index 0000000..eba16e7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simcmds.tcl @@ -0,0 +1,66 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + + + + + + + +wcfg new +isim set radix hex +wave add /PrefetchDataRAM_tb/status + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/CLKA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ADDRA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/DINA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/WEA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ENA + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/CLKB + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ADDRB + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/ENB + wave add /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/BMG_PORT/DOUTB +run all +quit diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_isim.bat b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_isim.bat new file mode 100644 index 0000000..11e7872 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_isim.bat @@ -0,0 +1,65 @@ +:: (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +:: +:: This file contains confidential and proprietary information +:: of Xilinx, Inc. and is protected under U.S. and +:: international copyright and other intellectual property +:: laws. +:: +:: DISCLAIMER +:: This disclaimer is not a license and does not grant any +:: rights to the materials distributed herewith. Except as +:: otherwise provided in a valid license issued to you by +:: Xilinx, and to the maximum extent permitted by applicable +:: law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +:: WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +:: AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +:: BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +:: INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +:: (2) Xilinx shall not be liable (whether in contract or tort, +:: including negligence, or under any other theory of +:: liability) for any loss or damage of any kind or nature +:: related to, arising under or in connection with these +:: materials, including for any direct, or any indirect, +:: special, incidental, or consequential loss or damage +:: (including loss of data, profits, goodwill, or any type of +:: loss or damage suffered as a result of any action brought +:: by a third party) even if such damage or loss was +:: reasonably foreseeable or Xilinx had been advised of the +:: possibility of the same. +:: +:: CRITICAL APPLICATIONS +:: Xilinx products are not designed or intended to be fail- +:: safe, or for use in any application requiring fail-safe +:: performance, such as life-support or safety devices or +:: systems, Class III medical devices, nuclear facilities, +:: applications related to the deployment of airbags, or any +:: other applications that could lead to death, personal +:: injury, or severe property or environmental damage +:: (individually and collectively, "Critical +:: Applications"). Customer assumes the sole risk and +:: liability of any use of Xilinx products in Critical +:: Applications, subject only to applicable laws and +:: regulations governing limitations on product liability. +:: +:: THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +:: PART OF THIS FILE AT ALL TIMES. +::-------------------------------------------------------------------------------- + + + +vlogcomp -work work ..\..\implement\results\routed.v + +echo "Compiling Test Bench Files" + +vhpcomp -work work ..\bmg_tb_pkg.vhd +vhpcomp -work work ..\random.vhd +vhpcomp -work work ..\data_gen.vhd +vhpcomp -work work ..\addr_gen.vhd +vhpcomp -work work ..\checker.vhd +vhpcomp -work work ..\bmg_stim_gen.vhd +vhpcomp -work work ..\PrefetchDataRAM_synth.vhd +vhpcomp -work work ..\PrefetchDataRAM_tb.vhd + + fuse -L simprims_ver work.PrefetchDataRAM_tb work.glbl -o PrefetchDataRAM_tb.exe + +.\PrefetchDataRAM_tb.exe -sdftyp /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port=..\..\implement\results\routed.sdf -gui -tclbatch simcmds.tcl diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.bat b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.bat new file mode 100644 index 0000000..5964f52 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.bat @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.do b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.do new file mode 100644 index 0000000..a49d8fc --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.do @@ -0,0 +1,75 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +set work work +#-------------------------------------------------------------------------------- + +vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" + +vcom -work work ../bmg_tb_pkg.vhd +vcom -work work ../random.vhd +vcom -work work ../data_gen.vhd +vcom -work work ../addr_gen.vhd +vcom -work work ../checker.vhd +vcom -work work ../bmg_stim_gen.vhd +vcom -work work ../PrefetchDataRAM_synth.vhd +vcom -work work ../PrefetchDataRAM_tb.vhd + + vsim -novopt -t ps -L simprims_ver +transport_int_delays -sdftyp /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port=../../implement/results/routed.sdf $work.PrefetchDataRAM_tb $work.glbl -novopt + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.sh b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.sh new file mode 100644 index 0000000..5964f52 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_mti.sh @@ -0,0 +1,3 @@ +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_ncsim.sh b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_ncsim.sh new file mode 100644 index 0000000..dcce8c7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_ncsim.sh @@ -0,0 +1,78 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +set work work +#-------------------------------------------------------------------------------- +mkdir work + + +ncvlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" + +ncvhdl -v93 -work work ../bmg_tb_pkg.vhd +ncvhdl -v93 -work work ../random.vhd +ncvhdl -v93 -work work ../data_gen.vhd +ncvhdl -v93 -work work ../addr_gen.vhd +ncvhdl -v93 -work work ../checker.vhd +ncvhdl -v93 -work work ../bmg_stim_gen.vhd +ncvhdl -v93 -work work ../PrefetchDataRAM_synth.vhd +ncvhdl -v93 -work work ../PrefetchDataRAM_tb.vhd + +echo "Compiling SDF file" +ncsdfc ../../implement/results/routed.sdf -output ./routed.sdf.X + +echo "Generating SDF command file" +echo 'COMPILED_SDF_FILE = "routed.sdf.X",' > sdf.cmd +echo 'SCOPE = :PrefetchDataRAM_synth_inst:BMG_PORT,' >> sdf.cmd +echo 'MTM_CONTROL = "MAXIMUM";' >> sdf.cmd + + +echo "Elaborating Design" +ncelab -access +rwc glbl -sdf_cmd_file sdf.cmd $work.PrefetchDataRAM_tb + +echo "Simulating Design" +ncsim -gui -input @"simvision -input wave_ncsim.sv" $work.PrefetchDataRAM_tb diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_vcs.sh b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_vcs.sh new file mode 100644 index 0000000..119b301 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/simulate_vcs.sh @@ -0,0 +1,70 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- +#!/bin/sh + +rm -rf simv* csrc DVEfiles AN.DB + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlogan +v2k ../../implement/results/routed.v + +echo "Compiling Test Bench Files" +vhdlan ../bmg_tb_pkg.vhd +vhdlan ../random.vhd +vhdlan ../data_gen.vhd +vhdlan ../addr_gen.vhd +vhdlan ../checker.vhd +vhdlan ../bmg_stim_gen.vhd +vhdlan ../PrefetchDataRAM_synth.vhd +vhdlan ../PrefetchDataRAM_tb.vhd + + +echo "Elaborating Design" +vcs +neg_tchk +vcs+lic+wait -debug PrefetchDataRAM_tb glbl + +echo "Simulating Design" +./simv -ucli -i ucli_commands.key +dve -session vcs_session.tcl diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/ucli_commands.key b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/ucli_commands.key new file mode 100644 index 0000000..6990cc7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/ucli_commands.key @@ -0,0 +1,4 @@ +dump -file bmg_vcs.vpd -type VPD +dump -add PrefetchDataRAM_tb +run +quit diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/vcs_session.tcl b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/vcs_session.tcl new file mode 100644 index 0000000..e24cbb1 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/vcs_session.tcl @@ -0,0 +1,86 @@ + + + + + + + +#-------------------------------------------------------------------------------- +#-- +#-- BMG Generator v8.4 Core Demo Testbench +#-- +#-------------------------------------------------------------------------------- +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# Filename: vcs_session.tcl +# +# Description: +# This is the VCS wave form file. +# +#-------------------------------------------------------------------------------- + +if { ![gui_is_db_opened -db {bmg_vcs.vpd}] } { + gui_open_db -design V1 -file bmg_vcs.vpd -nosource +} +gui_set_precision 1ps +gui_set_time_units 1ps + +gui_open_window Wave +gui_sg_create PrefetchDataRAM_Group +gui_list_add_group -id Wave.1 {PrefetchDataRAM_Group} + + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/status + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DINA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/WEA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENA + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKB + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRB + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENB + gui_sg_addsignal -group PrefetchDataRAM_Group /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DOUTB + +gui_zoom -window Wave.1 -full diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/wave_mti.do b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/wave_mti.do new file mode 100644 index 0000000..2677ed7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/wave_mti.do @@ -0,0 +1,39 @@ + + + + + + + + +onerror {resume} +quietly WaveActivateNextPane {} 0 + + + add wave -noupdate /PrefetchDataRAM_tb/status + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DINA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/WEA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENA + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKB + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRB + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENB + add wave -noupdate /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DOUTB +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ps +update +WaveRestoreZoom {0 ps} {9464063 ps} diff --git a/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/wave_ncsim.sv b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/wave_ncsim.sv new file mode 100644 index 0000000..d137e66 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM/simulation/timing/wave_ncsim.sv @@ -0,0 +1,23 @@ + + + + + + + + +window new WaveWindow -name "Waves for BMG Example Design" +waveform using "Waves for BMG Example Design" + + + waveform add -signals /PrefetchDataRAM_tb/status + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DINA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/WEA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENA + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/CLKB + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ADDRB + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/ENB + waveform add -signals /PrefetchDataRAM_tb/PrefetchDataRAM_synth_inst/bmg_port/DOUTB +console submit -using simulator -wait no "run" diff --git a/fpga/ipcore_dir/PrefetchDataRAM_flist.txt b/fpga/ipcore_dir/PrefetchDataRAM_flist.txt new file mode 100644 index 0000000..9f48edb --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM_flist.txt @@ -0,0 +1,57 @@ +# Output products list for +PrefetchDataRAM.asy +PrefetchDataRAM.gise +PrefetchDataRAM.ngc +PrefetchDataRAM.sym +PrefetchDataRAM.v +PrefetchDataRAM.veo +PrefetchDataRAM.xco +PrefetchDataRAM.xise +PrefetchDataRAM\blk_mem_gen_v7_3_readme.txt +PrefetchDataRAM\doc\blk_mem_gen_v7_3_vinfo.html +PrefetchDataRAM\doc\pg058-blk-mem-gen.pdf +PrefetchDataRAM\example_design\PrefetchDataRAM_exdes.ucf +PrefetchDataRAM\example_design\PrefetchDataRAM_exdes.vhd +PrefetchDataRAM\example_design\PrefetchDataRAM_exdes.xdc +PrefetchDataRAM\example_design\PrefetchDataRAM_prod.vhd +PrefetchDataRAM\implement\implement.bat +PrefetchDataRAM\implement\implement.sh +PrefetchDataRAM\implement\planAhead_ise.bat +PrefetchDataRAM\implement\planAhead_ise.sh +PrefetchDataRAM\implement\planAhead_ise.tcl +PrefetchDataRAM\implement\xst.prj +PrefetchDataRAM\implement\xst.scr +PrefetchDataRAM\simulation\PrefetchDataRAM_synth.vhd +PrefetchDataRAM\simulation\PrefetchDataRAM_tb.vhd +PrefetchDataRAM\simulation\addr_gen.vhd +PrefetchDataRAM\simulation\bmg_stim_gen.vhd +PrefetchDataRAM\simulation\bmg_tb_pkg.vhd +PrefetchDataRAM\simulation\checker.vhd +PrefetchDataRAM\simulation\data_gen.vhd +PrefetchDataRAM\simulation\functional\simcmds.tcl +PrefetchDataRAM\simulation\functional\simulate_isim.bat +PrefetchDataRAM\simulation\functional\simulate_mti.bat +PrefetchDataRAM\simulation\functional\simulate_mti.do +PrefetchDataRAM\simulation\functional\simulate_mti.sh +PrefetchDataRAM\simulation\functional\simulate_ncsim.sh +PrefetchDataRAM\simulation\functional\simulate_vcs.sh +PrefetchDataRAM\simulation\functional\ucli_commands.key +PrefetchDataRAM\simulation\functional\vcs_session.tcl +PrefetchDataRAM\simulation\functional\wave_mti.do +PrefetchDataRAM\simulation\functional\wave_ncsim.sv +PrefetchDataRAM\simulation\random.vhd +PrefetchDataRAM\simulation\timing\simcmds.tcl +PrefetchDataRAM\simulation\timing\simulate_isim.bat +PrefetchDataRAM\simulation\timing\simulate_mti.bat +PrefetchDataRAM\simulation\timing\simulate_mti.do +PrefetchDataRAM\simulation\timing\simulate_mti.sh +PrefetchDataRAM\simulation\timing\simulate_ncsim.sh +PrefetchDataRAM\simulation\timing\simulate_vcs.sh +PrefetchDataRAM\simulation\timing\ucli_commands.key +PrefetchDataRAM\simulation\timing\vcs_session.tcl +PrefetchDataRAM\simulation\timing\wave_mti.do +PrefetchDataRAM\simulation\timing\wave_ncsim.sv +PrefetchDataRAM_flist.txt +PrefetchDataRAM_xmdf.tcl +_xmsgs\pn_parser.xmsgs +summary.log diff --git a/fpga/ipcore_dir/PrefetchDataRAM_xmdf.tcl b/fpga/ipcore_dir/PrefetchDataRAM_xmdf.tcl new file mode 100644 index 0000000..3d54214 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchDataRAM_xmdf.tcl @@ -0,0 +1,251 @@ +# The package naming convention is _xmdf +package provide PrefetchDataRAM_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::PrefetchDataRAM_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::PrefetchDataRAM_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name PrefetchDataRAM +} +# ::PrefetchDataRAM_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::PrefetchDataRAM_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/blk_mem_gen_v7_3_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/doc/blk_mem_gen_v7_3_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/doc/pg058-blk-mem-gen.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/example_design/PrefetchDataRAM_exdes.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/example_design/PrefetchDataRAM_prod.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/PrefetchDataRAM_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/PrefetchDataRAM_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/addr_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/bmg_stim_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/bmg_tb_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/checker.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/data_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/functional/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/random.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/simcmds.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/simulate_isim.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/simulate_ncsim.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/simulate_vcs.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/ucli_commands.key +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/vcs_session.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/wave_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM/simulation/timing/wave_ncsim.sv +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchDataRAM_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path summary.log +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module PrefetchDataRAM +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/ipcore_dir/PrefetchTagRAM.asy b/fpga/ipcore_dir/PrefetchTagRAM.asy new file mode 100644 index 0000000..f5ad40f --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.asy @@ -0,0 +1,33 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 PrefetchTagRAM +RECTANGLE Normal 32 32 256 544 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName a[4:0] +PINATTR Polarity IN +LINE Wide 0 112 32 112 +PIN 0 112 LEFT 36 +PINATTR PinName d[21:0] +PINATTR Polarity IN +LINE Wide 0 144 32 144 +PIN 0 144 LEFT 36 +PINATTR PinName dpra[4:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName we +PINATTR Polarity IN +LINE Normal 0 304 32 304 +PIN 0 304 LEFT 36 +PINATTR PinName clk +PINATTR Polarity IN +LINE Wide 288 80 256 80 +PIN 288 80 RIGHT 36 +PINATTR PinName spo[21:0] +PINATTR Polarity OUT +LINE Wide 288 144 256 144 +PIN 288 144 RIGHT 36 +PINATTR PinName dpo[21:0] +PINATTR Polarity OUT + diff --git a/fpga/ipcore_dir/PrefetchTagRAM.gise b/fpga/ipcore_dir/PrefetchTagRAM.gise new file mode 100644 index 0000000..ce69694 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.gise @@ -0,0 +1,53 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/fpga/ipcore_dir/PrefetchTagRAM.ncf b/fpga/ipcore_dir/PrefetchTagRAM.ncf new file mode 100644 index 0000000..e69de29 diff --git a/fpga/ipcore_dir/PrefetchTagRAM.ngc b/fpga/ipcore_dir/PrefetchTagRAM.ngc new file mode 100644 index 0000000..6ee6c51 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$03b44<,[o}e~g`n;"2*73>(-80!avui5>1<3j46rn{=cr`:<<&>?5N039B57=F:;1J??5N499AQVYNFOE:7N?=;BJFGNYKAJOE_HQ[YQG7?FJL8?1H@F?7079@HN408<1H@FEKCOR:4=74CNONMQRBL8>0OB\J_FGMAWGSAFDTECH@7:AQADRBL81O?6J_O49GTWG7=2N[^L?:;ERQE73@C12CEEY][AUG5?IIFLLN=7AALKDF4?II@AJKGo6CnjnpUawunggi0Ad``rWgqwlii02Gym`Qbel58J@RPG[A<7CABESEFg>V):9;8==?<_M68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE79SWAIIMl1[~hhjtbjWebTFE;<:7]|jfdv`lQg`ZHG'hd}{_lgn[bciW=T>!ul_`fgvZqnl}b69!mPdddbqirXlh~j1="l_egspm;4:%iTiaomoscwmjhXl`x6OR!Zsdpp+Ai`'Mehy`katr-DmqNrj&]j~}#CC.dscd)nxjeyiRjfr.vnt*Ydo&&hSkobim{>wugu|hd= nQgar]`hn;6$jUcm~Qk=0.`[mgtWmzd1<"l_icp[avui4;'oRfns^k\g`;7$jUcm~Q~dqm>4)eX`hyT}i~`_bg>4)eX`hyT}i~`_bnh95*dWakxS|jo^ppp86+kVbjRkpn]pvvr:8%iTdl}Pqrrl95*dWakxS|}o^af95*dWakxS|}o^ppp86+kVbjR|pn]pvvr:8%iTdl}Psqm>5)eX`hyT}|n=1.`[mgtW~o6=!mPmdo\mkosWocgi0``_bmf[cokmVfdmikk,b]nahYsqyo6>!mPp`ppawYsqyo6=!mPphrfhlhbWz~jkh}20-a\ufcXbfceii3?,b]rqdjnnpU|i0>#c^pfeaYjao7; nQ}ef]b[aYnfy0>#c^pfcZbwzhUbb}{{=1.`[vikVoemobj=0.`[roc|a79>p<<;Qpfb`rd`]kl^LC#dhqw[hcjWnoeS{9P2-y~5>W?3[KF??V>D038WMTBOVOSX_OLT^LDG`=T@[OLS@GA_RKYA3=TG\XHI>5\PN48WQGS]Z>0XT^J3b9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*KuidUym`?==k;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,IwgjW{kf><=k;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,IwgjW{kf>?=l;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,IwgjW{kf?>m4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-NvdkXzhg??n5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.OqehYuid?8o6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/LpbiZtfe?9h7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z Mscn[wgj?:i0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!Br`o\vdk?;j1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"C}al]qeh?4m2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|jo^kmp969;o1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"kpn]jjq:6879m7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhs48;5?k5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sgtjYnf}6:>3=i;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uavhW`d0<=13g9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*wcxfUbby2>4?1e?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(ymzdSd`{<07=7c=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{o|bQfnu>22;5a3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw8419;o1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"kpn]jjq:6079m7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhs4835?h5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sgtjYnf}6:2>h4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~7>=0>2g8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)vlyeTecz32?1f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(ymzdSd`{<2<0a>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xn{cRgat=6=7`=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{o|bQfnu>6:6c<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov?2;5b3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}i~`_hlw8284m2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|jo^kmp9>9;l1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"kpn]jjq:>6:n0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkrX8:n0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkrX9:o0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~dqm\mkrX999n7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qerl[lhsW8;8i6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pfskZoi|V;9?h5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sgtjYnf}U:?>k4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-r`uiXag~T=9=j;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uavhW`dS<;72g8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)vlyeTeczP191f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(ymzdSd`{_0;0`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xn{cRgat^00a>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xn{cRgat^037`=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{o|bQfnu]156b<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\76b<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\06b<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\16b<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\26b<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\36b<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\<6b<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%zh}aPiov\=6c<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%z}aPiov?4;5a3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}~~`_hlw8469;o1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"|pn]jjq:6979m7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qrrl[lhs4885?k5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sptjYnf}6:?3=i;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uvvhW`d0<:13g9V4*~t|Ve{xdaas/fjwqYjmdUbb~z gdl\`uYuid$o|ob_hlpp*wtxfUbby2>5?1e?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(yzzdSd`{<04=7c=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>23;5a3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}~~`_hlw84>9;o1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"|pn]jjq:6179n7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qrrl[lhs4848j6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pqskZoi|58;2>h4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-rwuiXag~7><02g8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTecz34?1f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(yzzdSd`{<4<0a>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat=4=7`=R8&rxxRathmmw+bn{}Ufi`Qfnrv,c`hXlyUym` kpscn[lht|&{x|bQfnu>4:6c<]9%syQ`pukljv(caz~TahcPioqw+bciWmzT~lc!dqpbiZoi{}%z}aPiov?<;5b3\:$t~zPoqvjkku)l`yS`kb_hlpp*abfVn{Sob.erqehYnfz~$}~~`_hlw8<84l2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|}o^kmpZ64l2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|}o^kmpZ74m2_;#u}{_nrwmjht&mcxxRcjm^kmwq)`mgUo|R|nm/fsvdkXagy#|}o^kmpZ77;l1^<"v|t^msplii{'nbyQbel]jjvr(oldTh}Q}al,gtwgjW`dxx"|pn]jjqY69:o0Y=!wsu]ltqohfz$oe~zPmdo\mkus'noeSi~Pr`o-`utfeVcey!~sqm\mkrX9;9n7X> xrv\kurnggy%hd}{_lgn[lht|&mnbRj_scn*avuidUbb~z qrrl[lhsW898i6[?/yqw[jvsafdx"ig|t^ofiZoi{}%licQkp^pbi+bwzhgTec}{/pqskZoi|V;??h5Z0.zppZiw|`ee#jfsu]nahYnfz~$kh`Pdq]qeh(cx{kfSd`|t.sptjYnf}U:9>k4U1-{wqYhx}cdb~ kirv\i`kXagy#jka_er\vdk)lyxjaRgasu-rwuiXag~T=;=j;T2,|vrXgy~bcc}!dhqw[hcjW`dxx"ijn^fs[wgj&mzym`Qfnrv,uvvhW`dS<992f8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTeczP22g8Q5){}Ud|yg`nr,gmvrXelgTec}{/fgm[avXzhg%h}|nm^kmwq)v{yeTeczP211f?P6(pz~Tc}zfooq-`lusWdofSd`|t.efjZbwW{kf"i~}al]jjvr(yzzdSd`{_330`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^10`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^60`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^70`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^40`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^50`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^:0`>S7'qySb~{inlp*aot|VgnaRgasu-dakYcxVxja#jr`o\mkus'xy{cRgat^;`?PUBZV\B_DLCE89UM@QX\PZN86YLLJ08S@7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML0g;87>0m1?14:c?6;2g;<790oae;;e>3:0=c48:596j310<6?a:6:7?0h1?<>49g8429=2n7=80:;e>22;3b;904?7i2>>49g8769?2n7><4?>49g8779<2n7>3:4d=1=0>b;<7>0h1;14:f?2;2U9>i5kirv\i`kXoldTz:Q=,!Zjhlh(JEYI-Ijndpbpjt'9=$<=85kirv\i`kXoldTz:Q=_yqw2>bwg5:5;6jo=33:2=cxf6:=394dqm?57803mzd0<=17:fsk9736>1o|b2>5?58`ui;9?4<7i~`<05=3>bwg5;32:5kpn>2=;03mzd0??50?58`ui;:84=7i~`<3<5?avh4:4=7i~`<5<5?avh4<4=7i~`<7<5?avh4>4=7i~`<9<5?avh404<7i~}a=2=3>bwzh6:2:5kpsc?6;106;erqe92=87=0h}|n<5<6?avuid20bjmmuhng2>uwg5:5;6}o=33:2=txf6:=394sqm?57803zzd0<=17:qsk9736>1x|b2>5?58wui;9?4<7~~`<05=3>uwg5;32:5|pn>2=;0<{ye7=394sqm?658>3zzd0??50?58wui;:84=7~~`<3<5?vvh4:4=7~~`<5<5?vvh4<4=7~~`<7<5?vvh4>4=7~~`<9<5?vvh40497zkr@Ar01a=GHq9h6K4;:0yP607e0kjqenh4>;o`e>3=#jj0i56s\228`4?50289?:8;8:3a4ga=T:o0:<<4?:01720302;i{R06>f6=;>0:?98:5681g2ec3^??7>51;393d}T:<0h<7=8:01720302;iPel38py><51:w07?61c=<;0f0!5f2j<0b>751:9jg1<72-9j6n84n2;96>=nk:0;6)=n:b48j6?=;21bo?4?:%1b>f0!5f2m<0b>750:9j`0<72-9j6i84n2;95>=nl:0;6)=n:e48j6?=:21bh?4?:%1b>a0!5f2m<0b>754:9j`5<72-9j6i84n2;91>=nko0;6)=n:e48j6?=>21boh4?:%1b>a0!5f2m<0b>758:9jgf<72-9j6i84n2;9=>=nkk0;6)=n:e48j6?=i21bol4?:%1b>a0!5f2m<0b>75c:9j``<72-9j6i84n2;9`>=nlm0;6)=n:e48j6?=m21bhn4?:%1b>a0!5f2m<0b>751198mag=83.8m7j9;o1:>47<3`n26=4+3`8g2>h413;976gk8;29 6g=l?1e?44>3:9j`1<72-9j6i84n2;951=28?07dhi:18'7d4;hdg>5<#;h0mi6`<9;38?l`d290/?l4ie:l0=?4<3`li6=4+3`8ea>h413907dhn:18'7d5<5<#;h0:i68l0;6)=n:02e?k5>2810c<>l:18'7d<68o1e?44=;:m24g<72-9j6<>i;o1:>6=0883>!5f28:m7c=6:498k46?290/?l4>0g9m7<<132e:<:4?:%1b>46a3g926:54o025>5<#;h0:i68<0;6)=n:02e?k5>2010c<>;:18'7d<68o1e?44n;:m246<72-9j6<>i;o1:>g=1683>!5f28:m7c=6:e98k471290/?l4>0g9m7<46a3g926k54o037>5<#;h0:=h9891<7*h413;:76a>1383>!5f28:m7c=6:008?j7693:1(>o511d8j6?=9:10c<>k:18'7d<68o1e?44>4:9l554=83.8m7??f:l0=?7232em=7>5$2c9b5=i;00;76ajf;29 6g=n91e?44>;:mf`?6=,:k1j=5a3881?>ibk3:1(>o5f19m7<<432enn7>5$2c9b5=i;00?76aja;29 6g=n91e?44:;:mf=?6=,:k1j=5a3885?>ib03:1(>o5f19m7<<032en;7>5$2c9b5=i;00376aj6;29 6g=n91e?446;:mf1?6=,:k1j=5a388b?>ib<3:1(>o5f19m7<5$2c9b5=i;00h76ai8;29 6g=n91e?44k;:me3?6=,:k1j=5a388f?>ia>3:1(>o5f19m7<5$2c9b5=i;00:<65`f583>!5f2o:0b>751098kc5=83.8m7h?;o1:>44<3fl96=4+3`8e4>h413;876aje;29 6g=n91e?44>4:9la6<72-9j6k>4n2;950=5;hg94?=n:?0;66g=8;29?l4>2900e?o50;9j6g<722c9o7>5;h`4>5<>i5?3:17pl:4;29b?6=8r.?:7:?;I`b?Md23-8n6>5fa;29?ld=831bo7>5;hf94?=nm3:17d<9:188m7>=831b>44?::k1e?6=3`8i6=44i3a94?=nj>0;66gm8;29?j232900c?950;9~f07=83l1<7>t$54905=Ojh1Cn85+2d80?lg=831bn7>5;ha94?=nl3:17dk50;9j63<722c947>5;h0:>5<>o5k3:17dl8:188mg>=831d894?::m13?6=3th><7>5f;294~"3>3>;7Eln;I`6?!4b2:1bm7>5;h`94?=nk3:17dj50;9ja?6=3`8=6=44i3:94?=n:00;66g=a;29?l4e2900e?m50;9jf2<722ci47>5;n67>5<h4<;hc94?=nj3:17dm50;9j`?6=3`o1<75f2783>>o503:17d<6:188m7g=831b>o4?::k1g?6=3`h<6=44ic:94?=h<=0;66a=7;29?xd3m3:1j7>50z&72?273Ahj7El:;%0f>6=ni3:17dl50;9jg?6=3`n1<75fe;29?l412900e?650;9j6<<722c9m7>5;h0a>5<>oe03:17b:;:188k71=831vn9j50;d94?6|,=<18=5Gb`9Kf0=#:l087do50;9jf?6=3`i1<75fd;29?lc=831b>;4?::k15;|`7b?6=n3:17)5;h05>5<>o5i3:17d?6=44o3594?=zj=h1<7h50;2x 10=<91Cnl5Gb49'6`<43`k1<75fb;29?le=831bh7>5;hg94?=n:?0;66g=8;29?l4>2900e?o50;9j6g<722c9o7>5;h`4>5<>i5?3:17pl;a;29b?6=8r.?:7:?;I`b?Md23-8n6>5fa;29?ld=831bo7>5;hf94?=nm3:17d<9:188m7>=831b>44?::k1e?6=3`8i6=44i3a94?=nj>0;66gm8;29?j232900c?950;9~f1e=83l1<7>t$54905=Ojh1Cn85+2d80?lg=831bn7>5;ha94?=nl3:17dk50;9j63<722c947>5;h0:>5<>o5k3:17dl8:188mg>=831d894?::m13?6=3th?57>5f;294~"3>3>;7Eln;I`6?!4b2:1bm7>5;h`94?=nk3:17dj50;9ja?6=3`8=6=44i3:94?=n:00;66g=a;29?l4e2900e?m50;9jf2<722ci47>5;n67>5<h4<;hc94?=nj3:17dm50;9j`?6=3`o1<75f2783>>o503:17d<6:188m7g=831b>o4?::k1g?6=3`h<6=44ic:94?=h<=0;66a=7;29?xd2j3:1j7>50z&72?273Ahj7El:;%0f>6=ni3:17dl50;9jg?6=3`n1<75fe;29?l412900e?650;9j6<<722c9m7>5;h0a>5<>oe03:17b:;:188k71=831vn8o50;d94?6|,=<18=5Gb`9Kf0=#:l087do50;9jf?6=3`i1<75fd;29?lc=831b>;4?::k15;|`6g?6=n3:17)5;h05>5<>o5i3:17d?6=44o3594?=zj<21<7h50;2x 10=<91Cnl5Gb49'6`<43`k1<75fb;29?le=831bh7>5;hg94?=n:?0;66g=8;29?l4>2900e?o50;9j6g<722c9o7>5;h`4>5<>i5?3:17pl:7;29b?6=8r.?:7:?;I`b?Md23-8n6>5fa;29?ld=831bo7>5;hf94?=nm3:17d<9:188m7>=831b>44?::k1e?6=3`8i6=44i3a94?=nj>0;66gm8;29?j232900c?950;9~f0?=83l1<7>t$54905=Ojh1Cn85+2d80?lg=831bn7>5;ha94?=nl3:17dk50;9j63<722c947>5;h0:>5<>o5k3:17dl8:188mg>=831d894?::m13?6=3th>>7>5f;294~"3>3>;7Eln;I`6?!4b2:1bm7>5;h`94?=nk3:17dj50;9ja?6=3`8=6=44i3:94?=n:00;66g=a;29?l4e2900e?m50;9jf2<722ci47>5;n67>5<h4<;hc94?=nj3:17dm50;9j`?6=3`o1<75f2783>>o503:17d<6:188m7g=831b>o4?::k1g?6=3`h<6=44ic:94?=h<=0;66a=7;29?xd2>3:1j7>50z&72?273Ahj7El:;%0f>6=ni3:17dl50;9jg?6=3`n1<75fe;29?l412900e?650;9j6<<722c9m7>5;h0a>5<>oe03:17b:;:188k71=831vnlj50;194?6|,=<1>i5Gb`9Kf0=#:l097d??:188m70=831d?k4?::aef<72:0;6=u+4781`>Nei2Bi96*=e;08m46=831b>;4?::m0b?6=3thjm7>53;294~"3>38o7Eln;I`6?!4b2;1b==4?::k12?6=3f9m6=44}cc:>5<4290;w):9:3f8Lgg<@k?0(?k52:k24?6=3`8=6=44o2d94?=zjh21<7=50;2x 10=:m1Cnl5Gb49'6`<53`;;6=44i3494?=h;o0;66sma683>6<729q/8;4=d:Jae>Ne=2.9i7<4i0294?=n:?0;66a3:1?7>50z&72?4c3Ahj7El:;%0f>7=n990;66g=6;29?j5a2900qoo::180>5<7s->=6?j4Hcc8Lg3<,;o1>6g>0;29?l412900c>h50;9~fd2=8391<7>t$5496a=Ojh1Cn85+2d81?l772900e?850;9l7c<722wim>4?:283>5}#"5m380e<>50;9j63<722e8j7>5;|`b6?6=;3:17)5;n1e>5<5;h05>5<h4=;h33>5<>{ej:0;6>4?:1y'03<5l2Bim6Fm5:&1a?4>i4n3:17plm2;297?6=8r.?:7>o5>3:17b=i:188ygd629086=4?{%65>7b<@kk0Do;4$3g96>o683:17d<9:188k6`=831vno>50;194?6|,=<1>i5Gb`9Kf0=#:l097d??:188m70=831d?k4?::aec<72:0;6=u+4781`>Nei2Bi96*=e;08m46=831b>;4?::m0b?6=3thji7>53;294~"3>38o7Eln;I`6?!4b2;1b==4?::k12?6=3f9m6=44}cca>5<4290;w):9:3f8Lgg<@k?0(?k52:k24?6=3`8=6=44o2d94?=zjh:1<7=50;2x 10=:m1Cnl5Gb49'6`<53`;;6=44i3494?=h;o0;66sm9g83>6<729q/8;4=d:Jae>Ne=2.9i7<4i0294?=n:?0;66a50z&72?4c3Ahj7El:;%0f>7=n990;66g=6;29?j5a2900qo6?:180>5<7s->=6?j4Hcc8Lg3<,;o1>6g>0;29?l412900c>h50;9~f2c=8391<7>t$5496a=Ojh1Cn85+2d81?l772900e?850;9l7c<722wi;i4?:283>5}#"5m380e<>50;9j63<722e8j7>5;|`4g?6=;3:17)5;n1e>5<5;h05>5<h4=;h33>5<>{e?00;6>4?:1y'03<5l2Bim6Fm5:&1a?4>i4n3:17pl88;297?6=8r.?:7>o5>3:17b=i:188yg1029086=4?{%65>7b<@kk0Do;4$3g96>o683:17d<9:188k6`=831vn:850;194?6|,=<1>i5Gb`9Kf0=#:l097d??:188m70=831d?k4?::a30<72:0;6=u+4781`>Nei2Bi96*=e;08m46=831b>;4?::m0b?6=3th347>53;294~"3>38o7Eln;I`6?!4b2;1b==4?::k12?6=3f9m6=44}c:4>5<4290;w):9:3f8Lgg<@k?0(?k52:k24?6=3`8=6=44o2d94?=zj1<1<7=50;2x 10=:m1Cnl5Gb49'6`<53`;;6=44i3494?=h;o0;66sm8483>6<729q/8;4=d:Jae>Ne=2.9i7<4i0294?=n:?0;66a50z&72?4c3Ahj7El:;%0f>7=n990;66g=6;29?j5a2900qo6<:180>5<7s->=6?j4Hcc8Lg3<,;o1>6g>0;29?l412900c>h50;9~f=4=8391<7>t$5496a=Ojh1Cn85+2d81?l772900e?850;9l7c<722wi;k4?:283>5}#"5m380e<>50;9j63<722e8j7>5;|`40?6=;3:17)5;n1e>5<5;h05>5<02`=:=h0n70;m:d9>0=26h524b8f?82f2l168o4j;<6e>`=:16qUo852578g?8202m169?4k;<7:>a=:=>0o70;7:e9>1fa=:0co6i524d8g?8342m169=4k;<72>a=:==0o70;::e9~wf2=83;f=:<>0h70;=:b9>1<f=:<10h70:6:b9>0fj6n524c8`?82a2j168i4l;<6f>f=:=:0h70;?:b9>1413<6o52538a?83>2k169:4m;<7;>g=:=j0i70;n:c9>1g36o52488a?82d2k168l4m;<6a>g=:0`g=z{j81<7?8{_a1?8312h168:4n;<71>d=:=00j70;8:`9>1=d=:0gm6l524e8b?82b2h169>4n;<73>d=:=80j70;;:`9>1052z\g3>;2=38=7p}k5;296~Xc=27>87<9;|qg7?6=:rTo?63:3;05?xuc:3:1>vPk2:?65?413tyo=7>52z\g5>;2838=7p}k0;296~Xc827?j7<9;|q`b?6=:rThj63;e;05?xudm3:1>vPle:?7`?413tyhh7>52z\``>;3k38=7p}lc;296~Xdk27?n7<9;|q`f?6=:rThn63;a;05?xudi3:1>vPla:?7=?413tyoj7>52z\gb>;3038=7p}ke;296~Xcm27>o7<9;|qg`?6=:rToh63:b;05?xuck3:1>vPkc:?6e?413tyon7>52z\gf>;2138=7p}ka;296~Xci27>47<9;|qg=?6=:rTo563:7;05?xuc03:1>vPk8:?62?413tyo87>52z\g0>;2:38=7p}l9;296~Xd127?;7<9;|qeb?6=9>qUjk525781g>;3?38h70;=:3a890?=:j169:4=c:?62;i019m52b9>0d<5k27?n77e<5=n1>n524d81g>;2;38h70;?:3a8907=:j16994=c:?61?4d3tymh7>516y]ba=:=?09n63;7;0a?8352;h018752c9>12<5j27>477d<5o525c81f>;3038i70:6:3`891e=:k168l4=b:?7f?4e34>m6?l4=5f96g=:11<5j27>97qUjn525781e>;3?38j70;=:3c890?=:h169:4=a:?62;k019m52`9>0d<5i27?n77g<5=n1>l524d81e>;2;38j70;?:3c8907=:h16994=a:?61?4f3tymn7>516y]bg=:=?09563;7;0:?8352;301875289>12<5127>47<6;<7`>7?<54525c81=>;3038270:6:3;891e=:0168l4=9:?7f?4>34>m6?74=5f96<=:11<5127>97<6;|qee?6=9>qUjl525781<>;3?38370;=:3:890?=:1169:4=8:?62;2019m5299>0d<5027?n7<7;<6e>7><5=n1>5524d81<>;2;38370;?:3:8907=:116994=8:?61?4?3tyh47>542y]g==:?:0:<6384;33?81a28:015<5119><6<6827387??;<:6>46<51<1==5286824>;?03;;709::028920=9916;:4>0:?44=6c955=:?k0:<638c;33?81c28:01:k5119><5<68273=7??;<;e>46<5h:1==52ac824>;fm3;;70oi:0289g6=9916n<4>0:?a6?7734h86<>4=c6955=:i80:<63n2;33?8g428:01l:5119>e0<6827j:7??;46<5h21==52a8824>;fi3;;70ol:0289db=99169;4m7:?73?d034?96o94=4;9f2=:=>0i;63:8;`4?83d2k=018o5b69>1gg1<5=i1n:524`8a3>;3j3h<70:i:c5891b=j>168h4m7:?67?d034?;6o94=439f2=:==0i;63:5;`4?xu6900;6<9t^03:?8312k201995b99>1757l7;<74>g><5<21n5525b8a<>;2i3h370;m:c:891>=j116844m8:?7g?d?34>j6o64=5`9f==:15=7l7;<77>g><55<4sW;:<63nd;05?8322=>0q~??e;297~X68l16mn4=6:?60?233ty:;5252870>{t99h1<7=t^02a?8g>2;<018?5459~w46f2908wS??a:?b;3n3>?7p}>0983>6}Y99201l85279>0`<3<2wx==950;1xZ46034k>6?84=5f901=z{8:=6=4<{_332>;f<38=70:l:568yv77=3:1?vP>049>e6<5>27?n7:;;|q241<72:qU==:4=`0963=::34891?=<=1v1253z\252=:j:09:63:c;67?xu69?0;6>uQ10489g4=:?169o4;4:p543=839pR70<55<4sW;:863m0;05?83>2=>0q~?>3;297~X69:16mk4=6:?6;5256870>{t98;1<7=t^032?8ge2;<01885459~w46c2908wS??d:?b4?4134?969:4}r336?6=;rT:;3?3>?7p}i1;297~Xa9273=7<9;<76>7172;<018:5269~w`b=839pRhj4=6g963=:=:09;6s|eb83>6}Ymj16;i4=6:?65?403tynn7>53z\ff>;0k38=70;?:358yvcf2908wSkn;<5a>70<5=l1>:5rsd;94?5|Vl301:o5279>0`<5?2wxi54?:2y]a==:?009:63;d;04?xub?3:1?vPj7:?4h6?94}rg5>5<4sWo=7098:34891d=:>1vh;50;1xZ`3<5><1>;524`813>{tm=0;6>uQe59>30<5>27?57<8;|qe=?6=;rTm56378;05?82?2;=0q~h7:180[`?342<6?84=4a962=z{o=1<7=t^g589=0=:?169o4=7:pb3<72:qUj;5284812>;2i38<7p}i5;297~Xa=27387<9;<7:>7142;<01865269~wc5=839pRk=4=90963=:=>09;6s|f383>6}Yn;16;k4=6:?62?403tyni7>53z\fa>;0<38=70;=:358yvc42908wSk<;<50>70<5==1>:5rs4f94?7|5>91?k5rs7:94?7|5>>1?k5rs7`94?7|5>l1?k5rs7a94?7|5181?k5rs7f94?7|5191?k5rs7g94?7|51>1?k5rs7d94?7|51?1?k5rs6294?7|51<1?k5rs6394?7|51=1?k5rs6094?7|5121?k5rs4g94?7|5>?1?k5rs4d94?7|5><1?k5rs7294?7|5>=1?k5rs7394?7|5>21?k5rs7094?7|5>31?k5rs7194?7|5>k1?k5rs7694?7|5>h1?k5rs7794?7|5>i1?k5rs7494?7|5>n1?k5rs7594?7|5>o1?k5rs7;94?7|51:1?k5rs7c94?7|51;1?k5rs9;94?7|50l1?k5rs8694?7|5h:1?k5rs8594?7|5hh1?k5rs8:94?7|5ho1?k5rs8;94?7|5hl1?k5rs8c94?7|5k:1?k5rs8`94?7|5k;1?k5rs8a94?7|5k81?k5rs8f94?7|5k91?k5rs8g94?7|5k>1?k5rs9c94?7|5h;1?k5rs9`94?7|5h81?k5rs9a94?7|5h91?k5rs9f94?7|5h>1?k5rs9g94?7|5h?1?k5rs9d94?7|5h<1?k5rs8294?7|5h=1?k5rs8394?7|5h21?k5rs8094?7|5h31?k5rs8194?7|5hk1?k5rs8794?7|5hi1?k5rs8494?7|5hn1?k5r}o05=?6=9rBi96sa27c94?7|@k?0qc<9b;295~Ne=2we>;m50;3xLg351zJa1>{i:?o1<7?tHc78yk41n3:1=vFm5:m626=83;pDo;4}o045?6=9rBi96sa26094?7|@k?0qc<83;295~Ne=2we>::50;3xLg351zJa1>{i:><1<7?tHc78yk40?3:1=vFm5:m62>=83;pDo;4}o04=?6=9rBi96sa26c94?7|@k?0qc<8b;295~Ne=2we>:m50;3xLg351zJa1>{i:>o1<7?tHc78yk40n3:1=vFm5:m6=6=83;pDo;4}o0;5?6=9rBi96sa29094?7|@k?0qc<73;295~Ne=2we>5:50;3xLg351zJa1>{i:1<1<7?tHc78yk4??3:1=vFm5:m6=>=83;pDo;4}o0;=?6=9rBi96sa29c94?7|@k?0qc<7b;295~Ne=2we>5m50;3xLg351zJa1>{i:1o1<7?tHc78yk4?n3:1=vFm5:m6<6=83;pDo;4}o0:5?6=9rBi96sa28094?7|@k?0qc<63;295~Ne=2we>4:50;3xLg351zJa1>{i:0<1<7?tHc78yk4>?3:1=vFm5:m6<>=83;pDo;4}o0:=?6=9rBi96sa28c94?7|@k?0qc<6b;295~Ne=2we>4m50;3xLg351zJa1>{i:0o1<7?tHc78yk4>n3:1=vFm5:m6d6=83;pDo;4}o0b5?6=9rBi96sa2`094?7|@k?0qcl:50;3xLg351zJa1>{i:h<1<7?tHc78yk4f?3:1=vFm5:m6d>=83;pDo;4}o0b=?6=9rBi96sa2`c94?7|@k?0qclm50;3xLg351zJa1>{i:ho1<7?tHc78yk4fn3:1=vFm5:m6g6=83;pDo;4}o0a5?6=9rBi96sa2c094?7|@k?0qco:50;3xLg351zJa1>{i:k<1<7?tHc78yk4e?3:1=vF<6:Ja1>{i:k21<7?tH248Lg351zJ02>Ne=2we>oo50;3xL60<@k?0qc2Bi96sa2ca94?7|@:<0Do;4}o0a`?6=9rB8:6Fm5:m6gc=83;pD>84Hc78yk4en3:1=vF<6:Ja1>{i:j:1<7?tH248Lg351zJ02>Ne=2we>n<50;3xL60<@k?0qc2Bi96sa2b694?7|@:<0Do;4}o0`1?6=9rB8:6Fm5:m6f0=83;pD>84Hc78yk4d?3:1=vF<6:Ja1>{i:j21<7?tH248Lg351zJ02>Ne=2we>no50;3xL60<@k?0qc2Bi96sa2ba94?7|@:<0Do;4}o0``?6=9rB8:6Fm5:m6fc=83;pD>84Hc78yk4dn3:1=vF<6:Ja1>{i:m:1<7?tH248Lg351zJ02>Ne=2we>i<50;3xL60<@k?0qc2Bi96sa2e694?7|@:<0Do;4}o0g1?6=9rB8:6Fm5:m6a0=83;pD>84Hc78yk4c?3:1=vF<6:Ja1>{i:m21<7?tH248Lg351zJ02>Ne=2we>io50;3xL60<@k?0qc2Bi96sa2ea94?7|@:<0Do;4}o0g`?6=9rB8:6Fm5:m6ac=83;pD>84Hc78yk4cn3:1=vF<6:Ja1>{i:l:1<7?tH248Lg351zJ02>Ne=2we>h<50;3xL60<@k?0qpsr@AAx70b=<1hm=57=}ABA5{GHYqvLM \ No newline at end of file diff --git a/fpga/ipcore_dir/PrefetchTagRAM.sym b/fpga/ipcore_dir/PrefetchTagRAM.sym new file mode 100644 index 0000000..d00381d --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.sym @@ -0,0 +1,30 @@ + + + BLOCK + 2021-10-31T18:22:27 + + + + + + + + + PrefetchTagRAM + + + + + + + + + + + + + + + + + diff --git a/fpga/ipcore_dir/PrefetchTagRAM.v b/fpga/ipcore_dir/PrefetchTagRAM.v new file mode 100644 index 0000000..497711d --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.v @@ -0,0 +1,116 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2021 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// You must compile the wrapper file PrefetchTagRAM.v when simulating +// the core, PrefetchTagRAM. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +`timescale 1ns/1ps + +module PrefetchTagRAM( + a, + d, + dpra, + clk, + we, + spo, + dpo +); + +input [4 : 0] a; +input [21 : 0] d; +input [4 : 0] dpra; +input clk; +input we; +output [21 : 0] spo; +output [21 : 0] dpo; + +// synthesis translate_off + + DIST_MEM_GEN_V7_2 #( + .C_ADDR_WIDTH(5), + .C_DEFAULT_DATA("0"), + .C_DEPTH(32), + .C_FAMILY("spartan6"), + .C_HAS_CLK(1), + .C_HAS_D(1), + .C_HAS_DPO(1), + .C_HAS_DPRA(1), + .C_HAS_I_CE(0), + .C_HAS_QDPO(0), + .C_HAS_QDPO_CE(0), + .C_HAS_QDPO_CLK(0), + .C_HAS_QDPO_RST(0), + .C_HAS_QDPO_SRST(0), + .C_HAS_QSPO(0), + .C_HAS_QSPO_CE(0), + .C_HAS_QSPO_RST(0), + .C_HAS_QSPO_SRST(0), + .C_HAS_SPO(1), + .C_HAS_SPRA(0), + .C_HAS_WE(1), + .C_MEM_INIT_FILE("no_coe_file_loaded"), + .C_MEM_TYPE(2), + .C_PARSER_TYPE(1), + .C_PIPELINE_STAGES(0), + .C_QCE_JOINED(0), + .C_QUALIFY_WE(0), + .C_READ_MIF(0), + .C_REG_A_D_INPUTS(0), + .C_REG_DPRA_INPUT(0), + .C_SYNC_ENABLE(1), + .C_WIDTH(22) + ) + inst ( + .A(a), + .D(d), + .DPRA(dpra), + .CLK(clk), + .WE(we), + .SPO(spo), + .DPO(dpo), + .SPRA(), + .I_CE(), + .QSPO_CE(), + .QDPO_CE(), + .QDPO_CLK(), + .QSPO_RST(), + .QDPO_RST(), + .QSPO_SRST(), + .QDPO_SRST(), + .QSPO(), + .QDPO() + ); + +// synthesis translate_on + +endmodule diff --git a/fpga/ipcore_dir/PrefetchTagRAM.veo b/fpga/ipcore_dir/PrefetchTagRAM.veo new file mode 100644 index 0000000..1e7760b --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.veo @@ -0,0 +1,60 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used solely * +* for design, simulation, implementation and creation of design files * +* limited to Xilinx devices or technologies. Use with non-Xilinx * +* devices or technologies is expressly prohibited and immediately * +* terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY * +* FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY * +* PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE * +* IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS * +* MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY * +* CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY * +* RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY * +* DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A * +* PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support appliances, * +* devices, or systems. Use in such applications are expressly * +* prohibited. * +* * +* (c) Copyright 1995-2021 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ + +/******************************************************************************* +* Generated from core with identifier: xilinx.com:ip:dist_mem_gen:7.2 * +* * +* Rev 1. The LogiCORE Xilinx Distributed Memory Generator creates area * +* and performance optimized ROM blocks, single and dual port * +* distributed memories, and SRL16-based memories for Xilinx FPGAs. The * +* core supersedes the previously released LogiCORE Distributed Memory * +* core. Use this core in all new designs for supported families * +* wherever a distributed memory is required. * +*******************************************************************************/ + +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +PrefetchTagRAM your_instance_name ( + .a(a), // input [4 : 0] a + .d(d), // input [21 : 0] d + .dpra(dpra), // input [4 : 0] dpra + .clk(clk), // input clk + .we(we), // input we + .spo(spo), // output [21 : 0] spo + .dpo(dpo) // output [21 : 0] dpo +); +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file PrefetchTagRAM.v when simulating +// the core, PrefetchTagRAM. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/fpga/ipcore_dir/PrefetchTagRAM.xco b/fpga/ipcore_dir/PrefetchTagRAM.xco new file mode 100644 index 0000000..6d94e20 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.xco @@ -0,0 +1,73 @@ +############################################################## +# +# Xilinx Core Generator version 14.7 +# Date: Sun Oct 31 18:22:04 2021 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# Generated from component: xilinx.com:ip:dist_mem_gen:7.2 +# +############################################################## +# +# BEGIN Project Options +SET addpads = false +SET asysymbol = true +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = false +SET designentry = Verilog +SET device = xc6slx9 +SET devicefamily = spartan6 +SET flowvendor = Other +SET formalverification = false +SET foundationsym = false +SET implementationfiletype = Ngc +SET package = ftg256 +SET removerpms = false +SET simulationfiles = Behavioral +SET speedgrade = -2 +SET verilogsim = true +SET vhdlsim = false +# END Project Options +# BEGIN Select +SELECT Distributed_Memory_Generator xilinx.com:ip:dist_mem_gen:7.2 +# END Select +# BEGIN Parameters +CSET ce_overrides=ce_overrides_sync_controls +CSET coefficient_file=no_coe_file_loaded +CSET common_output_ce=false +CSET common_output_clk=false +CSET component_name=PrefetchTagRAM +CSET data_width=22 +CSET default_data=0 +CSET default_data_radix=16 +CSET depth=32 +CSET dual_port_address=non_registered +CSET dual_port_output_clock_enable=false +CSET input_clock_enable=false +CSET input_options=non_registered +CSET memory_type=dual_port_ram +CSET output_options=non_registered +CSET pipeline_stages=0 +CSET qualify_we_with_i_ce=false +CSET reset_qdpo=false +CSET reset_qsdpo=false +CSET reset_qspo=false +CSET simple_dual_port_address=non_registered +CSET simple_dual_port_output_clock_enable=false +CSET single_port_output_clock_enable=false +CSET sync_reset_qdpo=false +CSET sync_reset_qsdpo=false +CSET sync_reset_qspo=false +# END Parameters +# BEGIN Extra information +MISC pkg_timestamp=2012-11-21T20:07:40Z +# END Extra information +GENERATE +# CRC: 91d9be1 diff --git a/fpga/ipcore_dir/PrefetchTagRAM.xise b/fpga/ipcore_dir/PrefetchTagRAM.xise new file mode 100644 index 0000000..920473f --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM.xise @@ -0,0 +1,402 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/fpga/ipcore_dir/PrefetchTagRAM/dist_mem_gen_v7_2_readme.txt b/fpga/ipcore_dir/PrefetchTagRAM/dist_mem_gen_v7_2_readme.txt new file mode 100644 index 0000000..3072310 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/dist_mem_gen_v7_2_readme.txt @@ -0,0 +1,205 @@ +CHANGE LOG for LogiCORE Distributed Memory Generator V7.2 Rev 1 + + Release Date: December 18, 2012 +-------------------------------------------------------------------------------- + +Table of Contents + +1. INTRODUCTION +2. DEVICE SUPPORT +3. NEW FEATURE HISTORY +4. RESOLVED ISSUES +5. KNOWN ISSUES & LIMITATIONS +6. TECHNICAL SUPPORT & FEEDBACK +7. CORE RELEASE HISTORY +8. LEGAL DISCLAIMER + +-------------------------------------------------------------------------------- + + +1. INTRODUCTION + +For installation instructions for this release, please go to: + + http://www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm + +For system requirements: + + http://www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm + +This file contains release notes for the Xilinx LogiCORE IP Distributed Memory Generator v7.2 Rev 1 +solution. For the latest core updates, see the product page at: + + http://www.xilinx.com/products/ipcenter/DIST_MEM_GEN.htm + +................................................................................ + + +2. DEVICE SUPPORT + + + 2.1 ISE + + The following device families are supported by the core for this release. + + + All 7 Series devices + Zynq-7000 devices + All Virtex-6 devices + All Spartan-6 devices + All Virtex-5 devices + All Spartan-3 devices + All Virtex-4 devices + + + 2.2 Vivado + + All 7 Series devices + Zynq-7000 devices + +................................................................................ + + +3. NEW FEATURE HISTORY + + + 3.1 ISE + + - ISE 14.4 software support + + + 3.2 Vivado + + - 2012.4 software support + +................................................................................ + + +4. RESOLVED ISSUES + + + 4.1 ISE + + - N/A + + + 4.2 Vivado + + - N/A + + +................................................................................ + +5. KNOWN ISSUES & LIMITATIONS + + + 5.1 ISE + + There are not known issues for v7.2 Rev 1 of this core at time of release: + + 5.2 Vivado + + The following are known issues for v7.2 Rev 1 of this core at time of release: + + 1. Description: When Trying to upgrade to latest version of DMG from older verions, following error message is seen + ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work. + + CR 665836 + +The most recent information, including known issues, workarounds, and +resolutions for this version is provided in the IP Release Notes Guide +located at + + www.xilinx.com/support/documentation/user_guides/xtp025.pdf + +................................................................................ + + +6. TECHNICAL SUPPORT & FEEDBACK + + +To obtain technical support, create a WebCase at www.xilinx.com/support. +Questions are routed to a team with expertise using this product. + +Xilinx provides technical support for use of this product when used +according to the guidelines described in the core documentation, and +cannot guarantee timing, functionality, or support of this product for +designs that do not follow specified guidelines. + +................................................................................ + + +7. CORE RELEASE HISTORY + + +Date By Version Description +================================================================================ +12/18/2012 Xilinx, Inc. 7.2 Rev 1 ISE 14.4 and Vivado 2012.4 support +07/25/2012 Xilinx, Inc. 7.2 ISE 14.2 and Vivado 2012.2 support; Example test bench support +04/24/2012 Xilinx, Inc. 7.1 ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support +01/18/2012 Xilinx, Inc. 6.3 ISE 13.4 support; Artix-7L and Automotive Artix-7 device support +06/22/2011 Xilinx, Inc. 6.2 ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support +03/01/2011 Xilinx, Inc. 6.1 ISE 13.1 support and Virtex-7 and Kintex-7 device support +04/19/2010 Xilinx, Inc. 5.1 ISE 12.1 support +12/02/2009 Xilinx, Inc. 4.3 ISE 11.4 support; Spartan-6 Lower Power and Automotive Spartan-6 device support +09/16/2009 Xilinx, Inc. 4.2 11.3 support; Virtex-6 Lower Power and Virtex-6 HXT device support +06/24/2009 Xilinx, Inc. 4.1.1 11.2 support; Virtex-6 CXT device support +04/24/2009 Xilinx, Inc. 4.1 11.1 support; Revised to v4.1; Virtex-6 and Spartan-6 support +03/24/2008 Xilinx, Inc. 3.4 10.1 support; Revised to v3.4. +04/02/2007 Xilinx, Inc. 3.3 9.1i support; Revised to v3.3; Spartan-3AN and Spartan-3A DSP support +09/21/2006 Xilinx, Inc. 3.2 8.2i support; Revised to v3.2; Spartan-3A support +07/13/2006 Xilinx, Inc. 3.1 8.2i support; Revised to v3.1 +01/18/2006 Xilinx, Inc. 2.1 8.1i support; Revised to v2.1 +04/28/2005 Xilinx, Inc. 1.1 7.1i Service Pack 1 support; First release +================================================================================ + +................................................................................ + + +8. LEGAL DISCLAIMER + +(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved. + + This file contains confidential and proprietary information + of Xilinx, Inc. and is protected under U.S. and + international copyright and other intellectual property + laws. + + DISCLAIMER + This disclaimer is not a license and does not grant any + rights to the materials distributed herewith. Except as + otherwise provided in a valid license issued to you by + Xilinx, and to the maximum extent permitted by applicable + law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND + WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES + AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING + BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- + INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and + (2) Xilinx shall not be liable (whether in contract or tort, + including negligence, or under any other theory of + liability) for any loss or damage of any kind or nature + related to, arising under or in connection with these + materials, including for any direct, or any indirect, + special, incidental, or consequential loss or damage + (including loss of data, profits, goodwill, or any type of + loss or damage suffered as a result of any action brought + by a third party) even if such damage or loss was + reasonably foreseeable or Xilinx had been advised of the + possibility of the same. + + CRITICAL APPLICATIONS + Xilinx products are not designed or intended to be fail- + safe, or for use in any application requiring fail-safe + performance, such as life-support or safety devices or + systems, Class III medical devices, nuclear facilities, + applications related to the deployment of airbags, or any + other applications that could lead to death, personal + injury, or severe property or environmental damage + (individually and collectively, "Critical + Applications"). Customer assumes the sole risk and + liability of any use of Xilinx products in Critical + Applications, subject only to applicable laws and + regulations governing limitations on product liability. + + THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS + PART OF THIS FILE AT ALL TIMES. diff --git a/fpga/ipcore_dir/PrefetchTagRAM/doc/dist_mem_gen_v7_2_vinfo.html b/fpga/ipcore_dir/PrefetchTagRAM/doc/dist_mem_gen_v7_2_vinfo.html new file mode 100644 index 0000000..a385482 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/doc/dist_mem_gen_v7_2_vinfo.html @@ -0,0 +1,216 @@ + + +dist_mem_gen_v7_2_vinfo + + + +

+CHANGE LOG for LogiCORE Distributed Memory Generator V7.2 Rev 1
+
+                    Release Date: December 18, 2012
+--------------------------------------------------------------------------------
+
+Table of Contents
+
+1. INTRODUCTION 
+2. DEVICE SUPPORT    
+3. NEW FEATURE HISTORY   
+4. RESOLVED ISSUES 
+5. KNOWN ISSUES & LIMITATIONS 
+6. TECHNICAL SUPPORT & FEEDBACK
+7. CORE RELEASE HISTORY 
+8. LEGAL DISCLAIMER 
+
+--------------------------------------------------------------------------------  
+
+
+1. INTRODUCTION
+
+For installation instructions for this release, please go to:
+
+  www.xilinx.com/ipcenter/coregen/ip_update_install_instructions.htm
+
+For system requirements:
+
+   www.xilinx.com/ipcenter/coregen/ip_update_system_requirements.htm
+
+This file contains release notes for the Xilinx LogiCORE IP Distributed Memory Generator v7.2 Rev 1
+solution. For the latest core updates, see the product page at:
+
+   www.xilinx.com/products/ipcenter/DIST_MEM_GEN.htm
+
+................................................................................
+
+
+2. DEVICE SUPPORT
+
+
+  2.1 ISE 
+
+    The following device families are supported by the core for this release.
+
+
+    All 7 Series devices
+    Zynq-7000 devices
+    All Virtex-6 devices
+    All Spartan-6 devices
+    All Virtex-5 devices
+    All Spartan-3 devices
+    All Virtex-4 devices
+
+
+  2.2 Vivado 
+
+    All 7 Series devices
+    Zynq-7000 devices
+
+................................................................................
+
+
+3. NEW FEATURE HISTORY
+
+
+  3.1 ISE 
+  
+    - ISE 14.4 software support
+
+
+  3.2 Vivado
+  
+    - 2012.4 software support
+
+................................................................................
+
+
+4. RESOLVED ISSUES
+
+
+  4.1 ISE 
+
+    - N/A
+
+
+  4.2 Vivado 
+
+    - N/A
+
+
+................................................................................
+
+5. KNOWN ISSUES & LIMITATIONS
+
+
+  5.1 ISE 
+
+    There are not known issues for v7.2 Rev 1 of this core at time of release:
+
+  5.2 Vivado 
+
+    The following are known issues for v7.2 Rev 1 of this core at time of release:
+     
+    1. Description: When Trying to upgrade to latest version of DMG from older verions, following error message is seen 
+       ERROR: [Common 17-69] Command failed: invalid command name "puts" and Auto Upgradation does not work.
+      
+       CR 665836 
+
+The most recent information, including known issues, workarounds, and
+resolutions for this version is provided in the IP Release Notes Guide
+located at
+
+   www.xilinx.com/support/documentation/user_guides/xtp025.pdf
+
+................................................................................
+
+
+6. TECHNICAL SUPPORT & FEEDBACK
+
+
+To obtain technical support, create a WebCase at www.xilinx.com/support.
+Questions are routed to a team with expertise using this product.
+
+Xilinx provides technical support for use of this product when used
+according to the guidelines described in the core documentation, and
+cannot guarantee timing, functionality, or support of this product for
+designs that do not follow specified guidelines.
+
+................................................................................
+
+
+7. CORE RELEASE HISTORY
+
+
+Date        By            Version      Description
+================================================================================
+12/18/2012  Xilinx, Inc.  7.2 Rev 1    ISE 14.4 and Vivado 2012.4 support
+07/25/2012  Xilinx, Inc.  7.2          ISE 14.2 and Vivado 2012.2 support; Example test bench support
+04/24/2012  Xilinx, Inc.  7.1          ISE 14.1 and Vivado 2012.1 support; Defense Grade 7 Series and Zynq devices, and Automotive Zynq device support
+01/18/2012  Xilinx, Inc.  6.3          ISE 13.4 support; Artix-7L and Automotive Artix-7 device support
+06/22/2011  Xilinx, Inc.  6.2          ISE 13.2 support and Kintex-7L, Virtex-7L, Artix-7 and Zynq-7000 device support
+03/01/2011  Xilinx, Inc.  6.1          ISE 13.1 support and Virtex-7 and Kintex-7 device support
+04/19/2010  Xilinx, Inc.  5.1          ISE 12.1 support
+12/02/2009  Xilinx, Inc.  4.3          ISE 11.4 support; Spartan-6 Lower Power and Automotive Spartan-6 device support
+09/16/2009  Xilinx, Inc.  4.2          11.3 support; Virtex-6 Lower Power and Virtex-6 HXT device support
+06/24/2009  Xilinx, Inc.  4.1.1        11.2 support; Virtex-6 CXT device support
+04/24/2009  Xilinx, Inc.  4.1          11.1 support; Revised to v4.1; Virtex-6 and Spartan-6 support
+03/24/2008  Xilinx, Inc.  3.4          10.1 support; Revised to v3.4.
+04/02/2007  Xilinx, Inc.  3.3          9.1i support; Revised to v3.3; Spartan-3AN and Spartan-3A DSP support
+09/21/2006  Xilinx, Inc.  3.2          8.2i support; Revised to v3.2; Spartan-3A support
+07/13/2006  Xilinx, Inc.  3.1          8.2i support; Revised to v3.1
+01/18/2006  Xilinx, Inc.  2.1          8.1i support; Revised to v2.1
+04/28/2005  Xilinx, Inc.  1.1          7.1i Service Pack 1 support; First release
+================================================================================
+
+................................................................................
+
+
+8. LEGAL DISCLAIMER
+
+(c) Copyright 2002 - 2012 Xilinx, Inc. All rights reserved.
+
+  This file contains confidential and proprietary information
+  of Xilinx, Inc. and is protected under U.S. and
+  international copyright and other intellectual property
+  laws.
+
+  DISCLAIMER
+  This disclaimer is not a license and does not grant any
+  rights to the materials distributed herewith. Except as
+  otherwise provided in a valid license issued to you by
+  Xilinx, and to the maximum extent permitted by applicable
+  law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
+  WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
+  AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
+  BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
+  INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
+  (2) Xilinx shall not be liable (whether in contract or tort,
+  including negligence, or under any other theory of
+  liability) for any loss or damage of any kind or nature
+  related to, arising under or in connection with these
+  materials, including for any direct, or any indirect,
+  special, incidental, or consequential loss or damage
+  (including loss of data, profits, goodwill, or any type of
+  loss or damage suffered as a result of any action brought
+  by a third party) even if such damage or loss was
+  reasonably foreseeable or Xilinx had been advised of the
+  possibility of the same. 
+
+  CRITICAL APPLICATIONS
+  Xilinx products are not designed or intended to be fail-
+  safe, or for use in any application requiring fail-safe
+  performance, such as life-support or safety devices or
+  systems, Class III medical devices, nuclear facilities,
+  applications related to the deployment of airbags, or any
+  other applications that could lead to death, personal
+  injury, or severe property or environmental damage
+  (individually and collectively, "Critical 
+  Applications"). Customer assumes the sole risk and 
+  liability of any use of Xilinx products in Critical 
+  Applications, subject only to applicable laws and 
+  regulations governing limitations on product liability. 
+ 
+  THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
+  PART OF THIS FILE AT ALL TIMES.
+
+
+ + diff --git a/fpga/ipcore_dir/PrefetchTagRAM/doc/pg063-dist-mem-gen.pdf b/fpga/ipcore_dir/PrefetchTagRAM/doc/pg063-dist-mem-gen.pdf new file mode 100644 index 0000000..7235b34 Binary files /dev/null and b/fpga/ipcore_dir/PrefetchTagRAM/doc/pg063-dist-mem-gen.pdf differ diff --git a/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.ucf b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.ucf new file mode 100644 index 0000000..2bee852 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.ucf @@ -0,0 +1,56 @@ +################################################################################ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Tx Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +NET "CLK" TNM_NET = "CLK"; +TIMESPEC "TS_CLK" = PERIOD "CLK" 50 MHZ; + + +################################################################################ diff --git a/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.vhd b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.vhd new file mode 100644 index 0000000..e43d958 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.vhd @@ -0,0 +1,135 @@ + + +-------------------------------------------------------------------------------- +-- +-- Distributed Memory Generator Core - Top-level core wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-------------------------------------------------------------------------------- +-- +-- +-- Description: +-- This is the actual DMG core wrapper. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity PrefetchTagRAM_exdes is + PORT ( + DPRA : IN STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + CLK : IN STD_LOGIC := '0'; + WE : IN STD_LOGIC := '0'; + SPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + DPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + A : IN STD_LOGIC_VECTOR(5-1-(4*0*boolean'pos(5>4)) downto 0) + := (OTHERS => '0'); + D : IN STD_LOGIC_VECTOR(22-1 downto 0) := (OTHERS => '0') + ); + +end PrefetchTagRAM_exdes; + + + +architecture xilinx of PrefetchTagRAM_exdes is + + SIGNAL CLK_i : std_logic; + + component PrefetchTagRAM is + PORT ( + + DPRA : IN STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + CLK : IN STD_LOGIC; + WE : IN STD_LOGIC; + SPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + DPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + A : IN STD_LOGIC_VECTOR(5-1-(4*0*boolean'pos(5>4)) downto 0) + := (OTHERS => '0'); + D : IN STD_LOGIC_VECTOR(22-1 downto 0) := (OTHERS => '0') + +); + end component; + + +begin + + dmg0 : PrefetchTagRAM + port map ( + + DPRA => DPRA, + CLK => CLK_i, + WE => WE, + SPO => SPO, + DPO => DPO, + A => A, + D => D + + ); + +clk_buf: bufg + PORT MAP( + i => CLK, + o => CLK_i + ); + + + +end xilinx; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.xdc b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.xdc new file mode 100644 index 0000000..3b5eb12 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.xdc @@ -0,0 +1,56 @@ +################################################################################ +# +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +# +################################################################################ + +# Tx Core Period Constraint. This constraint can be modified, and is +# valid as long as it is met after place and route. +create_clock -name "TS_CLK" -period 20.0 [ get_ports CLK ] + + +################################################################################ diff --git a/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_prod_exdes.vhd b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_prod_exdes.vhd new file mode 100644 index 0000000..e7cd2d7 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/example_design/PrefetchTagRAM_prod_exdes.vhd @@ -0,0 +1,146 @@ + + +-------------------------------------------------------------------------------- +-- +-- Distributed Memory Generator v6.3 Core - Top-level core wrapper +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +-------------------------------------------------------------------------------- +-- +-- +-- Description: +-- This is the actual DMG core wrapper. +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +library unisim; +use unisim.vcomponents.all; + +-------------------------------------------------------------------------------- +-- Entity Declaration +-------------------------------------------------------------------------------- +entity PrefetchTagRAM_exdes is + PORT ( + A : IN STD_LOGIC_VECTOR(5-1-(4*0*boolean'pos(5>4)) downto 0) + := (OTHERS => '0'); + D : IN STD_LOGIC_VECTOR(22-1 downto 0) := (OTHERS => '0'); + DPRA : IN STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + SPRA : IN STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + CLK : IN STD_LOGIC := '0'; + WE : IN STD_LOGIC := '0'; + I_CE : IN STD_LOGIC := '1'; + QSPO_CE : IN STD_LOGIC := '1'; + QDPO_CE : IN STD_LOGIC := '1'; + QDPO_CLK : IN STD_LOGIC := '0'; + QSPO_RST : IN STD_LOGIC := '0'; + QDPO_RST : IN STD_LOGIC := '0'; + QSPO_SRST : IN STD_LOGIC := '0'; + QDPO_SRST : IN STD_LOGIC := '0'; + SPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + DPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + QSPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + QDPO : OUT STD_LOGIC_VECTOR(22-1 downto 0) + ); + +end PrefetchTagRAM_exdes; + + + +architecture xilinx of PrefetchTagRAM_exdes is + + SIGNAL CLK_i : std_logic; + + component PrefetchTagRAM is + PORT ( + + DPRA : IN STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + CLK : IN STD_LOGIC; + WE : IN STD_LOGIC; + SPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + DPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + A : IN STD_LOGIC_VECTOR(5-1-(4*0*boolean'pos(5>4)) downto 0) + := (OTHERS => '0'); + D : IN STD_LOGIC_VECTOR(22-1 downto 0) := (OTHERS => '0') + +); + end component; + + +begin + + dmg0 : PrefetchTagRAM + port map ( + + DPRA => DPRA, + CLK => CLK_i, + WE => WE, + SPO => SPO, + DPO => DPO, + A => A, + D => D + + ); + +clk_buf: bufg + PORT map( + i => CLK, + o => CLK_i + ); + + + +end xilinx; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/implement.bat b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement.bat new file mode 100644 index 0000000..241e13a --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement.bat @@ -0,0 +1,85 @@ +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +copy PrefetchTagRAM_exdes.ngc .\results\ + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\PrefetchTagRAM.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\PrefetchTagRAM_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc6slx9-ftg256-2 -sd ../../../ PrefetchTagRAM_exdes + +echo 'Running map' +map PrefetchTagRAM_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm PrefetchTagRAM_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/implement.sh b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement.sh new file mode 100644 index 0000000..f0cb8ea --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement.sh @@ -0,0 +1,85 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with XST'; +xst -ifn xst.scr +cp PrefetchTagRAM_exdes.ngc ./results/ + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../PrefetchTagRAM.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/PrefetchTagRAM_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc6slx9-ftg256-2 -sd ../../../ PrefetchTagRAM_exdes + +echo 'Running map' +map PrefetchTagRAM_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm PrefetchTagRAM_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/implement_synplify.bat b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement_synplify.bat new file mode 100644 index 0000000..ac507e2 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement_synplify.bat @@ -0,0 +1,84 @@ +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. + +rem Clean up the results directory +rmdir /S /Q results +mkdir results + +rem Synthesize the VHDL Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + + +rem Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +copy ..\..\PrefetchTagRAM.ngc results\ + + +rem Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +copy ..\example_design\PrefetchTagRAM_exdes.ucf results\ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc6slx9-ftg256-2 -sd ../../../ PrefetchTagRAM_exdes + +echo 'Running map' +map PrefetchTagRAM_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm PrefetchTagRAM_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/implement_synplify.sh b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement_synplify.sh new file mode 100644 index 0000000..ae4ad23 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/implement_synplify.sh @@ -0,0 +1,84 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +# Clean up the results directory +rm -rf results +mkdir results + +#Synthesize the Wrapper Files + +echo 'Synthesizing example design with Synplify' +synplify_pro -batch synplify.prj -licensetype synplifypro_xilinx + +# Copy the netlist generated by Coregen +echo 'Copying files from the netlist directory to the results directory' +cp ../../PrefetchTagRAM.ngc results/ + +# Copy the constraints files generated by Coregen +echo 'Copying files from constraints directory to results directory' +cp ../example_design/PrefetchTagRAM_exdes.ucf results/ + +cd results + +echo 'Running ngdbuild' +ngdbuild -p xc6slx9-ftg256-2 -sd ../../../ PrefetchTagRAM_exdes + +echo 'Running map' +map PrefetchTagRAM_exdes -o mapped.ncd + +echo 'Running par' +par mapped.ncd routed.ncd + +echo 'Running trce' +trce -e 10 routed.ncd mapped.pcf -o routed + +echo 'Running design through bitgen' +bitgen -w routed + +echo 'Running netgen to create gate level Verilog model' +netgen -ofmt verilog -sim -tm PrefetchTagRAM_exdes -pcf mapped.pcf -w -sdf_anno false routed.ncd routed.v + diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.bat b/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.bat new file mode 100644 index 0000000..1852044 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.bat @@ -0,0 +1,54 @@ +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. + +rem ----------------------------------------------------------------------------- +rem Script to synthesize and implement the Coregen FIFO Generator +rem ----------------------------------------------------------------------------- +rmdir /S /Q results +mkdir results +cd results +copy ..\..\..\PrefetchTagRAM.ngc . +planAhead -mode batch -source ..\planAhead_ise.tcl diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.sh b/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.sh new file mode 100644 index 0000000..50eb20d --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.sh @@ -0,0 +1,55 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +#----------------------------------------------------------------------------- +# Script to synthesize and implement the Coregen FIFO Generator +#----------------------------------------------------------------------------- +rm -rf results +mkdir results +cd results +cp ../../../PrefetchTagRAM.ngc . +planAhead -mode batch -source ../planAhead_ise.tcl diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.tcl b/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.tcl new file mode 100644 index 0000000..b0718c8 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/planAhead_ise.tcl @@ -0,0 +1,66 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. + +set device xc6slx9ftg256-2 +set projName PrefetchTagRAM +set design PrefetchTagRAM +set projDir [file dirname [info script]] +create_project $projName $projDir/results/$projName -part $device -force +set_property design_mode RTL [current_fileset -srcset] +set top_module PrefetchTagRAM_exdes +add_files -norecurse {../../example_design/PrefetchTagRAM_exdes.vhd} +add_files -norecurse {./PrefetchTagRAM.ngc} +import_files -fileset [get_filesets constrs_1] -force -norecurse {../../example_design/PrefetchTagRAM_exdes.xdc} +set_property top PrefetchTagRAM_exdes [get_property srcset [current_run]] +synth_design +opt_design +place_design +route_design +write_sdf -rename_top_module PrefetchTagRAM_exdes -file routed.sdf +write_verilog -nolib -mode timesim -sdf_anno false -rename_top_module PrefetchTagRAM_exdes routed.v +report_timing -nworst 30 -path_type full -file routed.twr +report_drc -file report.drc +write_bitstream -bitgen_options {-g UnconstrainedPins:Allow} diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/xst.prj b/fpga/ipcore_dir/PrefetchTagRAM/implement/xst.prj new file mode 100644 index 0000000..26a1312 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/xst.prj @@ -0,0 +1,2 @@ + +work ../example_design/PrefetchTagRAM_exdes.vhd diff --git a/fpga/ipcore_dir/PrefetchTagRAM/implement/xst.scr b/fpga/ipcore_dir/PrefetchTagRAM/implement/xst.scr new file mode 100644 index 0000000..0efd65e --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/implement/xst.scr @@ -0,0 +1,14 @@ + +run +-ifmt VHDL +-ent PrefetchTagRAM_exdes +-p xc6slx9-ftg256-2 +-ifn xst.prj +-write_timing_constraints No +-iobuf YES +-max_fanout 100 +-ofn PrefetchTagRAM_exdes +-ofmt NGC +-bus_delimiter () +-hierarchy_separator / +-case Maintain diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb.vhd new file mode 100644 index 0000000..6814859 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb.vhd @@ -0,0 +1,130 @@ +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Top File for the Example Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- Filename: PrefetchTagRAM_tb.vhd +-- Description: +-- Testbench Top +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY PrefetchTagRAM_tb IS +END ENTITY; + + +ARCHITECTURE PrefetchTagRAM_tb_ARCH OF PrefetchTagRAM_tb IS + SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); + SIGNAL CLK : STD_LOGIC := '1'; + SIGNAL RESET : STD_LOGIC; + + BEGIN + + + CLK_GEN: PROCESS BEGIN + CLK <= NOT CLK; + WAIT FOR 100 NS; + CLK <= NOT CLK; + WAIT FOR 100 NS; + END PROCESS; + + RST_GEN: PROCESS BEGIN + RESET <= '1'; + WAIT FOR 1000 NS; + RESET <= '0'; + WAIT; + END PROCESS; + + +--STOP_SIM: PROCESS BEGIN +-- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS +-- ASSERT FALSE +-- REPORT "END SIMULATION TIME REACHED" +-- SEVERITY FAILURE; +--END PROCESS; +-- +PROCESS BEGIN + WAIT UNTIL STATUS(8)='1'; + IF( STATUS(7 downto 0)/="0") THEN + ASSERT false + REPORT "Simulation Failed" + SEVERITY FAILURE; + ELSE + ASSERT false + REPORT "Test Completed Successfully" + SEVERITY FAILURE; + END IF; +END PROCESS; + + PrefetchTagRAM_tb_synth_inst:ENTITY work.PrefetchTagRAM_tb_synth + GENERIC MAP (C_ROM_SYNTH => 0) + PORT MAP( + CLK_IN => CLK, + RESET_IN => RESET, + STATUS => STATUS + ); + +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_agen.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_agen.vhd new file mode 100644 index 0000000..2bbeb45 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_agen.vhd @@ -0,0 +1,118 @@ + +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Address Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchTagRAM_tb_agen.vhd +-- +-- Description: +-- Address Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.ALL; + +ENTITY PrefetchTagRAM_TB_AGEN IS + GENERIC ( + C_MAX_DEPTH : INTEGER := 1024 ; + RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); + RST_INC : INTEGER := 0); + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + LOAD :IN STD_LOGIC; + LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); + ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR + ); +END PrefetchTagRAM_TB_AGEN; + +ARCHITECTURE BEHAVIORAL OF PrefetchTagRAM_TB_AGEN IS + SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); +BEGIN + ADDR_OUT <= ADDR_TEMP; + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + IF(EN='1') THEN + IF(LOAD='1') THEN + ADDR_TEMP <=LOAD_VALUE; + ELSE + IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN + ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); + ELSE + ADDR_TEMP <= ADDR_TEMP + '1'; + END IF; + END IF; + END IF; + END IF; + END IF; + END PROCESS; +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_checker.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_checker.vhd new file mode 100644 index 0000000..dfaeabe --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_checker.vhd @@ -0,0 +1,161 @@ + +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Checker +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchTagRAM_tb_checker.vhd +-- +-- Description: +-- Checker +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.PrefetchTagRAM_TB_PKG.ALL; + +ENTITY PrefetchTagRAM_TB_CHECKER IS + GENERIC ( + WRITE_WIDTH : INTEGER :=32; + READ_WIDTH : INTEGER :=32 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR + STATUS : OUT STD_LOGIC:= '0' + ); +END PrefetchTagRAM_TB_CHECKER; + +ARCHITECTURE CHECKER_ARCH OF PrefetchTagRAM_TB_CHECKER IS + SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); + SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); + SIGNAL EN_R : STD_LOGIC := '0'; + SIGNAL EN_2R : STD_LOGIC := '0'; +--DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT +--IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) +--IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) + CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); + CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); + SIGNAL ERR_HOLD : STD_LOGIC :='0'; + SIGNAL ERR_DET : STD_LOGIC :='0'; +BEGIN + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST= '1') THEN + EN_R <= '0'; + EN_2R <= '0'; + DATA_IN_R <= (OTHERS=>'0'); + ELSE + EN_R <= EN; + EN_2R <= EN_R; + DATA_IN_R <= DATA_IN; + END IF; + END IF; + END PROCESS; + + EXPECTED_DGEN_INST:ENTITY work.PrefetchTagRAM_TB_DGEN + GENERIC MAP ( + DATA_GEN_WIDTH =>MAX_WIDTH, + DOUT_WIDTH => READ_WIDTH, + DATA_PART_CNT => DATA_PART_CNT, + SEED => 2 + ) + PORT MAP ( + CLK => CLK, + RST => RST, + EN => EN_2R, + DATA_OUT => EXPECTED_DATA + ); + + PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(EN_2R='1') THEN + IF(EXPECTED_DATA = DATA_IN_R) THEN + ERR_DET<='0'; + ELSE + ERR_DET<= '1'; + END IF; + END IF; + END IF; + END PROCESS; + + PROCESS(CLK,RST) + BEGIN + IF(RST='1') THEN + ERR_HOLD <= '0'; + ELSIF(RISING_EDGE(CLK)) THEN + ERR_HOLD <= ERR_HOLD OR ERR_DET ; + END IF; + END PROCESS; + + STATUS <= ERR_HOLD; + +END ARCHITECTURE; + diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_dgen.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_dgen.vhd new file mode 100644 index 0000000..b5336ae --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_dgen.vhd @@ -0,0 +1,141 @@ + +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Data Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchTagRAM_tb_dgen.vhd +-- +-- Description: +-- Data Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +LIBRARY work; +USE work.PrefetchTagRAM_TB_PKG.ALL; + +ENTITY PrefetchTagRAM_TB_DGEN IS + GENERIC ( + DATA_GEN_WIDTH : INTEGER := 32; + DOUT_WIDTH : INTEGER := 32; + DATA_PART_CNT : INTEGER := 1; + SEED : INTEGER := 2 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR + ); +END PrefetchTagRAM_TB_DGEN; + +ARCHITECTURE DATA_GEN_ARCH OF PrefetchTagRAM_TB_DGEN IS + CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); + SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); + SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); + SIGNAL LOCAL_CNT : INTEGER :=1; + SIGNAL DATA_GEN_I : STD_LOGIC :='0'; +BEGIN + + LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); + DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); + DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; + + PROCESS(CLK) + BEGIN + IF(RISING_EDGE (CLK)) THEN + IF(EN ='1' AND (DATA_PART_CNT =1)) THEN + LOCAL_CNT <=1; + ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN + IF(LOCAL_CNT = 1) THEN + LOCAL_CNT <= LOCAL_CNT+1; + ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN + LOCAL_CNT <= LOCAL_CNT+1; + ELSE + LOCAL_CNT <= 1; + END IF; + ELSE + LOCAL_CNT <= 1; + END IF; + END IF; + END PROCESS; + + RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE + RAND_GEN_INST:ENTITY work.PrefetchTagRAM_TB_RNG + GENERIC MAP( + WIDTH => 8, + SEED => (SEED+N) + ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DATA_GEN_I, + RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) + ); + END GENERATE RAND_GEN; + +END ARCHITECTURE; + diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_pkg.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_pkg.vhd new file mode 100644 index 0000000..bb7cd5a --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_pkg.vhd @@ -0,0 +1,200 @@ + +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Testbench Package +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchTagRAM_tb_pkg.vhd +-- +-- Description: +-- DMG Testbench Package files +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + +PACKAGE PrefetchTagRAM_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE :STRING) + RETURN STRING; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE :STD_LOGIC) + RETURN STD_LOGIC; + ------------------------ + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER; + ------------------------ + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER; + +END PrefetchTagRAM_TB_PKG; + +PACKAGE BODY PrefetchTagRAM_TB_PKG IS + + FUNCTION DIVROUNDUP ( + DATA_VALUE : INTEGER; + DIVISOR : INTEGER) + RETURN INTEGER IS + VARIABLE DIV : INTEGER; + BEGIN + DIV := DATA_VALUE/DIVISOR; + IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN + DIV := DIV+1; + END IF; + RETURN DIV; + END DIVROUNDUP; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC_VECTOR; + FALSE_CASE : STD_LOGIC_VECTOR) + RETURN STD_LOGIC_VECTOR IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STD_LOGIC; + FALSE_CASE : STD_LOGIC) + RETURN STD_LOGIC IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : INTEGER; + FALSE_CASE : INTEGER) + RETURN INTEGER IS + VARIABLE RETVAL : INTEGER := 0; + BEGIN + IF CONDITION=FALSE THEN + RETVAL:=FALSE_CASE; + ELSE + RETVAL:=TRUE_CASE; + END IF; + RETURN RETVAL; + END IF_THEN_ELSE; + --------------------------------- + FUNCTION IF_THEN_ELSE ( + CONDITION : BOOLEAN; + TRUE_CASE : STRING; + FALSE_CASE : STRING) + RETURN STRING IS + BEGIN + IF NOT CONDITION THEN + RETURN FALSE_CASE; + ELSE + RETURN TRUE_CASE; + END IF; + END IF_THEN_ELSE; + ------------------------------- + FUNCTION LOG2ROUNDUP ( + DATA_VALUE : INTEGER) + RETURN INTEGER IS + VARIABLE WIDTH : INTEGER := 0; + VARIABLE CNT : INTEGER := 1; + BEGIN + IF (DATA_VALUE <= 1) THEN + WIDTH := 1; + ELSE + WHILE (CNT < DATA_VALUE) LOOP + WIDTH := WIDTH + 1; + CNT := CNT *2; + END LOOP; + END IF; + RETURN WIDTH; + END LOG2ROUNDUP; + +END PrefetchTagRAM_TB_PKG; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_rng.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_rng.vhd new file mode 100644 index 0000000..69a37af --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_rng.vhd @@ -0,0 +1,113 @@ + +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Random Number Generator +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchTagRAM_tb_rng.vhd +-- +-- Description: +-- Random Generator +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + + + + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; + + +ENTITY PrefetchTagRAM_TB_RNG IS + GENERIC ( + WIDTH : INTEGER := 32; + SEED : INTEGER :=2 + ); + + PORT ( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + EN : IN STD_LOGIC; + RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR + ); +END PrefetchTagRAM_TB_RNG; + +ARCHITECTURE BEHAVIORAL OF PrefetchTagRAM_TB_RNG IS +BEGIN + PROCESS(CLK) + VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + VARIABLE TEMP : STD_LOGIC := '0'; + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); + ELSE + IF(EN = '1') THEN + TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); + RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); + RAND_TEMP(0) := TEMP; + END IF; + END IF; + END IF; + RANDOM_NUM <= RAND_TEMP; + END PROCESS; +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_stim_gen.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_stim_gen.vhd new file mode 100644 index 0000000..820b0fc --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_stim_gen.vhd @@ -0,0 +1,491 @@ +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Stimulus Generator For Dual Port RAM Configuration +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchTagRAM_tb_stim_gen.vhd +-- +-- Description: +-- Stimulus Generation For ROM +-- +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY work; +USE work.ALL; + +USE work.PrefetchTagRAM_TB_PKG.ALL; + + +ENTITY REGISTER_LOGIC_DRAM IS + PORT( + Q : OUT STD_LOGIC; + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + D : IN STD_LOGIC + ); +END REGISTER_LOGIC_DRAM; + +ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_DRAM IS + SIGNAL Q_O : STD_LOGIC :='0'; +BEGIN + Q <= Q_O; + FF_BEH: PROCESS(CLK) + BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST ='1') THEN + Q_O <= '0'; + ELSE + Q_O <= D; + END IF; + END IF; + END PROCESS; +END REGISTER_ARCH; + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY work; +USE work.ALL; +USE work.PrefetchTagRAM_TB_PKG.ALL; + +ENTITY PrefetchTagRAM_TB_STIM_GEN IS + PORT( + CLK : IN STD_LOGIC; + RST : IN STD_LOGIC; + A : OUT STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + D : OUT STD_LOGIC_VECTOR(22-1 downto 0) := (OTHERS => '0'); + DPRA : OUT STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + WE : OUT STD_LOGIC := '0'; + DATA_IN : IN STD_LOGIC_VECTOR (21 DOWNTO 0); --OUTPUT VECTOR + DATA_IN_B : IN STD_LOGIC_VECTOR (21 DOWNTO 0); --OUTPUT VECTOR + + + CHECK_DATA : OUT STD_LOGIC_VECTOR(1 downto 0) := (OTHERS => '0') + ); +END PrefetchTagRAM_TB_STIM_GEN; + +ARCHITECTURE BEHAVIORAL OF PrefetchTagRAM_TB_STIM_GEN IS + CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + CONSTANT DATA_PART_CNT_A: INTEGER:=1; + CONSTANT DATA_PART_CNT_B: INTEGER:=1; + SIGNAL WRITE_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL WRITE_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL WRITE_ADDR_INT_A : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL WRITE_ADDR_INT_B : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DO_READ_REG_A : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); + SIGNAL DO_READ_REG_B : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); + SIGNAL READ_ADDR_INT_A : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL READ_ADDR_INT_B : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL READ_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL READ_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); + SIGNAL D_INT_A : STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL D_INT_B : STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DO_WRITE_A : STD_LOGIC := '0'; + SIGNAL DO_WRITE_B : STD_LOGIC := '0'; + SIGNAL DO_WRITE : STD_LOGIC := '0'; + SIGNAL DO_READ_A : STD_LOGIC := '0'; + SIGNAL DO_READ_B : STD_LOGIC := '0'; + SIGNAL COUNT : integer := 0; + SIGNAL COUNT_B : integer := 0; + CONSTANT WRITE_CNT_A : integer := 8; + CONSTANT READ_CNT_A : integer := 8; + CONSTANT WRITE_CNT_B : integer := 8; + CONSTANT READ_CNT_B : integer := 8; + + signal porta_wr_rd : std_logic:='0'; + signal portb_wr_rd : std_logic:='0'; + signal porta_wr_rd_complete: std_logic:='0'; + signal portb_wr_rd_complete: std_logic:='0'; + signal incr_cnt : std_logic :='0'; + signal incr_cnt_b : std_logic :='0'; + + SIGNAL PORTB_WR_RD_HAPPENED: STD_LOGIC :='0'; + SIGNAL LATCH_PORTA_WR_RD_COMPLETE : STD_LOGIC :='0'; + SIGNAL PORTA_WR_RD_L1 :STD_LOGIC :='0'; + SIGNAL PORTA_WR_RD_L2 :STD_LOGIC :='0'; + SIGNAL PORTB_WR_RD_R1 :STD_LOGIC :='0'; + SIGNAL PORTB_WR_RD_R2 :STD_LOGIC :='0'; + SIGNAL PORTA_WR_RD_HAPPENED: STD_LOGIC :='0'; + SIGNAL LATCH_PORTB_WR_RD_COMPLETE : STD_LOGIC :='0'; + SIGNAL PORTB_WR_RD_L1 :STD_LOGIC :='0'; + SIGNAL PORTB_WR_RD_L2 :STD_LOGIC :='0'; + SIGNAL PORTA_WR_RD_R1 :STD_LOGIC :='0'; + SIGNAL PORTA_WR_RD_R2 :STD_LOGIC :='0'; +BEGIN + WRITE_ADDR_INT_A(4 DOWNTO 0) <= WRITE_ADDR_A(4 DOWNTO 0); + READ_ADDR_INT_A(4 DOWNTO 0) <= READ_ADDR_A(4 DOWNTO 0); + WRITE_ADDR_INT_B(4 DOWNTO 0) <= WRITE_ADDR_B(4 DOWNTO 0); + READ_ADDR_INT_B(4 DOWNTO 0) <= READ_ADDR_B(4 DOWNTO 0); + A <= IF_THEN_ELSE(DO_WRITE_A='1',WRITE_ADDR_INT_A,READ_ADDR_INT_A); + D <= IF_THEN_ELSE(DO_WRITE_A='1',D_INT_A,D_INT_B); + DPRA <= IF_THEN_ELSE(DO_WRITE_B='1',WRITE_ADDR_INT_B,READ_ADDR_INT_B); + CHECK_DATA(0) <= DO_READ_A; + CHECK_DATA(1) <= DO_READ_B; + DO_WRITE <= DO_WRITE_A OR DO_WRITE_B; + +RD_GEN_INST_A:ENTITY work.PrefetchTagRAM_TB_AGEN + GENERIC MAP( + C_MAX_DEPTH => 32 + ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DO_READ_A, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => READ_ADDR_A + ); + +WR_AGEN_INST_A:ENTITY work.PrefetchTagRAM_TB_AGEN + GENERIC MAP( + C_MAX_DEPTH => 32 ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DO_WRITE_A, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => WRITE_ADDR_A + ); + +WR_DGEN_INST_A:ENTITY work.PrefetchTagRAM_TB_DGEN + GENERIC MAP ( + DATA_GEN_WIDTH => 22, + DOUT_WIDTH => 22, + DATA_PART_CNT => DATA_PART_CNT_A, + SEED => 2 + ) + PORT MAP ( + CLK => CLK, + RST => RST, + EN => DO_WRITE_A, + DATA_OUT => D_INT_A + ); + +RD_AGEN_INST_B:ENTITY work.PrefetchTagRAM_TB_AGEN + GENERIC MAP( + C_MAX_DEPTH => 32 + ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DO_READ_B, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => READ_ADDR_B + ); + +WR_AGEN_INST_B:ENTITY work.PrefetchTagRAM_TB_AGEN + GENERIC MAP( + C_MAX_DEPTH => 32 ) + PORT MAP( + CLK => CLK, + RST => RST, + EN => DO_WRITE_B, + LOAD => '0', + LOAD_VALUE => ZERO, + ADDR_OUT => WRITE_ADDR_B + ); + +WR_DGEN_INST_B:ENTITY work.PrefetchTagRAM_TB_DGEN + GENERIC MAP ( + DATA_GEN_WIDTH => 22, + DOUT_WIDTH => 22, + DATA_PART_CNT => DATA_PART_CNT_B, + SEED => 2 + ) + PORT MAP ( + CLK => CLK, + RST => RST, + EN => DO_WRITE_B, + DATA_OUT => D_INT_B + ); + +PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + LATCH_PORTB_WR_RD_COMPLETE<='0'; + ELSIF(PORTB_WR_RD_COMPLETE='1') THEN + LATCH_PORTB_WR_RD_COMPLETE <='1'; + ELSIF(PORTA_WR_RD_HAPPENED='1') THEN + LATCH_PORTB_WR_RD_COMPLETE<='0'; + END IF; + END IF; +END PROCESS; + +PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + PORTB_WR_RD_L1 <='0'; + PORTB_WR_RD_L2 <='0'; + ELSE + PORTB_WR_RD_L1 <= LATCH_PORTB_WR_RD_COMPLETE; + PORTB_WR_RD_L2 <= PORTB_WR_RD_L1; + END IF; + END IF; +END PROCESS; + +PORTA_WR_RD_EN: PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + PORTA_WR_RD <='1'; + ELSE + PORTA_WR_RD <= PORTB_WR_RD_L2; + END IF; + END IF; +END PROCESS; + +PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + PORTA_WR_RD_R1 <='0'; + PORTA_WR_RD_R2 <='0'; + ELSE + PORTA_WR_RD_R1 <=PORTA_WR_RD; + PORTA_WR_RD_R2 <=PORTA_WR_RD_R1; + END IF; + END IF; +END PROCESS; + +PORTA_WR_RD_HAPPENED <= PORTA_WR_RD_R2; + +PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + LATCH_PORTA_WR_RD_COMPLETE<='0'; + ELSIF(PORTA_WR_RD_COMPLETE='1') THEN + LATCH_PORTA_WR_RD_COMPLETE <='1'; + ELSIF(PORTB_WR_RD_HAPPENED='1') THEN + LATCH_PORTA_WR_RD_COMPLETE<='0'; + END IF; + END IF; +END PROCESS; + +PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + PORTA_WR_RD_L1 <='0'; + PORTA_WR_RD_L2 <='0'; + ELSE + PORTA_WR_RD_L1 <= LATCH_PORTA_WR_RD_COMPLETE; + PORTA_WR_RD_L2 <= PORTA_WR_RD_L1; + END IF; + END IF; +END PROCESS; + + +PORTB_EN: PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + PORTB_WR_RD <='0'; + ELSE + PORTB_WR_RD <= PORTA_WR_RD_L2; + END IF; + END IF; +END PROCESS; + +PROCESS(CLK) +BEGIN + IF(RISING_EDGE(CLK)) THEN + IF(RST='1') THEN + PORTB_WR_RD_R1 <='0'; + PORTB_WR_RD_R2 <='0'; + ELSE + PORTB_WR_RD_R1 <=PORTB_WR_RD; + PORTB_WR_RD_R2 <=PORTB_WR_RD_R1; + END IF; + END IF; +END PROCESS; + +---double registered of porta complete on portb clk +PORTB_WR_RD_HAPPENED <= PORTB_WR_RD_R2; + +PORTA_WR_RD_COMPLETE <= '1' when count=(WRITE_CNT_A+READ_CNT_A) else '0'; + +start_counter: process(CLK) +begin + if(rising_edge(CLK)) then + if(RST='1') then + incr_cnt <= '0'; + elsif(porta_wr_rd ='1') then + incr_cnt <='1'; + elsif(porta_wr_rd_complete='1') then + incr_cnt <='0'; + end if; + end if; +end process; + +COUNTER: process(CLK) +begin + if(rising_edge(CLK)) then + if(RST='1') then + count <= 0; + elsif(incr_cnt='1') then + count<=count+1; + end if; + if(count=(WRITE_CNT_A+READ_CNT_A)) then + count<=0; + end if; + end if; +end process; + +DO_WRITE_A<='1' when (count WRITE_CNT_A and incr_cnt='1') else '0'; + +PORTB_WR_RD_COMPLETE <= '1' when count_b=(WRITE_CNT_B+READ_CNT_B) else '0'; + +startb_counter: process(CLK) +begin + if(rising_edge(CLK)) then + if(RST='1') then + incr_cnt_b <= '0'; + elsif(portb_wr_rd ='1') then + incr_cnt_b <='1'; + elsif(portb_wr_rd_complete='1') then + incr_cnt_b <='0'; + end if; + end if; +end process; + +COUNTER_B: process(CLK) +begin + if(rising_edge(CLK)) then + if(RST='1') then + count_b <= 0; + elsif(incr_cnt_b='1') then + count_b<=count_b+1; + end if; + if(count_b=WRITE_CNT_B+READ_CNT_B) then + count_b<=0; + end if; + end if; +end process; + +DO_WRITE_B<='1' when (count_b WRITE_CNT_B and incr_cnt_b='1') else '0'; + +BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE +BEGIN + DFF_RIGHT: IF I=0 GENERATE + BEGIN + SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_DRAM + PORT MAP( + Q => DO_READ_REG_A(0), + CLK => CLK, + RST => RST, + D => DO_READ_A + ); + END GENERATE DFF_RIGHT; + DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE + BEGIN + SHIFT_INST: ENTITY work.REGISTER_LOGIC_DRAM + PORT MAP( + Q => DO_READ_REG_A(I), + CLK => CLK, + RST => RST, + D => DO_READ_REG_A(I-1) + ); + END GENERATE DFF_OTHERS; +END GENERATE BEGIN_SHIFT_REG; + +BEGIN_SHIFT_REG_B: FOR I IN 0 TO 4 GENERATE +BEGIN + DFF_RIGHT: IF I=0 GENERATE + BEGIN + SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_DRAM + PORT MAP( + Q => DO_READ_REG_B(0), + CLK => CLK, + RST => RST, + D => DO_READ_B + ); + END GENERATE DFF_RIGHT; + DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE + BEGIN + SHIFT_INST: ENTITY work.REGISTER_LOGIC_DRAM + PORT MAP( + Q => DO_READ_REG_B(I), + CLK => CLK, + RST => RST, + D => DO_READ_REG_B(I-1) + ); + END GENERATE DFF_OTHERS; +END GENERATE BEGIN_SHIFT_REG_B; + + + + WE <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; + +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_synth.vhd b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_synth.vhd new file mode 100644 index 0000000..4bde059 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/PrefetchTagRAM_tb_synth.vhd @@ -0,0 +1,301 @@ + +-------------------------------------------------------------------------------- +-- +-- DIST MEM GEN Core - Synthesizable Testbench +-- +-------------------------------------------------------------------------------- +-- +-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. + +-------------------------------------------------------------------------------- +-- +-- Filename: PrefetchTagRAM_tb_synth.vhd +-- +-- Description: +-- Synthesizable Testbench +-------------------------------------------------------------------------------- +-- Author: IP Solutions Division +-- +-- History: Sep 12, 2011 - First Release +-------------------------------------------------------------------------------- +-- +-------------------------------------------------------------------------------- +-- Library Declarations +-------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.STD_LOGIC_UNSIGNED.ALL; +USE IEEE.STD_LOGIC_ARITH.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE IEEE.STD_LOGIC_MISC.ALL; + +LIBRARY STD; +USE STD.TEXTIO.ALL; + +--LIBRARY unisim; +--USE unisim.vcomponents.ALL; + +LIBRARY work; +USE work.ALL; +USE work.PrefetchTagRAM_TB_PKG.ALL; + +ENTITY PrefetchTagRAM_tb_synth IS +GENERIC ( + C_ROM_SYNTH : INTEGER := 0 + ); +PORT( + CLK_IN : IN STD_LOGIC; + RESET_IN : IN STD_LOGIC; + STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA + ); +END PrefetchTagRAM_tb_synth; + +ARCHITECTURE PrefetchTagRAM_synth_ARCH OF PrefetchTagRAM_tb_synth IS + +COMPONENT PrefetchTagRAM_exdes + PORT ( + DPRA : IN STD_LOGIC_VECTOR(5-1 downto 0) := (OTHERS => '0'); + CLK : IN STD_LOGIC := '0'; + WE : IN STD_LOGIC := '0'; + SPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + DPO : OUT STD_LOGIC_VECTOR(22-1 downto 0); + A : IN STD_LOGIC_VECTOR(5-1-(4*0*boolean'pos(5>4)) downto 0) + := (OTHERS => '0'); + D : IN STD_LOGIC_VECTOR(22-1 downto 0) := (OTHERS => '0') + ); + +END COMPONENT; + + CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22); + + SIGNAL CLKA: STD_LOGIC := '0'; + SIGNAL RSTA: STD_LOGIC := '0'; + SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); + SIGNAL clk_in_i : STD_LOGIC; + + SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; + SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; + + SIGNAL ADDR: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ADDR_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DPRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DPRA_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); + SIGNAL WE : STD_LOGIC:='0'; + SIGNAL WE_R : STD_LOGIC:='0'; + SIGNAL SPO: STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL SPO_R: STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DPO: STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL DPO_R: STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL D: STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL D_R: STD_LOGIC_VECTOR(21 DOWNTO 0) := (OTHERS => '0'); + SIGNAL CHECK_DATA_TDP : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL CHECKER_EN_R: STD_LOGIC:='0'; + SIGNAL CHECKER_ENB_R : STD_LOGIC := '0'; + SIGNAL ITER_R0 : STD_LOGIC := '0'; + SIGNAL ITER_R1 : STD_LOGIC := '0'; + SIGNAL ITER_R2 : STD_LOGIC := '0'; + + SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); + +BEGIN + + clk_in_i <= CLK_IN; + CLKA <= clk_in_i; + RSTA <= RESET_SYNC_R3 AFTER 50 ns; + + PROCESS(clk_in_i) + BEGIN + IF(RISING_EDGE(clk_in_i)) THEN + RESET_SYNC_R1 <= RESET_IN; + RESET_SYNC_R2 <= RESET_SYNC_R1; + RESET_SYNC_R3 <= RESET_SYNC_R2; + END IF; + END PROCESS; + +PROCESS(CLKA) +BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ISSUE_FLAG_STATUS<= (OTHERS => '0'); + ELSE + ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; + END IF; + END IF; +END PROCESS; + +STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; + + PrefetchTagRAM_TB_STIM_GEN_INST:ENTITY work.PrefetchTagRAM_TB_STIM_GEN + PORT MAP( + CLK => clk_in_i, + RST => RSTA, + A => ADDR, + D => D, + DPRA => DPRA, + WE => WE, + DATA_IN => SPO_R, + DATA_IN_B => DPO_R, + CHECK_DATA => CHECK_DATA_TDP + ); + + + DMG_DATA_CHECKER_INST_A: ENTITY work.PrefetchTagRAM_TB_CHECKER + GENERIC MAP ( + WRITE_WIDTH => 22, + READ_WIDTH => 22 ) + PORT MAP ( + CLK => CLKA, + RST => RSTA, + EN => CHECKER_EN_R, + DATA_IN => SPO_R, + STATUS => ISSUE_FLAG(0) + ); + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RSTA='1') THEN + CHECKER_EN_R <= '0'; + ELSE + CHECKER_EN_R <= CHECK_DATA_TDP(0) AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + DMG_DATA_CHECKER_INST_B: ENTITY work.PrefetchTagRAM_TB_CHECKER + GENERIC MAP ( + WRITE_WIDTH => 22, + READ_WIDTH => 22 ) + PORT MAP ( + CLK => CLKA, + RST => RSTA, + EN => CHECKER_ENB_R, + DATA_IN => DPO_R, + STATUS => ISSUE_FLAG(1) + ); + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RSTA='1') THEN + CHECKER_ENB_R <= '0'; + ELSE + CHECKER_ENB_R <= CHECK_DATA_TDP(1) AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STATUS(8) <= '0'; + iter_r2 <= '0'; + iter_r1 <= '0'; + iter_r0 <= '0'; + ELSE + STATUS(8) <= iter_r2; + iter_r2 <= iter_r1; + iter_r1 <= iter_r0; + iter_r0 <= STIMULUS_FLOW(STIM_CNT); + END IF; + END IF; + END PROCESS; + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + STIMULUS_FLOW <= (OTHERS => '0'); + ELSIF(ADDR(0)='1') THEN + STIMULUS_FLOW <= STIMULUS_FLOW + 1; + END IF; + END IF; + END PROCESS; + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + DPRA_R <= (OTHERS=>'0') AFTER 50 ns; + WE_R <= '0' AFTER 50 ns; + SPO_R <= (OTHERS=>'0') AFTER 50 ns; + DPO_R <= (OTHERS=>'0') AFTER 50 ns; + D_R <= (OTHERS=>'0') AFTER 50 ns; + ELSE + DPRA_R <= DPRA AFTER 50 ns; + WE_R <= WE AFTER 50 ns; + SPO_R <= SPO AFTER 50 ns; + DPO_R <= DPO AFTER 50 ns; + D_R <= D AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + PROCESS(CLKA) + BEGIN + IF(RISING_EDGE(CLKA)) THEN + IF(RESET_SYNC_R3='1') THEN + ADDR_R <= (OTHERS=> '0') AFTER 50 ns; + ELSE + ADDR_R <= ADDR AFTER 50 ns; + END IF; + END IF; + END PROCESS; + + DMG_PORT: PrefetchTagRAM_exdes PORT MAP ( + DPRA => DPRA_R, + CLK => CLKA, + WE => WE_R, + SPO => SPO, + DPO => DPO, + A => ADDR_R, + D => D_R + + ); +END ARCHITECTURE; diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.bat b/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.bat new file mode 100644 index 0000000..8d2992e --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.bat @@ -0,0 +1,48 @@ +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.do b/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.do new file mode 100644 index 0000000..284f099 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.do @@ -0,0 +1,76 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../../PrefetchTagRAM.v +vcom -work work ../../example_design/PrefetchTagRAM_exdes.vhd + +echo "Compiling Test Bench Files" + +vcom -work work ../PrefetchTagRAM_tb_pkg.vhd +vcom -work work ../PrefetchTagRAM_tb_rng.vhd +vcom -work work ../PrefetchTagRAM_tb_dgen.vhd +vcom -work work ../PrefetchTagRAM_tb_agen.vhd +vcom -work work ../PrefetchTagRAM_tb_checker.vhd +vcom -work work ../PrefetchTagRAM_tb_stim_gen.vhd +vcom -work work ../PrefetchTagRAM_tb_synth.vhd +vcom -work work ../PrefetchTagRAM_tb.vhd + + +vlog -work work $env(XILINX)/verilog/src/glbl.v +vsim -novopt -t ps -L XilinxCoreLib_ver -L unisims_ver glbl work.PrefetchTagRAM_tb + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.sh b/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.sh new file mode 100644 index 0000000..edb1b0d --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/functional/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.bat b/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.bat new file mode 100644 index 0000000..8d2992e --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.bat @@ -0,0 +1,48 @@ +rem (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +rem +rem This file contains confidential and proprietary information +rem of Xilinx, Inc. and is protected under U.S. and +rem international copyright and other intellectual property +rem laws. +rem +rem DISCLAIMER +rem This disclaimer is not a license and does not grant any +rem rights to the materials distributed herewith. Except as +rem otherwise provided in a valid license issued to you by +rem Xilinx, and to the maximum extent permitted by applicable +rem law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +rem WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +rem AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +rem BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +rem INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +rem (2) Xilinx shall not be liable (whether in contract or tort, +rem including negligence, or under any other theory of +rem liability) for any loss or damage of any kind or nature +rem related to, arising under or in connection with these +rem materials, including for any direct, or any indirect, +rem special, incidental, or consequential loss or damage +rem (including loss of data, profits, goodwill, or any type of +rem loss or damage suffered as a result of any action brought +rem by a third party) even if such damage or loss was +rem reasonably foreseeable or Xilinx had been advised of the +rem possibility of the same. +rem +rem CRITICAL APPLICATIONS +rem Xilinx products are not designed or intended to be fail- +rem safe, or for use in any application requiring fail-safe +rem performance, such as life-support or safety devices or +rem systems, Class III medical devices, nuclear facilities, +rem applications related to the deployment of airbags, or any +rem other applications that could lead to death, personal +rem injury, or severe property or environmental damage +rem (individually and collectively, "Critical +rem Applications"). Customer assumes the sole risk and +rem liability of any use of Xilinx products in Critical +rem Applications, subject only to applicable laws and +rem regulations governing limitations on product liability. +rem +rem THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +rem PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.do b/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.do new file mode 100644 index 0000000..c56b226 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.do @@ -0,0 +1,76 @@ +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +set work work +#-------------------------------------------------------------------------------- + vlib work +vmap work work + +echo "Compiling Core Verilog UNISIM/Behavioral model" +vlog -work work ../../implement/results/routed.v + +echo "Compiling Test Bench Files" + +vcom -work work ../PrefetchTagRAM_tb_pkg.vhd +vcom -work work ../PrefetchTagRAM_tb_rng.vhd +vcom -work work ../PrefetchTagRAM_tb_dgen.vhd +vcom -work work ../PrefetchTagRAM_tb_agen.vhd +vcom -work work ../PrefetchTagRAM_tb_checker.vhd +vcom -work work ../PrefetchTagRAM_tb_stim_gen.vhd +vcom -work work ../PrefetchTagRAM_tb_synth.vhd +vcom -work work ../PrefetchTagRAM_tb.vhd + + +vlog -work work $env(XILINX)/verilog/src/glbl.v + vsim -novopt -t ps -L simprims_ver +transport_int_delays -sdftyp /PrefetchTagRAM_tb/PrefetchTagRAM_tb_synth_inst/dmg_port=../../implement/results/routed.sdf $work.PrefetchTagRAM_tb $work.glbl -novopt + +#Disabled waveform to save the disk space +add log -r /* +#Ignore integer warnings at time 0 +set StdArithNoWarnings 1 +run 0 +set StdArithNoWarnings 0 + +run -all diff --git a/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.sh b/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.sh new file mode 100644 index 0000000..edb1b0d --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM/simulation/timing/simulate_mti.sh @@ -0,0 +1,49 @@ +#!/bin/sh +# (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. +# +# This file contains confidential and proprietary information +# of Xilinx, Inc. and is protected under U.S. and +# international copyright and other intellectual property +# laws. +# +# DISCLAIMER +# This disclaimer is not a license and does not grant any +# rights to the materials distributed herewith. Except as +# otherwise provided in a valid license issued to you by +# Xilinx, and to the maximum extent permitted by applicable +# law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +# WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +# AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +# BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +# INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +# (2) Xilinx shall not be liable (whether in contract or tort, +# including negligence, or under any other theory of +# liability) for any loss or damage of any kind or nature +# related to, arising under or in connection with these +# materials, including for any direct, or any indirect, +# special, incidental, or consequential loss or damage +# (including loss of data, profits, goodwill, or any type of +# loss or damage suffered as a result of any action brought +# by a third party) even if such damage or loss was +# reasonably foreseeable or Xilinx had been advised of the +# possibility of the same. +# +# CRITICAL APPLICATIONS +# Xilinx products are not designed or intended to be fail- +# safe, or for use in any application requiring fail-safe +# performance, such as life-support or safety devices or +# systems, Class III medical devices, nuclear facilities, +# applications related to the deployment of airbags, or any +# other applications that could lead to death, personal +# injury, or severe property or environmental damage +# (individually and collectively, "Critical +# Applications"). Customer assumes the sole risk and +# liability of any use of Xilinx products in Critical +# Applications, subject only to applicable laws and +# regulations governing limitations on product liability. +# +# THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +# PART OF THIS FILE AT ALL TIMES. +#-------------------------------------------------------------------------------- + +vsim -c -do simulate_mti.do diff --git a/fpga/ipcore_dir/PrefetchTagRAM_flist.txt b/fpga/ipcore_dir/PrefetchTagRAM_flist.txt new file mode 100644 index 0000000..a82bfe3 --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM_flist.txt @@ -0,0 +1,42 @@ +# Output products list for +PrefetchTagRAM.asy +PrefetchTagRAM.gise +PrefetchTagRAM.ngc +PrefetchTagRAM.sym +PrefetchTagRAM.v +PrefetchTagRAM.veo +PrefetchTagRAM.xco +PrefetchTagRAM.xise +PrefetchTagRAM\dist_mem_gen_v7_2_readme.txt +PrefetchTagRAM\doc\dist_mem_gen_v7_2_vinfo.html +PrefetchTagRAM\doc\pg063-dist-mem-gen.pdf +PrefetchTagRAM\example_design\PrefetchTagRAM_exdes.ucf +PrefetchTagRAM\example_design\PrefetchTagRAM_exdes.vhd +PrefetchTagRAM\example_design\PrefetchTagRAM_exdes.xdc +PrefetchTagRAM\example_design\PrefetchTagRAM_prod_exdes.vhd +PrefetchTagRAM\implement\implement.bat +PrefetchTagRAM\implement\implement.sh +PrefetchTagRAM\implement\implement_synplify.bat +PrefetchTagRAM\implement\implement_synplify.sh +PrefetchTagRAM\implement\planAhead_ise.bat +PrefetchTagRAM\implement\planAhead_ise.sh +PrefetchTagRAM\implement\planAhead_ise.tcl +PrefetchTagRAM\implement\xst.prj +PrefetchTagRAM\implement\xst.scr +PrefetchTagRAM\simulation\PrefetchTagRAM_tb.vhd +PrefetchTagRAM\simulation\PrefetchTagRAM_tb_agen.vhd +PrefetchTagRAM\simulation\PrefetchTagRAM_tb_checker.vhd +PrefetchTagRAM\simulation\PrefetchTagRAM_tb_dgen.vhd +PrefetchTagRAM\simulation\PrefetchTagRAM_tb_pkg.vhd +PrefetchTagRAM\simulation\PrefetchTagRAM_tb_rng.vhd +PrefetchTagRAM\simulation\PrefetchTagRAM_tb_stim_gen.vhd +PrefetchTagRAM\simulation\PrefetchTagRAM_tb_synth.vhd +PrefetchTagRAM\simulation\functional\simulate_mti.bat +PrefetchTagRAM\simulation\functional\simulate_mti.do +PrefetchTagRAM\simulation\functional\simulate_mti.sh +PrefetchTagRAM\simulation\timing\simulate_mti.bat +PrefetchTagRAM\simulation\timing\simulate_mti.do +PrefetchTagRAM\simulation\timing\simulate_mti.sh +PrefetchTagRAM_flist.txt +PrefetchTagRAM_xmdf.tcl +_xmsgs\pn_parser.xmsgs diff --git a/fpga/ipcore_dir/PrefetchTagRAM_xmdf.tcl b/fpga/ipcore_dir/PrefetchTagRAM_xmdf.tcl new file mode 100644 index 0000000..0f5fc4c --- /dev/null +++ b/fpga/ipcore_dir/PrefetchTagRAM_xmdf.tcl @@ -0,0 +1,191 @@ +# The package naming convention is _xmdf +package provide PrefetchTagRAM_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::PrefetchTagRAM_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::PrefetchTagRAM_xmdf::xmdfInit { instance } { +# Variable containing name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name PrefetchTagRAM +} +# ::PrefetchTagRAM_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::PrefetchTagRAM_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/dist_mem_gen_v7_2_readme.txt +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/doc/dist_mem_gen_v7_2_vinfo.html +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/doc/pg063-dist-mem-gen.pdf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.ucf +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/example_design/PrefetchTagRAM_exdes.xdc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/example_design/PrefetchTagRAM_prod_exdes.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/implement.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/implement.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/implement_synplify.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/implement_synplify.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/planAhead_ise.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/planAhead_ise.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/planAhead_ise.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/xst.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/implement/xst.scr +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb_agen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb_checker.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb_dgen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb_pkg.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb_rng.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb_stim_gen.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/PrefetchTagRAM_tb_synth.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/functional/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/functional/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/functional/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/timing/simulate_mti.bat +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/timing/simulate_mti.do +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM/simulation/timing/simulate_mti.sh +utilities_xmdf::xmdfSetData $instance FileSet $fcount type Ignore +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM.asy +utilities_xmdf::xmdfSetData $instance FileSet $fcount type asy +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM.sym +utilities_xmdf::xmdfSetData $instance FileSet $fcount type symbol +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM.v +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM.veo +utilities_xmdf::xmdfSetData $instance FileSet $fcount type verilog_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path PrefetchTagRAM_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module PrefetchTagRAM +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/fpga/ipcore_dir/_xmsgs/cg.xmsgs b/fpga/ipcore_dir/_xmsgs/cg.xmsgs index ff7e1b9..eaaae9a 100644 --- a/fpga/ipcore_dir/_xmsgs/cg.xmsgs +++ b/fpga/ipcore_dir/_xmsgs/cg.xmsgs @@ -8,13 +8,16 @@ Generating IP... -A core named 'CLK' already exists in the project. Output products for this core may be overwritten. +A core named 'PrefetchTagRAM' already exists in the project. Output products for this core may be overwritten. -A core named 'CLK' already exists in the project. Output products for this core may be overwritten. +A core named 'PrefetchTagRAM' already exists in the project. Output products for this core may be overwritten. -Component clk_wiz_v3_6 does not have a valid model name for Verilog synthesis +Pre-processing HDL files for 'PrefetchTagRAM'... + + +Overwriting existing file C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM/doc/dist_mem_gen_v7_2_vinfo.html with file from view xilinx_documentation Finished generation of ASY schematic symbol. diff --git a/fpga/ipcore_dir/_xmsgs/pn_parser.xmsgs b/fpga/ipcore_dir/_xmsgs/pn_parser.xmsgs index d66d577..1a5f4a5 100644 --- a/fpga/ipcore_dir/_xmsgs/pn_parser.xmsgs +++ b/fpga/ipcore_dir/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ -Analyzing Verilog file "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/CLK.v" into library work +Analyzing Verilog file "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/PrefetchTagRAM.v" into library work diff --git a/fpga/ipcore_dir/coregen.log b/fpga/ipcore_dir/coregen.log index 3f47a42..a6be0e2 100644 --- a/fpga/ipcore_dir/coregen.log +++ b/fpga/ipcore_dir/coregen.log @@ -1,49 +1,67 @@ INFO:sim:172 - Generating IP... Applying current project options... Finished applying current project options. -WARNING:sim - A core named 'CLK' already exists in the project. Output products - for this core may be overwritten. -Resolving generics for 'CLK'... -WARNING:sim - A core named 'CLK' already exists in the project. Output products - for this core may be overwritten. -Applying external generics to 'CLK'... -Delivering associated files for 'CLK'... -WARNING:sim - Component clk_wiz_v3_6 does not have a valid model name for - Verilog synthesis -Delivering EJava files for 'CLK'... -Delivered 3 files into directory -C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/CLK -Delivered 1 file into directory -C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/CLK +WARNING:sim - A core named 'PrefetchTagRAM' already exists in the project. + Output products for this core may be overwritten. +Resolving generics for 'PrefetchTagRAM'... +WARNING:sim - A core named 'PrefetchTagRAM' already exists in the project. + Output products for this core may be overwritten. +Applying external generics to 'PrefetchTagRAM'... +Delivering associated files for 'PrefetchTagRAM'... +Delivering EJava files for 'PrefetchTagRAM'... +Generating implementation netlist for 'PrefetchTagRAM'... +INFO:sim - Pre-processing HDL files for 'PrefetchTagRAM'... +Running synthesis for 'PrefetchTagRAM' +Running ngcbuild... +Writing VEO instantiation template for 'PrefetchTagRAM'... +Writing Verilog behavioral simulation model for 'PrefetchTagRAM'... +WARNING:sim - Overwriting existing file + C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM/ + doc/dist_mem_gen_v7_2_vinfo.html with file from view xilinx_documentation +Delivered 2 files into directory +C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM Generating ASY schematic symbol... -Loading device for application Rf_Device from file '6slx9.nph' in environment -C:\Xilinx\14.7\ISE_DS\ISE\. INFO:sim:949 - Finished generation of ASY schematic symbol. -Generating SYM schematic symbol for 'CLK'... +Generating SYM schematic symbol for 'PrefetchTagRAM'... +Generating metadata file... +Regenerating ISE project file for 'PrefetchTagRAM'... Generating ISE project... -XCO file found: CLK.xco -XMDF file found: CLK_xmdf.tcl -Adding C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/CLK.asy +XCO file found: PrefetchTagRAM.xco +XMDF file found: PrefetchTagRAM_xmdf.tcl +Adding +C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM.asy -view all -origin_type imported -Adding C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/CLK.ucf +Adding +C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM.ngc -view all -origin_type created -Adding C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/CLK.v +Checking file +"C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM.ng +c" for project device match ... +File +"C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM.ng +c" device information matches project device. +Adding +C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM.sym +-view all -origin_type imported +Adding +C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM.v -view all -origin_type created INFO:HDLCompiler:1845 - Analyzing Verilog file - "C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/CLK.v" into - library work + "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM + .v" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. -Adding C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/CLK.veo +Adding +C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/PrefetchTagRAM.veo -view all -origin_type imported INFO:TclTasksC:2116 - The automatic calculation of top has been turned-off. Please set the new top explicitly by running the "project set top" command. To re-calculate the new top automatically, set the "Auto Implementation Top" property to true. -Top level has been set to "/CLK" +Top level has been set to "/PrefetchTagRAM" Generating README file... Generating FLIST file... INFO:sim:948 - Finished FLIST file generation. Launching README viewer... Moving files to output directory... Finished moving files to output directory -Wrote CGP file for project 'CLK'. +Wrote CGP file for project 'PrefetchTagRAM'. diff --git a/fpga/ipcore_dir/create_PLL.tcl b/fpga/ipcore_dir/create_PLL.tcl new file mode 100644 index 0000000..7c6c434 --- /dev/null +++ b/fpga/ipcore_dir/create_PLL.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:clk_wiz:3.6" "PLL" "Clocking Wizard" "Clocking Wizard (xilinx.com:ip:clk_wiz:3.6) generated by Project Navigator" xc6slx9-2ftg256 Verilog ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result diff --git a/fpga/ipcore_dir/create_PrefetchDataRAM.tcl b/fpga/ipcore_dir/create_PrefetchDataRAM.tcl new file mode 100644 index 0000000..965c135 --- /dev/null +++ b/fpga/ipcore_dir/create_PrefetchDataRAM.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:blk_mem_gen:7.3" "PrefetchDataRAM" "Block Memory Generator" "Block Memory Generator (xilinx.com:ip:blk_mem_gen:7.3) generated by Project Navigator" xc6slx9-2ftg256 Verilog ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result diff --git a/fpga/ipcore_dir/create_PrefetchTagRAM.tcl b/fpga/ipcore_dir/create_PrefetchTagRAM.tcl new file mode 100644 index 0000000..2da63f0 --- /dev/null +++ b/fpga/ipcore_dir/create_PrefetchTagRAM.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator create command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_create "xilinx.com:ip:dist_mem_gen:7.2" "PrefetchTagRAM" "Distributed Memory Generator" "Distributed Memory Generator (xilinx.com:ip:dist_mem_gen:7.2) generated by Project Navigator" xc6slx9-2ftg256 Verilog ] + +if { $result == 0 } { + puts "Core Generator create command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator create command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator create cancelled." +} +exit $result diff --git a/fpga/ipcore_dir/edit_PLL.tcl b/fpga/ipcore_dir/edit_PLL.tcl new file mode 100644 index 0000000..1358aa9 --- /dev/null +++ b/fpga/ipcore_dir/edit_PLL.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator edit command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_edit "PLL" xc6slx9-2ftg256 Verilog ] + +if { $result == 0 } { + puts "Core Generator edit command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator edit command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator edit cancelled." +} +exit $result diff --git a/fpga/ipcore_dir/edit_PrefetchDataRAM.tcl b/fpga/ipcore_dir/edit_PrefetchDataRAM.tcl new file mode 100644 index 0000000..f2d86d0 --- /dev/null +++ b/fpga/ipcore_dir/edit_PrefetchDataRAM.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator edit command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_edit "PrefetchDataRAM" xc6slx9-2ftg256 Verilog ] + +if { $result == 0 } { + puts "Core Generator edit command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator edit command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator edit cancelled." +} +exit $result diff --git a/fpga/ipcore_dir/edit_PrefetchTagRAM.tcl b/fpga/ipcore_dir/edit_PrefetchTagRAM.tcl new file mode 100644 index 0000000..1e49177 --- /dev/null +++ b/fpga/ipcore_dir/edit_PrefetchTagRAM.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator edit command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_edit "PrefetchTagRAM" xc6slx9-2ftg256 Verilog ] + +if { $result == 0 } { + puts "Core Generator edit command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator edit command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator edit cancelled." +} +exit $result diff --git a/fpga/ipcore_dir/summary.log b/fpga/ipcore_dir/summary.log new file mode 100644 index 0000000..4a8dbad --- /dev/null +++ b/fpga/ipcore_dir/summary.log @@ -0,0 +1,19 @@ + +User Configuration +------------------------------------- +Algorithm : Minimum_Area +Memory Type : Simple_Dual_Port_RAM +Port A Write Width : 32 +Port B Read Width : 32 +Memory Depth : 128 +-------------------------------------------------------------- + +Block RAM resource(s) (9K BRAMs) : 1 +Block RAM resource(s) (18K BRAMs) : 0 +-------------------------------------------------------------- +Clock A Frequency : 100 +Port A Enable Rate : 100 +Port A Write Rate : 50 +---------------------------------------------------------- +Estimated Power for IP : 2.910696 mW +---------------------------------------------------------- diff --git a/fpga/ipcore_dir/tmp/PrefetchDataRAM.lso b/fpga/ipcore_dir/tmp/PrefetchDataRAM.lso new file mode 100644 index 0000000..b8f99f5 --- /dev/null +++ b/fpga/ipcore_dir/tmp/PrefetchDataRAM.lso @@ -0,0 +1 @@ +work diff --git a/fpga/ipcore_dir/tmp/PrefetchTagRAM.lso b/fpga/ipcore_dir/tmp/PrefetchTagRAM.lso new file mode 100644 index 0000000..b8f99f5 --- /dev/null +++ b/fpga/ipcore_dir/tmp/PrefetchTagRAM.lso @@ -0,0 +1 @@ +work diff --git a/fpga/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs b/fpga/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs index b74367f..6e78afb 100644 --- a/fpga/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs +++ b/fpga/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-LC/fpga/ipcore_dir/CLK.v" into library work +Analyzing Verilog file "C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/PLL.v" into library work diff --git a/fpga/ipcore_dir/tmp/_xmsgs/xst.xmsgs b/fpga/ipcore_dir/tmp/_xmsgs/xst.xmsgs new file mode 100644 index 0000000..bc13429 --- /dev/null +++ b/fpga/ipcore_dir/tmp/_xmsgs/xst.xmsgs @@ -0,0 +1,105 @@ + + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\tmp\_cg\_dbg\dist_mem_gen_v7_2\dist_mem_gen_v7_2.vhd" Line 142: Comparison between arrays of unequal length always returns FALSE. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\tmp\_cg\_dbg\dist_mem_gen_v7_2\dist_mem_gen_v7_2_xst.vhd" Line 164: Assignment to gnd_bus ignored, since the identifier is never used + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\tmp\_cg\_dbg\dist_mem_gen_v7_2\dist_mem_gen_v7_2.vhd" Line 164: Net <gnd> does not have a driver. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\tmp\_cg\_dbg\dist_mem_gen_v7_2\dist_mem_gen_v7_2.vhd" Line 165: Net <gnd_bus[4]> does not have a driver. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\tmp\_cg\_dbg\dist_mem_gen_v7_2\dist_mem_gen_v7_2.vhd" Line 166: Net <vcc> does not have a driver. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\tmp\_cg\_dbg\PrefetchTagRAM.vhd" line 121: Output port <qspo> of the instance <U0> is unconnected or connected to loadless signal. + + +"C:\Users\Dog\Documents\GitHub\Warp-LC\fpga\ipcore_dir\tmp\_cg\_dbg\PrefetchTagRAM.vhd" line 121: Output port <qdpo> of the instance <U0> is unconnected or connected to loadless signal. + + +Input <spra> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <i_ce> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qspo_ce> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_ce> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qspo_rst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_rst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qspo_srst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_srst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal <gnd_bus<4:0>> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <gnd> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <vcc> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Input <spra> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <i_ce> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qspo_ce> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qspo_rst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qspo_srst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_ce> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_rst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Input <qdpo_srst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + + +Signal <qspo> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +Signal <qdpo> is used but never assigned. This sourceless signal will be automatically connected to value GND. + + +HDL ADVISOR - LUT implementation is currently selected for the RAM <Mram_ram>. If you want the register to be removed and the RAM to be implemented as block RAM, please change the RAM implementation style accordingly. + + +HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. + + + + diff --git a/fpga/ipcore_dir/tmp/customization_gui.0.701401314093.out b/fpga/ipcore_dir/tmp/customization_gui.0.701401314093.out new file mode 100644 index 0000000..c18b30d --- /dev/null +++ b/fpga/ipcore_dir/tmp/customization_gui.0.701401314093.out @@ -0,0 +1,505 @@ +SET_FLAG DEBUG FALSE +SET_FLAG MODE BATCH +SET_FLAG STANDALONE_MODE FALSE +SET_PREFERENCE devicefamily spartan6 +SET_PREFERENCE device xc6slx9 +SET_PREFERENCE speedgrade -2 +SET_PREFERENCE package ftg256 +SET_PREFERENCE verilogsim true +SET_PREFERENCE vhdlsim false +SET_PREFERENCE simulationfiles Behavioral +SET_PREFERENCE busformat BusFormatAngleBracketNotRipped +SET_PREFERENCE outputdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/ +SET_PREFERENCE workingdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/ +SET_PREFERENCE subworkingdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/ +SET_PREFERENCE transientdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/_dbg/ +SET_PREFERENCE designentry Verilog +SET_PREFERENCE flowvendor Other +SET_PREFERENCE addpads false +SET_PREFERENCE projectname coregen +SET_PREFERENCE formalverification false +SET_PREFERENCE asysymbol false +SET_PREFERENCE implementationfiletype Ngc +SET_PREFERENCE foundationsym false +SET_PREFERENCE createndf false +SET_PREFERENCE removerpms false +SET_PARAMETER Component_Name PLL +SET_PARAMETER Use_Freq_Synth true +SET_PARAMETER Use_Phase_Alignment true +SET_PARAMETER Use_Min_Power false +SET_PARAMETER Use_Dyn_Phase_Shift false +SET_PARAMETER Use_Dyn_Reconfig false +SET_PARAMETER Jitter_Sel Min_O_Jitter +SET_PARAMETER Use_Spread_Spectrum false +SET_PARAMETER Use_Spread_Spectrum_1 false +SET_PARAMETER Prim_In_Freq 33.3333 +SET_PARAMETER In_Freq_Units Units_MHz +SET_PARAMETER In_Jitter_Units Units_UI +SET_PARAMETER Relative_Inclk REL_PRIMARY +SET_PARAMETER Secondary_In_Freq 100.000 +SET_PARAMETER Jitter_Options UI +SET_PARAMETER Clkin1_UI_Jitter 0.010 +SET_PARAMETER Clkin2_UI_Jitter 0.010 +SET_PARAMETER Prim_In_Jitter 0.010 +SET_PARAMETER Secondary_In_Jitter 0.010 +SET_PARAMETER Clkin1_Jitter_Ps 300.0 +SET_PARAMETER Clkin2_Jitter_Ps 100.0 +SET_PARAMETER Clkout2_Used false +SET_PARAMETER Clkout3_Used false +SET_PARAMETER Clkout4_Used false +SET_PARAMETER Clkout5_Used false +SET_PARAMETER Clkout6_Used false +SET_PARAMETER Clkout7_Used false +SET_PARAMETER Num_Out_Clks 1 +SET_PARAMETER Clk_Out1_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out2_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out3_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out4_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out5_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out6_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out7_Use_Fine_Ps_GUI false +SET_PARAMETER primary_port CLKIN +SET_PARAMETER CLK_OUT1_port FSBCLK +SET_PARAMETER CLK_OUT2_port CLK_OUT2 +SET_PARAMETER CLK_OUT3_port CLK_OUT3 +SET_PARAMETER CLK_OUT4_port CLK_OUT4 +SET_PARAMETER CLK_OUT5_port CLK_OUT5 +SET_PARAMETER CLK_OUT6_port CLK_OUT6 +SET_PARAMETER CLK_OUT7_port CLK_OUT7 +SET_PARAMETER DADDR_port DADDR +SET_PARAMETER DCLK_port DCLK +SET_PARAMETER DRDY_port DRDY +SET_PARAMETER DWE_port DWE +SET_PARAMETER DIN_port DIN +SET_PARAMETER DOUT_port DOUT +SET_PARAMETER DEN_port DEN +SET_PARAMETER PSCLK_port PSCLK +SET_PARAMETER PSEN_port PSEN +SET_PARAMETER PSINCDEC_port PSINCDEC +SET_PARAMETER PSDONE_port PSDONE +SET_PARAMETER Clkout1_Requested_Out_Freq 66.667 +SET_PARAMETER Clkout1_Requested_Phase 0.000 +SET_PARAMETER Clkout1_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout2_Requested_Out_Freq 33.333 +SET_PARAMETER Clkout2_Requested_Phase 0.000 +SET_PARAMETER Clkout2_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout3_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout3_Requested_Phase 0.000 +SET_PARAMETER Clkout3_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout4_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout4_Requested_Phase 0.000 +SET_PARAMETER Clkout4_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout5_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout5_Requested_Phase 0.000 +SET_PARAMETER Clkout5_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout6_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout6_Requested_Phase 0.000 +SET_PARAMETER Clkout6_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout7_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout7_Requested_Phase 0.000 +SET_PARAMETER Clkout7_Requested_Duty_Cycle 50.0 +SET_PARAMETER Use_Max_I_Jitter false +SET_PARAMETER Use_Min_O_Jitter false +SET_PARAMETER Prim_Source Single_ended_clock_capable_pin +SET_PARAMETER Use_Inclk_Switchover false +SET_PARAMETER secondary_port CLK_IN2 +SET_PARAMETER Secondary_Source Single_ended_clock_capable_pin +SET_PARAMETER Clkout1_Drives BUFG +SET_PARAMETER Clkout2_Drives BUFG +SET_PARAMETER Clkout3_Drives BUFG +SET_PARAMETER Clkout4_Drives BUFG +SET_PARAMETER Clkout5_Drives BUFG +SET_PARAMETER Clkout6_Drives BUFG +SET_PARAMETER Clkout7_Drives BUFG +SET_PARAMETER Feedback_Source FDBK_AUTO_OFFCHIP +SET_PARAMETER Clkfb_In_Signaling SINGLE +SET_PARAMETER CLKFB_IN_port CLKFB_IN +SET_PARAMETER CLKFB_IN_P_port CLKFB_IN_P +SET_PARAMETER CLKFB_IN_N_port CLKFB_IN_N +SET_PARAMETER CLKFB_OUT_port CLKFB_OUT +SET_PARAMETER CLKFB_OUT_P_port CLKFB_OUT_P +SET_PARAMETER CLKFB_OUT_N_port CLKFB_OUT_N +SET_PARAMETER Platform nt +SET_PARAMETER Summary_Strings empty +SET_PARAMETER Use_Locked true +SET_PARAMETER calc_done DONE +SET_PARAMETER Use_Reset false +SET_PARAMETER Use_Power_Down false +SET_PARAMETER Use_Status false +SET_PARAMETER Use_Freeze false +SET_PARAMETER Use_Clk_Valid false +SET_PARAMETER Use_Inclk_Stopped false +SET_PARAMETER Use_Clkfb_Stopped false +SET_PARAMETER RESET_port RESET +SET_PARAMETER LOCKED_port LOCKED +SET_PARAMETER Power_Down_port POWER_DOWN +SET_PARAMETER CLK_VALID_port CLK_VALID +SET_PARAMETER STATUS_port STATUS +SET_PARAMETER CLK_IN_SEL_port CLK_IN_SEL +SET_PARAMETER INPUT_CLK_STOPPED_port INPUT_CLK_STOPPED +SET_PARAMETER CLKFB_STOPPED_port CLKFB_STOPPED +SET_PARAMETER Override_Mmcm false +SET_PARAMETER Mmcm_Notes None +SET_PARAMETER Mmcm_Divclk_Divide 1 +SET_PARAMETER Mmcm_Bandwidth HIGH +SET_PARAMETER Mmcm_Clkfbout_Mult_F 4.000 +SET_PARAMETER Mmcm_Clkfbout_Phase 0.000 +SET_PARAMETER Mmcm_Clkfbout_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkin1_Period 10.000 +SET_PARAMETER Mmcm_Clkin2_Period 10.000 +SET_PARAMETER Mmcm_Clkout4_Cascade false +SET_PARAMETER Mmcm_Clock_Hold false +SET_PARAMETER Mmcm_Compensation ZHOLD +SET_PARAMETER Mmcm_Ref_Jitter1 0.010 +SET_PARAMETER Mmcm_Ref_Jitter2 0.010 +SET_PARAMETER Mmcm_Startup_Wait false +SET_PARAMETER Mmcm_Clkout0_Divide_F 4.000 +SET_PARAMETER Mmcm_Clkout0_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout0_Phase 0.000 +SET_PARAMETER Mmcm_Clkout0_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout1_Divide 1 +SET_PARAMETER Mmcm_Clkout1_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout1_Phase 0.000 +SET_PARAMETER Mmcm_Clkout1_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout2_Divide 1 +SET_PARAMETER Mmcm_Clkout2_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout2_Phase 0.000 +SET_PARAMETER Mmcm_Clkout2_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout3_Divide 1 +SET_PARAMETER Mmcm_Clkout3_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout3_Phase 0.000 +SET_PARAMETER Mmcm_Clkout3_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout4_Divide 1 +SET_PARAMETER Mmcm_Clkout4_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout4_Phase 0.000 +SET_PARAMETER Mmcm_Clkout4_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout5_Divide 1 +SET_PARAMETER Mmcm_Clkout5_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout5_Phase 0.000 +SET_PARAMETER Mmcm_Clkout5_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout6_Divide 1 +SET_PARAMETER Mmcm_Clkout6_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout6_Phase 0.000 +SET_PARAMETER Mmcm_Clkout6_Use_Fine_Ps false +SET_PARAMETER Override_Dcm false +SET_PARAMETER Dcm_Notes None +SET_PARAMETER Dcm_Clkdv_Divide 2.0 +SET_PARAMETER Dcm_Clkfx_Divide 1 +SET_PARAMETER Dcm_Clkfx_Multiply 4 +SET_PARAMETER Dcm_Clkin_Divide_By_2 false +SET_PARAMETER Dcm_Clkin_Period 30.000 +SET_PARAMETER Dcm_Clkout_Phase_Shift NONE +SET_PARAMETER Dcm_Deskew_Adjust SYSTEM_SYNCHRONOUS +SET_PARAMETER Dcm_Phase_Shift 0 +SET_PARAMETER Dcm_Clk_Feedback 2X +SET_PARAMETER Dcm_Startup_Wait false +SET_PARAMETER Dcm_Clk_Out1_Port CLK2X +SET_PARAMETER Dcm_Clk_Out2_Port CLK0 +SET_PARAMETER Dcm_Clk_Out3_Port CLKFX +SET_PARAMETER Dcm_Clk_Out4_Port CLK0 +SET_PARAMETER Dcm_Clk_Out5_Port CLK0 +SET_PARAMETER Dcm_Clk_Out6_Port CLK0 +SET_PARAMETER Override_Dcm_Clkgen false +SET_PARAMETER Dcm_Clkgen_Notes None +SET_PARAMETER Dcm_Clkgen_Clkfx_Divide 1 +SET_PARAMETER Dcm_Clkgen_Clkfx_Multiply 4 +SET_PARAMETER Dcm_Clkgen_Clkfxdv_Divide 2 +SET_PARAMETER Dcm_Clkgen_Clkfx_Md_Max 0.000 +SET_PARAMETER Dcm_Clkgen_Startup_Wait false +SET_PARAMETER Dcm_Clkgen_Clkin_Period 10.000 +SET_PARAMETER Dcm_Clkgen_Spread_Spectrum NONE +SET_PARAMETER Dcm_Clkgen_Clk_Out1_Port CLKFX +SET_PARAMETER Dcm_Clkgen_Clk_Out2_Port CLKFX +SET_PARAMETER Dcm_Clkgen_Clk_Out3_Port CLKFX +SET_PARAMETER Override_Pll false +SET_PARAMETER Pll_Notes None +SET_PARAMETER Pll_Bandwidth HIGH +SET_PARAMETER Pll_Clkfbout_Mult 28 +SET_PARAMETER Pll_Clkfbout_Phase 0.000 +SET_PARAMETER Pll_Clk_Feedback CLKFBOUT +SET_PARAMETER Pll_Divclk_Divide 1 +SET_PARAMETER Pll_Clkin_Period 30.000 +SET_PARAMETER Pll_Compensation EXTERNAL +SET_PARAMETER Pll_Ref_Jitter 0.010 +SET_PARAMETER Pll_Clkout0_Divide 14 +SET_PARAMETER Pll_Clkout0_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout0_Phase 0.000 +SET_PARAMETER Pll_Clkout1_Divide 12 +SET_PARAMETER Pll_Clkout1_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout1_Phase 0.000 +SET_PARAMETER Pll_Clkout2_Divide 4 +SET_PARAMETER Pll_Clkout2_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout2_Phase 0.000 +SET_PARAMETER Pll_Clkout3_Divide 1 +SET_PARAMETER Pll_Clkout3_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout3_Phase 0.000 +SET_PARAMETER Pll_Clkout4_Divide 1 +SET_PARAMETER Pll_Clkout4_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout4_Phase 0.000 +SET_PARAMETER Pll_Clkout5_Divide 1 +SET_PARAMETER Pll_Clkout5_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout5_Phase 0.000 +SET_PARAMETER dcm_pll_cascade NONE +SET_PARAMETER clock_mgr_type MANUAL +SET_PARAMETER primtype_sel PLL_BASE +SET_PARAMETER primitive MMCM +SET_PARAMETER SS_Mode CENTER_HIGH +SET_PARAMETER SS_Mod_Freq 250 +SET_SIM_PARAMETER c_clkout2_used 0 +SET_SIM_PARAMETER c_clkout3_used 0 +SET_SIM_PARAMETER c_clkout4_used 0 +SET_SIM_PARAMETER c_clkout5_used 0 +SET_SIM_PARAMETER c_clkout6_used 0 +SET_SIM_PARAMETER c_clkout7_used 0 +SET_SIM_PARAMETER c_use_clkout1_bar 0 +SET_SIM_PARAMETER c_use_clkout2_bar 0 +SET_SIM_PARAMETER c_use_clkout3_bar 0 +SET_SIM_PARAMETER c_use_clkout4_bar 0 +SET_SIM_PARAMETER c_component_name PLL +SET_SIM_PARAMETER c_platform nt +SET_SIM_PARAMETER c_use_freq_synth 1 +SET_SIM_PARAMETER c_use_phase_alignment 1 +SET_SIM_PARAMETER c_prim_in_jitter 0.010 +SET_SIM_PARAMETER c_secondary_in_jitter 0.010 +SET_SIM_PARAMETER c_jitter_sel Min_O_Jitter +SET_SIM_PARAMETER c_use_min_power 0 +SET_SIM_PARAMETER c_use_min_o_jitter 1 +SET_SIM_PARAMETER c_use_max_i_jitter 0 +SET_SIM_PARAMETER c_use_dyn_phase_shift 0 +SET_SIM_PARAMETER c_use_inclk_switchover 0 +SET_SIM_PARAMETER c_use_dyn_reconfig 0 +SET_SIM_PARAMETER c_use_spread_spectrum 0 +SET_SIM_PARAMETER c_use_spread_spectrum_1 0 +SET_SIM_PARAMETER c_primtype_sel PLL_BASE +SET_SIM_PARAMETER c_use_clk_valid 0 +SET_SIM_PARAMETER c_prim_in_freq 33.3333 +SET_SIM_PARAMETER c_in_freq_units Units_MHz +SET_SIM_PARAMETER c_secondary_in_freq 100.000 +SET_SIM_PARAMETER c_feedback_source FDBK_AUTO_OFFCHIP +SET_SIM_PARAMETER c_prim_source Single_ended_clock_capable_pin +SET_SIM_PARAMETER c_secondary_source Single_ended_clock_capable_pin +SET_SIM_PARAMETER c_clkfb_in_signaling SINGLE +SET_SIM_PARAMETER c_use_reset 0 +SET_SIM_PARAMETER c_use_locked 1 +SET_SIM_PARAMETER c_use_inclk_stopped 0 +SET_SIM_PARAMETER c_use_clkfb_stopped 0 +SET_SIM_PARAMETER c_use_power_down 0 +SET_SIM_PARAMETER c_use_status 0 +SET_SIM_PARAMETER c_use_freeze 0 +SET_SIM_PARAMETER c_num_out_clks 1 +SET_SIM_PARAMETER c_clkout1_drives BUFG +SET_SIM_PARAMETER c_clkout2_drives BUFG +SET_SIM_PARAMETER c_clkout3_drives BUFG +SET_SIM_PARAMETER c_clkout4_drives BUFG +SET_SIM_PARAMETER c_clkout5_drives BUFG +SET_SIM_PARAMETER c_clkout6_drives BUFG +SET_SIM_PARAMETER c_clkout7_drives BUFG +SET_SIM_PARAMETER c_inclk_sum_row0 "Input Clock Freq (MHz) Input Jitter (UI)" +SET_SIM_PARAMETER c_inclk_sum_row1 __primary_________33.3333____________0.010 +SET_SIM_PARAMETER c_inclk_sum_row2 no_secondary_input_clock +SET_SIM_PARAMETER c_outclk_sum_row0a "Output Output Phase Duty Pk-to-Pk Phase" +SET_SIM_PARAMETER c_outclk_sum_row0b "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +SET_SIM_PARAMETER c_outclk_sum_row1 CLK_OUT1____66.667______0.000______50.0______252.559____182.342 +SET_SIM_PARAMETER c_outclk_sum_row2 no_CLK_OUT2_output +SET_SIM_PARAMETER c_outclk_sum_row3 no_CLK_OUT3_output +SET_SIM_PARAMETER c_outclk_sum_row4 no_CLK_OUT4_output +SET_SIM_PARAMETER c_outclk_sum_row5 no_CLK_OUT5_output +SET_SIM_PARAMETER c_outclk_sum_row6 no_CLK_OUT6_output +SET_SIM_PARAMETER c_outclk_sum_row7 no_CLK_OUT7_output +SET_SIM_PARAMETER c_clkout1_requested_out_freq 66.667 +SET_SIM_PARAMETER c_clkout2_requested_out_freq 33.333 +SET_SIM_PARAMETER c_clkout3_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout4_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout5_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout6_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout7_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout1_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout2_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout3_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout4_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout5_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout6_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout7_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout1_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout2_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout3_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout4_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout5_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout6_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout7_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout1_out_freq 66.667 +SET_SIM_PARAMETER c_clkout2_out_freq N/A +SET_SIM_PARAMETER c_clkout3_out_freq N/A +SET_SIM_PARAMETER c_clkout4_out_freq N/A +SET_SIM_PARAMETER c_clkout5_out_freq N/A +SET_SIM_PARAMETER c_clkout6_out_freq N/A +SET_SIM_PARAMETER c_clkout7_out_freq N/A +SET_SIM_PARAMETER c_clkout1_phase 0.000 +SET_SIM_PARAMETER c_clkout2_phase N/A +SET_SIM_PARAMETER c_clkout3_phase N/A +SET_SIM_PARAMETER c_clkout4_phase N/A +SET_SIM_PARAMETER c_clkout5_phase N/A +SET_SIM_PARAMETER c_clkout6_phase N/A +SET_SIM_PARAMETER c_clkout7_phase N/A +SET_SIM_PARAMETER c_clkout1_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout2_duty_cycle N/A +SET_SIM_PARAMETER c_clkout3_duty_cycle N/A +SET_SIM_PARAMETER c_clkout4_duty_cycle N/A +SET_SIM_PARAMETER c_clkout5_duty_cycle N/A +SET_SIM_PARAMETER c_clkout6_duty_cycle N/A +SET_SIM_PARAMETER c_clkout7_duty_cycle N/A +SET_SIM_PARAMETER c_mmcm_notes None +SET_SIM_PARAMETER c_mmcm_bandwidth HIGH +SET_SIM_PARAMETER c_mmcm_clkfbout_mult_f 4.000 +SET_SIM_PARAMETER c_mmcm_clkin1_period 10.000 +SET_SIM_PARAMETER c_mmcm_clkin2_period 10.000 +SET_SIM_PARAMETER c_mmcm_clkout4_cascade FALSE +SET_SIM_PARAMETER c_mmcm_clock_hold FALSE +SET_SIM_PARAMETER c_mmcm_compensation ZHOLD +SET_SIM_PARAMETER c_mmcm_divclk_divide 1 +SET_SIM_PARAMETER c_mmcm_ref_jitter1 0.010 +SET_SIM_PARAMETER c_mmcm_ref_jitter2 0.010 +SET_SIM_PARAMETER c_mmcm_startup_wait FALSE +SET_SIM_PARAMETER c_mmcm_clkout0_divide_f 4.000 +SET_SIM_PARAMETER c_mmcm_clkout1_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout2_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout3_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout4_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout5_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout6_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout0_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout1_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout2_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout3_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout4_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout5_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout6_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkfbout_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout0_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout1_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout2_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout3_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout4_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout5_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout6_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkfbout_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout0_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout1_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout2_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout3_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout4_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout5_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout6_use_fine_ps FALSE +SET_SIM_PARAMETER c_pll_notes None +SET_SIM_PARAMETER c_pll_bandwidth HIGH +SET_SIM_PARAMETER c_pll_clk_feedback CLKFBOUT +SET_SIM_PARAMETER c_pll_clkfbout_mult 28 +SET_SIM_PARAMETER c_pll_clkin_period 30.000 +SET_SIM_PARAMETER c_pll_compensation EXTERNAL +SET_SIM_PARAMETER c_pll_divclk_divide 1 +SET_SIM_PARAMETER c_pll_ref_jitter 0.010 +SET_SIM_PARAMETER c_pll_clkout0_divide 14 +SET_SIM_PARAMETER c_pll_clkout1_divide 12 +SET_SIM_PARAMETER c_pll_clkout2_divide 4 +SET_SIM_PARAMETER c_pll_clkout3_divide 1 +SET_SIM_PARAMETER c_pll_clkout4_divide 1 +SET_SIM_PARAMETER c_pll_clkout5_divide 1 +SET_SIM_PARAMETER c_pll_clkout0_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout1_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout2_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout3_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout4_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout5_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkfbout_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout0_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout1_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout2_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout3_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout4_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout5_phase 0.000 +SET_SIM_PARAMETER c_dcm_notes None +SET_SIM_PARAMETER c_dcm_clkdv_divide 2.000 +SET_SIM_PARAMETER c_dcm_clkfx_divide 1 +SET_SIM_PARAMETER c_dcm_clkfx_multiply 4 +SET_SIM_PARAMETER c_dcm_clkin_divide_by_2 FALSE +SET_SIM_PARAMETER c_dcm_clkin_period 30.0 +SET_SIM_PARAMETER c_dcm_clkout_phase_shift NONE +SET_SIM_PARAMETER c_dcm_clk_feedback 2X +SET_SIM_PARAMETER c_dcm_clk_feedback_port CLKOUT1 +SET_SIM_PARAMETER c_dcm_deskew_adjust SYSTEM_SYNCHRONOUS +SET_SIM_PARAMETER c_dcm_phase_shift 0 +SET_SIM_PARAMETER c_dcm_startup_wait FALSE +SET_SIM_PARAMETER c_dcm_clk_out1_port CLK2X +SET_SIM_PARAMETER c_dcm_clk_out2_port NONE +SET_SIM_PARAMETER c_dcm_clk_out3_port NONE +SET_SIM_PARAMETER c_dcm_clk_out4_port NONE +SET_SIM_PARAMETER c_dcm_clk_out5_port NONE +SET_SIM_PARAMETER c_dcm_clk_out6_port NONE +SET_SIM_PARAMETER c_dcm_clkgen_notes None +SET_SIM_PARAMETER c_dcm_clkgen_clkfxdv_divide 2 +SET_SIM_PARAMETER c_dcm_clkgen_clkfx_divide 1 +SET_SIM_PARAMETER c_dcm_clkgen_clkfx_multiply 4 +SET_SIM_PARAMETER c_dcm_clkgen_dfs_bandwidth OPTIMIZED +SET_SIM_PARAMETER c_dcm_clkgen_prog_md_bandwidth OPTIMIZED +SET_SIM_PARAMETER c_dcm_clkgen_clkin_period 30.0 +SET_SIM_PARAMETER c_dcm_clkgen_clkfx_md_max 0.000 +SET_SIM_PARAMETER c_dcm_clkgen_spread_spectrum NONE +SET_SIM_PARAMETER c_dcm_clkgen_startup_wait FALSE +SET_SIM_PARAMETER c_dcm_clkgen_clk_out1_port CLKFX +SET_SIM_PARAMETER c_dcm_clkgen_clk_out2_port NONE +SET_SIM_PARAMETER c_dcm_clkgen_clk_out3_port NONE +SET_SIM_PARAMETER c_clock_mgr_type MANUAL +SET_SIM_PARAMETER c_override_mmcm 0 +SET_SIM_PARAMETER c_override_pll 0 +SET_SIM_PARAMETER c_override_dcm 0 +SET_SIM_PARAMETER c_override_dcm_clkgen 0 +SET_SIM_PARAMETER c_dcm_pll_cascade NONE +SET_SIM_PARAMETER c_primary_port CLKIN +SET_SIM_PARAMETER c_secondary_port CLK_IN2 +SET_SIM_PARAMETER c_clk_out1_port FSBCLK +SET_SIM_PARAMETER c_clk_out2_port CLK_OUT2 +SET_SIM_PARAMETER c_clk_out3_port CLK_OUT3 +SET_SIM_PARAMETER c_clk_out4_port CLK_OUT4 +SET_SIM_PARAMETER c_clk_out5_port CLK_OUT5 +SET_SIM_PARAMETER c_clk_out6_port CLK_OUT6 +SET_SIM_PARAMETER c_clk_out7_port CLK_OUT7 +SET_SIM_PARAMETER c_reset_port RESET +SET_SIM_PARAMETER c_locked_port LOCKED +SET_SIM_PARAMETER c_clkfb_in_port CLKFB_IN +SET_SIM_PARAMETER c_clkfb_in_p_port CLKFB_IN_P +SET_SIM_PARAMETER c_clkfb_in_n_port CLKFB_IN_N +SET_SIM_PARAMETER c_clkfb_out_port CLKFB_OUT +SET_SIM_PARAMETER c_clkfb_out_p_port CLKFB_OUT_P +SET_SIM_PARAMETER c_clkfb_out_n_port CLKFB_OUT_N +SET_SIM_PARAMETER c_power_down_port POWER_DOWN +SET_SIM_PARAMETER c_daddr_port DADDR +SET_SIM_PARAMETER c_dclk_port DCLK +SET_SIM_PARAMETER c_drdy_port DRDY +SET_SIM_PARAMETER c_dwe_port DWE +SET_SIM_PARAMETER c_din_port DIN +SET_SIM_PARAMETER c_dout_port DOUT +SET_SIM_PARAMETER c_den_port DEN +SET_SIM_PARAMETER c_psclk_port PSCLK +SET_SIM_PARAMETER c_psen_port PSEN +SET_SIM_PARAMETER c_psincdec_port PSINCDEC +SET_SIM_PARAMETER c_psdone_port PSDONE +SET_SIM_PARAMETER c_clk_valid_port CLK_VALID +SET_SIM_PARAMETER c_status_port STATUS +SET_SIM_PARAMETER c_clk_in_sel_port CLK_IN_SEL +SET_SIM_PARAMETER c_input_clk_stopped_port INPUT_CLK_STOPPED +SET_SIM_PARAMETER c_clkfb_stopped_port CLKFB_STOPPED +SET_SIM_PARAMETER c_clkin1_jitter_ps 300.0 +SET_SIM_PARAMETER c_clkin2_jitter_ps 100.0 +SET_SIM_PARAMETER c_primitive MMCM +SET_SIM_PARAMETER c_ss_mode CENTER_HIGH +SET_SIM_PARAMETER c_ss_mod_period 4000 +SET_CORE_NAME Clocking Wizard +SET_CORE_VERSION 3.6 +SET_CORE_VLNV xilinx.com:ip:clk_wiz:3.6 +SET_CORE_CLASS com.xilinx.ip.clk_wiz_v3_6.clk_wiz_v3_6 +SET_CORE_PATH C:/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6 +SET_CORE_GUIPATH C:/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/gui/clk_wiz_v3_6.tcl +SET_CORE_DATASHEET C:\Xilinx\14.7\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\clk_wiz_v3_6\doc\pg065_clk_wiz.pdf +ADD_CORE_DOCUMENT +ADD_CORE_DOCUMENT +ADD_CORE_DOCUMENT diff --git a/fpga/ipcore_dir/tmp/customization_gui.0.768202455141.out b/fpga/ipcore_dir/tmp/customization_gui.0.768202455141.out new file mode 100644 index 0000000..713f309 --- /dev/null +++ b/fpga/ipcore_dir/tmp/customization_gui.0.768202455141.out @@ -0,0 +1,505 @@ +SET_FLAG DEBUG FALSE +SET_FLAG MODE BATCH +SET_FLAG STANDALONE_MODE FALSE +SET_PREFERENCE devicefamily spartan6 +SET_PREFERENCE device xc6slx9 +SET_PREFERENCE speedgrade -2 +SET_PREFERENCE package ftg256 +SET_PREFERENCE verilogsim true +SET_PREFERENCE vhdlsim false +SET_PREFERENCE simulationfiles Behavioral +SET_PREFERENCE busformat BusFormatAngleBracketNotRipped +SET_PREFERENCE outputdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/ +SET_PREFERENCE workingdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/ +SET_PREFERENCE subworkingdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/ +SET_PREFERENCE transientdirectory C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/ipcore_dir/tmp/_cg/_dbg/ +SET_PREFERENCE designentry Verilog +SET_PREFERENCE flowvendor Other +SET_PREFERENCE addpads false +SET_PREFERENCE projectname coregen +SET_PREFERENCE formalverification false +SET_PREFERENCE asysymbol false +SET_PREFERENCE implementationfiletype Ngc +SET_PREFERENCE foundationsym false +SET_PREFERENCE createndf false +SET_PREFERENCE removerpms false +SET_PARAMETER Component_Name PLL +SET_PARAMETER Use_Freq_Synth true +SET_PARAMETER Use_Phase_Alignment true +SET_PARAMETER Use_Min_Power false +SET_PARAMETER Use_Dyn_Phase_Shift false +SET_PARAMETER Use_Dyn_Reconfig false +SET_PARAMETER Jitter_Sel Min_O_Jitter +SET_PARAMETER Use_Spread_Spectrum false +SET_PARAMETER Use_Spread_Spectrum_1 false +SET_PARAMETER Prim_In_Freq 33.3333 +SET_PARAMETER In_Freq_Units Units_MHz +SET_PARAMETER In_Jitter_Units Units_UI +SET_PARAMETER Relative_Inclk REL_PRIMARY +SET_PARAMETER Secondary_In_Freq 100.000 +SET_PARAMETER Jitter_Options UI +SET_PARAMETER Clkin1_UI_Jitter 0.010 +SET_PARAMETER Clkin2_UI_Jitter 0.010 +SET_PARAMETER Prim_In_Jitter 0.010 +SET_PARAMETER Secondary_In_Jitter 0.010 +SET_PARAMETER Clkin1_Jitter_Ps 300.0 +SET_PARAMETER Clkin2_Jitter_Ps 100.0 +SET_PARAMETER Clkout2_Used false +SET_PARAMETER Clkout3_Used false +SET_PARAMETER Clkout4_Used false +SET_PARAMETER Clkout5_Used false +SET_PARAMETER Clkout6_Used false +SET_PARAMETER Clkout7_Used false +SET_PARAMETER Num_Out_Clks 1 +SET_PARAMETER Clk_Out1_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out2_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out3_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out4_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out5_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out6_Use_Fine_Ps_GUI false +SET_PARAMETER Clk_Out7_Use_Fine_Ps_GUI false +SET_PARAMETER primary_port CLKIN +SET_PARAMETER CLK_OUT1_port FSBCLK +SET_PARAMETER CLK_OUT2_port CLK_OUT2 +SET_PARAMETER CLK_OUT3_port CLK_OUT3 +SET_PARAMETER CLK_OUT4_port CLK_OUT4 +SET_PARAMETER CLK_OUT5_port CLK_OUT5 +SET_PARAMETER CLK_OUT6_port CLK_OUT6 +SET_PARAMETER CLK_OUT7_port CLK_OUT7 +SET_PARAMETER DADDR_port DADDR +SET_PARAMETER DCLK_port DCLK +SET_PARAMETER DRDY_port DRDY +SET_PARAMETER DWE_port DWE +SET_PARAMETER DIN_port DIN +SET_PARAMETER DOUT_port DOUT +SET_PARAMETER DEN_port DEN +SET_PARAMETER PSCLK_port PSCLK +SET_PARAMETER PSEN_port PSEN +SET_PARAMETER PSINCDEC_port PSINCDEC +SET_PARAMETER PSDONE_port PSDONE +SET_PARAMETER Clkout1_Requested_Out_Freq 66.667 +SET_PARAMETER Clkout1_Requested_Phase 0.000 +SET_PARAMETER Clkout1_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout2_Requested_Out_Freq 33.333 +SET_PARAMETER Clkout2_Requested_Phase 0.000 +SET_PARAMETER Clkout2_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout3_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout3_Requested_Phase 0.000 +SET_PARAMETER Clkout3_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout4_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout4_Requested_Phase 0.000 +SET_PARAMETER Clkout4_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout5_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout5_Requested_Phase 0.000 +SET_PARAMETER Clkout5_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout6_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout6_Requested_Phase 0.000 +SET_PARAMETER Clkout6_Requested_Duty_Cycle 50.0 +SET_PARAMETER Clkout7_Requested_Out_Freq 100.000 +SET_PARAMETER Clkout7_Requested_Phase 0.000 +SET_PARAMETER Clkout7_Requested_Duty_Cycle 50.0 +SET_PARAMETER Use_Max_I_Jitter false +SET_PARAMETER Use_Min_O_Jitter false +SET_PARAMETER Prim_Source Single_ended_clock_capable_pin +SET_PARAMETER Use_Inclk_Switchover false +SET_PARAMETER secondary_port CLK_IN2 +SET_PARAMETER Secondary_Source Single_ended_clock_capable_pin +SET_PARAMETER Clkout1_Drives BUFG +SET_PARAMETER Clkout2_Drives BUFG +SET_PARAMETER Clkout3_Drives BUFG +SET_PARAMETER Clkout4_Drives BUFG +SET_PARAMETER Clkout5_Drives BUFG +SET_PARAMETER Clkout6_Drives BUFG +SET_PARAMETER Clkout7_Drives BUFG +SET_PARAMETER Feedback_Source FDBK_AUTO +SET_PARAMETER Clkfb_In_Signaling SINGLE +SET_PARAMETER CLKFB_IN_port CLKFB_IN +SET_PARAMETER CLKFB_IN_P_port CLKFB_IN_P +SET_PARAMETER CLKFB_IN_N_port CLKFB_IN_N +SET_PARAMETER CLKFB_OUT_port CLKFB_OUT +SET_PARAMETER CLKFB_OUT_P_port CLKFB_OUT_P +SET_PARAMETER CLKFB_OUT_N_port CLKFB_OUT_N +SET_PARAMETER Platform nt +SET_PARAMETER Summary_Strings empty +SET_PARAMETER Use_Locked true +SET_PARAMETER calc_done DONE +SET_PARAMETER Use_Reset false +SET_PARAMETER Use_Power_Down false +SET_PARAMETER Use_Status false +SET_PARAMETER Use_Freeze false +SET_PARAMETER Use_Clk_Valid false +SET_PARAMETER Use_Inclk_Stopped false +SET_PARAMETER Use_Clkfb_Stopped false +SET_PARAMETER RESET_port RESET +SET_PARAMETER LOCKED_port LOCKED +SET_PARAMETER Power_Down_port POWER_DOWN +SET_PARAMETER CLK_VALID_port CLK_VALID +SET_PARAMETER STATUS_port STATUS +SET_PARAMETER CLK_IN_SEL_port CLK_IN_SEL +SET_PARAMETER INPUT_CLK_STOPPED_port INPUT_CLK_STOPPED +SET_PARAMETER CLKFB_STOPPED_port CLKFB_STOPPED +SET_PARAMETER Override_Mmcm false +SET_PARAMETER Mmcm_Notes None +SET_PARAMETER Mmcm_Divclk_Divide 1 +SET_PARAMETER Mmcm_Bandwidth HIGH +SET_PARAMETER Mmcm_Clkfbout_Mult_F 4.000 +SET_PARAMETER Mmcm_Clkfbout_Phase 0.000 +SET_PARAMETER Mmcm_Clkfbout_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkin1_Period 10.000 +SET_PARAMETER Mmcm_Clkin2_Period 10.000 +SET_PARAMETER Mmcm_Clkout4_Cascade false +SET_PARAMETER Mmcm_Clock_Hold false +SET_PARAMETER Mmcm_Compensation ZHOLD +SET_PARAMETER Mmcm_Ref_Jitter1 0.010 +SET_PARAMETER Mmcm_Ref_Jitter2 0.010 +SET_PARAMETER Mmcm_Startup_Wait false +SET_PARAMETER Mmcm_Clkout0_Divide_F 4.000 +SET_PARAMETER Mmcm_Clkout0_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout0_Phase 0.000 +SET_PARAMETER Mmcm_Clkout0_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout1_Divide 1 +SET_PARAMETER Mmcm_Clkout1_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout1_Phase 0.000 +SET_PARAMETER Mmcm_Clkout1_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout2_Divide 1 +SET_PARAMETER Mmcm_Clkout2_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout2_Phase 0.000 +SET_PARAMETER Mmcm_Clkout2_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout3_Divide 1 +SET_PARAMETER Mmcm_Clkout3_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout3_Phase 0.000 +SET_PARAMETER Mmcm_Clkout3_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout4_Divide 1 +SET_PARAMETER Mmcm_Clkout4_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout4_Phase 0.000 +SET_PARAMETER Mmcm_Clkout4_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout5_Divide 1 +SET_PARAMETER Mmcm_Clkout5_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout5_Phase 0.000 +SET_PARAMETER Mmcm_Clkout5_Use_Fine_Ps false +SET_PARAMETER Mmcm_Clkout6_Divide 1 +SET_PARAMETER Mmcm_Clkout6_Duty_Cycle 0.500 +SET_PARAMETER Mmcm_Clkout6_Phase 0.000 +SET_PARAMETER Mmcm_Clkout6_Use_Fine_Ps false +SET_PARAMETER Override_Dcm false +SET_PARAMETER Dcm_Notes None +SET_PARAMETER Dcm_Clkdv_Divide 2.0 +SET_PARAMETER Dcm_Clkfx_Divide 1 +SET_PARAMETER Dcm_Clkfx_Multiply 4 +SET_PARAMETER Dcm_Clkin_Divide_By_2 false +SET_PARAMETER Dcm_Clkin_Period 30.000 +SET_PARAMETER Dcm_Clkout_Phase_Shift NONE +SET_PARAMETER Dcm_Deskew_Adjust SYSTEM_SYNCHRONOUS +SET_PARAMETER Dcm_Phase_Shift 0 +SET_PARAMETER Dcm_Clk_Feedback 2X +SET_PARAMETER Dcm_Startup_Wait false +SET_PARAMETER Dcm_Clk_Out1_Port CLK2X +SET_PARAMETER Dcm_Clk_Out2_Port CLK0 +SET_PARAMETER Dcm_Clk_Out3_Port CLKFX +SET_PARAMETER Dcm_Clk_Out4_Port CLK0 +SET_PARAMETER Dcm_Clk_Out5_Port CLK0 +SET_PARAMETER Dcm_Clk_Out6_Port CLK0 +SET_PARAMETER Override_Dcm_Clkgen false +SET_PARAMETER Dcm_Clkgen_Notes None +SET_PARAMETER Dcm_Clkgen_Clkfx_Divide 1 +SET_PARAMETER Dcm_Clkgen_Clkfx_Multiply 4 +SET_PARAMETER Dcm_Clkgen_Clkfxdv_Divide 2 +SET_PARAMETER Dcm_Clkgen_Clkfx_Md_Max 0.000 +SET_PARAMETER Dcm_Clkgen_Startup_Wait false +SET_PARAMETER Dcm_Clkgen_Clkin_Period 10.000 +SET_PARAMETER Dcm_Clkgen_Spread_Spectrum NONE +SET_PARAMETER Dcm_Clkgen_Clk_Out1_Port CLKFX +SET_PARAMETER Dcm_Clkgen_Clk_Out2_Port CLKFX +SET_PARAMETER Dcm_Clkgen_Clk_Out3_Port CLKFX +SET_PARAMETER Override_Pll false +SET_PARAMETER Pll_Notes None +SET_PARAMETER Pll_Bandwidth HIGH +SET_PARAMETER Pll_Clkfbout_Mult 28 +SET_PARAMETER Pll_Clkfbout_Phase 0.000 +SET_PARAMETER Pll_Clk_Feedback CLKFBOUT +SET_PARAMETER Pll_Divclk_Divide 1 +SET_PARAMETER Pll_Clkin_Period 30.000 +SET_PARAMETER Pll_Compensation SYSTEM_SYNCHRONOUS +SET_PARAMETER Pll_Ref_Jitter 0.010 +SET_PARAMETER Pll_Clkout0_Divide 14 +SET_PARAMETER Pll_Clkout0_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout0_Phase 0.000 +SET_PARAMETER Pll_Clkout1_Divide 12 +SET_PARAMETER Pll_Clkout1_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout1_Phase 0.000 +SET_PARAMETER Pll_Clkout2_Divide 4 +SET_PARAMETER Pll_Clkout2_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout2_Phase 0.000 +SET_PARAMETER Pll_Clkout3_Divide 1 +SET_PARAMETER Pll_Clkout3_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout3_Phase 0.000 +SET_PARAMETER Pll_Clkout4_Divide 1 +SET_PARAMETER Pll_Clkout4_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout4_Phase 0.000 +SET_PARAMETER Pll_Clkout5_Divide 1 +SET_PARAMETER Pll_Clkout5_Duty_Cycle 0.500 +SET_PARAMETER Pll_Clkout5_Phase 0.000 +SET_PARAMETER dcm_pll_cascade NONE +SET_PARAMETER clock_mgr_type MANUAL +SET_PARAMETER primtype_sel PLL_BASE +SET_PARAMETER primitive MMCM +SET_PARAMETER SS_Mode CENTER_HIGH +SET_PARAMETER SS_Mod_Freq 250 +SET_SIM_PARAMETER c_clkout2_used 0 +SET_SIM_PARAMETER c_clkout3_used 0 +SET_SIM_PARAMETER c_clkout4_used 0 +SET_SIM_PARAMETER c_clkout5_used 0 +SET_SIM_PARAMETER c_clkout6_used 0 +SET_SIM_PARAMETER c_clkout7_used 0 +SET_SIM_PARAMETER c_use_clkout1_bar 0 +SET_SIM_PARAMETER c_use_clkout2_bar 0 +SET_SIM_PARAMETER c_use_clkout3_bar 0 +SET_SIM_PARAMETER c_use_clkout4_bar 0 +SET_SIM_PARAMETER c_component_name PLL +SET_SIM_PARAMETER c_platform nt +SET_SIM_PARAMETER c_use_freq_synth 1 +SET_SIM_PARAMETER c_use_phase_alignment 1 +SET_SIM_PARAMETER c_prim_in_jitter 0.010 +SET_SIM_PARAMETER c_secondary_in_jitter 0.010 +SET_SIM_PARAMETER c_jitter_sel Min_O_Jitter +SET_SIM_PARAMETER c_use_min_power 0 +SET_SIM_PARAMETER c_use_min_o_jitter 1 +SET_SIM_PARAMETER c_use_max_i_jitter 0 +SET_SIM_PARAMETER c_use_dyn_phase_shift 0 +SET_SIM_PARAMETER c_use_inclk_switchover 0 +SET_SIM_PARAMETER c_use_dyn_reconfig 0 +SET_SIM_PARAMETER c_use_spread_spectrum 0 +SET_SIM_PARAMETER c_use_spread_spectrum_1 0 +SET_SIM_PARAMETER c_primtype_sel PLL_BASE +SET_SIM_PARAMETER c_use_clk_valid 0 +SET_SIM_PARAMETER c_prim_in_freq 33.3333 +SET_SIM_PARAMETER c_in_freq_units Units_MHz +SET_SIM_PARAMETER c_secondary_in_freq 100.000 +SET_SIM_PARAMETER c_feedback_source FDBK_AUTO +SET_SIM_PARAMETER c_prim_source Single_ended_clock_capable_pin +SET_SIM_PARAMETER c_secondary_source Single_ended_clock_capable_pin +SET_SIM_PARAMETER c_clkfb_in_signaling SINGLE +SET_SIM_PARAMETER c_use_reset 0 +SET_SIM_PARAMETER c_use_locked 1 +SET_SIM_PARAMETER c_use_inclk_stopped 0 +SET_SIM_PARAMETER c_use_clkfb_stopped 0 +SET_SIM_PARAMETER c_use_power_down 0 +SET_SIM_PARAMETER c_use_status 0 +SET_SIM_PARAMETER c_use_freeze 0 +SET_SIM_PARAMETER c_num_out_clks 1 +SET_SIM_PARAMETER c_clkout1_drives BUFG +SET_SIM_PARAMETER c_clkout2_drives BUFG +SET_SIM_PARAMETER c_clkout3_drives BUFG +SET_SIM_PARAMETER c_clkout4_drives BUFG +SET_SIM_PARAMETER c_clkout5_drives BUFG +SET_SIM_PARAMETER c_clkout6_drives BUFG +SET_SIM_PARAMETER c_clkout7_drives BUFG +SET_SIM_PARAMETER c_inclk_sum_row0 "Input Clock Freq (MHz) Input Jitter (UI)" +SET_SIM_PARAMETER c_inclk_sum_row1 __primary_________33.3333____________0.010 +SET_SIM_PARAMETER c_inclk_sum_row2 no_secondary_input_clock +SET_SIM_PARAMETER c_outclk_sum_row0a "Output Output Phase Duty Pk-to-Pk Phase" +SET_SIM_PARAMETER c_outclk_sum_row0b "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" +SET_SIM_PARAMETER c_outclk_sum_row1 CLK_OUT1____66.667______0.000______50.0______252.559____182.342 +SET_SIM_PARAMETER c_outclk_sum_row2 no_CLK_OUT2_output +SET_SIM_PARAMETER c_outclk_sum_row3 no_CLK_OUT3_output +SET_SIM_PARAMETER c_outclk_sum_row4 no_CLK_OUT4_output +SET_SIM_PARAMETER c_outclk_sum_row5 no_CLK_OUT5_output +SET_SIM_PARAMETER c_outclk_sum_row6 no_CLK_OUT6_output +SET_SIM_PARAMETER c_outclk_sum_row7 no_CLK_OUT7_output +SET_SIM_PARAMETER c_clkout1_requested_out_freq 66.667 +SET_SIM_PARAMETER c_clkout2_requested_out_freq 33.333 +SET_SIM_PARAMETER c_clkout3_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout4_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout5_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout6_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout7_requested_out_freq 100.000 +SET_SIM_PARAMETER c_clkout1_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout2_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout3_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout4_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout5_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout6_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout7_requested_phase 0.000 +SET_SIM_PARAMETER c_clkout1_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout2_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout3_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout4_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout5_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout6_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout7_requested_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout1_out_freq 66.667 +SET_SIM_PARAMETER c_clkout2_out_freq N/A +SET_SIM_PARAMETER c_clkout3_out_freq N/A +SET_SIM_PARAMETER c_clkout4_out_freq N/A +SET_SIM_PARAMETER c_clkout5_out_freq N/A +SET_SIM_PARAMETER c_clkout6_out_freq N/A +SET_SIM_PARAMETER c_clkout7_out_freq N/A +SET_SIM_PARAMETER c_clkout1_phase 0.000 +SET_SIM_PARAMETER c_clkout2_phase N/A +SET_SIM_PARAMETER c_clkout3_phase N/A +SET_SIM_PARAMETER c_clkout4_phase N/A +SET_SIM_PARAMETER c_clkout5_phase N/A +SET_SIM_PARAMETER c_clkout6_phase N/A +SET_SIM_PARAMETER c_clkout7_phase N/A +SET_SIM_PARAMETER c_clkout1_duty_cycle 50.0 +SET_SIM_PARAMETER c_clkout2_duty_cycle N/A +SET_SIM_PARAMETER c_clkout3_duty_cycle N/A +SET_SIM_PARAMETER c_clkout4_duty_cycle N/A +SET_SIM_PARAMETER c_clkout5_duty_cycle N/A +SET_SIM_PARAMETER c_clkout6_duty_cycle N/A +SET_SIM_PARAMETER c_clkout7_duty_cycle N/A +SET_SIM_PARAMETER c_mmcm_notes None +SET_SIM_PARAMETER c_mmcm_bandwidth HIGH +SET_SIM_PARAMETER c_mmcm_clkfbout_mult_f 4.000 +SET_SIM_PARAMETER c_mmcm_clkin1_period 10.000 +SET_SIM_PARAMETER c_mmcm_clkin2_period 10.000 +SET_SIM_PARAMETER c_mmcm_clkout4_cascade FALSE +SET_SIM_PARAMETER c_mmcm_clock_hold FALSE +SET_SIM_PARAMETER c_mmcm_compensation ZHOLD +SET_SIM_PARAMETER c_mmcm_divclk_divide 1 +SET_SIM_PARAMETER c_mmcm_ref_jitter1 0.010 +SET_SIM_PARAMETER c_mmcm_ref_jitter2 0.010 +SET_SIM_PARAMETER c_mmcm_startup_wait FALSE +SET_SIM_PARAMETER c_mmcm_clkout0_divide_f 4.000 +SET_SIM_PARAMETER c_mmcm_clkout1_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout2_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout3_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout4_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout5_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout6_divide 1 +SET_SIM_PARAMETER c_mmcm_clkout0_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout1_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout2_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout3_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout4_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout5_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkout6_duty_cycle 0.500 +SET_SIM_PARAMETER c_mmcm_clkfbout_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout0_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout1_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout2_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout3_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout4_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout5_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkout6_phase 0.000 +SET_SIM_PARAMETER c_mmcm_clkfbout_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout0_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout1_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout2_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout3_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout4_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout5_use_fine_ps FALSE +SET_SIM_PARAMETER c_mmcm_clkout6_use_fine_ps FALSE +SET_SIM_PARAMETER c_pll_notes None +SET_SIM_PARAMETER c_pll_bandwidth HIGH +SET_SIM_PARAMETER c_pll_clk_feedback CLKFBOUT +SET_SIM_PARAMETER c_pll_clkfbout_mult 28 +SET_SIM_PARAMETER c_pll_clkin_period 30.000 +SET_SIM_PARAMETER c_pll_compensation SYSTEM_SYNCHRONOUS +SET_SIM_PARAMETER c_pll_divclk_divide 1 +SET_SIM_PARAMETER c_pll_ref_jitter 0.010 +SET_SIM_PARAMETER c_pll_clkout0_divide 14 +SET_SIM_PARAMETER c_pll_clkout1_divide 12 +SET_SIM_PARAMETER c_pll_clkout2_divide 4 +SET_SIM_PARAMETER c_pll_clkout3_divide 1 +SET_SIM_PARAMETER c_pll_clkout4_divide 1 +SET_SIM_PARAMETER c_pll_clkout5_divide 1 +SET_SIM_PARAMETER c_pll_clkout0_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout1_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout2_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout3_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout4_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkout5_duty_cycle 0.500 +SET_SIM_PARAMETER c_pll_clkfbout_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout0_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout1_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout2_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout3_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout4_phase 0.000 +SET_SIM_PARAMETER c_pll_clkout5_phase 0.000 +SET_SIM_PARAMETER c_dcm_notes None +SET_SIM_PARAMETER c_dcm_clkdv_divide 2.000 +SET_SIM_PARAMETER c_dcm_clkfx_divide 1 +SET_SIM_PARAMETER c_dcm_clkfx_multiply 4 +SET_SIM_PARAMETER c_dcm_clkin_divide_by_2 FALSE +SET_SIM_PARAMETER c_dcm_clkin_period 30.0 +SET_SIM_PARAMETER c_dcm_clkout_phase_shift NONE +SET_SIM_PARAMETER c_dcm_clk_feedback 2X +SET_SIM_PARAMETER c_dcm_clk_feedback_port CLKOUT1 +SET_SIM_PARAMETER c_dcm_deskew_adjust SYSTEM_SYNCHRONOUS +SET_SIM_PARAMETER c_dcm_phase_shift 0 +SET_SIM_PARAMETER c_dcm_startup_wait FALSE +SET_SIM_PARAMETER c_dcm_clk_out1_port CLK2X +SET_SIM_PARAMETER c_dcm_clk_out2_port NONE +SET_SIM_PARAMETER c_dcm_clk_out3_port NONE +SET_SIM_PARAMETER c_dcm_clk_out4_port NONE +SET_SIM_PARAMETER c_dcm_clk_out5_port NONE +SET_SIM_PARAMETER c_dcm_clk_out6_port NONE +SET_SIM_PARAMETER c_dcm_clkgen_notes None +SET_SIM_PARAMETER c_dcm_clkgen_clkfxdv_divide 2 +SET_SIM_PARAMETER c_dcm_clkgen_clkfx_divide 1 +SET_SIM_PARAMETER c_dcm_clkgen_clkfx_multiply 4 +SET_SIM_PARAMETER c_dcm_clkgen_dfs_bandwidth OPTIMIZED +SET_SIM_PARAMETER c_dcm_clkgen_prog_md_bandwidth OPTIMIZED +SET_SIM_PARAMETER c_dcm_clkgen_clkin_period 30.0 +SET_SIM_PARAMETER c_dcm_clkgen_clkfx_md_max 0.000 +SET_SIM_PARAMETER c_dcm_clkgen_spread_spectrum NONE +SET_SIM_PARAMETER c_dcm_clkgen_startup_wait FALSE +SET_SIM_PARAMETER c_dcm_clkgen_clk_out1_port CLKFX +SET_SIM_PARAMETER c_dcm_clkgen_clk_out2_port NONE +SET_SIM_PARAMETER c_dcm_clkgen_clk_out3_port NONE +SET_SIM_PARAMETER c_clock_mgr_type MANUAL +SET_SIM_PARAMETER c_override_mmcm 0 +SET_SIM_PARAMETER c_override_pll 0 +SET_SIM_PARAMETER c_override_dcm 0 +SET_SIM_PARAMETER c_override_dcm_clkgen 0 +SET_SIM_PARAMETER c_dcm_pll_cascade NONE +SET_SIM_PARAMETER c_primary_port CLKIN +SET_SIM_PARAMETER c_secondary_port CLK_IN2 +SET_SIM_PARAMETER c_clk_out1_port FSBCLK +SET_SIM_PARAMETER c_clk_out2_port CLK_OUT2 +SET_SIM_PARAMETER c_clk_out3_port CLK_OUT3 +SET_SIM_PARAMETER c_clk_out4_port CLK_OUT4 +SET_SIM_PARAMETER c_clk_out5_port CLK_OUT5 +SET_SIM_PARAMETER c_clk_out6_port CLK_OUT6 +SET_SIM_PARAMETER c_clk_out7_port CLK_OUT7 +SET_SIM_PARAMETER c_reset_port RESET +SET_SIM_PARAMETER c_locked_port LOCKED +SET_SIM_PARAMETER c_clkfb_in_port CLKFB_IN +SET_SIM_PARAMETER c_clkfb_in_p_port CLKFB_IN_P +SET_SIM_PARAMETER c_clkfb_in_n_port CLKFB_IN_N +SET_SIM_PARAMETER c_clkfb_out_port CLKFB_OUT +SET_SIM_PARAMETER c_clkfb_out_p_port CLKFB_OUT_P +SET_SIM_PARAMETER c_clkfb_out_n_port CLKFB_OUT_N +SET_SIM_PARAMETER c_power_down_port POWER_DOWN +SET_SIM_PARAMETER c_daddr_port DADDR +SET_SIM_PARAMETER c_dclk_port DCLK +SET_SIM_PARAMETER c_drdy_port DRDY +SET_SIM_PARAMETER c_dwe_port DWE +SET_SIM_PARAMETER c_din_port DIN +SET_SIM_PARAMETER c_dout_port DOUT +SET_SIM_PARAMETER c_den_port DEN +SET_SIM_PARAMETER c_psclk_port PSCLK +SET_SIM_PARAMETER c_psen_port PSEN +SET_SIM_PARAMETER c_psincdec_port PSINCDEC +SET_SIM_PARAMETER c_psdone_port PSDONE +SET_SIM_PARAMETER c_clk_valid_port CLK_VALID +SET_SIM_PARAMETER c_status_port STATUS +SET_SIM_PARAMETER c_clk_in_sel_port CLK_IN_SEL +SET_SIM_PARAMETER c_input_clk_stopped_port INPUT_CLK_STOPPED +SET_SIM_PARAMETER c_clkfb_stopped_port CLKFB_STOPPED +SET_SIM_PARAMETER c_clkin1_jitter_ps 300.0 +SET_SIM_PARAMETER c_clkin2_jitter_ps 100.0 +SET_SIM_PARAMETER c_primitive MMCM +SET_SIM_PARAMETER c_ss_mode CENTER_HIGH +SET_SIM_PARAMETER c_ss_mod_period 4000 +SET_CORE_NAME Clocking Wizard +SET_CORE_VERSION 3.6 +SET_CORE_VLNV xilinx.com:ip:clk_wiz:3.6 +SET_CORE_CLASS com.xilinx.ip.clk_wiz_v3_6.clk_wiz_v3_6 +SET_CORE_PATH C:/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6 +SET_CORE_GUIPATH C:/Xilinx/14.7/ISE_DS/ISE/coregen/ip/xilinx/primary/com/xilinx/ip/clk_wiz_v3_6/gui/clk_wiz_v3_6.tcl +SET_CORE_DATASHEET C:\Xilinx\14.7\ISE_DS\ISE\coregen\ip\xilinx\primary\com\xilinx\ip\clk_wiz_v3_6\doc\pg065_clk_wiz.pdf +ADD_CORE_DOCUMENT +ADD_CORE_DOCUMENT +ADD_CORE_DOCUMENT diff --git a/fpga/ipcore_dir/update_PrefetchDataRAM.tcl b/fpga/ipcore_dir/update_PrefetchDataRAM.tcl new file mode 100644 index 0000000..f7781b3 --- /dev/null +++ b/fpga/ipcore_dir/update_PrefetchDataRAM.tcl @@ -0,0 +1,37 @@ +## +## Core Generator Run Script, generator for Project Navigator update command +## + +proc findRtfPath { relativePath } { + set xilenv "" + if { [info exists ::env(XILINX) ] } { + if { [info exists ::env(MYXILINX)] } { + set xilenv [join [list $::env(MYXILINX) $::env(XILINX)] $::xilinx::path_sep ] + } else { + set xilenv $::env(XILINX) + } + } + foreach path [ split $xilenv $::xilinx::path_sep ] { + set fullPath [ file join $path $relativePath ] + if { [ file exists $fullPath ] } { + return $fullPath + } + } + return "" +} + +source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] + +set result [ run_cg_update "PrefetchDataRAM" xc6slx9-2ftg256 Verilog ] + +if { $result == 0 } { + puts "Core Generator update command completed successfully." +} elseif { $result == 1 } { + puts "Core Generator update command failed." +} elseif { $result == 3 || $result == 4 } { + # convert 'version check' result to real return range, bypassing any messages. + set result [ expr $result - 3 ] +} else { + puts "Core Generator update cancelled." +} +exit $result diff --git a/fpga/iseconfig/ClkGen.xreport b/fpga/iseconfig/ClkGen.xreport new file mode 100644 index 0000000..3be29a1 --- /dev/null +++ b/fpga/iseconfig/ClkGen.xreport @@ -0,0 +1,215 @@ + + +
+ 2021-10-31T14:26:13 + WarpLC + 2021-10-31T14:24:19 + C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/iseconfig/ClkGen.xreport + C:/Users/Dog/Documents/GitHub/Warp-LC/fpga + 2021-10-31T13:53:00 + false +
+ + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/fpga/iseconfig/WarpLC.projectmgr b/fpga/iseconfig/WarpLC.projectmgr index 8c41bed..44cfd8b 100644 --- a/fpga/iseconfig/WarpLC.projectmgr +++ b/fpga/iseconfig/WarpLC.projectmgr @@ -7,13 +7,14 @@ 2 + /ClkGen C:|Users|Dog|Documents|GitHub|Warp-LC|fpga|ClkGen.v WarpLC (C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.v) 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000110000000020000000000000000000000000200000064ffffffff000000810000000300000002000001100000000100000003000000000000000100000003 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000187000000020000000000000000000000000200000064ffffffff000000810000000300000002000001870000000100000003000000000000000100000003 true WarpLC (C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/WarpLC.v) @@ -27,13 +28,13 @@ User Constraints - + 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000153000000010000000100000000000000000000000064ffffffff000000810000000000000001000001530000000100000000 false - + @@ -86,40 +87,40 @@ Synthesize - XST - + Analyze Post-Place & Route Static Timing - 0 + 11 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000142000000010000000100000000000000000000000064ffffffff000000810000000000000001000001420000000100000000 false - + Analyze Post-Place & Route Static Timing 1 - + 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000153000000010000000100000000000000000000000064ffffffff000000810000000000000001000001530000000100000000 false - + 1 - + 0 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000fb000000010000000100000000000000000000000064ffffffff000000810000000000000001000000fb0000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000153000000010000000100000000000000000000000064ffffffff000000810000000000000001000001530000000100000000 false - + - 000000ff00000000000000020000011b0000011b01000000050100000002 + 000000ff00000000000000020000012e0000011301000000050100000002 Implementation diff --git a/fpga/iseconfig/WarpLC.xreport b/fpga/iseconfig/WarpLC.xreport index 6ba9ce7..ddebb1a 100644 --- a/fpga/iseconfig/WarpLC.xreport +++ b/fpga/iseconfig/WarpLC.xreport @@ -1,7 +1,7 @@
- 2021-10-30T17:26:12 + 2021-10-31T07:31:41 WarpLC 2021-10-29T17:39:15 C:/Users/Dog/Documents/GitHub/Warp-LC/fpga/iseconfig/WarpLC.xreport diff --git a/fpga/par_usage_statistics.html b/fpga/par_usage_statistics.html index bd140bd..6e00415 100644 --- a/fpga/par_usage_statistics.html +++ b/fpga/par_usage_statistics.html @@ -1,32 +1,33 @@ - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + - + - +
Par Statistics
Total Non-vccgnd Signals=84
Total Non-vccgnd Design Pins=135
Total Non-vccgnd Conns=135
Total Non-vccgnd Timing Constrained Conns=122
Phase 1 CPU=2.1 sec
Phase 2 CPU=2.3 sec
Phase 3 CPU=2.5 sec
Phase 4 CPU=2.7 sec
Phase 5 CPU=2.9 sec
Phase 6 CPU=2.9 sec
Phase 7 CPU=2.9 sec
Phase 8 CPU=2.9 sec
Phase 9 CPU=2.9 sec
Phase 10 CPU=2.9 sec
AvgWirelenPerPin Fanout 1=5.0
AvgWirelenPerPin Fanout 2=10.5
AvgWirelenPerPin Fanout 3=0.7
Total Non-vccgnd Signals=97
Total Non-vccgnd Design Pins=178
Total Non-vccgnd Conns=178
Total Non-vccgnd Timing Constrained Conns=48
Phase 1 CPU=3.4 sec
Phase 2 CPU=3.7 sec
Phase 3 CPU=3.8 sec
Phase 4 CPU=4.2 sec
Phase 5 CPU=4.3 sec
Phase 6 CPU=4.3 sec
Phase 7 CPU=4.3 sec
Phase 8 CPU=4.3 sec
Phase 9 CPU=4.3 sec
Phase 10 CPU=4.3 sec
Phase 11 CPU=4.3 sec
AvgWirelenPerPin Fanout 1=7.0
AvgWirelenPerPin Fanout 2=40.0
AvgWirelenPerPin Fanout 3=6.7
AvgWirelenPerPin Fanout 4=0.0
AvgWirelenPerPin Fanout 10=0.0
AvgWirelenPerPin Fanout 50=9.1
AvgWirelenPerPin Fanout 50=4.5
AvgWirelenPerPin Fanout 100=0.0
AvgWirelenPerPin Fanout 500=0.0
AvgWirelenPerPin Fanout 5000=0.0
AvgWirelenPerPin Fanout 20000=0.0
AvgWirelenPerPin Fanout 50000=0.0
AvgWirelenPerPin Fanout 100000=0.0
IRR Gamma=1.0090
IRR Gamma=1.0257
diff --git a/fpga/sterminator.v b/fpga/sterminator.v deleted file mode 100644 index 1ba2706..0000000 --- a/fpga/sterminator.v +++ /dev/null @@ -1,88 +0,0 @@ -`timescale 1ns / 1ps -////////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 17:33:01 10/30/2021 -// Design Name: -// Module Name: sterminator -// Project Name: -// Target Devices: -// Tool versions: -// Description: -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -////////////////////////////////////////////////////////////////////////////////// - -// SDRAM addressing for RAM -// A[25:13] - Row[12:0] -// A[12:4] - Column[8:0] -// A[3:2] - Bank[1:0] -// A[1:0] - SDRAM word size - -module sterminator( - input CLK, - input [31:2] A, - input nWE, - input SEL, - input STERMin, - output nSTERM, - input RESET, - input [2:0] CMD); - - reg [3:0] BankActive; - reg [12:0] Bank0Row; - reg [12:0] Bank1Row; - reg [12:0] Bank2Row; - reg [12:0] Bank3Row; - reg SpecRDActive; - reg [30:2] SpecRDAddr; - - wire [12:0] A_Row = A[25:13]; - wire [8:0] A_Column = A[12:4]; - wire [1:0] A_Bank = A[3:2]; - - wire A_CurBankRow = A_Bank==0 ? Bank0Row : - A_Bank==1 ? Bank1Row : - A_Bank==2 ? Bank2Row : - A_Bank==3 ? Bank3Row : 0; - - wire SpecRDSEL = SEL && nWE && ~A[31] && A[30:2]==SpecRDAddr[30:2]; - wire FastWRSEL = SEL && ~nWE && ~A[31] && A_CurBankRow==A_Row; - - assign nSTERM = ~(STERMin || SpecRDSEL || FastWRSEL); - - always @(posedge CLK) begin - case (CMD) - 3'b000: begin // Reset - BankActive <= 0; - SpecRDActive <= 0; - end 3'b001: begin // Row activate - BankActive[A_Bank] <= 1; - case (A_Bank) - 0: Bank0Row <= A_Row; - 1: Bank1Row <= A_Row; - 2: Bank2Row <= A_Row; - 3: Bank3Row <= A_Row; - endcase - end 3'b010: begin // Row precharge - BankActive[A_Bank] <= 0; - end 3'b011: begin // Precharge all - BankActive <= 0; - end 3'b100: begin // NOP - end 3'b101: begin // Speculative read - SpecRDAddr <= A[30:2]; - SpecRDActive <= 1; - end 3'b110: begin // Clear speculation - SpecRDActive <= 0; - end 3'b111: begin // Reserved (NOP) - end - endcase - end - -endmodule diff --git a/fpga/webtalk_pn.xml b/fpga/webtalk_pn.xml new file mode 100644 index 0000000..abecbd7 --- /dev/null +++ b/fpga/webtalk_pn.xml @@ -0,0 +1,62 @@ + + + + +
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/fpga/xlnx_auto_0_xdb/cst.xbcd b/fpga/xlnx_auto_0_xdb/cst.xbcd index 025e9d1..2868365 100644 Binary files a/fpga/xlnx_auto_0_xdb/cst.xbcd and b/fpga/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/fpga/xst/work/work.sdbl b/fpga/xst/work/work.sdbl index 8e4e257..2e269d2 100644 Binary files a/fpga/xst/work/work.sdbl and b/fpga/xst/work/work.sdbl differ diff --git a/fpga/xst/work/work.sdbx b/fpga/xst/work/work.sdbx index 4863cc7..82d1cc6 100644 Binary files a/fpga/xst/work/work.sdbx and b/fpga/xst/work/work.sdbx differ