Release 14.7 Map P.20131013 (nt) Xilinx Mapping Report File for Design 'WarpLC' Design Information ------------------ Command Line : map -intstyle ise -p xc6slx9-ftg256-2 -w -logic_opt on -ol high -xe c -t 1 -xt 0 -r 4 -global_opt speed -equivalent_register_removal on -mt 2 -ir off -pr off -lc off -power off -o WarpLC_map.ncd WarpLC.ngd WarpLC.pcf Target Device : xc6slx9 Target Package : ftg256 Target Speed : -2 Mapper Version : spartan6 -- $Revision: 1.55 $ Mapped Date : Tue Nov 02 00:33:09 2021 Design Summary -------------- Number of errors: 0 Number of warnings: 0 Slice Logic Utilization: Number of Slice Registers: 1 out of 11,440 1% Number used as Flip Flops: 1 Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 Number of Slice LUTs: 105 out of 5,720 1% Number used as logic: 25 out of 5,720 1% Number using O6 output only: 7 Number using O5 output only: 1 Number using O5 and O6: 17 Number used as ROM: 0 Number used as Memory: 80 out of 1,440 5% Number used as Dual Port RAM: 80 Number using O6 output only: 80 Number using O5 output only: 0 Number using O5 and O6: 0 Number used as Single Port RAM: 0 Number used as Shift Register: 0 Slice Logic Distribution: Number of occupied Slices: 35 out of 1,430 2% Number of MUXCYs used: 8 out of 2,860 1% Number of LUT Flip Flop pairs used: 106 Number with an unused Flip Flop: 105 out of 106 99% Number with an unused LUT: 1 out of 106 1% Number of fully used LUT-FF pairs: 0 out of 106 0% Number of unique control sets: 2 Number of slice register sites lost to control set restrictions: 7 out of 11,440 1% A LUT Flip Flop pair for this architecture represents one LUT paired with one Flip Flop within a slice. A control set is a unique combination of clock, reset, set, and enable signals for a registered element. The Slice Logic Distribution report is not meaningful if the design is over-mapped for a non-slice resource or if Placement fails. IO Utilization: Number of bonded IOBs: 66 out of 186 35% IOB Flip Flops: 5 Specific Feature Utilization: Number of RAMB16BWERs: 4 out of 32 12% Number of RAMB8BWERs: 0 out of 64 0% Number of BUFIO2/BUFIO2_2CLKs: 1 out of 32 3% Number used as BUFIO2s: 1 Number used as BUFIO2_2CLKs: 0 Number of BUFIO2FB/BUFIO2FB_2CLKs: 1 out of 32 3% Number used as BUFIO2FBs: 1 Number used as BUFIO2FB_2CLKs: 0 Number of BUFG/BUFGMUXs: 2 out of 16 12% Number used as BUFGs: 2 Number used as BUFGMUX: 0 Number of DCM/DCM_CLKGENs: 0 out of 4 0% Number of ILOGIC2/ISERDES2s: 0 out of 200 0% Number of IODELAY2/IODRP2/IODRP2_MCBs: 0 out of 200 0% Number of OLOGIC2/OSERDES2s: 5 out of 200 2% Number used as OLOGIC2s: 5 Number used as OSERDES2s: 0 Number of BSCANs: 0 out of 4 0% Number of BUFHs: 0 out of 128 0% Number of BUFPLLs: 0 out of 8 0% Number of BUFPLL_MCBs: 0 out of 4 0% Number of DSP48A1s: 0 out of 16 0% Number of ICAPs: 0 out of 1 0% Number of MCBs: 0 out of 2 0% Number of PCILOGICSEs: 0 out of 2 0% Number of PLL_ADVs: 1 out of 2 50% Number of PMVs: 0 out of 1 0% Number of STARTUPs: 0 out of 1 0% Number of SUSPEND_SYNCs: 0 out of 1 0% Average Fanout of Non-Clock Nets: 3.54 Peak Memory Usage: 283 MB Total REAL time to MAP completion: 22 secs Total CPU time to MAP completion (all processors): 22 secs Table of Contents ----------------- Section 1 - Errors Section 2 - Warnings Section 3 - Informational Section 4 - Removed Logic Summary Section 5 - Removed Logic Section 6 - IOB Properties Section 7 - RPMs Section 8 - Guide Report Section 9 - Area Group and Partition Summary Section 10 - Timing Report Section 11 - Configuration String Information Section 12 - Control Set Information Section 13 - Utilization by Hierarchy Section 1 - Errors ------------------ Section 2 - Warnings -------------------- Section 3 - Informational ------------------------- INFO:Map:284 - Map is running with the multi-threading option on. Map currently supports the use of up to 2 processors. Based on the the user options and machine load, Map will use 2 processors during this run. INFO:LIT:243 - Logical network FSB_A<31> has no load. INFO:LIT:395 - The above info message is repeated 50 more times for the following (max. 5 shown): FSB_A<29>, FSB_A<27>, FSB_A<26>, FSB_A<1>, FSB_A<0> To see the details of these info messages, please use the -detail switch. INFO:MapLib:562 - No environment variables are currently set. INFO:Pack:1716 - Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) INFO:Pack:1720 - Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.260 Volts) INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report (.mrp). INFO:Pack:1650 - Map created a placed design. Section 4 - Removed Logic Summary --------------------------------- 42 block(s) removed 2 block(s) optimized away 62 signal(s) removed Section 5 - Removed Logic ------------------------- The trimmed logic report below shows the logic removed from your design due to sourceless or loadless signals, and VCC or ground connections. If the removal of a signal or symbol results in the subsequent removal of an additional signal or symbol, the message explaining that second removal will be indented. This indentation will be repeated as a chain of related logic is removed. To quickly locate the original cause for the removal of a chain of logic, look above the place where that logic is listed in the trimming report, then locate the lines that are least indented (begin at the leftmost edge). The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<0>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_0" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<1>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_1" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<2>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_2" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<3>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_3" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<4>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_4" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<5>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_5" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<6>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_6" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<7>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_7" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<8>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_8" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<9>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_9" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<10>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_10" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<11>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_11" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<12>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_12" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<13>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_13" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<14>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_14" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<15>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_15" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<16>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_16" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<17>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_17" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<18>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_18" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int<19>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qdpo_int_19" (FF) removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<0>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_0" (FF) removed. The signal "prefetch/tag/spo<0>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<1>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_1" (FF) removed. The signal "prefetch/tag/spo<1>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<2>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_2" (FF) removed. The signal "prefetch/tag/spo<2>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<3>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_3" (FF) removed. The signal "prefetch/tag/spo<3>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<4>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_4" (FF) removed. The signal "prefetch/tag/spo<4>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<5>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_5" (FF) removed. The signal "prefetch/tag/spo<5>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<6>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_6" (FF) removed. The signal "prefetch/tag/spo<6>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<7>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_7" (FF) removed. The signal "prefetch/tag/spo<7>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<8>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_8" (FF) removed. The signal "prefetch/tag/spo<8>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<9>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_9" (FF) removed. The signal "prefetch/tag/spo<9>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<10>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_10" (FF) removed. The signal "prefetch/tag/spo<10>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<11>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_11" (FF) removed. The signal "prefetch/tag/spo<11>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<12>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_12" (FF) removed. The signal "prefetch/tag/spo<12>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<13>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_13" (FF) removed. The signal "prefetch/tag/spo<13>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<14>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_14" (FF) removed. The signal "prefetch/tag/spo<14>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<15>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_15" (FF) removed. The signal "prefetch/tag/spo<15>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<16>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_16" (FF) removed. The signal "prefetch/tag/spo<16>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<17>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_17" (FF) removed. The signal "prefetch/tag/spo<17>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<18>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_18" (FF) removed. The signal "prefetch/tag/spo<18>" is loadless and has been removed. The signal "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int<19>" is loadless and has been removed. Loadless block "prefetch/tag/U0/xst_options.dist_mem_inst/gen_dp_ram.dpram_inst/qspo_int_19" (FF) removed. The signal "prefetch/tag/spo<19>" is loadless and has been removed. The signal "cg/pll/pll_base_inst/N2" is sourceless and has been removed. The signal "cg/pll/pll_base_inst/N3" is sourceless and has been removed. Unused block "cg/pll/pll_base_inst/XST_GND" (ZERO) removed. Unused block "cg/pll/pll_base_inst/XST_VCC" (ONE) removed. Optimized Block(s): TYPE BLOCK GND XST_GND VCC XST_VCC To enable printing of redundant blocks removed and signals merged, set the detailed map report option and rerun map. Section 6 - IOB Properties -------------------------- +---------------------------------------------------------------------------------------------------------------------------------------------------------+ | IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB | | | | | | Term | Strength | Rate | | | Delay | +---------------------------------------------------------------------------------------------------------------------------------------------------------+ | CLKFB_IN | IOB | INPUT | LVCMOS25 | | | | | | | | CLKFB_OUT | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | | CLKIN | IOB | INPUT | LVCMOS25 | | | | | | | | CPUCLK | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | | CPU_nSTERM | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | | | | | FPUCLK | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | | FSB_A<2> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<3> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<4> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<5> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<6> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<7> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<8> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<9> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<10> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<11> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<12> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<13> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<14> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<15> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<16> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<17> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<18> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<19> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<20> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<21> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<22> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<23> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<24> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<25> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<28> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_A<30> | IOB | INPUT | LVCMOS33 | | | | | | | | FSB_D<0> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<1> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<2> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<3> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<4> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<5> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<6> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<7> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<8> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<9> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<10> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<11> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<12> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<13> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<14> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<15> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<16> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<17> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<18> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<19> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<20> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<21> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<22> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<23> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<24> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<25> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<26> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<27> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<28> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<29> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<30> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | FSB_D<31> | IOB | OUTPUT | LVCMOS33 | | 8 | SLOW | | | | | RAMCLK0 | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | | RAMCLK1 | IOB | OUTPUT | LVCMOS33 | | 24 | FAST | ODDR | | | +---------------------------------------------------------------------------------------------------------------------------------------------------------+ Section 7 - RPMs ---------------- Section 8 - Guide Report ------------------------ Guide not run on this design. Section 9 - Area Group and Partition Summary -------------------------------------------- Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- Area Group Information ---------------------- No area groups were found in this design. ---------------------- Section 10 - Timing Report -------------------------- A logic-level (pre-route) timing report can be generated by using Xilinx static timing analysis tools, Timing Analyzer (GUI) or TRCE (command line), with the mapped NCD and PCF files. Please note that this timing report will be generated using estimated delay information. For accurate numbers, please generate a timing report with the post Place and Route NCD file. For more information about the Timing Analyzer, consult the Xilinx Timing Analyzer Reference Manual; for more information about TRCE, consult the Xilinx Command Line Tools User Guide "TRACE" chapter. Section 11 - Configuration String Details ----------------------------------------- Use the "-detail" map option to print out Configuration Strings Section 12 - Control Set Information ------------------------------------ Use the "-detail" map option to print out Control Set Information. Section 13 - Utilization by Hierarchy ------------------------------------- Use the "-detail" map option to print out the Utilization by Hierarchy section.