greenscsi/hardware/mvp/GreenSCSI.kicad_pcb

2039 lines
164 KiB
Plaintext

(kicad_pcb (version 20171130) (host pcbnew "(5.1.10)-1")
(general
(thickness 1.6)
(drawings 97)
(tracks 137)
(zones 0)
(modules 11)
(nets 23)
)
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user hide)
(47 F.CrtYd user hide)
(48 B.Fab user hide)
(49 F.Fab user hide)
)
(setup
(last_trace_width 0.25)
(trace_clearance 0.2)
(zone_clearance 0.4)
(zone_45_only no)
(trace_min 0.2)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(edge_width 0.05)
(segment_width 0.2)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.12)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 1.7 1.7)
(pad_drill 1)
(pad_to_mask_clearance 0)
(aux_axis_origin 0 0)
(visible_elements FFFFFF7F)
(pcbplotparams
(layerselection 0x010fc_ffffffff)
(usegerberextensions true)
(usegerberattributes false)
(usegerberadvancedattributes false)
(creategerberjobfile false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15.000000)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk true)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory "GreenSCSI/"))
)
(net 0 "")
(net 1 /+5V)
(net 2 /TERMPWR)
(net 3 /~DBP)
(net 4 /~DB3)
(net 5 /~DB2)
(net 6 /~ATN)
(net 7 /~BSY)
(net 8 /~ACK)
(net 9 /~RST)
(net 10 /~MSG)
(net 11 /~SEL)
(net 12 /~C~\D)
(net 13 /~REQ)
(net 14 /~I~\O)
(net 15 /~DB1)
(net 16 /~DB0)
(net 17 /GND)
(net 18 /~DB5)
(net 19 /~DB7)
(net 20 /~DB6)
(net 21 /~DB4)
(net 22 "Net-(P4-Pad34)")
(net_class Default "This is the default net class."
(clearance 0.2)
(trace_width 0.25)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /+5V)
(add_net /GND)
(add_net /TERMPWR)
(add_net /~ACK)
(add_net /~ATN)
(add_net /~BSY)
(add_net /~C~\D)
(add_net /~DB0)
(add_net /~DB1)
(add_net /~DB2)
(add_net /~DB3)
(add_net /~DB4)
(add_net /~DB5)
(add_net /~DB6)
(add_net /~DB7)
(add_net /~DBP)
(add_net /~I~\O)
(add_net /~MSG)
(add_net /~REQ)
(add_net /~RST)
(add_net /~SEL)
(add_net "Net-(P4-Pad34)")
)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical (layer F.Cu) (tedit 61983862) (tstamp 6198BD92)
(at 69.85 93.98 90)
(descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x04 2.54mm single row")
(path /6198359E)
(fp_text reference U1I (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at 0 9.95 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 3.81) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(pad G thru_hole rect (at 0 0 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad DE thru_hole rect (at 0 2.54 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad DC thru_hole rect (at 0 5.08 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad DB thru_hole rect (at 0 7.62 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical (layer F.Cu) (tedit 61982AB0) (tstamp 6198B11C)
(at 69.85 88.9 90)
(descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x04 2.54mm single row")
(path /6198359E)
(fp_text reference U1H (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at 0 9.95 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 3.81) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(pad 54 thru_hole rect (at 0 7.62 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 55 thru_hole rect (at 0 5.08 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 56 thru_hole rect (at 0 2.54 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 57 thru_hole rect (at 0 0 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical (layer F.Cu) (tedit 619827E1) (tstamp 6198B0E8)
(at 102.87 88.9 90)
(descr "Through hole straight pin header, 1x05, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x05 2.54mm single row")
(path /6198359E)
(fp_text reference U1F (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at 0 9.95 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 11.43) (end -1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 11.43) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 11.49) (end 1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 11.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 11.95) (end 1.8 11.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 11.95) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 3.81) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 50 thru_hole rect (at 0 0 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 49 thru_hole rect (at 0 2.54 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 48 thru_hole rect (at 0 5.08 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 47 thru_hole rect (at 0 7.62 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 3V3 thru_hole rect (at 0 10.16 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x05_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical (layer F.Cu) (tedit 61983869) (tstamp 6198A066)
(at 102.87 93.98 90)
(descr "Through hole straight pin header, 1x05, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x05 2.54mm single row")
(path /6198359E)
(fp_text reference U1E (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at 0 9.95 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 3.81) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 11.43) (end -1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 11.43) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 11.49) (end 1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 11.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 11.95) (end 1.8 11.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 11.95) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(pad G thru_hole rect (at 0 10.16 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 46 thru_hole rect (at 0 7.62 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask)
(net 18 /~DB5))
(pad 45 thru_hole rect (at 0 5.08 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask)
(net 19 /~DB7))
(pad 44 thru_hole rect (at 0 2.54 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask)
(net 20 /~DB6))
(pad 43 thru_hole rect (at 0 0 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask)
(net 21 /~DB4))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x05_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 619827AC) (tstamp 6198AFCF)
(at 92.71 93.98 90)
(descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x03 2.54mm single row")
(path /6198359E)
(fp_text reference U1D (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at 0 9.95 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 3.81) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 40 thru_hole rect (at 0 0 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 41 thru_hole rect (at 0 2.54 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 42 thru_hole rect (at 0 5.08 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x03_P2.54mm_Vertical (layer F.Cu) (tedit 619827BD) (tstamp 6198AED8)
(at 92.71 88.9 90)
(descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x03 2.54mm single row")
(path /6198359E)
(fp_text reference U1G (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at 0 9.95 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 3.81) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(pad 51 thru_hole rect (at 0 5.08 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 52 thru_hole rect (at 0 2.54 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(pad 53 thru_hole rect (at 0 0 90) (size 3.2 1.7) (drill oval 2.5 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x03_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x24_P2.54mm_Vertical (layer F.Cu) (tedit 61983874) (tstamp 6198BCBE)
(at 54.61 85.09 90)
(descr "Through hole straight pin header, 1x24, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x24 2.54mm single row")
(path /61984761)
(fp_text reference U1B (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at -2.54 26.67 180) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 26.67) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 59.69) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 59.69) (end -1.27 59.69) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 59.69) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 59.75) (end 1.33 59.75) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 59.75) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 59.75) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 60.2) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 60.2) (end 1.8 60.2) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 60.2) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(pad 33 thru_hole oval (at 0 58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 34 thru_hole oval (at 0 55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 35 thru_hole oval (at 0 53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 36 thru_hole oval (at 0 50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 37 thru_hole oval (at 0 48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 38 thru_hole oval (at 0 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 39 thru_hole oval (at 0 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad DA0 thru_hole oval (at 0 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad DA1 thru_hole oval (at 0 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad G thru_hole oval (at 0 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 13 thru_hole oval (at 0 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 14 thru_hole oval (at 0 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 15 thru_hole oval (at 0 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 16 thru_hole oval (at 0 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 17 thru_hole oval (at 0 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 18 thru_hole oval (at 0 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 19 thru_hole oval (at 0 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 20 thru_hole oval (at 0 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 21 thru_hole oval (at 0 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 22 thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 23 thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3V3 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad AG thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 5V thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 1 /+5V))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x24_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x24_P2.54mm_Vertical (layer F.Cu) (tedit 61982F2F) (tstamp 6198C0E6)
(at 54.61 100.33 90)
(descr "Through hole straight pin header, 1x24, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x24 2.54mm single row")
(path /61983D48)
(fp_text reference U1A (at 0 -2.33 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value "Teensy 3.5" (at 7.62 0 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 26.67) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 59.69) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 59.69) (end -1.27 59.69) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 59.69) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 59.75) (end 1.33 59.75) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 59.75) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 59.75) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 60.2) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 60.2) (end 1.8 60.2) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 60.2) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(pad 32 thru_hole oval (at 0 58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 3 /~DBP))
(pad 31 thru_hole oval (at 0 55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 30 thru_hole oval (at 0 53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 4 /~DB3))
(pad 29 thru_hole oval (at 0 50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 /~DB2))
(pad 28 thru_hole oval (at 0 48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 27 thru_hole oval (at 0 45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 26 thru_hole oval (at 0 43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 25 thru_hole oval (at 0 40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 24 thru_hole oval (at 0 38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3V3 thru_hole oval (at 0 35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 12 thru_hole oval (at 0 33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 6 /~ATN))
(pad 11 thru_hole oval (at 0 30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 10 thru_hole oval (at 0 27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 /~BSY))
(pad 9 thru_hole oval (at 0 25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 8 /~ACK))
(pad 8 thru_hole oval (at 0 22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 /~RST))
(pad 7 thru_hole oval (at 0 20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 10 /~MSG))
(pad 6 thru_hole oval (at 0 17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 11 /~SEL))
(pad 5 thru_hole oval (at 0 15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 12 /~C~\D))
(pad 4 thru_hole oval (at 0 12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 13 /~REQ))
(pad 3 thru_hole oval (at 0 10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 14 /~I~\O))
(pad 2 thru_hole oval (at 0 7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 1 thru_hole oval (at 0 5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 15 /~DB1))
(pad 0 thru_hole oval (at 0 2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 /~DB0))
(pad G thru_hole circle (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x24_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x05_P2.54mm_Vertical (layer F.Cu) (tedit 61983AA5) (tstamp 6198A92D)
(at 100.33 97.79 180)
(descr "Through hole straight pin header, 1x05, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x05 2.54mm single row")
(path /6198359E)
(fp_text reference U1C (at 0 -2.33) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Teensy (at 0 9.95) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 3.81 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 -1.27) (end 1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start 1.27 11.43) (end -1.27 11.43) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 11.43) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
(fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_line (start -1.33 11.49) (end 1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end -1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start 1.33 1.27) (end 1.33 11.49) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.Fab) (width 0.12))
(fp_line (start -1.8 -1.8) (end -1.8 11.95) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 11.95) (end 1.8 11.95) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 11.95) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(pad B+ thru_hole oval (at 0 10.16 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 3V3 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad G thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad P thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad R thru_hole circle (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x05_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_2x25_P2.54mm_Vertical (layer B.Cu) (tedit 6198402E) (tstamp 6198891C)
(at 114.3 109.22 90)
(descr "Through hole straight pin header, 2x25, 2.54mm pitch, double rows")
(tags "Through hole pin header THT 2x25 2.54mm double row")
(path /61981AC2)
(fp_text reference P4 (at 5.08 0) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value "Single Ended SCSI" (at 4.445 -30.48) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 5.31 -30.48) (layer B.Fab) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start 0 1.27) (end 3.81 1.27) (layer B.Fab) (width 0.1))
(fp_line (start 3.81 1.27) (end 3.81 -62.23) (layer B.Fab) (width 0.1))
(fp_line (start 3.81 -62.23) (end -1.27 -62.23) (layer B.Fab) (width 0.1))
(fp_line (start -1.27 -62.23) (end -1.27 0) (layer B.Fab) (width 0.1))
(fp_line (start -1.27 0) (end 0 1.27) (layer B.Fab) (width 0.1))
(fp_line (start -1.33 -62.29) (end 3.87 -62.29) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 -1.27) (end -1.33 -62.29) (layer B.Fab) (width 0.12))
(fp_line (start 3.87 1.33) (end 3.87 -62.29) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 -1.27) (end 1.27 -1.27) (layer B.Fab) (width 0.12))
(fp_line (start 1.27 -1.27) (end 1.27 1.33) (layer B.Fab) (width 0.12))
(fp_line (start 1.27 1.33) (end 3.87 1.33) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 1.33) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 1.33) (end 0 1.33) (layer B.Fab) (width 0.12))
(fp_line (start -1.8 1.8) (end -1.8 -62.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 -62.75) (end 4.35 -62.75) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.35 -62.75) (end 4.35 1.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.35 1.8) (end -1.8 1.8) (layer B.CrtYd) (width 0.05))
(pad 50 thru_hole oval (at 2.54 -60.96 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 14 /~I~\O))
(pad 49 thru_hole oval (at 0 -60.96 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 48 thru_hole oval (at 2.54 -58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 13 /~REQ))
(pad 47 thru_hole oval (at 0 -58.42 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 46 thru_hole oval (at 2.54 -55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 12 /~C~\D))
(pad 45 thru_hole oval (at 0 -55.88 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 44 thru_hole oval (at 2.54 -53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 11 /~SEL))
(pad 43 thru_hole oval (at 0 -53.34 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 42 thru_hole oval (at 2.54 -50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 10 /~MSG))
(pad 41 thru_hole oval (at 0 -50.8 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 40 thru_hole oval (at 2.54 -48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 9 /~RST))
(pad 39 thru_hole oval (at 0 -48.26 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 38 thru_hole oval (at 2.54 -45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 8 /~ACK))
(pad 37 thru_hole oval (at 0 -45.72 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 36 thru_hole oval (at 2.54 -43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 /~BSY))
(pad 35 thru_hole oval (at 0 -43.18 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 34 thru_hole oval (at 2.54 -40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 22 "Net-(P4-Pad34)"))
(pad 33 thru_hole oval (at 0 -40.64 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 32 thru_hole oval (at 2.54 -38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 6 /~ATN))
(pad 31 thru_hole oval (at 0 -38.1 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 30 thru_hole oval (at 2.54 -35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 29 thru_hole oval (at 0 -35.56 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 28 thru_hole oval (at 2.54 -33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 27 thru_hole oval (at 0 -33.02 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 26 thru_hole oval (at 2.54 -30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 /TERMPWR))
(pad 25 thru_hole oval (at 0 -30.48 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 24 thru_hole oval (at 2.54 -27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 23 thru_hole oval (at 0 -27.94 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 22 thru_hole oval (at 2.54 -25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 21 thru_hole oval (at 0 -25.4 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 20 thru_hole oval (at 2.54 -22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 19 thru_hole oval (at 0 -22.86 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 18 thru_hole oval (at 2.54 -20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 3 /~DBP))
(pad 17 thru_hole oval (at 0 -20.32 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 16 thru_hole oval (at 2.54 -17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 19 /~DB7))
(pad 15 thru_hole oval (at 0 -17.78 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 14 thru_hole oval (at 2.54 -15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 20 /~DB6))
(pad 13 thru_hole oval (at 0 -15.24 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 12 thru_hole oval (at 2.54 -12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 18 /~DB5))
(pad 11 thru_hole oval (at 0 -12.7 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 10 thru_hole oval (at 2.54 -10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 21 /~DB4))
(pad 9 thru_hole oval (at 0 -10.16 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 8 thru_hole oval (at 2.54 -7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 4 /~DB3))
(pad 7 thru_hole oval (at 0 -7.62 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 6 thru_hole oval (at 2.54 -5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 5 /~DB2))
(pad 5 thru_hole oval (at 0 -5.08 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 4 thru_hole oval (at 2.54 -2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 15 /~DB1))
(pad 3 thru_hole oval (at 0 -2.54 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(pad 2 thru_hole oval (at 2.54 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 16 /~DB0))
(pad 1 thru_hole rect (at 0 0 90) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 17 /GND))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_2x25_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Connector_PinHeader_2.54mm:PinHeader_1x02_P2.54mm_Vertical (layer B.Cu) (tedit 61982957) (tstamp 61988707)
(at 54.61 93.98)
(descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row")
(tags "Through hole pin header THT 1x02 2.54mm single row")
(path /619D027D)
(fp_text reference J1 (at 0 2.33) (layer B.Fab) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value TERMPWR (at 3.175 -1.27 90) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text user %R (at 0 -1.27 -90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -0.635 1.27) (end 1.27 1.27) (layer B.Fab) (width 0.1))
(fp_line (start 1.27 1.27) (end 1.27 -3.81) (layer B.Fab) (width 0.1))
(fp_line (start 1.27 -3.81) (end -1.27 -3.81) (layer B.Fab) (width 0.1))
(fp_line (start -1.27 -3.81) (end -1.27 0.635) (layer B.Fab) (width 0.1))
(fp_line (start -1.27 0.635) (end -0.635 1.27) (layer B.Fab) (width 0.1))
(fp_line (start -1.33 -3.87) (end 1.33 -3.87) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 -1.27) (end -1.33 -3.87) (layer B.Fab) (width 0.12))
(fp_line (start 1.33 -1.27) (end 1.33 -3.87) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 -1.27) (end 1.33 -1.27) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 0) (end -1.33 1.33) (layer B.Fab) (width 0.12))
(fp_line (start -1.33 1.33) (end 0 1.33) (layer B.Fab) (width 0.12))
(fp_line (start -1.8 1.8) (end -1.8 -4.35) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.8 -4.35) (end 1.8 -4.35) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.8 -4.35) (end 1.8 1.8) (layer B.CrtYd) (width 0.05))
(fp_line (start 1.8 1.8) (end -1.8 1.8) (layer B.CrtYd) (width 0.05))
(pad 2 smd rect (at 0 -2.54) (size 3.2 1.7) (layers B.Cu B.Paste B.Mask)
(net 1 /+5V))
(pad 1 smd rect (at 0 0) (size 3.2 1.7) (layers B.Cu B.Paste B.Mask)
(net 2 /TERMPWR))
(model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x02_P2.54mm_Vertical.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(gr_text Pin1 (at 114.935 104.14 90) (layer B.SilkS)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_line (start 113.03 110.49) (end 115.57 110.49) (layer B.SilkS) (width 0.12) (tstamp 6198EB57))
(gr_text LED (at 87.63 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 14 (at 85.09 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 15 (at 82.55 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 16 (at 80.01 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 17 (at 77.47 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 18 (at 74.93 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 19 (at 72.39 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 20 (at 69.85 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 21 (at 67.31 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 22 (at 64.77 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 23 (at 62.23 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 3.3v (at 59.69 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text AGND (at 57.15 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text VIN (at 54.61 86.614) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 57 (at 69.85 91.186) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 56 (at 72.39 91.186) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 54 (at 77.47 91.186) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 55 (at 74.93 91.186) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_line (start 71.374 97.282) (end 73.152 97.282) (layer B.SilkS) (width 0.12))
(gr_line (start 71.374 95.758) (end 71.374 97.282) (layer B.SilkS) (width 0.12))
(gr_line (start 78.486 97.282) (end 76.708 97.282) (layer B.SilkS) (width 0.12))
(gr_line (start 78.486 95.758) (end 78.486 97.282) (layer B.SilkS) (width 0.12))
(gr_text DEBUG (at 74.93 97.282) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text DB (at 77.47 96.266) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text DC (at 74.93 96.266) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text DE (at 72.39 96.266) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text GND (at 69.85 96.266) (layer B.SilkS) (tstamp 6198DDE6)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text GND (at 54.61 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB0 (at 57.15 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB1 (at 59.69 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~I~/O (at 64.77 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~REQ (at 67.31 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~C~/D (at 69.85 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~SEL (at 72.39 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~MSG (at 74.93 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~RST (at 77.47 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~ACK (at 80.01 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~BSY (at 82.55 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~ATN (at 87.63 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text GND (at 90.17 86.614) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 3.3v (at 113.03 91.186) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text GND (at 113.03 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB2 (at 105.41 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB3 (at 107.95 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DBP (at 113.03 98.806) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB5 (at 110.49 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB7 (at 107.95 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB6 (at 105.41 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text ~DB4 (at 102.87 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 40 (at 92.71 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 41 (at 95.25 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 42 (at 97.79 96.266) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 53 (at 92.71 91.186) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 52 (at 95.25 91.186) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 51 (at 97.79 91.186) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text DA1 (at 92.71 86.614) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text DA0 (at 95.25 86.614) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 39 (at 97.79 86.614) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 37 (at 102.87 86.614) (layer B.SilkS) (tstamp 6198DD9B)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 36 (at 105.41 86.614) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 35 (at 107.95 86.614) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 34 (at 110.49 86.614) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 33 (at 113.03 86.614) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 50 (at 102.87 91.186) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 49 (at 105.41 91.186) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 48 (at 107.95 91.186) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 47 (at 110.49 91.186) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 31 (at 110.49 98.806) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 28 (at 102.87 98.806) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 26 (at 97.79 98.806) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 25 (at 95.25 98.806) (layer B.SilkS) (tstamp 6198D8AF)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 24 (at 92.71 98.806) (layer B.SilkS) (tstamp 6198D8AC)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 3.3v (at 90.17 98.806) (layer B.SilkS)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 11 (at 85.09 98.806) (layer B.SilkS) (tstamp 6198DD7C)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text 2 (at 62.23 98.806) (layer B.SilkS)
(effects (font (size 0.6 0.6) (thickness 0.1)) (justify mirror))
)
(gr_text JLCJLCJLCJLC (at 85.09 92.71) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(gr_line (start 115.57 110.49) (end 115.57 102.87) (layer B.SilkS) (width 0.12))
(gr_text "GreenSCSI\nRev 1.0 MVP\n\nCopyright 2021\nDavid Kuder" (at 85.09 92.71) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(gr_line (start 53.34 101.6) (end 53.34 83.82) (layer F.SilkS) (width 0.12) (tstamp 6198D761))
(gr_arc (start 114.935 97.79) (end 115.57 97.79) (angle 90) (layer F.SilkS) (width 0.12) (tstamp 6198D74E))
(gr_arc (start 114.935 87.63) (end 114.935 86.995) (angle 90) (layer F.SilkS) (width 0.12))
(gr_line (start 115.57 87.63) (end 115.57 97.79) (layer F.SilkS) (width 0.12))
(gr_line (start 114.935 86.995) (end 114.3 86.995) (layer F.SilkS) (width 0.12))
(gr_line (start 114.935 98.425) (end 114.935 86.995) (layer F.SilkS) (width 0.12))
(gr_line (start 114.3 98.425) (end 114.935 98.425) (layer F.SilkS) (width 0.12))
(gr_line (start 52.705 89.535) (end 53.34 89.535) (layer F.SilkS) (width 0.12))
(gr_line (start 52.705 95.885) (end 52.705 89.535) (layer F.SilkS) (width 0.12))
(gr_line (start 53.34 95.885) (end 52.705 95.885) (layer F.SilkS) (width 0.12))
(gr_line (start 114.3 101.6) (end 53.34 101.6) (layer F.SilkS) (width 0.12))
(gr_line (start 114.3 83.82) (end 114.3 101.6) (layer F.SilkS) (width 0.12))
(gr_line (start 53.34 83.82) (end 114.3 83.82) (layer F.SilkS) (width 0.12))
(gr_line (start 116.205 83.185) (end 51.435 83.185) (layer Edge.Cuts) (width 0.15) (tstamp 6198A8D1))
(gr_line (start 116.205 111.125) (end 116.205 83.185) (layer Edge.Cuts) (width 0.15))
(gr_line (start 51.435 111.125) (end 116.205 111.125) (layer Edge.Cuts) (width 0.15))
(gr_line (start 51.435 83.185) (end 51.435 111.125) (layer Edge.Cuts) (width 0.15))
(segment (start 54.61 85.09) (end 54.61 91.44) (width 0.25) (layer B.Cu) (net 1) (status 30))
(via (at 54.61 97.155012) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2))
(segment (start 54.61 93.98) (end 54.61 97.155012) (width 0.25) (layer B.Cu) (net 2))
(segment (start 83.82 106.68) (end 85.09 105.41) (width 0.25) (layer F.Cu) (net 2))
(segment (start 85.09 105.41) (end 88.9 101.6) (width 0.25) (layer F.Cu) (net 2))
(segment (start 88.9 101.6) (end 88.9 99.695) (width 0.25) (layer F.Cu) (net 2))
(segment (start 88.9 99.695) (end 86.995 97.79) (width 0.25) (layer F.Cu) (net 2))
(segment (start 86.995 97.79) (end 55.244988 97.79) (width 0.25) (layer F.Cu) (net 2))
(segment (start 55.244988 97.79) (end 54.61 97.155012) (width 0.25) (layer F.Cu) (net 2))
(segment (start 113.03 107.315) (end 113.03 100.33) (width 0.25) (layer B.Cu) (net 3) (status 20))
(segment (start 112.395 107.95) (end 113.03 107.315) (width 0.25) (layer B.Cu) (net 3))
(segment (start 95.25 107.95) (end 112.395 107.95) (width 0.25) (layer B.Cu) (net 3))
(segment (start 93.98 106.68) (end 95.25 107.95) (width 0.25) (layer B.Cu) (net 3) (status 10))
(segment (start 107.95 100.33) (end 107.95 104.14) (width 0.25) (layer B.Cu) (net 4) (status 10))
(segment (start 106.68 105.41) (end 106.68 106.68) (width 0.25) (layer B.Cu) (net 4) (status 20))
(segment (start 107.95 104.14) (end 106.68 105.41) (width 0.25) (layer B.Cu) (net 4))
(segment (start 109.22 106.68) (end 109.22 99.314) (width 0.25) (layer B.Cu) (net 5) (status 10))
(segment (start 106.585001 99.154999) (end 105.41 100.33) (width 0.25) (layer B.Cu) (net 5) (status 20))
(segment (start 109.060999 99.154999) (end 106.585001 99.154999) (width 0.25) (layer B.Cu) (net 5))
(segment (start 109.22 99.314) (end 109.060999 99.154999) (width 0.25) (layer B.Cu) (net 5))
(segment (start 87.63 101.6) (end 87.63 100.33) (width 0.25) (layer F.Cu) (net 6) (status 20))
(segment (start 84.455 104.775) (end 87.63 101.6) (width 0.25) (layer F.Cu) (net 6))
(segment (start 76.835 104.775) (end 84.455 104.775) (width 0.25) (layer F.Cu) (net 6))
(segment (start 76.2 105.41) (end 76.835 104.775) (width 0.25) (layer F.Cu) (net 6))
(segment (start 76.2 106.68) (end 76.2 105.41) (width 0.25) (layer F.Cu) (net 6) (status 10))
(segment (start 80.01 104.14) (end 82.55 101.6) (width 0.25) (layer B.Cu) (net 7))
(segment (start 82.55 101.6) (end 82.55 100.33) (width 0.25) (layer B.Cu) (net 7) (status 20))
(segment (start 72.39 104.14) (end 80.01 104.14) (width 0.25) (layer B.Cu) (net 7))
(segment (start 71.12 105.41) (end 72.39 104.14) (width 0.25) (layer B.Cu) (net 7))
(segment (start 71.12 106.68) (end 71.12 105.41) (width 0.25) (layer B.Cu) (net 7) (status 10))
(segment (start 80.01 101.6) (end 80.01 100.33) (width 0.25) (layer F.Cu) (net 8) (status 20))
(segment (start 77.47 104.14) (end 80.01 101.6) (width 0.25) (layer F.Cu) (net 8))
(segment (start 69.977 104.14) (end 77.47 104.14) (width 0.25) (layer F.Cu) (net 8))
(segment (start 68.58 105.537) (end 69.977 104.14) (width 0.25) (layer F.Cu) (net 8))
(segment (start 68.58 106.68) (end 68.58 105.537) (width 0.25) (layer F.Cu) (net 8) (status 10))
(segment (start 77.47 101.6) (end 77.47 100.33) (width 0.25) (layer B.Cu) (net 9) (status 20))
(segment (start 75.565 103.505) (end 77.47 101.6) (width 0.25) (layer B.Cu) (net 9))
(segment (start 67.945 103.505) (end 75.565 103.505) (width 0.25) (layer B.Cu) (net 9))
(segment (start 66.04 105.41) (end 67.945 103.505) (width 0.25) (layer B.Cu) (net 9))
(segment (start 66.04 106.68) (end 66.04 105.41) (width 0.25) (layer B.Cu) (net 9) (status 10))
(segment (start 74.93 101.6) (end 74.93 100.33) (width 0.25) (layer F.Cu) (net 10) (status 20))
(segment (start 73.025 103.505) (end 74.93 101.6) (width 0.25) (layer F.Cu) (net 10))
(segment (start 65.405 103.505) (end 73.025 103.505) (width 0.25) (layer F.Cu) (net 10))
(segment (start 63.5 105.41) (end 65.405 103.505) (width 0.25) (layer F.Cu) (net 10))
(segment (start 63.5 106.68) (end 63.5 105.41) (width 0.25) (layer F.Cu) (net 10) (status 10))
(segment (start 71.12 102.87) (end 72.39 101.6) (width 0.25) (layer B.Cu) (net 11))
(segment (start 72.39 101.6) (end 72.39 100.33) (width 0.25) (layer B.Cu) (net 11) (status 20))
(segment (start 63.5 102.87) (end 71.12 102.87) (width 0.25) (layer B.Cu) (net 11))
(segment (start 60.96 105.41) (end 63.5 102.87) (width 0.25) (layer B.Cu) (net 11))
(segment (start 60.96 106.68) (end 60.96 105.41) (width 0.25) (layer B.Cu) (net 11) (status 10))
(segment (start 69.85 101.6) (end 69.85 100.33) (width 0.25) (layer F.Cu) (net 12) (status 20))
(segment (start 68.58 102.87) (end 69.85 101.6) (width 0.25) (layer F.Cu) (net 12))
(segment (start 60.96 102.87) (end 68.58 102.87) (width 0.25) (layer F.Cu) (net 12))
(segment (start 58.42 105.41) (end 60.96 102.87) (width 0.25) (layer F.Cu) (net 12))
(segment (start 58.42 106.68) (end 58.42 105.41) (width 0.25) (layer F.Cu) (net 12) (status 10))
(segment (start 59.055 102.235) (end 66.675 102.235) (width 0.25) (layer B.Cu) (net 13))
(segment (start 55.88 105.41) (end 59.055 102.235) (width 0.25) (layer B.Cu) (net 13))
(segment (start 67.31 101.6) (end 67.31 100.33) (width 0.25) (layer B.Cu) (net 13) (status 20))
(segment (start 66.675 102.235) (end 67.31 101.6) (width 0.25) (layer B.Cu) (net 13))
(segment (start 55.88 106.68) (end 55.88 105.41) (width 0.25) (layer B.Cu) (net 13) (status 10))
(segment (start 64.77 101.6) (end 64.77 100.33) (width 0.25) (layer F.Cu) (net 14) (status 20))
(segment (start 64.135 102.235) (end 64.77 101.6) (width 0.25) (layer F.Cu) (net 14))
(segment (start 56.515 102.235) (end 64.135 102.235) (width 0.25) (layer F.Cu) (net 14))
(segment (start 53.34 105.41) (end 56.515 102.235) (width 0.25) (layer F.Cu) (net 14))
(segment (start 53.34 106.68) (end 53.34 105.41) (width 0.25) (layer F.Cu) (net 14) (status 10))
(via (at 102.87 104.775) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 15))
(segment (start 111.125 104.775) (end 102.87 104.775) (width 0.25) (layer F.Cu) (net 15))
(segment (start 111.76 105.41) (end 111.125 104.775) (width 0.25) (layer F.Cu) (net 15))
(segment (start 111.76 106.68) (end 111.76 105.41) (width 0.25) (layer F.Cu) (net 15))
(segment (start 102.235 104.14) (end 102.87 104.775) (width 0.25) (layer B.Cu) (net 15))
(segment (start 86.36 104.14) (end 102.235 104.14) (width 0.25) (layer B.Cu) (net 15))
(segment (start 83.82 101.6) (end 86.36 104.14) (width 0.25) (layer B.Cu) (net 15))
(segment (start 83.82 99.695) (end 83.82 101.6) (width 0.25) (layer B.Cu) (net 15))
(segment (start 83.185 99.06) (end 83.82 99.695) (width 0.25) (layer B.Cu) (net 15))
(segment (start 60.96 99.06) (end 83.185 99.06) (width 0.25) (layer B.Cu) (net 15))
(segment (start 59.69 100.33) (end 60.96 99.06) (width 0.25) (layer B.Cu) (net 15))
(via (at 102.87 103.505) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 16))
(segment (start 112.395 103.505) (end 102.87 103.505) (width 0.25) (layer F.Cu) (net 16))
(segment (start 114.3 105.41) (end 112.395 103.505) (width 0.25) (layer F.Cu) (net 16))
(segment (start 114.3 106.68) (end 114.3 105.41) (width 0.25) (layer F.Cu) (net 16))
(segment (start 88.265 103.505) (end 102.87 103.505) (width 0.25) (layer B.Cu) (net 16))
(segment (start 86.36 101.6) (end 88.265 103.505) (width 0.25) (layer B.Cu) (net 16))
(segment (start 86.36 99.695) (end 86.36 101.6) (width 0.25) (layer B.Cu) (net 16))
(segment (start 85.09 98.425) (end 86.36 99.695) (width 0.25) (layer B.Cu) (net 16))
(segment (start 59.055 98.425) (end 85.09 98.425) (width 0.25) (layer B.Cu) (net 16))
(segment (start 57.15 100.33) (end 59.055 98.425) (width 0.25) (layer B.Cu) (net 16))
(segment (start 91.44 106.68) (end 91.44 102.362) (width 0.25) (layer F.Cu) (net 17))
(segment (start 91.44 97.536) (end 93.98 97.536) (width 0.25) (layer F.Cu) (net 17))
(segment (start 93.98 97.536) (end 93.98 102.362) (width 0.25) (layer F.Cu) (net 17))
(segment (start 54.61 109.22) (end 54.61 100.33) (width 0.25) (layer B.Cu) (net 17))
(segment (start 53.34 109.22) (end 54.61 109.22) (width 0.25) (layer B.Cu) (net 17))
(via (at 114.554 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 111.76 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 106.68 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(segment (start 93.98 102.362) (end 93.98 104.14) (width 0.25) (layer F.Cu) (net 17) (tstamp 6198ECCC))
(via (at 93.98 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(segment (start 91.44 102.362) (end 91.44 97.536) (width 0.25) (layer F.Cu) (net 17) (tstamp 6198ECCE))
(via (at 91.44 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 83.058 103.124) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 52.832 102.616) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 52.578 84.328) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 115.062 84.328) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(segment (start 91.44 97.536) (end 91.44 97.536) (width 0.25) (layer F.Cu) (net 17) (tstamp 6198ECD0))
(via (at 91.44 97.536) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 102.87 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 97.79 97.79) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(segment (start 99.06 93.345) (end 99.695 92.71) (width 0.25) (layer F.Cu) (net 17))
(segment (start 99.06 95.885) (end 99.06 93.345) (width 0.25) (layer F.Cu) (net 17))
(segment (start 99.695 92.71) (end 100.33 92.71) (width 0.25) (layer F.Cu) (net 17))
(segment (start 97.79 97.155) (end 99.06 95.885) (width 0.25) (layer F.Cu) (net 17))
(segment (start 97.79 97.79) (end 97.79 97.155) (width 0.25) (layer F.Cu) (net 17))
(segment (start 93.98 91.44) (end 111.76 91.44) (width 0.25) (layer B.Cu) (net 17))
(segment (start 101.6 86.36) (end 99.06 86.36) (width 0.25) (layer F.Cu) (net 17))
(via (at 97.79 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 100.33 102.235) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(via (at 102.87 98.425) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 17))
(segment (start 97.79 97.79) (end 99.06 96.52) (width 0.25) (layer B.Cu) (net 17))
(segment (start 99.06 96.52) (end 100.965 96.52) (width 0.25) (layer B.Cu) (net 17))
(segment (start 100.965 96.52) (end 102.87 98.425) (width 0.25) (layer B.Cu) (net 17))
(segment (start 110.49 96.012) (end 110.49 93.98) (width 0.25) (layer F.Cu) (net 18))
(segment (start 109.347 97.155) (end 110.49 96.012) (width 0.25) (layer F.Cu) (net 18))
(segment (start 102.87 97.155) (end 109.347 97.155) (width 0.25) (layer F.Cu) (net 18))
(segment (start 101.6 98.425) (end 102.87 97.155) (width 0.25) (layer F.Cu) (net 18))
(segment (start 101.6 106.68) (end 101.6 98.425) (width 0.25) (layer F.Cu) (net 18))
(segment (start 107.315 91.44) (end 107.95 92.075) (width 0.25) (layer F.Cu) (net 19))
(segment (start 97.155 91.44) (end 107.315 91.44) (width 0.25) (layer F.Cu) (net 19))
(segment (start 96.52 92.075) (end 97.155 91.44) (width 0.25) (layer F.Cu) (net 19))
(segment (start 107.95 92.075) (end 107.95 93.98) (width 0.25) (layer F.Cu) (net 19))
(segment (start 96.52 106.68) (end 96.52 92.075) (width 0.25) (layer F.Cu) (net 19))
(segment (start 99.06 97.189999) (end 99.06 106.68) (width 0.25) (layer F.Cu) (net 20) (status 20))
(segment (start 99.729999 96.52) (end 99.06 97.189999) (width 0.25) (layer F.Cu) (net 20))
(segment (start 104.902 96.52) (end 99.729999 96.52) (width 0.25) (layer F.Cu) (net 20))
(segment (start 105.41 96.012) (end 104.902 96.52) (width 0.25) (layer F.Cu) (net 20))
(segment (start 105.41 93.98) (end 105.41 96.012) (width 0.25) (layer F.Cu) (net 20) (status 10))
(segment (start 104.14 106.68) (end 104.14 97.536) (width 0.25) (layer B.Cu) (net 21) (status 10))
(segment (start 102.87 96.266) (end 102.87 93.98) (width 0.25) (layer B.Cu) (net 21) (status 20))
(segment (start 104.14 97.536) (end 102.87 96.266) (width 0.25) (layer B.Cu) (net 21))
(zone (net 17) (net_name /GND) (layer F.Cu) (tstamp 6198EF36) (hatch edge 0.508)
(connect_pads (clearance 0.4))
(min_thickness 0.2)
(fill yes (arc_segments 32) (thermal_gap 0.525) (thermal_bridge_width 0.25))
(polygon
(pts
(xy 116.078 110.998) (xy 51.562 110.998) (xy 51.562 83.312) (xy 116.078 83.312)
)
)
(filled_polygon
(pts
(xy 78.765 106.655) (xy 81.255 106.655) (xy 81.255 106.635) (xy 81.305 106.635) (xy 81.305 106.655)
(xy 81.325 106.655) (xy 81.325 106.705) (xy 81.305 106.705) (xy 81.305 109.195) (xy 81.325 109.195)
(xy 81.325 109.245) (xy 81.305 109.245) (xy 81.305 109.265) (xy 81.255 109.265) (xy 81.255 109.245)
(xy 78.765 109.245) (xy 78.765 109.265) (xy 78.715 109.265) (xy 78.715 109.245) (xy 76.225 109.245)
(xy 76.225 109.265) (xy 76.175 109.265) (xy 76.175 109.245) (xy 73.685 109.245) (xy 73.685 109.265)
(xy 73.635 109.265) (xy 73.635 109.245) (xy 71.145 109.245) (xy 71.145 109.265) (xy 71.095 109.265)
(xy 71.095 109.245) (xy 68.605 109.245) (xy 68.605 109.265) (xy 68.555 109.265) (xy 68.555 109.245)
(xy 66.065 109.245) (xy 66.065 109.265) (xy 66.015 109.265) (xy 66.015 109.245) (xy 63.525 109.245)
(xy 63.525 109.265) (xy 63.475 109.265) (xy 63.475 109.245) (xy 60.985 109.245) (xy 60.985 109.265)
(xy 60.935 109.265) (xy 60.935 109.245) (xy 58.445 109.245) (xy 58.445 109.265) (xy 58.395 109.265)
(xy 58.395 109.245) (xy 55.905 109.245) (xy 55.905 109.265) (xy 55.855 109.265) (xy 55.855 109.245)
(xy 53.365 109.245) (xy 53.365 109.265) (xy 53.315 109.265) (xy 53.315 109.245) (xy 53.295 109.245)
(xy 53.295 109.195) (xy 53.315 109.195) (xy 53.315 109.175) (xy 53.365 109.175) (xy 53.365 109.195)
(xy 55.855 109.195) (xy 55.855 109.175) (xy 55.905 109.175) (xy 55.905 109.195) (xy 58.395 109.195)
(xy 58.395 109.175) (xy 58.445 109.175) (xy 58.445 109.195) (xy 60.935 109.195) (xy 60.935 109.175)
(xy 60.985 109.175) (xy 60.985 109.195) (xy 63.475 109.195) (xy 63.475 109.175) (xy 63.525 109.175)
(xy 63.525 109.195) (xy 66.015 109.195) (xy 66.015 109.175) (xy 66.065 109.175) (xy 66.065 109.195)
(xy 68.555 109.195) (xy 68.555 109.175) (xy 68.605 109.175) (xy 68.605 109.195) (xy 71.095 109.195)
(xy 71.095 109.175) (xy 71.145 109.175) (xy 71.145 109.195) (xy 73.635 109.195) (xy 73.635 109.175)
(xy 73.685 109.175) (xy 73.685 109.195) (xy 76.175 109.195) (xy 76.175 109.175) (xy 76.225 109.175)
(xy 76.225 109.195) (xy 78.715 109.195) (xy 78.715 106.705) (xy 78.765 106.705) (xy 78.765 109.195)
(xy 81.255 109.195) (xy 81.255 106.705) (xy 78.765 106.705) (xy 78.715 106.705) (xy 78.695 106.705)
(xy 78.695 106.655) (xy 78.715 106.655) (xy 78.715 106.635) (xy 78.765 106.635)
)
)
(filled_polygon
(pts
(xy 94.053646 100.969465) (xy 94.201387 101.190575) (xy 94.389425 101.378613) (xy 94.610535 101.526354) (xy 94.85622 101.62812)
(xy 95.117037 101.68) (xy 95.382963 101.68) (xy 95.64378 101.62812) (xy 95.889465 101.526354) (xy 95.895 101.522655)
(xy 95.895 105.477654) (xy 95.880535 105.483646) (xy 95.659425 105.631387) (xy 95.471387 105.819425) (xy 95.323646 106.040535)
(xy 95.25 106.218332) (xy 95.176354 106.040535) (xy 95.028613 105.819425) (xy 94.840575 105.631387) (xy 94.619465 105.483646)
(xy 94.37378 105.38188) (xy 94.112963 105.33) (xy 93.847037 105.33) (xy 93.58622 105.38188) (xy 93.340535 105.483646)
(xy 93.119425 105.631387) (xy 92.931387 105.819425) (xy 92.783646 106.040535) (xy 92.773702 106.064541) (xy 92.684402 105.888109)
(xy 92.506001 105.660554) (xy 92.286634 105.472176) (xy 92.034731 105.330214) (xy 91.759973 105.240123) (xy 91.6954 105.22728)
(xy 91.465 105.361487) (xy 91.465 106.655) (xy 91.485 106.655) (xy 91.485 106.705) (xy 91.465 106.705)
(xy 91.465 109.195) (xy 93.955 109.195) (xy 93.955 109.175) (xy 94.005 109.175) (xy 94.005 109.195)
(xy 96.495 109.195) (xy 96.495 109.175) (xy 96.545 109.175) (xy 96.545 109.195) (xy 99.035 109.195)
(xy 99.035 109.175) (xy 99.085 109.175) (xy 99.085 109.195) (xy 101.575 109.195) (xy 101.575 109.175)
(xy 101.625 109.175) (xy 101.625 109.195) (xy 104.115 109.195) (xy 104.115 109.175) (xy 104.165 109.175)
(xy 104.165 109.195) (xy 106.655 109.195) (xy 106.655 109.175) (xy 106.705 109.175) (xy 106.705 109.195)
(xy 109.195 109.195) (xy 109.195 109.175) (xy 109.245 109.175) (xy 109.245 109.195) (xy 111.735 109.195)
(xy 111.735 109.175) (xy 111.785 109.175) (xy 111.785 109.195) (xy 114.275 109.195) (xy 114.275 109.175)
(xy 114.325 109.175) (xy 114.325 109.195) (xy 114.345 109.195) (xy 114.345 109.245) (xy 114.325 109.245)
(xy 114.325 109.265) (xy 114.275 109.265) (xy 114.275 109.245) (xy 111.785 109.245) (xy 111.785 109.265)
(xy 111.735 109.265) (xy 111.735 109.245) (xy 109.245 109.245) (xy 109.245 109.265) (xy 109.195 109.265)
(xy 109.195 109.245) (xy 106.705 109.245) (xy 106.705 109.265) (xy 106.655 109.265) (xy 106.655 109.245)
(xy 104.165 109.245) (xy 104.165 109.265) (xy 104.115 109.265) (xy 104.115 109.245) (xy 101.625 109.245)
(xy 101.625 109.265) (xy 101.575 109.265) (xy 101.575 109.245) (xy 99.085 109.245) (xy 99.085 109.265)
(xy 99.035 109.265) (xy 99.035 109.245) (xy 96.545 109.245) (xy 96.545 109.265) (xy 96.495 109.265)
(xy 96.495 109.245) (xy 94.005 109.245) (xy 94.005 109.265) (xy 93.955 109.265) (xy 93.955 109.245)
(xy 91.465 109.245) (xy 91.465 109.265) (xy 91.415 109.265) (xy 91.415 109.245) (xy 88.925 109.245)
(xy 88.925 109.265) (xy 88.875 109.265) (xy 88.875 109.245) (xy 86.385 109.245) (xy 86.385 109.265)
(xy 86.335 109.265) (xy 86.335 109.245) (xy 86.315 109.245) (xy 86.315 109.195) (xy 86.335 109.195)
(xy 86.335 106.705) (xy 86.385 106.705) (xy 86.385 109.195) (xy 88.875 109.195) (xy 88.875 106.705)
(xy 88.925 106.705) (xy 88.925 109.195) (xy 91.415 109.195) (xy 91.415 106.705) (xy 88.925 106.705)
(xy 88.875 106.705) (xy 86.385 106.705) (xy 86.335 106.705) (xy 86.315 106.705) (xy 86.315 106.655)
(xy 86.335 106.655) (xy 86.335 105.361487) (xy 86.385 105.361487) (xy 86.385 106.655) (xy 88.875 106.655)
(xy 88.875 105.361487) (xy 88.925 105.361487) (xy 88.925 106.655) (xy 91.415 106.655) (xy 91.415 105.361487)
(xy 91.1846 105.22728) (xy 91.120027 105.240123) (xy 90.845269 105.330214) (xy 90.593366 105.472176) (xy 90.373999 105.660554)
(xy 90.195598 105.888109) (xy 90.17 105.938683) (xy 90.144402 105.888109) (xy 89.966001 105.660554) (xy 89.746634 105.472176)
(xy 89.494731 105.330214) (xy 89.219973 105.240123) (xy 89.1554 105.22728) (xy 88.925 105.361487) (xy 88.875 105.361487)
(xy 88.6446 105.22728) (xy 88.580027 105.240123) (xy 88.305269 105.330214) (xy 88.053366 105.472176) (xy 87.833999 105.660554)
(xy 87.655598 105.888109) (xy 87.63 105.938683) (xy 87.604402 105.888109) (xy 87.426001 105.660554) (xy 87.206634 105.472176)
(xy 86.954731 105.330214) (xy 86.679973 105.240123) (xy 86.6154 105.22728) (xy 86.385 105.361487) (xy 86.335 105.361487)
(xy 86.137461 105.246421) (xy 89.32023 102.063653) (xy 89.34408 102.04408) (xy 89.384633 101.994666) (xy 89.422183 101.948912)
(xy 89.455762 101.886089) (xy 89.480219 101.840334) (xy 89.515957 101.722521) (xy 89.525 101.630704) (xy 89.525 101.630702)
(xy 89.528024 101.6) (xy 89.525 101.569298) (xy 89.525 101.522656) (xy 89.530535 101.526354) (xy 89.77622 101.62812)
(xy 90.037037 101.68) (xy 90.302963 101.68) (xy 90.56378 101.62812) (xy 90.809465 101.526354) (xy 91.030575 101.378613)
(xy 91.218613 101.190575) (xy 91.366354 100.969465) (xy 91.44 100.791668) (xy 91.513646 100.969465) (xy 91.661387 101.190575)
(xy 91.849425 101.378613) (xy 92.070535 101.526354) (xy 92.31622 101.62812) (xy 92.577037 101.68) (xy 92.842963 101.68)
(xy 93.10378 101.62812) (xy 93.349465 101.526354) (xy 93.570575 101.378613) (xy 93.758613 101.190575) (xy 93.906354 100.969465)
(xy 93.98 100.791668)
)
)
(filled_polygon
(pts
(xy 53.567733 83.775825) (xy 53.480871 83.822254) (xy 53.404736 83.884736) (xy 53.342254 83.960871) (xy 53.295825 84.047733)
(xy 53.267235 84.141983) (xy 53.257581 84.24) (xy 53.257581 85.94) (xy 53.267235 86.038017) (xy 53.295825 86.132267)
(xy 53.342254 86.219129) (xy 53.404736 86.295264) (xy 53.480871 86.357746) (xy 53.567733 86.404175) (xy 53.661983 86.432765)
(xy 53.76 86.442419) (xy 55.46 86.442419) (xy 55.558017 86.432765) (xy 55.652267 86.404175) (xy 55.739129 86.357746)
(xy 55.815264 86.295264) (xy 55.877746 86.219129) (xy 55.924175 86.132267) (xy 55.952765 86.038017) (xy 55.962419 85.94)
(xy 55.962419 85.742595) (xy 56.101387 85.950575) (xy 56.289425 86.138613) (xy 56.510535 86.286354) (xy 56.75622 86.38812)
(xy 57.017037 86.44) (xy 57.282963 86.44) (xy 57.54378 86.38812) (xy 57.789465 86.286354) (xy 58.010575 86.138613)
(xy 58.198613 85.950575) (xy 58.346354 85.729465) (xy 58.42 85.551668) (xy 58.493646 85.729465) (xy 58.641387 85.950575)
(xy 58.829425 86.138613) (xy 59.050535 86.286354) (xy 59.29622 86.38812) (xy 59.557037 86.44) (xy 59.822963 86.44)
(xy 60.08378 86.38812) (xy 60.329465 86.286354) (xy 60.550575 86.138613) (xy 60.738613 85.950575) (xy 60.886354 85.729465)
(xy 60.96 85.551668) (xy 61.033646 85.729465) (xy 61.181387 85.950575) (xy 61.369425 86.138613) (xy 61.590535 86.286354)
(xy 61.83622 86.38812) (xy 62.097037 86.44) (xy 62.362963 86.44) (xy 62.62378 86.38812) (xy 62.869465 86.286354)
(xy 63.090575 86.138613) (xy 63.278613 85.950575) (xy 63.426354 85.729465) (xy 63.5 85.551668) (xy 63.573646 85.729465)
(xy 63.721387 85.950575) (xy 63.909425 86.138613) (xy 64.130535 86.286354) (xy 64.37622 86.38812) (xy 64.637037 86.44)
(xy 64.902963 86.44) (xy 65.16378 86.38812) (xy 65.409465 86.286354) (xy 65.630575 86.138613) (xy 65.818613 85.950575)
(xy 65.966354 85.729465) (xy 66.04 85.551668) (xy 66.113646 85.729465) (xy 66.261387 85.950575) (xy 66.449425 86.138613)
(xy 66.670535 86.286354) (xy 66.91622 86.38812) (xy 67.177037 86.44) (xy 67.442963 86.44) (xy 67.70378 86.38812)
(xy 67.949465 86.286354) (xy 68.170575 86.138613) (xy 68.358613 85.950575) (xy 68.506354 85.729465) (xy 68.58 85.551668)
(xy 68.653646 85.729465) (xy 68.801387 85.950575) (xy 68.989425 86.138613) (xy 69.210535 86.286354) (xy 69.45622 86.38812)
(xy 69.717037 86.44) (xy 69.982963 86.44) (xy 70.24378 86.38812) (xy 70.489465 86.286354) (xy 70.710575 86.138613)
(xy 70.898613 85.950575) (xy 71.046354 85.729465) (xy 71.12 85.551668) (xy 71.193646 85.729465) (xy 71.341387 85.950575)
(xy 71.529425 86.138613) (xy 71.750535 86.286354) (xy 71.99622 86.38812) (xy 72.257037 86.44) (xy 72.522963 86.44)
(xy 72.78378 86.38812) (xy 73.029465 86.286354) (xy 73.250575 86.138613) (xy 73.438613 85.950575) (xy 73.586354 85.729465)
(xy 73.66 85.551668) (xy 73.733646 85.729465) (xy 73.881387 85.950575) (xy 74.069425 86.138613) (xy 74.290535 86.286354)
(xy 74.53622 86.38812) (xy 74.797037 86.44) (xy 75.062963 86.44) (xy 75.32378 86.38812) (xy 75.569465 86.286354)
(xy 75.790575 86.138613) (xy 75.978613 85.950575) (xy 76.126354 85.729465) (xy 76.2 85.551668) (xy 76.273646 85.729465)
(xy 76.421387 85.950575) (xy 76.609425 86.138613) (xy 76.830535 86.286354) (xy 77.07622 86.38812) (xy 77.337037 86.44)
(xy 77.602963 86.44) (xy 77.86378 86.38812) (xy 78.109465 86.286354) (xy 78.330575 86.138613) (xy 78.518613 85.950575)
(xy 78.666354 85.729465) (xy 78.74 85.551668) (xy 78.813646 85.729465) (xy 78.961387 85.950575) (xy 79.149425 86.138613)
(xy 79.370535 86.286354) (xy 79.61622 86.38812) (xy 79.877037 86.44) (xy 80.142963 86.44) (xy 80.40378 86.38812)
(xy 80.649465 86.286354) (xy 80.870575 86.138613) (xy 81.058613 85.950575) (xy 81.206354 85.729465) (xy 81.28 85.551668)
(xy 81.353646 85.729465) (xy 81.501387 85.950575) (xy 81.689425 86.138613) (xy 81.910535 86.286354) (xy 82.15622 86.38812)
(xy 82.417037 86.44) (xy 82.682963 86.44) (xy 82.94378 86.38812) (xy 83.189465 86.286354) (xy 83.410575 86.138613)
(xy 83.598613 85.950575) (xy 83.746354 85.729465) (xy 83.82 85.551668) (xy 83.893646 85.729465) (xy 84.041387 85.950575)
(xy 84.229425 86.138613) (xy 84.450535 86.286354) (xy 84.69622 86.38812) (xy 84.957037 86.44) (xy 85.222963 86.44)
(xy 85.48378 86.38812) (xy 85.729465 86.286354) (xy 85.950575 86.138613) (xy 86.138613 85.950575) (xy 86.286354 85.729465)
(xy 86.36 85.551668) (xy 86.433646 85.729465) (xy 86.581387 85.950575) (xy 86.769425 86.138613) (xy 86.990535 86.286354)
(xy 87.23622 86.38812) (xy 87.497037 86.44) (xy 87.762963 86.44) (xy 88.02378 86.38812) (xy 88.269465 86.286354)
(xy 88.490575 86.138613) (xy 88.678613 85.950575) (xy 88.826354 85.729465) (xy 88.836298 85.705459) (xy 88.925598 85.881891)
(xy 89.103999 86.109446) (xy 89.323366 86.297824) (xy 89.575269 86.439786) (xy 89.850027 86.529877) (xy 89.9146 86.54272)
(xy 90.145 86.408513) (xy 90.145 85.115) (xy 90.125 85.115) (xy 90.125 85.065) (xy 90.145 85.065)
(xy 90.145 85.045) (xy 90.195 85.045) (xy 90.195 85.065) (xy 90.215 85.065) (xy 90.215 85.115)
(xy 90.195 85.115) (xy 90.195 86.408513) (xy 90.4254 86.54272) (xy 90.489973 86.529877) (xy 90.764731 86.439786)
(xy 91.016634 86.297824) (xy 91.236001 86.109446) (xy 91.414402 85.881891) (xy 91.503702 85.705459) (xy 91.513646 85.729465)
(xy 91.661387 85.950575) (xy 91.849425 86.138613) (xy 92.070535 86.286354) (xy 92.31622 86.38812) (xy 92.577037 86.44)
(xy 92.842963 86.44) (xy 93.10378 86.38812) (xy 93.349465 86.286354) (xy 93.570575 86.138613) (xy 93.758613 85.950575)
(xy 93.906354 85.729465) (xy 93.98 85.551668) (xy 94.053646 85.729465) (xy 94.201387 85.950575) (xy 94.389425 86.138613)
(xy 94.610535 86.286354) (xy 94.85622 86.38812) (xy 95.117037 86.44) (xy 95.382963 86.44) (xy 95.64378 86.38812)
(xy 95.889465 86.286354) (xy 96.110575 86.138613) (xy 96.298613 85.950575) (xy 96.446354 85.729465) (xy 96.52 85.551668)
(xy 96.593646 85.729465) (xy 96.741387 85.950575) (xy 96.929425 86.138613) (xy 97.150535 86.286354) (xy 97.39622 86.38812)
(xy 97.657037 86.44) (xy 97.922963 86.44) (xy 98.18378 86.38812) (xy 98.429465 86.286354) (xy 98.650575 86.138613)
(xy 98.838613 85.950575) (xy 98.986354 85.729465) (xy 99.06 85.551668) (xy 99.133646 85.729465) (xy 99.281387 85.950575)
(xy 99.469425 86.138613) (xy 99.690535 86.286354) (xy 99.868332 86.36) (xy 99.690535 86.433646) (xy 99.469425 86.581387)
(xy 99.281387 86.769425) (xy 99.133646 86.990535) (xy 99.093429 87.087628) (xy 99.057746 87.020871) (xy 98.995264 86.944736)
(xy 98.919129 86.882254) (xy 98.832267 86.835825) (xy 98.738017 86.807235) (xy 98.64 86.797581) (xy 96.94 86.797581)
(xy 96.841983 86.807235) (xy 96.747733 86.835825) (xy 96.660871 86.882254) (xy 96.584736 86.944736) (xy 96.522254 87.020871)
(xy 96.52 87.025088) (xy 96.517746 87.020871) (xy 96.455264 86.944736) (xy 96.379129 86.882254) (xy 96.292267 86.835825)
(xy 96.198017 86.807235) (xy 96.1 86.797581) (xy 94.4 86.797581) (xy 94.301983 86.807235) (xy 94.207733 86.835825)
(xy 94.120871 86.882254) (xy 94.044736 86.944736) (xy 93.982254 87.020871) (xy 93.98 87.025088) (xy 93.977746 87.020871)
(xy 93.915264 86.944736) (xy 93.839129 86.882254) (xy 93.752267 86.835825) (xy 93.658017 86.807235) (xy 93.56 86.797581)
(xy 91.86 86.797581) (xy 91.761983 86.807235) (xy 91.667733 86.835825) (xy 91.580871 86.882254) (xy 91.504736 86.944736)
(xy 91.442254 87.020871) (xy 91.395825 87.107733) (xy 91.367235 87.201983) (xy 91.357581 87.3) (xy 91.357581 90.5)
(xy 91.367235 90.598017) (xy 91.395825 90.692267) (xy 91.442254 90.779129) (xy 91.504736 90.855264) (xy 91.580871 90.917746)
(xy 91.667733 90.964175) (xy 91.761983 90.992765) (xy 91.86 91.002419) (xy 93.56 91.002419) (xy 93.658017 90.992765)
(xy 93.752267 90.964175) (xy 93.839129 90.917746) (xy 93.915264 90.855264) (xy 93.977746 90.779129) (xy 93.98 90.774912)
(xy 93.982254 90.779129) (xy 94.044736 90.855264) (xy 94.120871 90.917746) (xy 94.207733 90.964175) (xy 94.301983 90.992765)
(xy 94.4 91.002419) (xy 96.1 91.002419) (xy 96.198017 90.992765) (xy 96.292267 90.964175) (xy 96.379129 90.917746)
(xy 96.455264 90.855264) (xy 96.517746 90.779129) (xy 96.52 90.774912) (xy 96.522254 90.779129) (xy 96.584736 90.855264)
(xy 96.660871 90.917746) (xy 96.747733 90.964175) (xy 96.749097 90.964589) (xy 96.734769 90.976347) (xy 96.734765 90.976351)
(xy 96.71092 90.99592) (xy 96.69135 91.019766) (xy 96.099771 91.611347) (xy 96.075921 91.63092) (xy 96.056349 91.654769)
(xy 96.056348 91.65477) (xy 95.997818 91.726089) (xy 95.939782 91.834666) (xy 95.926764 91.877581) (xy 94.4 91.877581)
(xy 94.301983 91.887235) (xy 94.207733 91.915825) (xy 94.120871 91.962254) (xy 94.044736 92.024736) (xy 93.982254 92.100871)
(xy 93.98 92.105088) (xy 93.977746 92.100871) (xy 93.915264 92.024736) (xy 93.839129 91.962254) (xy 93.752267 91.915825)
(xy 93.658017 91.887235) (xy 93.56 91.877581) (xy 91.86 91.877581) (xy 91.761983 91.887235) (xy 91.667733 91.915825)
(xy 91.580871 91.962254) (xy 91.504736 92.024736) (xy 91.442254 92.100871) (xy 91.395825 92.187733) (xy 91.367235 92.281983)
(xy 91.357581 92.38) (xy 91.357581 95.58) (xy 91.367235 95.678017) (xy 91.395825 95.772267) (xy 91.442254 95.859129)
(xy 91.504736 95.935264) (xy 91.580871 95.997746) (xy 91.667733 96.044175) (xy 91.761983 96.072765) (xy 91.86 96.082419)
(xy 93.56 96.082419) (xy 93.658017 96.072765) (xy 93.752267 96.044175) (xy 93.839129 95.997746) (xy 93.915264 95.935264)
(xy 93.977746 95.859129) (xy 93.98 95.854912) (xy 93.982254 95.859129) (xy 94.044736 95.935264) (xy 94.120871 95.997746)
(xy 94.207733 96.044175) (xy 94.301983 96.072765) (xy 94.4 96.082419) (xy 95.895001 96.082419) (xy 95.895001 99.137345)
(xy 95.889465 99.133646) (xy 95.64378 99.03188) (xy 95.382963 98.98) (xy 95.117037 98.98) (xy 94.85622 99.03188)
(xy 94.610535 99.133646) (xy 94.389425 99.281387) (xy 94.201387 99.469425) (xy 94.053646 99.690535) (xy 93.98 99.868332)
(xy 93.906354 99.690535) (xy 93.758613 99.469425) (xy 93.570575 99.281387) (xy 93.349465 99.133646) (xy 93.10378 99.03188)
(xy 92.842963 98.98) (xy 92.577037 98.98) (xy 92.31622 99.03188) (xy 92.070535 99.133646) (xy 91.849425 99.281387)
(xy 91.661387 99.469425) (xy 91.513646 99.690535) (xy 91.44 99.868332) (xy 91.366354 99.690535) (xy 91.218613 99.469425)
(xy 91.030575 99.281387) (xy 90.809465 99.133646) (xy 90.56378 99.03188) (xy 90.302963 98.98) (xy 90.037037 98.98)
(xy 89.77622 99.03188) (xy 89.530535 99.133646) (xy 89.347955 99.255642) (xy 89.34408 99.25092) (xy 89.320229 99.231346)
(xy 87.458658 97.369776) (xy 87.43908 97.34592) (xy 87.343911 97.267817) (xy 87.235334 97.209781) (xy 87.117521 97.174043)
(xy 87.025704 97.165) (xy 87.025694 97.165) (xy 86.995 97.161977) (xy 86.964306 97.165) (xy 55.51 97.165)
(xy 55.51 97.06637) (xy 55.475414 96.892492) (xy 55.40757 96.728702) (xy 55.309076 96.581295) (xy 55.183717 96.455936)
(xy 55.03631 96.357442) (xy 54.87252 96.289598) (xy 54.698642 96.255012) (xy 54.521358 96.255012) (xy 54.34748 96.289598)
(xy 54.18369 96.357442) (xy 54.036283 96.455936) (xy 53.910924 96.581295) (xy 53.81243 96.728702) (xy 53.744586 96.892492)
(xy 53.71 97.06637) (xy 53.71 97.243654) (xy 53.744586 97.417532) (xy 53.81243 97.581322) (xy 53.910924 97.728729)
(xy 54.036283 97.854088) (xy 54.18369 97.952582) (xy 54.34748 98.020426) (xy 54.521358 98.055012) (xy 54.626117 98.055012)
(xy 54.781334 98.210229) (xy 54.800908 98.23408) (xy 54.824757 98.253652) (xy 54.896076 98.312183) (xy 54.954112 98.343204)
(xy 55.004654 98.370219) (xy 55.122467 98.405957) (xy 55.214284 98.415) (xy 55.214286 98.415) (xy 55.244988 98.418024)
(xy 55.27569 98.415) (xy 86.736118 98.415) (xy 87.333623 99.012505) (xy 87.23622 99.03188) (xy 86.990535 99.133646)
(xy 86.769425 99.281387) (xy 86.581387 99.469425) (xy 86.433646 99.690535) (xy 86.36 99.868332) (xy 86.286354 99.690535)
(xy 86.138613 99.469425) (xy 85.950575 99.281387) (xy 85.729465 99.133646) (xy 85.48378 99.03188) (xy 85.222963 98.98)
(xy 84.957037 98.98) (xy 84.69622 99.03188) (xy 84.450535 99.133646) (xy 84.229425 99.281387) (xy 84.041387 99.469425)
(xy 83.893646 99.690535) (xy 83.82 99.868332) (xy 83.746354 99.690535) (xy 83.598613 99.469425) (xy 83.410575 99.281387)
(xy 83.189465 99.133646) (xy 82.94378 99.03188) (xy 82.682963 98.98) (xy 82.417037 98.98) (xy 82.15622 99.03188)
(xy 81.910535 99.133646) (xy 81.689425 99.281387) (xy 81.501387 99.469425) (xy 81.353646 99.690535) (xy 81.28 99.868332)
(xy 81.206354 99.690535) (xy 81.058613 99.469425) (xy 80.870575 99.281387) (xy 80.649465 99.133646) (xy 80.40378 99.03188)
(xy 80.142963 98.98) (xy 79.877037 98.98) (xy 79.61622 99.03188) (xy 79.370535 99.133646) (xy 79.149425 99.281387)
(xy 78.961387 99.469425) (xy 78.813646 99.690535) (xy 78.74 99.868332) (xy 78.666354 99.690535) (xy 78.518613 99.469425)
(xy 78.330575 99.281387) (xy 78.109465 99.133646) (xy 77.86378 99.03188) (xy 77.602963 98.98) (xy 77.337037 98.98)
(xy 77.07622 99.03188) (xy 76.830535 99.133646) (xy 76.609425 99.281387) (xy 76.421387 99.469425) (xy 76.273646 99.690535)
(xy 76.2 99.868332) (xy 76.126354 99.690535) (xy 75.978613 99.469425) (xy 75.790575 99.281387) (xy 75.569465 99.133646)
(xy 75.32378 99.03188) (xy 75.062963 98.98) (xy 74.797037 98.98) (xy 74.53622 99.03188) (xy 74.290535 99.133646)
(xy 74.069425 99.281387) (xy 73.881387 99.469425) (xy 73.733646 99.690535) (xy 73.66 99.868332) (xy 73.586354 99.690535)
(xy 73.438613 99.469425) (xy 73.250575 99.281387) (xy 73.029465 99.133646) (xy 72.78378 99.03188) (xy 72.522963 98.98)
(xy 72.257037 98.98) (xy 71.99622 99.03188) (xy 71.750535 99.133646) (xy 71.529425 99.281387) (xy 71.341387 99.469425)
(xy 71.193646 99.690535) (xy 71.12 99.868332) (xy 71.046354 99.690535) (xy 70.898613 99.469425) (xy 70.710575 99.281387)
(xy 70.489465 99.133646) (xy 70.24378 99.03188) (xy 69.982963 98.98) (xy 69.717037 98.98) (xy 69.45622 99.03188)
(xy 69.210535 99.133646) (xy 68.989425 99.281387) (xy 68.801387 99.469425) (xy 68.653646 99.690535) (xy 68.58 99.868332)
(xy 68.506354 99.690535) (xy 68.358613 99.469425) (xy 68.170575 99.281387) (xy 67.949465 99.133646) (xy 67.70378 99.03188)
(xy 67.442963 98.98) (xy 67.177037 98.98) (xy 66.91622 99.03188) (xy 66.670535 99.133646) (xy 66.449425 99.281387)
(xy 66.261387 99.469425) (xy 66.113646 99.690535) (xy 66.04 99.868332) (xy 65.966354 99.690535) (xy 65.818613 99.469425)
(xy 65.630575 99.281387) (xy 65.409465 99.133646) (xy 65.16378 99.03188) (xy 64.902963 98.98) (xy 64.637037 98.98)
(xy 64.37622 99.03188) (xy 64.130535 99.133646) (xy 63.909425 99.281387) (xy 63.721387 99.469425) (xy 63.573646 99.690535)
(xy 63.5 99.868332) (xy 63.426354 99.690535) (xy 63.278613 99.469425) (xy 63.090575 99.281387) (xy 62.869465 99.133646)
(xy 62.62378 99.03188) (xy 62.362963 98.98) (xy 62.097037 98.98) (xy 61.83622 99.03188) (xy 61.590535 99.133646)
(xy 61.369425 99.281387) (xy 61.181387 99.469425) (xy 61.033646 99.690535) (xy 60.96 99.868332) (xy 60.886354 99.690535)
(xy 60.738613 99.469425) (xy 60.550575 99.281387) (xy 60.329465 99.133646) (xy 60.08378 99.03188) (xy 59.822963 98.98)
(xy 59.557037 98.98) (xy 59.29622 99.03188) (xy 59.050535 99.133646) (xy 58.829425 99.281387) (xy 58.641387 99.469425)
(xy 58.493646 99.690535) (xy 58.42 99.868332) (xy 58.346354 99.690535) (xy 58.198613 99.469425) (xy 58.010575 99.281387)
(xy 57.789465 99.133646) (xy 57.54378 99.03188) (xy 57.282963 98.98) (xy 57.017037 98.98) (xy 56.75622 99.03188)
(xy 56.510535 99.133646) (xy 56.289425 99.281387) (xy 56.101387 99.469425) (xy 55.953646 99.690535) (xy 55.947282 99.705898)
(xy 55.860486 99.534379) (xy 55.823598 99.479172) (xy 55.565054 99.410301) (xy 54.645355 100.33) (xy 55.565054 101.249699)
(xy 55.823598 101.180828) (xy 55.949025 100.958309) (xy 55.953646 100.969465) (xy 56.101387 101.190575) (xy 56.289425 101.378613)
(xy 56.510535 101.526354) (xy 56.712474 101.61) (xy 56.545693 101.61) (xy 56.514999 101.606977) (xy 56.484305 101.61)
(xy 56.484296 101.61) (xy 56.392479 101.619043) (xy 56.274666 101.654781) (xy 56.166089 101.712817) (xy 56.07092 101.79092)
(xy 56.051347 101.81477) (xy 52.919772 104.946346) (xy 52.895921 104.96592) (xy 52.876349 104.989769) (xy 52.876348 104.98977)
(xy 52.817818 105.061089) (xy 52.759782 105.169666) (xy 52.724044 105.287479) (xy 52.711977 105.41) (xy 52.715001 105.440703)
(xy 52.715001 105.477654) (xy 52.700535 105.483646) (xy 52.479425 105.631387) (xy 52.291387 105.819425) (xy 52.143646 106.040535)
(xy 52.04188 106.28622) (xy 52.01 106.446491) (xy 52.01 101.285054) (xy 53.690301 101.285054) (xy 53.759172 101.543598)
(xy 54.012281 101.686268) (xy 54.288361 101.776817) (xy 54.576801 101.811765) (xy 54.866517 101.78977) (xy 55.146375 101.711676)
(xy 55.405621 101.580486) (xy 55.460828 101.543598) (xy 55.529699 101.285054) (xy 54.61 100.365355) (xy 53.690301 101.285054)
(xy 52.01 101.285054) (xy 52.01 100.296801) (xy 53.128235 100.296801) (xy 53.15023 100.586517) (xy 53.228324 100.866375)
(xy 53.359514 101.125621) (xy 53.396402 101.180828) (xy 53.654946 101.249699) (xy 54.574645 100.33) (xy 53.654946 99.410301)
(xy 53.396402 99.479172) (xy 53.253732 99.732281) (xy 53.163183 100.008361) (xy 53.128235 100.296801) (xy 52.01 100.296801)
(xy 52.01 99.374946) (xy 53.690301 99.374946) (xy 54.61 100.294645) (xy 55.529699 99.374946) (xy 55.460828 99.116402)
(xy 55.207719 98.973732) (xy 54.931639 98.883183) (xy 54.643199 98.848235) (xy 54.353483 98.87023) (xy 54.073625 98.948324)
(xy 53.814379 99.079514) (xy 53.759172 99.116402) (xy 53.690301 99.374946) (xy 52.01 99.374946) (xy 52.01 95.58)
(xy 68.371976 95.58) (xy 68.384043 95.702521) (xy 68.419781 95.820334) (xy 68.477817 95.928911) (xy 68.55592 96.02408)
(xy 68.651089 96.102183) (xy 68.759666 96.160219) (xy 68.877479 96.195957) (xy 69 96.208024) (xy 69.66875 96.205)
(xy 69.825 96.04875) (xy 69.825 94.005) (xy 68.53125 94.005) (xy 68.375 94.16125) (xy 68.371976 95.58)
(xy 52.01 95.58) (xy 52.01 92.38) (xy 68.371976 92.38) (xy 68.375 93.79875) (xy 68.53125 93.955)
(xy 69.825 93.955) (xy 69.825 91.91125) (xy 69.875 91.91125) (xy 69.875 93.955) (xy 69.895 93.955)
(xy 69.895 94.005) (xy 69.875 94.005) (xy 69.875 96.04875) (xy 70.03125 96.205) (xy 70.7 96.208024)
(xy 70.822521 96.195957) (xy 70.940334 96.160219) (xy 71.048911 96.102183) (xy 71.14408 96.02408) (xy 71.203997 95.951071)
(xy 71.260871 95.997746) (xy 71.347733 96.044175) (xy 71.441983 96.072765) (xy 71.54 96.082419) (xy 73.24 96.082419)
(xy 73.338017 96.072765) (xy 73.432267 96.044175) (xy 73.519129 95.997746) (xy 73.595264 95.935264) (xy 73.657746 95.859129)
(xy 73.66 95.854912) (xy 73.662254 95.859129) (xy 73.724736 95.935264) (xy 73.800871 95.997746) (xy 73.887733 96.044175)
(xy 73.981983 96.072765) (xy 74.08 96.082419) (xy 75.78 96.082419) (xy 75.878017 96.072765) (xy 75.972267 96.044175)
(xy 76.059129 95.997746) (xy 76.135264 95.935264) (xy 76.197746 95.859129) (xy 76.2 95.854912) (xy 76.202254 95.859129)
(xy 76.264736 95.935264) (xy 76.340871 95.997746) (xy 76.427733 96.044175) (xy 76.521983 96.072765) (xy 76.62 96.082419)
(xy 78.32 96.082419) (xy 78.418017 96.072765) (xy 78.512267 96.044175) (xy 78.599129 95.997746) (xy 78.675264 95.935264)
(xy 78.737746 95.859129) (xy 78.784175 95.772267) (xy 78.812765 95.678017) (xy 78.822419 95.58) (xy 78.822419 92.38)
(xy 78.812765 92.281983) (xy 78.784175 92.187733) (xy 78.737746 92.100871) (xy 78.675264 92.024736) (xy 78.599129 91.962254)
(xy 78.512267 91.915825) (xy 78.418017 91.887235) (xy 78.32 91.877581) (xy 76.62 91.877581) (xy 76.521983 91.887235)
(xy 76.427733 91.915825) (xy 76.340871 91.962254) (xy 76.264736 92.024736) (xy 76.202254 92.100871) (xy 76.2 92.105088)
(xy 76.197746 92.100871) (xy 76.135264 92.024736) (xy 76.059129 91.962254) (xy 75.972267 91.915825) (xy 75.878017 91.887235)
(xy 75.78 91.877581) (xy 74.08 91.877581) (xy 73.981983 91.887235) (xy 73.887733 91.915825) (xy 73.800871 91.962254)
(xy 73.724736 92.024736) (xy 73.662254 92.100871) (xy 73.66 92.105088) (xy 73.657746 92.100871) (xy 73.595264 92.024736)
(xy 73.519129 91.962254) (xy 73.432267 91.915825) (xy 73.338017 91.887235) (xy 73.24 91.877581) (xy 71.54 91.877581)
(xy 71.441983 91.887235) (xy 71.347733 91.915825) (xy 71.260871 91.962254) (xy 71.203997 92.008929) (xy 71.14408 91.93592)
(xy 71.048911 91.857817) (xy 70.940334 91.799781) (xy 70.822521 91.764043) (xy 70.7 91.751976) (xy 70.03125 91.755)
(xy 69.875 91.91125) (xy 69.825 91.91125) (xy 69.66875 91.755) (xy 69 91.751976) (xy 68.877479 91.764043)
(xy 68.759666 91.799781) (xy 68.651089 91.857817) (xy 68.55592 91.93592) (xy 68.477817 92.031089) (xy 68.419781 92.139666)
(xy 68.384043 92.257479) (xy 68.371976 92.38) (xy 52.01 92.38) (xy 52.01 87.3) (xy 68.497581 87.3)
(xy 68.497581 90.5) (xy 68.507235 90.598017) (xy 68.535825 90.692267) (xy 68.582254 90.779129) (xy 68.644736 90.855264)
(xy 68.720871 90.917746) (xy 68.807733 90.964175) (xy 68.901983 90.992765) (xy 69 91.002419) (xy 70.7 91.002419)
(xy 70.798017 90.992765) (xy 70.892267 90.964175) (xy 70.979129 90.917746) (xy 71.055264 90.855264) (xy 71.117746 90.779129)
(xy 71.12 90.774912) (xy 71.122254 90.779129) (xy 71.184736 90.855264) (xy 71.260871 90.917746) (xy 71.347733 90.964175)
(xy 71.441983 90.992765) (xy 71.54 91.002419) (xy 73.24 91.002419) (xy 73.338017 90.992765) (xy 73.432267 90.964175)
(xy 73.519129 90.917746) (xy 73.595264 90.855264) (xy 73.657746 90.779129) (xy 73.66 90.774912) (xy 73.662254 90.779129)
(xy 73.724736 90.855264) (xy 73.800871 90.917746) (xy 73.887733 90.964175) (xy 73.981983 90.992765) (xy 74.08 91.002419)
(xy 75.78 91.002419) (xy 75.878017 90.992765) (xy 75.972267 90.964175) (xy 76.059129 90.917746) (xy 76.135264 90.855264)
(xy 76.197746 90.779129) (xy 76.2 90.774912) (xy 76.202254 90.779129) (xy 76.264736 90.855264) (xy 76.340871 90.917746)
(xy 76.427733 90.964175) (xy 76.521983 90.992765) (xy 76.62 91.002419) (xy 78.32 91.002419) (xy 78.418017 90.992765)
(xy 78.512267 90.964175) (xy 78.599129 90.917746) (xy 78.675264 90.855264) (xy 78.737746 90.779129) (xy 78.784175 90.692267)
(xy 78.812765 90.598017) (xy 78.822419 90.5) (xy 78.822419 87.3) (xy 78.812765 87.201983) (xy 78.784175 87.107733)
(xy 78.737746 87.020871) (xy 78.675264 86.944736) (xy 78.599129 86.882254) (xy 78.512267 86.835825) (xy 78.418017 86.807235)
(xy 78.32 86.797581) (xy 76.62 86.797581) (xy 76.521983 86.807235) (xy 76.427733 86.835825) (xy 76.340871 86.882254)
(xy 76.264736 86.944736) (xy 76.202254 87.020871) (xy 76.2 87.025088) (xy 76.197746 87.020871) (xy 76.135264 86.944736)
(xy 76.059129 86.882254) (xy 75.972267 86.835825) (xy 75.878017 86.807235) (xy 75.78 86.797581) (xy 74.08 86.797581)
(xy 73.981983 86.807235) (xy 73.887733 86.835825) (xy 73.800871 86.882254) (xy 73.724736 86.944736) (xy 73.662254 87.020871)
(xy 73.66 87.025088) (xy 73.657746 87.020871) (xy 73.595264 86.944736) (xy 73.519129 86.882254) (xy 73.432267 86.835825)
(xy 73.338017 86.807235) (xy 73.24 86.797581) (xy 71.54 86.797581) (xy 71.441983 86.807235) (xy 71.347733 86.835825)
(xy 71.260871 86.882254) (xy 71.184736 86.944736) (xy 71.122254 87.020871) (xy 71.12 87.025088) (xy 71.117746 87.020871)
(xy 71.055264 86.944736) (xy 70.979129 86.882254) (xy 70.892267 86.835825) (xy 70.798017 86.807235) (xy 70.7 86.797581)
(xy 69 86.797581) (xy 68.901983 86.807235) (xy 68.807733 86.835825) (xy 68.720871 86.882254) (xy 68.644736 86.944736)
(xy 68.582254 87.020871) (xy 68.535825 87.107733) (xy 68.507235 87.201983) (xy 68.497581 87.3) (xy 52.01 87.3)
(xy 52.01 83.76) (xy 53.619902 83.76)
)
)
(filled_polygon
(pts
(xy 115.63 106.446491) (xy 115.59812 106.28622) (xy 115.496354 106.040535) (xy 115.348613 105.819425) (xy 115.160575 105.631387)
(xy 114.939465 105.483646) (xy 114.925 105.477654) (xy 114.925 105.440701) (xy 114.928024 105.41) (xy 114.923246 105.361487)
(xy 114.915957 105.287479) (xy 114.880219 105.169666) (xy 114.822183 105.061089) (xy 114.74408 104.96592) (xy 114.720229 104.946346)
(xy 112.858658 103.084776) (xy 112.83908 103.06092) (xy 112.743911 102.982817) (xy 112.635334 102.924781) (xy 112.517521 102.889043)
(xy 112.425704 102.88) (xy 112.425694 102.88) (xy 112.395 102.876977) (xy 112.364306 102.88) (xy 103.517793 102.88)
(xy 103.443717 102.805924) (xy 103.29631 102.70743) (xy 103.13252 102.639586) (xy 102.958642 102.605) (xy 102.781358 102.605)
(xy 102.60748 102.639586) (xy 102.44369 102.70743) (xy 102.296283 102.805924) (xy 102.225 102.877207) (xy 102.225 101.522656)
(xy 102.230535 101.526354) (xy 102.47622 101.62812) (xy 102.737037 101.68) (xy 103.002963 101.68) (xy 103.26378 101.62812)
(xy 103.509465 101.526354) (xy 103.730575 101.378613) (xy 103.918613 101.190575) (xy 104.066354 100.969465) (xy 104.14 100.791668)
(xy 104.213646 100.969465) (xy 104.361387 101.190575) (xy 104.549425 101.378613) (xy 104.770535 101.526354) (xy 105.01622 101.62812)
(xy 105.277037 101.68) (xy 105.542963 101.68) (xy 105.80378 101.62812) (xy 106.049465 101.526354) (xy 106.270575 101.378613)
(xy 106.458613 101.190575) (xy 106.606354 100.969465) (xy 106.68 100.791668) (xy 106.753646 100.969465) (xy 106.901387 101.190575)
(xy 107.089425 101.378613) (xy 107.310535 101.526354) (xy 107.55622 101.62812) (xy 107.817037 101.68) (xy 108.082963 101.68)
(xy 108.34378 101.62812) (xy 108.589465 101.526354) (xy 108.810575 101.378613) (xy 108.998613 101.190575) (xy 109.146354 100.969465)
(xy 109.22 100.791668) (xy 109.293646 100.969465) (xy 109.441387 101.190575) (xy 109.629425 101.378613) (xy 109.850535 101.526354)
(xy 110.09622 101.62812) (xy 110.357037 101.68) (xy 110.622963 101.68) (xy 110.88378 101.62812) (xy 111.129465 101.526354)
(xy 111.350575 101.378613) (xy 111.538613 101.190575) (xy 111.686354 100.969465) (xy 111.76 100.791668) (xy 111.833646 100.969465)
(xy 111.981387 101.190575) (xy 112.169425 101.378613) (xy 112.390535 101.526354) (xy 112.63622 101.62812) (xy 112.897037 101.68)
(xy 113.162963 101.68) (xy 113.42378 101.62812) (xy 113.669465 101.526354) (xy 113.890575 101.378613) (xy 114.078613 101.190575)
(xy 114.226354 100.969465) (xy 114.32812 100.72378) (xy 114.38 100.462963) (xy 114.38 100.197037) (xy 114.32812 99.93622)
(xy 114.226354 99.690535) (xy 114.078613 99.469425) (xy 113.890575 99.281387) (xy 113.669465 99.133646) (xy 113.42378 99.03188)
(xy 113.162963 98.98) (xy 112.897037 98.98) (xy 112.63622 99.03188) (xy 112.390535 99.133646) (xy 112.169425 99.281387)
(xy 111.981387 99.469425) (xy 111.833646 99.690535) (xy 111.76 99.868332) (xy 111.686354 99.690535) (xy 111.538613 99.469425)
(xy 111.350575 99.281387) (xy 111.129465 99.133646) (xy 110.88378 99.03188) (xy 110.622963 98.98) (xy 110.357037 98.98)
(xy 110.09622 99.03188) (xy 109.850535 99.133646) (xy 109.629425 99.281387) (xy 109.441387 99.469425) (xy 109.293646 99.690535)
(xy 109.22 99.868332) (xy 109.146354 99.690535) (xy 108.998613 99.469425) (xy 108.810575 99.281387) (xy 108.589465 99.133646)
(xy 108.34378 99.03188) (xy 108.082963 98.98) (xy 107.817037 98.98) (xy 107.55622 99.03188) (xy 107.310535 99.133646)
(xy 107.089425 99.281387) (xy 106.901387 99.469425) (xy 106.753646 99.690535) (xy 106.68 99.868332) (xy 106.606354 99.690535)
(xy 106.458613 99.469425) (xy 106.270575 99.281387) (xy 106.049465 99.133646) (xy 105.80378 99.03188) (xy 105.542963 98.98)
(xy 105.277037 98.98) (xy 105.01622 99.03188) (xy 104.770535 99.133646) (xy 104.549425 99.281387) (xy 104.361387 99.469425)
(xy 104.213646 99.690535) (xy 104.14 99.868332) (xy 104.066354 99.690535) (xy 103.918613 99.469425) (xy 103.730575 99.281387)
(xy 103.509465 99.133646) (xy 103.26378 99.03188) (xy 103.002963 98.98) (xy 102.737037 98.98) (xy 102.47622 99.03188)
(xy 102.230535 99.133646) (xy 102.225 99.137344) (xy 102.225 98.683882) (xy 103.128883 97.78) (xy 109.316306 97.78)
(xy 109.347 97.783023) (xy 109.377694 97.78) (xy 109.377704 97.78) (xy 109.469521 97.770957) (xy 109.587334 97.735219)
(xy 109.695911 97.677183) (xy 109.79108 97.59908) (xy 109.810658 97.575224) (xy 110.91023 96.475653) (xy 110.93408 96.45608)
(xy 110.973273 96.408323) (xy 111.012182 96.360913) (xy 111.070218 96.252336) (xy 111.08366 96.208024) (xy 111.105957 96.134521)
(xy 111.111088 96.082419) (xy 111.34 96.082419) (xy 111.438017 96.072765) (xy 111.532267 96.044175) (xy 111.619129 95.997746)
(xy 111.676003 95.951071) (xy 111.73592 96.02408) (xy 111.831089 96.102183) (xy 111.939666 96.160219) (xy 112.057479 96.195957)
(xy 112.18 96.208024) (xy 112.84875 96.205) (xy 113.005 96.04875) (xy 113.005 94.005) (xy 113.055 94.005)
(xy 113.055 96.04875) (xy 113.21125 96.205) (xy 113.88 96.208024) (xy 114.002521 96.195957) (xy 114.120334 96.160219)
(xy 114.228911 96.102183) (xy 114.32408 96.02408) (xy 114.402183 95.928911) (xy 114.460219 95.820334) (xy 114.495957 95.702521)
(xy 114.508024 95.58) (xy 114.505 94.16125) (xy 114.34875 94.005) (xy 113.055 94.005) (xy 113.005 94.005)
(xy 112.985 94.005) (xy 112.985 93.955) (xy 113.005 93.955) (xy 113.005 91.91125) (xy 113.055 91.91125)
(xy 113.055 93.955) (xy 114.34875 93.955) (xy 114.505 93.79875) (xy 114.508024 92.38) (xy 114.495957 92.257479)
(xy 114.460219 92.139666) (xy 114.402183 92.031089) (xy 114.32408 91.93592) (xy 114.228911 91.857817) (xy 114.120334 91.799781)
(xy 114.002521 91.764043) (xy 113.88 91.751976) (xy 113.21125 91.755) (xy 113.055 91.91125) (xy 113.005 91.91125)
(xy 112.84875 91.755) (xy 112.18 91.751976) (xy 112.057479 91.764043) (xy 111.939666 91.799781) (xy 111.831089 91.857817)
(xy 111.73592 91.93592) (xy 111.676003 92.008929) (xy 111.619129 91.962254) (xy 111.532267 91.915825) (xy 111.438017 91.887235)
(xy 111.34 91.877581) (xy 109.64 91.877581) (xy 109.541983 91.887235) (xy 109.447733 91.915825) (xy 109.360871 91.962254)
(xy 109.284736 92.024736) (xy 109.222254 92.100871) (xy 109.22 92.105088) (xy 109.217746 92.100871) (xy 109.155264 92.024736)
(xy 109.079129 91.962254) (xy 108.992267 91.915825) (xy 108.898017 91.887235) (xy 108.8 91.877581) (xy 108.543237 91.877581)
(xy 108.530219 91.834666) (xy 108.49247 91.764043) (xy 108.472183 91.726088) (xy 108.413653 91.654769) (xy 108.413649 91.654765)
(xy 108.39408 91.63092) (xy 108.370235 91.611351) (xy 107.778658 91.019776) (xy 107.764414 91.002419) (xy 108.8 91.002419)
(xy 108.898017 90.992765) (xy 108.992267 90.964175) (xy 109.079129 90.917746) (xy 109.155264 90.855264) (xy 109.217746 90.779129)
(xy 109.22 90.774912) (xy 109.222254 90.779129) (xy 109.284736 90.855264) (xy 109.360871 90.917746) (xy 109.447733 90.964175)
(xy 109.541983 90.992765) (xy 109.64 91.002419) (xy 111.34 91.002419) (xy 111.438017 90.992765) (xy 111.532267 90.964175)
(xy 111.619129 90.917746) (xy 111.695264 90.855264) (xy 111.757746 90.779129) (xy 111.76 90.774912) (xy 111.762254 90.779129)
(xy 111.824736 90.855264) (xy 111.900871 90.917746) (xy 111.987733 90.964175) (xy 112.081983 90.992765) (xy 112.18 91.002419)
(xy 113.88 91.002419) (xy 113.978017 90.992765) (xy 114.072267 90.964175) (xy 114.159129 90.917746) (xy 114.235264 90.855264)
(xy 114.297746 90.779129) (xy 114.344175 90.692267) (xy 114.372765 90.598017) (xy 114.382419 90.5) (xy 114.382419 87.3)
(xy 114.372765 87.201983) (xy 114.344175 87.107733) (xy 114.297746 87.020871) (xy 114.235264 86.944736) (xy 114.159129 86.882254)
(xy 114.072267 86.835825) (xy 113.978017 86.807235) (xy 113.88 86.797581) (xy 112.18 86.797581) (xy 112.081983 86.807235)
(xy 111.987733 86.835825) (xy 111.900871 86.882254) (xy 111.824736 86.944736) (xy 111.762254 87.020871) (xy 111.76 87.025088)
(xy 111.757746 87.020871) (xy 111.695264 86.944736) (xy 111.619129 86.882254) (xy 111.532267 86.835825) (xy 111.438017 86.807235)
(xy 111.34 86.797581) (xy 109.64 86.797581) (xy 109.541983 86.807235) (xy 109.447733 86.835825) (xy 109.360871 86.882254)
(xy 109.284736 86.944736) (xy 109.222254 87.020871) (xy 109.22 87.025088) (xy 109.217746 87.020871) (xy 109.155264 86.944736)
(xy 109.079129 86.882254) (xy 108.992267 86.835825) (xy 108.898017 86.807235) (xy 108.8 86.797581) (xy 107.1 86.797581)
(xy 107.001983 86.807235) (xy 106.907733 86.835825) (xy 106.820871 86.882254) (xy 106.744736 86.944736) (xy 106.682254 87.020871)
(xy 106.68 87.025088) (xy 106.677746 87.020871) (xy 106.615264 86.944736) (xy 106.539129 86.882254) (xy 106.452267 86.835825)
(xy 106.358017 86.807235) (xy 106.26 86.797581) (xy 104.56 86.797581) (xy 104.461983 86.807235) (xy 104.367733 86.835825)
(xy 104.280871 86.882254) (xy 104.204736 86.944736) (xy 104.142254 87.020871) (xy 104.14 87.025088) (xy 104.137746 87.020871)
(xy 104.075264 86.944736) (xy 103.999129 86.882254) (xy 103.912267 86.835825) (xy 103.818017 86.807235) (xy 103.72 86.797581)
(xy 102.02 86.797581) (xy 101.921983 86.807235) (xy 101.827733 86.835825) (xy 101.740871 86.882254) (xy 101.664736 86.944736)
(xy 101.602254 87.020871) (xy 101.566571 87.087628) (xy 101.526354 86.990535) (xy 101.378613 86.769425) (xy 101.190575 86.581387)
(xy 100.969465 86.433646) (xy 100.791668 86.36) (xy 100.969465 86.286354) (xy 101.190575 86.138613) (xy 101.378613 85.950575)
(xy 101.526354 85.729465) (xy 101.6 85.551668) (xy 101.673646 85.729465) (xy 101.821387 85.950575) (xy 102.009425 86.138613)
(xy 102.230535 86.286354) (xy 102.47622 86.38812) (xy 102.737037 86.44) (xy 103.002963 86.44) (xy 103.26378 86.38812)
(xy 103.509465 86.286354) (xy 103.730575 86.138613) (xy 103.918613 85.950575) (xy 104.066354 85.729465) (xy 104.14 85.551668)
(xy 104.213646 85.729465) (xy 104.361387 85.950575) (xy 104.549425 86.138613) (xy 104.770535 86.286354) (xy 105.01622 86.38812)
(xy 105.277037 86.44) (xy 105.542963 86.44) (xy 105.80378 86.38812) (xy 106.049465 86.286354) (xy 106.270575 86.138613)
(xy 106.458613 85.950575) (xy 106.606354 85.729465) (xy 106.68 85.551668) (xy 106.753646 85.729465) (xy 106.901387 85.950575)
(xy 107.089425 86.138613) (xy 107.310535 86.286354) (xy 107.55622 86.38812) (xy 107.817037 86.44) (xy 108.082963 86.44)
(xy 108.34378 86.38812) (xy 108.589465 86.286354) (xy 108.810575 86.138613) (xy 108.998613 85.950575) (xy 109.146354 85.729465)
(xy 109.22 85.551668) (xy 109.293646 85.729465) (xy 109.441387 85.950575) (xy 109.629425 86.138613) (xy 109.850535 86.286354)
(xy 110.09622 86.38812) (xy 110.357037 86.44) (xy 110.622963 86.44) (xy 110.88378 86.38812) (xy 111.129465 86.286354)
(xy 111.350575 86.138613) (xy 111.538613 85.950575) (xy 111.686354 85.729465) (xy 111.76 85.551668) (xy 111.833646 85.729465)
(xy 111.981387 85.950575) (xy 112.169425 86.138613) (xy 112.390535 86.286354) (xy 112.63622 86.38812) (xy 112.897037 86.44)
(xy 113.162963 86.44) (xy 113.42378 86.38812) (xy 113.669465 86.286354) (xy 113.890575 86.138613) (xy 114.078613 85.950575)
(xy 114.226354 85.729465) (xy 114.32812 85.48378) (xy 114.38 85.222963) (xy 114.38 84.957037) (xy 114.32812 84.69622)
(xy 114.226354 84.450535) (xy 114.078613 84.229425) (xy 113.890575 84.041387) (xy 113.669465 83.893646) (xy 113.42378 83.79188)
(xy 113.263509 83.76) (xy 115.630001 83.76)
)
)
(filled_polygon
(pts
(xy 98.435001 105.477654) (xy 98.420535 105.483646) (xy 98.199425 105.631387) (xy 98.011387 105.819425) (xy 97.863646 106.040535)
(xy 97.79 106.218332) (xy 97.716354 106.040535) (xy 97.568613 105.819425) (xy 97.380575 105.631387) (xy 97.159465 105.483646)
(xy 97.145 105.477654) (xy 97.145 101.522656) (xy 97.150535 101.526354) (xy 97.39622 101.62812) (xy 97.657037 101.68)
(xy 97.922963 101.68) (xy 98.18378 101.62812) (xy 98.429465 101.526354) (xy 98.435 101.522655)
)
)
(filled_polygon
(pts
(xy 100.975 105.477654) (xy 100.960535 105.483646) (xy 100.739425 105.631387) (xy 100.551387 105.819425) (xy 100.403646 106.040535)
(xy 100.33 106.218332) (xy 100.256354 106.040535) (xy 100.108613 105.819425) (xy 99.920575 105.631387) (xy 99.699465 105.483646)
(xy 99.685 105.477654) (xy 99.685 101.522656) (xy 99.690535 101.526354) (xy 99.93622 101.62812) (xy 100.197037 101.68)
(xy 100.462963 101.68) (xy 100.72378 101.62812) (xy 100.969465 101.526354) (xy 100.975001 101.522655)
)
)
(filled_polygon
(pts
(xy 86.433646 100.969465) (xy 86.581387 101.190575) (xy 86.769425 101.378613) (xy 86.888165 101.457952) (xy 84.196118 104.15)
(xy 78.343882 104.15) (xy 80.430236 102.063648) (xy 80.45408 102.04408) (xy 80.473652 102.020232) (xy 80.532182 101.948913)
(xy 80.590218 101.840336) (xy 80.590219 101.840333) (xy 80.625957 101.722521) (xy 80.635 101.630704) (xy 80.635 101.630695)
(xy 80.638023 101.600001) (xy 80.635 101.569307) (xy 80.635 101.532346) (xy 80.649465 101.526354) (xy 80.870575 101.378613)
(xy 81.058613 101.190575) (xy 81.206354 100.969465) (xy 81.28 100.791668) (xy 81.353646 100.969465) (xy 81.501387 101.190575)
(xy 81.689425 101.378613) (xy 81.910535 101.526354) (xy 82.15622 101.62812) (xy 82.417037 101.68) (xy 82.682963 101.68)
(xy 82.94378 101.62812) (xy 83.189465 101.526354) (xy 83.410575 101.378613) (xy 83.598613 101.190575) (xy 83.746354 100.969465)
(xy 83.82 100.791668) (xy 83.893646 100.969465) (xy 84.041387 101.190575) (xy 84.229425 101.378613) (xy 84.450535 101.526354)
(xy 84.69622 101.62812) (xy 84.957037 101.68) (xy 85.222963 101.68) (xy 85.48378 101.62812) (xy 85.729465 101.526354)
(xy 85.950575 101.378613) (xy 86.138613 101.190575) (xy 86.286354 100.969465) (xy 86.36 100.791668)
)
)
(filled_polygon
(pts
(xy 99.133646 95.889465) (xy 99.270657 96.094517) (xy 99.266346 96.09977) (xy 98.639776 96.726341) (xy 98.61592 96.745919)
(xy 98.537817 96.841089) (xy 98.479781 96.949666) (xy 98.444043 97.067479) (xy 98.435 97.159296) (xy 98.435 97.159305)
(xy 98.431977 97.189999) (xy 98.435 97.220693) (xy 98.435 99.137345) (xy 98.429465 99.133646) (xy 98.18378 99.03188)
(xy 97.922963 98.98) (xy 97.657037 98.98) (xy 97.39622 99.03188) (xy 97.150535 99.133646) (xy 97.145 99.137344)
(xy 97.145 96.082419) (xy 98.64 96.082419) (xy 98.738017 96.072765) (xy 98.832267 96.044175) (xy 98.919129 95.997746)
(xy 98.995264 95.935264) (xy 99.057746 95.859129) (xy 99.093429 95.792372)
)
)
(filled_polygon
(pts
(xy 100.355 92.685) (xy 100.375 92.685) (xy 100.375 92.735) (xy 100.355 92.735) (xy 100.355 92.755)
(xy 100.305 92.755) (xy 100.305 92.735) (xy 100.285 92.735) (xy 100.285 92.685) (xy 100.305 92.685)
(xy 100.305 92.665) (xy 100.355 92.665)
)
)
)
(zone (net 17) (net_name /GND) (layer B.Cu) (tstamp 6198EF33) (hatch edge 0.508)
(connect_pads (clearance 0.4))
(min_thickness 0.2)
(fill yes (arc_segments 32) (thermal_gap 0.525) (thermal_bridge_width 0.25))
(polygon
(pts
(xy 116.078 110.998) (xy 51.562 110.998) (xy 51.562 83.312) (xy 116.078 83.312)
)
)
(filled_polygon
(pts
(xy 83.195001 101.569296) (xy 83.191977 101.6) (xy 83.195001 101.630703) (xy 83.195001 101.630704) (xy 83.204044 101.722521)
(xy 83.239782 101.840334) (xy 83.297818 101.948911) (xy 83.375921 102.04408) (xy 83.399772 102.063654) (xy 85.896351 104.560235)
(xy 85.91592 104.58408) (xy 85.939765 104.603649) (xy 85.939768 104.603652) (xy 86.011087 104.662182) (xy 86.011089 104.662183)
(xy 86.119666 104.720219) (xy 86.237479 104.755957) (xy 86.329296 104.765) (xy 86.329305 104.765) (xy 86.359999 104.768023)
(xy 86.390693 104.765) (xy 101.97 104.765) (xy 101.97 104.863642) (xy 102.004586 105.03752) (xy 102.07243 105.20131)
(xy 102.170924 105.348717) (xy 102.296283 105.474076) (xy 102.44369 105.57257) (xy 102.60748 105.640414) (xy 102.781358 105.675)
(xy 102.958642 105.675) (xy 103.13252 105.640414) (xy 103.29631 105.57257) (xy 103.443717 105.474076) (xy 103.515 105.402793)
(xy 103.515 105.477654) (xy 103.500535 105.483646) (xy 103.279425 105.631387) (xy 103.091387 105.819425) (xy 102.943646 106.040535)
(xy 102.87 106.218332) (xy 102.796354 106.040535) (xy 102.648613 105.819425) (xy 102.460575 105.631387) (xy 102.239465 105.483646)
(xy 101.99378 105.38188) (xy 101.732963 105.33) (xy 101.467037 105.33) (xy 101.20622 105.38188) (xy 100.960535 105.483646)
(xy 100.739425 105.631387) (xy 100.551387 105.819425) (xy 100.403646 106.040535) (xy 100.33 106.218332) (xy 100.256354 106.040535)
(xy 100.108613 105.819425) (xy 99.920575 105.631387) (xy 99.699465 105.483646) (xy 99.45378 105.38188) (xy 99.192963 105.33)
(xy 98.927037 105.33) (xy 98.66622 105.38188) (xy 98.420535 105.483646) (xy 98.199425 105.631387) (xy 98.011387 105.819425)
(xy 97.863646 106.040535) (xy 97.79 106.218332) (xy 97.716354 106.040535) (xy 97.568613 105.819425) (xy 97.380575 105.631387)
(xy 97.159465 105.483646) (xy 96.91378 105.38188) (xy 96.652963 105.33) (xy 96.387037 105.33) (xy 96.12622 105.38188)
(xy 95.880535 105.483646) (xy 95.659425 105.631387) (xy 95.471387 105.819425) (xy 95.323646 106.040535) (xy 95.25 106.218332)
(xy 95.176354 106.040535) (xy 95.028613 105.819425) (xy 94.840575 105.631387) (xy 94.619465 105.483646) (xy 94.37378 105.38188)
(xy 94.112963 105.33) (xy 93.847037 105.33) (xy 93.58622 105.38188) (xy 93.340535 105.483646) (xy 93.119425 105.631387)
(xy 92.931387 105.819425) (xy 92.783646 106.040535) (xy 92.773702 106.064541) (xy 92.684402 105.888109) (xy 92.506001 105.660554)
(xy 92.286634 105.472176) (xy 92.034731 105.330214) (xy 91.759973 105.240123) (xy 91.6954 105.22728) (xy 91.465 105.361487)
(xy 91.465 106.655) (xy 91.485 106.655) (xy 91.485 106.705) (xy 91.465 106.705) (xy 91.465 109.195)
(xy 93.955 109.195) (xy 93.955 109.175) (xy 94.005 109.175) (xy 94.005 109.195) (xy 96.495 109.195)
(xy 96.495 109.175) (xy 96.545 109.175) (xy 96.545 109.195) (xy 99.035 109.195) (xy 99.035 109.175)
(xy 99.085 109.175) (xy 99.085 109.195) (xy 101.575 109.195) (xy 101.575 109.175) (xy 101.625 109.175)
(xy 101.625 109.195) (xy 104.115 109.195) (xy 104.115 109.175) (xy 104.165 109.175) (xy 104.165 109.195)
(xy 106.655 109.195) (xy 106.655 109.175) (xy 106.705 109.175) (xy 106.705 109.195) (xy 109.195 109.195)
(xy 109.195 109.175) (xy 109.245 109.175) (xy 109.245 109.195) (xy 111.735 109.195) (xy 111.735 109.175)
(xy 111.785 109.175) (xy 111.785 109.195) (xy 114.275 109.195) (xy 114.275 109.175) (xy 114.325 109.175)
(xy 114.325 109.195) (xy 114.345 109.195) (xy 114.345 109.245) (xy 114.325 109.245) (xy 114.325 109.265)
(xy 114.275 109.265) (xy 114.275 109.245) (xy 111.785 109.245) (xy 111.785 109.265) (xy 111.735 109.265)
(xy 111.735 109.245) (xy 109.245 109.245) (xy 109.245 109.265) (xy 109.195 109.265) (xy 109.195 109.245)
(xy 106.705 109.245) (xy 106.705 109.265) (xy 106.655 109.265) (xy 106.655 109.245) (xy 104.165 109.245)
(xy 104.165 109.265) (xy 104.115 109.265) (xy 104.115 109.245) (xy 101.625 109.245) (xy 101.625 109.265)
(xy 101.575 109.265) (xy 101.575 109.245) (xy 99.085 109.245) (xy 99.085 109.265) (xy 99.035 109.265)
(xy 99.035 109.245) (xy 96.545 109.245) (xy 96.545 109.265) (xy 96.495 109.265) (xy 96.495 109.245)
(xy 94.005 109.245) (xy 94.005 109.265) (xy 93.955 109.265) (xy 93.955 109.245) (xy 91.465 109.245)
(xy 91.465 109.265) (xy 91.415 109.265) (xy 91.415 109.245) (xy 88.925 109.245) (xy 88.925 109.265)
(xy 88.875 109.265) (xy 88.875 109.245) (xy 86.385 109.245) (xy 86.385 109.265) (xy 86.335 109.265)
(xy 86.335 109.245) (xy 86.315 109.245) (xy 86.315 109.195) (xy 86.335 109.195) (xy 86.335 106.705)
(xy 86.385 106.705) (xy 86.385 109.195) (xy 88.875 109.195) (xy 88.875 106.705) (xy 88.925 106.705)
(xy 88.925 109.195) (xy 91.415 109.195) (xy 91.415 106.705) (xy 88.925 106.705) (xy 88.875 106.705)
(xy 86.385 106.705) (xy 86.335 106.705) (xy 86.315 106.705) (xy 86.315 106.655) (xy 86.335 106.655)
(xy 86.335 105.361487) (xy 86.385 105.361487) (xy 86.385 106.655) (xy 88.875 106.655) (xy 88.875 105.361487)
(xy 88.925 105.361487) (xy 88.925 106.655) (xy 91.415 106.655) (xy 91.415 105.361487) (xy 91.1846 105.22728)
(xy 91.120027 105.240123) (xy 90.845269 105.330214) (xy 90.593366 105.472176) (xy 90.373999 105.660554) (xy 90.195598 105.888109)
(xy 90.17 105.938683) (xy 90.144402 105.888109) (xy 89.966001 105.660554) (xy 89.746634 105.472176) (xy 89.494731 105.330214)
(xy 89.219973 105.240123) (xy 89.1554 105.22728) (xy 88.925 105.361487) (xy 88.875 105.361487) (xy 88.6446 105.22728)
(xy 88.580027 105.240123) (xy 88.305269 105.330214) (xy 88.053366 105.472176) (xy 87.833999 105.660554) (xy 87.655598 105.888109)
(xy 87.63 105.938683) (xy 87.604402 105.888109) (xy 87.426001 105.660554) (xy 87.206634 105.472176) (xy 86.954731 105.330214)
(xy 86.679973 105.240123) (xy 86.6154 105.22728) (xy 86.385 105.361487) (xy 86.335 105.361487) (xy 86.1046 105.22728)
(xy 86.040027 105.240123) (xy 85.765269 105.330214) (xy 85.513366 105.472176) (xy 85.293999 105.660554) (xy 85.115598 105.888109)
(xy 85.026298 106.064541) (xy 85.016354 106.040535) (xy 84.868613 105.819425) (xy 84.680575 105.631387) (xy 84.459465 105.483646)
(xy 84.21378 105.38188) (xy 83.952963 105.33) (xy 83.687037 105.33) (xy 83.42622 105.38188) (xy 83.180535 105.483646)
(xy 82.959425 105.631387) (xy 82.771387 105.819425) (xy 82.623646 106.040535) (xy 82.613702 106.064541) (xy 82.524402 105.888109)
(xy 82.346001 105.660554) (xy 82.126634 105.472176) (xy 81.874731 105.330214) (xy 81.599973 105.240123) (xy 81.5354 105.22728)
(xy 81.305 105.361487) (xy 81.305 106.655) (xy 81.325 106.655) (xy 81.325 106.705) (xy 81.305 106.705)
(xy 81.305 109.195) (xy 81.325 109.195) (xy 81.325 109.245) (xy 81.305 109.245) (xy 81.305 109.265)
(xy 81.255 109.265) (xy 81.255 109.245) (xy 78.765 109.245) (xy 78.765 109.265) (xy 78.715 109.265)
(xy 78.715 109.245) (xy 76.225 109.245) (xy 76.225 109.265) (xy 76.175 109.265) (xy 76.175 109.245)
(xy 73.685 109.245) (xy 73.685 109.265) (xy 73.635 109.265) (xy 73.635 109.245) (xy 71.145 109.245)
(xy 71.145 109.265) (xy 71.095 109.265) (xy 71.095 109.245) (xy 68.605 109.245) (xy 68.605 109.265)
(xy 68.555 109.265) (xy 68.555 109.245) (xy 66.065 109.245) (xy 66.065 109.265) (xy 66.015 109.265)
(xy 66.015 109.245) (xy 63.525 109.245) (xy 63.525 109.265) (xy 63.475 109.265) (xy 63.475 109.245)
(xy 60.985 109.245) (xy 60.985 109.265) (xy 60.935 109.265) (xy 60.935 109.245) (xy 58.445 109.245)
(xy 58.445 109.265) (xy 58.395 109.265) (xy 58.395 109.245) (xy 55.905 109.245) (xy 55.905 109.265)
(xy 55.855 109.265) (xy 55.855 109.245) (xy 53.365 109.245) (xy 53.365 109.265) (xy 53.315 109.265)
(xy 53.315 109.245) (xy 53.295 109.245) (xy 53.295 109.195) (xy 53.315 109.195) (xy 53.315 109.175)
(xy 53.365 109.175) (xy 53.365 109.195) (xy 55.855 109.195) (xy 55.855 109.175) (xy 55.905 109.175)
(xy 55.905 109.195) (xy 58.395 109.195) (xy 58.395 109.175) (xy 58.445 109.175) (xy 58.445 109.195)
(xy 60.935 109.195) (xy 60.935 109.175) (xy 60.985 109.175) (xy 60.985 109.195) (xy 63.475 109.195)
(xy 63.475 109.175) (xy 63.525 109.175) (xy 63.525 109.195) (xy 66.015 109.195) (xy 66.015 109.175)
(xy 66.065 109.175) (xy 66.065 109.195) (xy 68.555 109.195) (xy 68.555 109.175) (xy 68.605 109.175)
(xy 68.605 109.195) (xy 71.095 109.195) (xy 71.095 109.175) (xy 71.145 109.175) (xy 71.145 109.195)
(xy 73.635 109.195) (xy 73.635 109.175) (xy 73.685 109.175) (xy 73.685 109.195) (xy 76.175 109.195)
(xy 76.175 109.175) (xy 76.225 109.175) (xy 76.225 109.195) (xy 78.715 109.195) (xy 78.715 106.705)
(xy 78.765 106.705) (xy 78.765 109.195) (xy 81.255 109.195) (xy 81.255 106.705) (xy 78.765 106.705)
(xy 78.715 106.705) (xy 78.695 106.705) (xy 78.695 106.655) (xy 78.715 106.655) (xy 78.715 105.361487)
(xy 78.765 105.361487) (xy 78.765 106.655) (xy 81.255 106.655) (xy 81.255 105.361487) (xy 81.0246 105.22728)
(xy 80.960027 105.240123) (xy 80.685269 105.330214) (xy 80.433366 105.472176) (xy 80.213999 105.660554) (xy 80.035598 105.888109)
(xy 80.01 105.938683) (xy 79.984402 105.888109) (xy 79.806001 105.660554) (xy 79.586634 105.472176) (xy 79.334731 105.330214)
(xy 79.059973 105.240123) (xy 78.9954 105.22728) (xy 78.765 105.361487) (xy 78.715 105.361487) (xy 78.4846 105.22728)
(xy 78.420027 105.240123) (xy 78.145269 105.330214) (xy 77.893366 105.472176) (xy 77.673999 105.660554) (xy 77.495598 105.888109)
(xy 77.406298 106.064541) (xy 77.396354 106.040535) (xy 77.248613 105.819425) (xy 77.060575 105.631387) (xy 76.839465 105.483646)
(xy 76.59378 105.38188) (xy 76.332963 105.33) (xy 76.067037 105.33) (xy 75.80622 105.38188) (xy 75.560535 105.483646)
(xy 75.339425 105.631387) (xy 75.151387 105.819425) (xy 75.003646 106.040535) (xy 74.93 106.218332) (xy 74.856354 106.040535)
(xy 74.708613 105.819425) (xy 74.520575 105.631387) (xy 74.299465 105.483646) (xy 74.05378 105.38188) (xy 73.792963 105.33)
(xy 73.527037 105.33) (xy 73.26622 105.38188) (xy 73.020535 105.483646) (xy 72.799425 105.631387) (xy 72.611387 105.819425)
(xy 72.463646 106.040535) (xy 72.39 106.218332) (xy 72.316354 106.040535) (xy 72.168613 105.819425) (xy 71.980575 105.631387)
(xy 71.861835 105.552047) (xy 72.648883 104.765) (xy 79.979306 104.765) (xy 80.01 104.768023) (xy 80.040694 104.765)
(xy 80.040704 104.765) (xy 80.132521 104.755957) (xy 80.250334 104.720219) (xy 80.358911 104.662183) (xy 80.45408 104.58408)
(xy 80.473658 104.560224) (xy 82.970236 102.063648) (xy 82.99408 102.04408) (xy 83.013652 102.020232) (xy 83.072182 101.948913)
(xy 83.130218 101.840336) (xy 83.130219 101.840333) (xy 83.165957 101.722521) (xy 83.175 101.630704) (xy 83.175 101.630695)
(xy 83.178023 101.600001) (xy 83.175 101.569307) (xy 83.175 101.532346) (xy 83.189465 101.526354) (xy 83.195001 101.522655)
)
)
(filled_polygon
(pts
(xy 115.63 106.446491) (xy 115.59812 106.28622) (xy 115.496354 106.040535) (xy 115.348613 105.819425) (xy 115.160575 105.631387)
(xy 114.939465 105.483646) (xy 114.69378 105.38188) (xy 114.432963 105.33) (xy 114.167037 105.33) (xy 113.90622 105.38188)
(xy 113.660535 105.483646) (xy 113.655 105.487344) (xy 113.655 101.532346) (xy 113.669465 101.526354) (xy 113.890575 101.378613)
(xy 114.078613 101.190575) (xy 114.226354 100.969465) (xy 114.32812 100.72378) (xy 114.38 100.462963) (xy 114.38 100.197037)
(xy 114.32812 99.93622) (xy 114.226354 99.690535) (xy 114.078613 99.469425) (xy 113.890575 99.281387) (xy 113.669465 99.133646)
(xy 113.42378 99.03188) (xy 113.162963 98.98) (xy 112.897037 98.98) (xy 112.63622 99.03188) (xy 112.390535 99.133646)
(xy 112.169425 99.281387) (xy 111.981387 99.469425) (xy 111.833646 99.690535) (xy 111.76 99.868332) (xy 111.686354 99.690535)
(xy 111.538613 99.469425) (xy 111.350575 99.281387) (xy 111.129465 99.133646) (xy 110.88378 99.03188) (xy 110.622963 98.98)
(xy 110.357037 98.98) (xy 110.09622 99.03188) (xy 109.850535 99.133646) (xy 109.823827 99.151492) (xy 109.800219 99.073666)
(xy 109.742183 98.965089) (xy 109.66408 98.86992) (xy 109.640224 98.850342) (xy 109.524657 98.734775) (xy 109.505079 98.710919)
(xy 109.40991 98.632816) (xy 109.301333 98.57478) (xy 109.18352 98.539042) (xy 109.091703 98.529999) (xy 109.091693 98.529999)
(xy 109.060999 98.526976) (xy 109.030305 98.529999) (xy 106.615694 98.529999) (xy 106.585 98.526976) (xy 106.554306 98.529999)
(xy 106.554297 98.529999) (xy 106.46248 98.539042) (xy 106.344667 98.57478) (xy 106.344665 98.574781) (xy 106.236088 98.632817)
(xy 106.196465 98.665335) (xy 106.140921 98.710919) (xy 106.121347 98.73477) (xy 105.818245 99.037872) (xy 105.80378 99.03188)
(xy 105.542963 98.98) (xy 105.277037 98.98) (xy 105.01622 99.03188) (xy 104.770535 99.133646) (xy 104.765 99.137344)
(xy 104.765 97.566693) (xy 104.768023 97.535999) (xy 104.765 97.505305) (xy 104.765 97.505296) (xy 104.755957 97.413479)
(xy 104.720219 97.295666) (xy 104.662183 97.187089) (xy 104.662182 97.187087) (xy 104.603652 97.115769) (xy 104.58408 97.09192)
(xy 104.560229 97.072346) (xy 103.570301 96.082419) (xy 103.72 96.082419) (xy 103.818017 96.072765) (xy 103.912267 96.044175)
(xy 103.999129 95.997746) (xy 104.075264 95.935264) (xy 104.137746 95.859129) (xy 104.14 95.854912) (xy 104.142254 95.859129)
(xy 104.204736 95.935264) (xy 104.280871 95.997746) (xy 104.367733 96.044175) (xy 104.461983 96.072765) (xy 104.56 96.082419)
(xy 106.26 96.082419) (xy 106.358017 96.072765) (xy 106.452267 96.044175) (xy 106.539129 95.997746) (xy 106.615264 95.935264)
(xy 106.677746 95.859129) (xy 106.68 95.854912) (xy 106.682254 95.859129) (xy 106.744736 95.935264) (xy 106.820871 95.997746)
(xy 106.907733 96.044175) (xy 107.001983 96.072765) (xy 107.1 96.082419) (xy 108.8 96.082419) (xy 108.898017 96.072765)
(xy 108.992267 96.044175) (xy 109.079129 95.997746) (xy 109.155264 95.935264) (xy 109.217746 95.859129) (xy 109.22 95.854912)
(xy 109.222254 95.859129) (xy 109.284736 95.935264) (xy 109.360871 95.997746) (xy 109.447733 96.044175) (xy 109.541983 96.072765)
(xy 109.64 96.082419) (xy 111.34 96.082419) (xy 111.438017 96.072765) (xy 111.532267 96.044175) (xy 111.619129 95.997746)
(xy 111.676003 95.951071) (xy 111.73592 96.02408) (xy 111.831089 96.102183) (xy 111.939666 96.160219) (xy 112.057479 96.195957)
(xy 112.18 96.208024) (xy 112.84875 96.205) (xy 113.005 96.04875) (xy 113.005 94.005) (xy 113.055 94.005)
(xy 113.055 96.04875) (xy 113.21125 96.205) (xy 113.88 96.208024) (xy 114.002521 96.195957) (xy 114.120334 96.160219)
(xy 114.228911 96.102183) (xy 114.32408 96.02408) (xy 114.402183 95.928911) (xy 114.460219 95.820334) (xy 114.495957 95.702521)
(xy 114.508024 95.58) (xy 114.505 94.16125) (xy 114.34875 94.005) (xy 113.055 94.005) (xy 113.005 94.005)
(xy 112.985 94.005) (xy 112.985 93.955) (xy 113.005 93.955) (xy 113.005 91.91125) (xy 113.055 91.91125)
(xy 113.055 93.955) (xy 114.34875 93.955) (xy 114.505 93.79875) (xy 114.508024 92.38) (xy 114.495957 92.257479)
(xy 114.460219 92.139666) (xy 114.402183 92.031089) (xy 114.32408 91.93592) (xy 114.228911 91.857817) (xy 114.120334 91.799781)
(xy 114.002521 91.764043) (xy 113.88 91.751976) (xy 113.21125 91.755) (xy 113.055 91.91125) (xy 113.005 91.91125)
(xy 112.84875 91.755) (xy 112.18 91.751976) (xy 112.057479 91.764043) (xy 111.939666 91.799781) (xy 111.831089 91.857817)
(xy 111.73592 91.93592) (xy 111.676003 92.008929) (xy 111.619129 91.962254) (xy 111.532267 91.915825) (xy 111.438017 91.887235)
(xy 111.34 91.877581) (xy 109.64 91.877581) (xy 109.541983 91.887235) (xy 109.447733 91.915825) (xy 109.360871 91.962254)
(xy 109.284736 92.024736) (xy 109.222254 92.100871) (xy 109.22 92.105088) (xy 109.217746 92.100871) (xy 109.155264 92.024736)
(xy 109.079129 91.962254) (xy 108.992267 91.915825) (xy 108.898017 91.887235) (xy 108.8 91.877581) (xy 107.1 91.877581)
(xy 107.001983 91.887235) (xy 106.907733 91.915825) (xy 106.820871 91.962254) (xy 106.744736 92.024736) (xy 106.682254 92.100871)
(xy 106.68 92.105088) (xy 106.677746 92.100871) (xy 106.615264 92.024736) (xy 106.539129 91.962254) (xy 106.452267 91.915825)
(xy 106.358017 91.887235) (xy 106.26 91.877581) (xy 104.56 91.877581) (xy 104.461983 91.887235) (xy 104.367733 91.915825)
(xy 104.280871 91.962254) (xy 104.204736 92.024736) (xy 104.142254 92.100871) (xy 104.14 92.105088) (xy 104.137746 92.100871)
(xy 104.075264 92.024736) (xy 103.999129 91.962254) (xy 103.912267 91.915825) (xy 103.818017 91.887235) (xy 103.72 91.877581)
(xy 102.02 91.877581) (xy 101.921983 91.887235) (xy 101.827733 91.915825) (xy 101.740871 91.962254) (xy 101.664736 92.024736)
(xy 101.64341 92.050722) (xy 101.537824 91.863366) (xy 101.349446 91.643999) (xy 101.121891 91.465598) (xy 100.945459 91.376298)
(xy 100.969465 91.366354) (xy 101.190575 91.218613) (xy 101.378613 91.030575) (xy 101.526354 90.809465) (xy 101.566571 90.712372)
(xy 101.602254 90.779129) (xy 101.664736 90.855264) (xy 101.740871 90.917746) (xy 101.827733 90.964175) (xy 101.921983 90.992765)
(xy 102.02 91.002419) (xy 103.72 91.002419) (xy 103.818017 90.992765) (xy 103.912267 90.964175) (xy 103.999129 90.917746)
(xy 104.075264 90.855264) (xy 104.137746 90.779129) (xy 104.14 90.774912) (xy 104.142254 90.779129) (xy 104.204736 90.855264)
(xy 104.280871 90.917746) (xy 104.367733 90.964175) (xy 104.461983 90.992765) (xy 104.56 91.002419) (xy 106.26 91.002419)
(xy 106.358017 90.992765) (xy 106.452267 90.964175) (xy 106.539129 90.917746) (xy 106.615264 90.855264) (xy 106.677746 90.779129)
(xy 106.68 90.774912) (xy 106.682254 90.779129) (xy 106.744736 90.855264) (xy 106.820871 90.917746) (xy 106.907733 90.964175)
(xy 107.001983 90.992765) (xy 107.1 91.002419) (xy 108.8 91.002419) (xy 108.898017 90.992765) (xy 108.992267 90.964175)
(xy 109.079129 90.917746) (xy 109.155264 90.855264) (xy 109.217746 90.779129) (xy 109.22 90.774912) (xy 109.222254 90.779129)
(xy 109.284736 90.855264) (xy 109.360871 90.917746) (xy 109.447733 90.964175) (xy 109.541983 90.992765) (xy 109.64 91.002419)
(xy 111.34 91.002419) (xy 111.438017 90.992765) (xy 111.532267 90.964175) (xy 111.619129 90.917746) (xy 111.695264 90.855264)
(xy 111.757746 90.779129) (xy 111.76 90.774912) (xy 111.762254 90.779129) (xy 111.824736 90.855264) (xy 111.900871 90.917746)
(xy 111.987733 90.964175) (xy 112.081983 90.992765) (xy 112.18 91.002419) (xy 113.88 91.002419) (xy 113.978017 90.992765)
(xy 114.072267 90.964175) (xy 114.159129 90.917746) (xy 114.235264 90.855264) (xy 114.297746 90.779129) (xy 114.344175 90.692267)
(xy 114.372765 90.598017) (xy 114.382419 90.5) (xy 114.382419 87.3) (xy 114.372765 87.201983) (xy 114.344175 87.107733)
(xy 114.297746 87.020871) (xy 114.235264 86.944736) (xy 114.159129 86.882254) (xy 114.072267 86.835825) (xy 113.978017 86.807235)
(xy 113.88 86.797581) (xy 112.18 86.797581) (xy 112.081983 86.807235) (xy 111.987733 86.835825) (xy 111.900871 86.882254)
(xy 111.824736 86.944736) (xy 111.762254 87.020871) (xy 111.76 87.025088) (xy 111.757746 87.020871) (xy 111.695264 86.944736)
(xy 111.619129 86.882254) (xy 111.532267 86.835825) (xy 111.438017 86.807235) (xy 111.34 86.797581) (xy 109.64 86.797581)
(xy 109.541983 86.807235) (xy 109.447733 86.835825) (xy 109.360871 86.882254) (xy 109.284736 86.944736) (xy 109.222254 87.020871)
(xy 109.22 87.025088) (xy 109.217746 87.020871) (xy 109.155264 86.944736) (xy 109.079129 86.882254) (xy 108.992267 86.835825)
(xy 108.898017 86.807235) (xy 108.8 86.797581) (xy 107.1 86.797581) (xy 107.001983 86.807235) (xy 106.907733 86.835825)
(xy 106.820871 86.882254) (xy 106.744736 86.944736) (xy 106.682254 87.020871) (xy 106.68 87.025088) (xy 106.677746 87.020871)
(xy 106.615264 86.944736) (xy 106.539129 86.882254) (xy 106.452267 86.835825) (xy 106.358017 86.807235) (xy 106.26 86.797581)
(xy 104.56 86.797581) (xy 104.461983 86.807235) (xy 104.367733 86.835825) (xy 104.280871 86.882254) (xy 104.204736 86.944736)
(xy 104.142254 87.020871) (xy 104.14 87.025088) (xy 104.137746 87.020871) (xy 104.075264 86.944736) (xy 103.999129 86.882254)
(xy 103.912267 86.835825) (xy 103.818017 86.807235) (xy 103.72 86.797581) (xy 102.02 86.797581) (xy 101.921983 86.807235)
(xy 101.827733 86.835825) (xy 101.740871 86.882254) (xy 101.664736 86.944736) (xy 101.602254 87.020871) (xy 101.566571 87.087628)
(xy 101.526354 86.990535) (xy 101.378613 86.769425) (xy 101.190575 86.581387) (xy 100.969465 86.433646) (xy 100.791668 86.36)
(xy 100.969465 86.286354) (xy 101.190575 86.138613) (xy 101.378613 85.950575) (xy 101.526354 85.729465) (xy 101.6 85.551668)
(xy 101.673646 85.729465) (xy 101.821387 85.950575) (xy 102.009425 86.138613) (xy 102.230535 86.286354) (xy 102.47622 86.38812)
(xy 102.737037 86.44) (xy 103.002963 86.44) (xy 103.26378 86.38812) (xy 103.509465 86.286354) (xy 103.730575 86.138613)
(xy 103.918613 85.950575) (xy 104.066354 85.729465) (xy 104.14 85.551668) (xy 104.213646 85.729465) (xy 104.361387 85.950575)
(xy 104.549425 86.138613) (xy 104.770535 86.286354) (xy 105.01622 86.38812) (xy 105.277037 86.44) (xy 105.542963 86.44)
(xy 105.80378 86.38812) (xy 106.049465 86.286354) (xy 106.270575 86.138613) (xy 106.458613 85.950575) (xy 106.606354 85.729465)
(xy 106.68 85.551668) (xy 106.753646 85.729465) (xy 106.901387 85.950575) (xy 107.089425 86.138613) (xy 107.310535 86.286354)
(xy 107.55622 86.38812) (xy 107.817037 86.44) (xy 108.082963 86.44) (xy 108.34378 86.38812) (xy 108.589465 86.286354)
(xy 108.810575 86.138613) (xy 108.998613 85.950575) (xy 109.146354 85.729465) (xy 109.22 85.551668) (xy 109.293646 85.729465)
(xy 109.441387 85.950575) (xy 109.629425 86.138613) (xy 109.850535 86.286354) (xy 110.09622 86.38812) (xy 110.357037 86.44)
(xy 110.622963 86.44) (xy 110.88378 86.38812) (xy 111.129465 86.286354) (xy 111.350575 86.138613) (xy 111.538613 85.950575)
(xy 111.686354 85.729465) (xy 111.76 85.551668) (xy 111.833646 85.729465) (xy 111.981387 85.950575) (xy 112.169425 86.138613)
(xy 112.390535 86.286354) (xy 112.63622 86.38812) (xy 112.897037 86.44) (xy 113.162963 86.44) (xy 113.42378 86.38812)
(xy 113.669465 86.286354) (xy 113.890575 86.138613) (xy 114.078613 85.950575) (xy 114.226354 85.729465) (xy 114.32812 85.48378)
(xy 114.38 85.222963) (xy 114.38 84.957037) (xy 114.32812 84.69622) (xy 114.226354 84.450535) (xy 114.078613 84.229425)
(xy 113.890575 84.041387) (xy 113.669465 83.893646) (xy 113.42378 83.79188) (xy 113.263509 83.76) (xy 115.630001 83.76)
)
)
(filled_polygon
(pts
(xy 53.567733 83.775825) (xy 53.480871 83.822254) (xy 53.404736 83.884736) (xy 53.342254 83.960871) (xy 53.295825 84.047733)
(xy 53.267235 84.141983) (xy 53.257581 84.24) (xy 53.257581 85.94) (xy 53.267235 86.038017) (xy 53.295825 86.132267)
(xy 53.342254 86.219129) (xy 53.404736 86.295264) (xy 53.480871 86.357746) (xy 53.567733 86.404175) (xy 53.661983 86.432765)
(xy 53.76 86.442419) (xy 53.985 86.442419) (xy 53.985001 90.087581) (xy 53.01 90.087581) (xy 52.911983 90.097235)
(xy 52.817733 90.125825) (xy 52.730871 90.172254) (xy 52.654736 90.234736) (xy 52.592254 90.310871) (xy 52.545825 90.397733)
(xy 52.517235 90.491983) (xy 52.507581 90.59) (xy 52.507581 92.29) (xy 52.517235 92.388017) (xy 52.545825 92.482267)
(xy 52.592254 92.569129) (xy 52.654736 92.645264) (xy 52.730871 92.707746) (xy 52.735088 92.71) (xy 52.730871 92.712254)
(xy 52.654736 92.774736) (xy 52.592254 92.850871) (xy 52.545825 92.937733) (xy 52.517235 93.031983) (xy 52.507581 93.13)
(xy 52.507581 94.83) (xy 52.517235 94.928017) (xy 52.545825 95.022267) (xy 52.592254 95.109129) (xy 52.654736 95.185264)
(xy 52.730871 95.247746) (xy 52.817733 95.294175) (xy 52.911983 95.322765) (xy 53.01 95.332419) (xy 53.985 95.332419)
(xy 53.985001 96.507218) (xy 53.910924 96.581295) (xy 53.81243 96.728702) (xy 53.744586 96.892492) (xy 53.71 97.06637)
(xy 53.71 97.243654) (xy 53.744586 97.417532) (xy 53.81243 97.581322) (xy 53.910924 97.728729) (xy 54.036283 97.854088)
(xy 54.18369 97.952582) (xy 54.34748 98.020426) (xy 54.521358 98.055012) (xy 54.698642 98.055012) (xy 54.87252 98.020426)
(xy 55.03631 97.952582) (xy 55.183717 97.854088) (xy 55.309076 97.728729) (xy 55.40757 97.581322) (xy 55.475414 97.417532)
(xy 55.51 97.243654) (xy 55.51 97.06637) (xy 55.475414 96.892492) (xy 55.40757 96.728702) (xy 55.309076 96.581295)
(xy 55.235 96.507219) (xy 55.235 95.58) (xy 68.371976 95.58) (xy 68.384043 95.702521) (xy 68.419781 95.820334)
(xy 68.477817 95.928911) (xy 68.55592 96.02408) (xy 68.651089 96.102183) (xy 68.759666 96.160219) (xy 68.877479 96.195957)
(xy 69 96.208024) (xy 69.66875 96.205) (xy 69.825 96.04875) (xy 69.825 94.005) (xy 68.53125 94.005)
(xy 68.375 94.16125) (xy 68.371976 95.58) (xy 55.235 95.58) (xy 55.235 95.332419) (xy 56.21 95.332419)
(xy 56.308017 95.322765) (xy 56.402267 95.294175) (xy 56.489129 95.247746) (xy 56.565264 95.185264) (xy 56.627746 95.109129)
(xy 56.674175 95.022267) (xy 56.702765 94.928017) (xy 56.712419 94.83) (xy 56.712419 93.13) (xy 56.702765 93.031983)
(xy 56.674175 92.937733) (xy 56.627746 92.850871) (xy 56.565264 92.774736) (xy 56.489129 92.712254) (xy 56.484912 92.71)
(xy 56.489129 92.707746) (xy 56.565264 92.645264) (xy 56.627746 92.569129) (xy 56.674175 92.482267) (xy 56.702765 92.388017)
(xy 56.703554 92.38) (xy 68.371976 92.38) (xy 68.375 93.79875) (xy 68.53125 93.955) (xy 69.825 93.955)
(xy 69.825 91.91125) (xy 69.875 91.91125) (xy 69.875 93.955) (xy 69.895 93.955) (xy 69.895 94.005)
(xy 69.875 94.005) (xy 69.875 96.04875) (xy 70.03125 96.205) (xy 70.7 96.208024) (xy 70.822521 96.195957)
(xy 70.940334 96.160219) (xy 71.048911 96.102183) (xy 71.14408 96.02408) (xy 71.203997 95.951071) (xy 71.260871 95.997746)
(xy 71.347733 96.044175) (xy 71.441983 96.072765) (xy 71.54 96.082419) (xy 73.24 96.082419) (xy 73.338017 96.072765)
(xy 73.432267 96.044175) (xy 73.519129 95.997746) (xy 73.595264 95.935264) (xy 73.657746 95.859129) (xy 73.66 95.854912)
(xy 73.662254 95.859129) (xy 73.724736 95.935264) (xy 73.800871 95.997746) (xy 73.887733 96.044175) (xy 73.981983 96.072765)
(xy 74.08 96.082419) (xy 75.78 96.082419) (xy 75.878017 96.072765) (xy 75.972267 96.044175) (xy 76.059129 95.997746)
(xy 76.135264 95.935264) (xy 76.197746 95.859129) (xy 76.2 95.854912) (xy 76.202254 95.859129) (xy 76.264736 95.935264)
(xy 76.340871 95.997746) (xy 76.427733 96.044175) (xy 76.521983 96.072765) (xy 76.62 96.082419) (xy 78.32 96.082419)
(xy 78.418017 96.072765) (xy 78.512267 96.044175) (xy 78.599129 95.997746) (xy 78.675264 95.935264) (xy 78.737746 95.859129)
(xy 78.784175 95.772267) (xy 78.812765 95.678017) (xy 78.822419 95.58) (xy 78.822419 92.38) (xy 78.812765 92.281983)
(xy 78.784175 92.187733) (xy 78.737746 92.100871) (xy 78.675264 92.024736) (xy 78.599129 91.962254) (xy 78.512267 91.915825)
(xy 78.418017 91.887235) (xy 78.32 91.877581) (xy 76.62 91.877581) (xy 76.521983 91.887235) (xy 76.427733 91.915825)
(xy 76.340871 91.962254) (xy 76.264736 92.024736) (xy 76.202254 92.100871) (xy 76.2 92.105088) (xy 76.197746 92.100871)
(xy 76.135264 92.024736) (xy 76.059129 91.962254) (xy 75.972267 91.915825) (xy 75.878017 91.887235) (xy 75.78 91.877581)
(xy 74.08 91.877581) (xy 73.981983 91.887235) (xy 73.887733 91.915825) (xy 73.800871 91.962254) (xy 73.724736 92.024736)
(xy 73.662254 92.100871) (xy 73.66 92.105088) (xy 73.657746 92.100871) (xy 73.595264 92.024736) (xy 73.519129 91.962254)
(xy 73.432267 91.915825) (xy 73.338017 91.887235) (xy 73.24 91.877581) (xy 71.54 91.877581) (xy 71.441983 91.887235)
(xy 71.347733 91.915825) (xy 71.260871 91.962254) (xy 71.203997 92.008929) (xy 71.14408 91.93592) (xy 71.048911 91.857817)
(xy 70.940334 91.799781) (xy 70.822521 91.764043) (xy 70.7 91.751976) (xy 70.03125 91.755) (xy 69.875 91.91125)
(xy 69.825 91.91125) (xy 69.66875 91.755) (xy 69 91.751976) (xy 68.877479 91.764043) (xy 68.759666 91.799781)
(xy 68.651089 91.857817) (xy 68.55592 91.93592) (xy 68.477817 92.031089) (xy 68.419781 92.139666) (xy 68.384043 92.257479)
(xy 68.371976 92.38) (xy 56.703554 92.38) (xy 56.712419 92.29) (xy 56.712419 90.59) (xy 56.702765 90.491983)
(xy 56.674175 90.397733) (xy 56.627746 90.310871) (xy 56.565264 90.234736) (xy 56.489129 90.172254) (xy 56.402267 90.125825)
(xy 56.308017 90.097235) (xy 56.21 90.087581) (xy 55.235 90.087581) (xy 55.235 87.3) (xy 68.497581 87.3)
(xy 68.497581 90.5) (xy 68.507235 90.598017) (xy 68.535825 90.692267) (xy 68.582254 90.779129) (xy 68.644736 90.855264)
(xy 68.720871 90.917746) (xy 68.807733 90.964175) (xy 68.901983 90.992765) (xy 69 91.002419) (xy 70.7 91.002419)
(xy 70.798017 90.992765) (xy 70.892267 90.964175) (xy 70.979129 90.917746) (xy 71.055264 90.855264) (xy 71.117746 90.779129)
(xy 71.12 90.774912) (xy 71.122254 90.779129) (xy 71.184736 90.855264) (xy 71.260871 90.917746) (xy 71.347733 90.964175)
(xy 71.441983 90.992765) (xy 71.54 91.002419) (xy 73.24 91.002419) (xy 73.338017 90.992765) (xy 73.432267 90.964175)
(xy 73.519129 90.917746) (xy 73.595264 90.855264) (xy 73.657746 90.779129) (xy 73.66 90.774912) (xy 73.662254 90.779129)
(xy 73.724736 90.855264) (xy 73.800871 90.917746) (xy 73.887733 90.964175) (xy 73.981983 90.992765) (xy 74.08 91.002419)
(xy 75.78 91.002419) (xy 75.878017 90.992765) (xy 75.972267 90.964175) (xy 76.059129 90.917746) (xy 76.135264 90.855264)
(xy 76.197746 90.779129) (xy 76.2 90.774912) (xy 76.202254 90.779129) (xy 76.264736 90.855264) (xy 76.340871 90.917746)
(xy 76.427733 90.964175) (xy 76.521983 90.992765) (xy 76.62 91.002419) (xy 78.32 91.002419) (xy 78.418017 90.992765)
(xy 78.512267 90.964175) (xy 78.599129 90.917746) (xy 78.675264 90.855264) (xy 78.737746 90.779129) (xy 78.784175 90.692267)
(xy 78.812765 90.598017) (xy 78.822419 90.5) (xy 78.822419 87.3) (xy 78.812765 87.201983) (xy 78.784175 87.107733)
(xy 78.737746 87.020871) (xy 78.675264 86.944736) (xy 78.599129 86.882254) (xy 78.512267 86.835825) (xy 78.418017 86.807235)
(xy 78.32 86.797581) (xy 76.62 86.797581) (xy 76.521983 86.807235) (xy 76.427733 86.835825) (xy 76.340871 86.882254)
(xy 76.264736 86.944736) (xy 76.202254 87.020871) (xy 76.2 87.025088) (xy 76.197746 87.020871) (xy 76.135264 86.944736)
(xy 76.059129 86.882254) (xy 75.972267 86.835825) (xy 75.878017 86.807235) (xy 75.78 86.797581) (xy 74.08 86.797581)
(xy 73.981983 86.807235) (xy 73.887733 86.835825) (xy 73.800871 86.882254) (xy 73.724736 86.944736) (xy 73.662254 87.020871)
(xy 73.66 87.025088) (xy 73.657746 87.020871) (xy 73.595264 86.944736) (xy 73.519129 86.882254) (xy 73.432267 86.835825)
(xy 73.338017 86.807235) (xy 73.24 86.797581) (xy 71.54 86.797581) (xy 71.441983 86.807235) (xy 71.347733 86.835825)
(xy 71.260871 86.882254) (xy 71.184736 86.944736) (xy 71.122254 87.020871) (xy 71.12 87.025088) (xy 71.117746 87.020871)
(xy 71.055264 86.944736) (xy 70.979129 86.882254) (xy 70.892267 86.835825) (xy 70.798017 86.807235) (xy 70.7 86.797581)
(xy 69 86.797581) (xy 68.901983 86.807235) (xy 68.807733 86.835825) (xy 68.720871 86.882254) (xy 68.644736 86.944736)
(xy 68.582254 87.020871) (xy 68.535825 87.107733) (xy 68.507235 87.201983) (xy 68.497581 87.3) (xy 55.235 87.3)
(xy 55.235 86.442419) (xy 55.46 86.442419) (xy 55.558017 86.432765) (xy 55.652267 86.404175) (xy 55.739129 86.357746)
(xy 55.815264 86.295264) (xy 55.877746 86.219129) (xy 55.924175 86.132267) (xy 55.952765 86.038017) (xy 55.962419 85.94)
(xy 55.962419 85.742595) (xy 56.101387 85.950575) (xy 56.289425 86.138613) (xy 56.510535 86.286354) (xy 56.75622 86.38812)
(xy 57.017037 86.44) (xy 57.282963 86.44) (xy 57.54378 86.38812) (xy 57.789465 86.286354) (xy 58.010575 86.138613)
(xy 58.198613 85.950575) (xy 58.346354 85.729465) (xy 58.42 85.551668) (xy 58.493646 85.729465) (xy 58.641387 85.950575)
(xy 58.829425 86.138613) (xy 59.050535 86.286354) (xy 59.29622 86.38812) (xy 59.557037 86.44) (xy 59.822963 86.44)
(xy 60.08378 86.38812) (xy 60.329465 86.286354) (xy 60.550575 86.138613) (xy 60.738613 85.950575) (xy 60.886354 85.729465)
(xy 60.96 85.551668) (xy 61.033646 85.729465) (xy 61.181387 85.950575) (xy 61.369425 86.138613) (xy 61.590535 86.286354)
(xy 61.83622 86.38812) (xy 62.097037 86.44) (xy 62.362963 86.44) (xy 62.62378 86.38812) (xy 62.869465 86.286354)
(xy 63.090575 86.138613) (xy 63.278613 85.950575) (xy 63.426354 85.729465) (xy 63.5 85.551668) (xy 63.573646 85.729465)
(xy 63.721387 85.950575) (xy 63.909425 86.138613) (xy 64.130535 86.286354) (xy 64.37622 86.38812) (xy 64.637037 86.44)
(xy 64.902963 86.44) (xy 65.16378 86.38812) (xy 65.409465 86.286354) (xy 65.630575 86.138613) (xy 65.818613 85.950575)
(xy 65.966354 85.729465) (xy 66.04 85.551668) (xy 66.113646 85.729465) (xy 66.261387 85.950575) (xy 66.449425 86.138613)
(xy 66.670535 86.286354) (xy 66.91622 86.38812) (xy 67.177037 86.44) (xy 67.442963 86.44) (xy 67.70378 86.38812)
(xy 67.949465 86.286354) (xy 68.170575 86.138613) (xy 68.358613 85.950575) (xy 68.506354 85.729465) (xy 68.58 85.551668)
(xy 68.653646 85.729465) (xy 68.801387 85.950575) (xy 68.989425 86.138613) (xy 69.210535 86.286354) (xy 69.45622 86.38812)
(xy 69.717037 86.44) (xy 69.982963 86.44) (xy 70.24378 86.38812) (xy 70.489465 86.286354) (xy 70.710575 86.138613)
(xy 70.898613 85.950575) (xy 71.046354 85.729465) (xy 71.12 85.551668) (xy 71.193646 85.729465) (xy 71.341387 85.950575)
(xy 71.529425 86.138613) (xy 71.750535 86.286354) (xy 71.99622 86.38812) (xy 72.257037 86.44) (xy 72.522963 86.44)
(xy 72.78378 86.38812) (xy 73.029465 86.286354) (xy 73.250575 86.138613) (xy 73.438613 85.950575) (xy 73.586354 85.729465)
(xy 73.66 85.551668) (xy 73.733646 85.729465) (xy 73.881387 85.950575) (xy 74.069425 86.138613) (xy 74.290535 86.286354)
(xy 74.53622 86.38812) (xy 74.797037 86.44) (xy 75.062963 86.44) (xy 75.32378 86.38812) (xy 75.569465 86.286354)
(xy 75.790575 86.138613) (xy 75.978613 85.950575) (xy 76.126354 85.729465) (xy 76.2 85.551668) (xy 76.273646 85.729465)
(xy 76.421387 85.950575) (xy 76.609425 86.138613) (xy 76.830535 86.286354) (xy 77.07622 86.38812) (xy 77.337037 86.44)
(xy 77.602963 86.44) (xy 77.86378 86.38812) (xy 78.109465 86.286354) (xy 78.330575 86.138613) (xy 78.518613 85.950575)
(xy 78.666354 85.729465) (xy 78.74 85.551668) (xy 78.813646 85.729465) (xy 78.961387 85.950575) (xy 79.149425 86.138613)
(xy 79.370535 86.286354) (xy 79.61622 86.38812) (xy 79.877037 86.44) (xy 80.142963 86.44) (xy 80.40378 86.38812)
(xy 80.649465 86.286354) (xy 80.870575 86.138613) (xy 81.058613 85.950575) (xy 81.206354 85.729465) (xy 81.28 85.551668)
(xy 81.353646 85.729465) (xy 81.501387 85.950575) (xy 81.689425 86.138613) (xy 81.910535 86.286354) (xy 82.15622 86.38812)
(xy 82.417037 86.44) (xy 82.682963 86.44) (xy 82.94378 86.38812) (xy 83.189465 86.286354) (xy 83.410575 86.138613)
(xy 83.598613 85.950575) (xy 83.746354 85.729465) (xy 83.82 85.551668) (xy 83.893646 85.729465) (xy 84.041387 85.950575)
(xy 84.229425 86.138613) (xy 84.450535 86.286354) (xy 84.69622 86.38812) (xy 84.957037 86.44) (xy 85.222963 86.44)
(xy 85.48378 86.38812) (xy 85.729465 86.286354) (xy 85.950575 86.138613) (xy 86.138613 85.950575) (xy 86.286354 85.729465)
(xy 86.36 85.551668) (xy 86.433646 85.729465) (xy 86.581387 85.950575) (xy 86.769425 86.138613) (xy 86.990535 86.286354)
(xy 87.23622 86.38812) (xy 87.497037 86.44) (xy 87.762963 86.44) (xy 88.02378 86.38812) (xy 88.269465 86.286354)
(xy 88.490575 86.138613) (xy 88.678613 85.950575) (xy 88.826354 85.729465) (xy 88.836298 85.705459) (xy 88.925598 85.881891)
(xy 89.103999 86.109446) (xy 89.323366 86.297824) (xy 89.575269 86.439786) (xy 89.850027 86.529877) (xy 89.9146 86.54272)
(xy 90.145 86.408513) (xy 90.145 85.115) (xy 90.125 85.115) (xy 90.125 85.065) (xy 90.145 85.065)
(xy 90.145 85.045) (xy 90.195 85.045) (xy 90.195 85.065) (xy 90.215 85.065) (xy 90.215 85.115)
(xy 90.195 85.115) (xy 90.195 86.408513) (xy 90.4254 86.54272) (xy 90.489973 86.529877) (xy 90.764731 86.439786)
(xy 91.016634 86.297824) (xy 91.236001 86.109446) (xy 91.414402 85.881891) (xy 91.503702 85.705459) (xy 91.513646 85.729465)
(xy 91.661387 85.950575) (xy 91.849425 86.138613) (xy 92.070535 86.286354) (xy 92.31622 86.38812) (xy 92.577037 86.44)
(xy 92.842963 86.44) (xy 93.10378 86.38812) (xy 93.349465 86.286354) (xy 93.570575 86.138613) (xy 93.758613 85.950575)
(xy 93.906354 85.729465) (xy 93.98 85.551668) (xy 94.053646 85.729465) (xy 94.201387 85.950575) (xy 94.389425 86.138613)
(xy 94.610535 86.286354) (xy 94.85622 86.38812) (xy 95.117037 86.44) (xy 95.382963 86.44) (xy 95.64378 86.38812)
(xy 95.889465 86.286354) (xy 96.110575 86.138613) (xy 96.298613 85.950575) (xy 96.446354 85.729465) (xy 96.52 85.551668)
(xy 96.593646 85.729465) (xy 96.741387 85.950575) (xy 96.929425 86.138613) (xy 97.150535 86.286354) (xy 97.39622 86.38812)
(xy 97.657037 86.44) (xy 97.922963 86.44) (xy 98.18378 86.38812) (xy 98.429465 86.286354) (xy 98.650575 86.138613)
(xy 98.838613 85.950575) (xy 98.986354 85.729465) (xy 99.06 85.551668) (xy 99.133646 85.729465) (xy 99.281387 85.950575)
(xy 99.469425 86.138613) (xy 99.690535 86.286354) (xy 99.868332 86.36) (xy 99.690535 86.433646) (xy 99.469425 86.581387)
(xy 99.281387 86.769425) (xy 99.133646 86.990535) (xy 99.093429 87.087628) (xy 99.057746 87.020871) (xy 98.995264 86.944736)
(xy 98.919129 86.882254) (xy 98.832267 86.835825) (xy 98.738017 86.807235) (xy 98.64 86.797581) (xy 96.94 86.797581)
(xy 96.841983 86.807235) (xy 96.747733 86.835825) (xy 96.660871 86.882254) (xy 96.584736 86.944736) (xy 96.522254 87.020871)
(xy 96.52 87.025088) (xy 96.517746 87.020871) (xy 96.455264 86.944736) (xy 96.379129 86.882254) (xy 96.292267 86.835825)
(xy 96.198017 86.807235) (xy 96.1 86.797581) (xy 94.4 86.797581) (xy 94.301983 86.807235) (xy 94.207733 86.835825)
(xy 94.120871 86.882254) (xy 94.044736 86.944736) (xy 93.982254 87.020871) (xy 93.98 87.025088) (xy 93.977746 87.020871)
(xy 93.915264 86.944736) (xy 93.839129 86.882254) (xy 93.752267 86.835825) (xy 93.658017 86.807235) (xy 93.56 86.797581)
(xy 91.86 86.797581) (xy 91.761983 86.807235) (xy 91.667733 86.835825) (xy 91.580871 86.882254) (xy 91.504736 86.944736)
(xy 91.442254 87.020871) (xy 91.395825 87.107733) (xy 91.367235 87.201983) (xy 91.357581 87.3) (xy 91.357581 90.5)
(xy 91.367235 90.598017) (xy 91.395825 90.692267) (xy 91.442254 90.779129) (xy 91.504736 90.855264) (xy 91.580871 90.917746)
(xy 91.667733 90.964175) (xy 91.761983 90.992765) (xy 91.86 91.002419) (xy 93.56 91.002419) (xy 93.658017 90.992765)
(xy 93.752267 90.964175) (xy 93.839129 90.917746) (xy 93.915264 90.855264) (xy 93.977746 90.779129) (xy 93.98 90.774912)
(xy 93.982254 90.779129) (xy 94.044736 90.855264) (xy 94.120871 90.917746) (xy 94.207733 90.964175) (xy 94.301983 90.992765)
(xy 94.4 91.002419) (xy 96.1 91.002419) (xy 96.198017 90.992765) (xy 96.292267 90.964175) (xy 96.379129 90.917746)
(xy 96.455264 90.855264) (xy 96.517746 90.779129) (xy 96.52 90.774912) (xy 96.522254 90.779129) (xy 96.584736 90.855264)
(xy 96.660871 90.917746) (xy 96.747733 90.964175) (xy 96.841983 90.992765) (xy 96.94 91.002419) (xy 98.64 91.002419)
(xy 98.738017 90.992765) (xy 98.832267 90.964175) (xy 98.919129 90.917746) (xy 98.995264 90.855264) (xy 99.057746 90.779129)
(xy 99.093429 90.712372) (xy 99.133646 90.809465) (xy 99.281387 91.030575) (xy 99.469425 91.218613) (xy 99.690535 91.366354)
(xy 99.714541 91.376298) (xy 99.538109 91.465598) (xy 99.310554 91.643999) (xy 99.122176 91.863366) (xy 99.01659 92.050722)
(xy 98.995264 92.024736) (xy 98.919129 91.962254) (xy 98.832267 91.915825) (xy 98.738017 91.887235) (xy 98.64 91.877581)
(xy 96.94 91.877581) (xy 96.841983 91.887235) (xy 96.747733 91.915825) (xy 96.660871 91.962254) (xy 96.584736 92.024736)
(xy 96.522254 92.100871) (xy 96.52 92.105088) (xy 96.517746 92.100871) (xy 96.455264 92.024736) (xy 96.379129 91.962254)
(xy 96.292267 91.915825) (xy 96.198017 91.887235) (xy 96.1 91.877581) (xy 94.4 91.877581) (xy 94.301983 91.887235)
(xy 94.207733 91.915825) (xy 94.120871 91.962254) (xy 94.044736 92.024736) (xy 93.982254 92.100871) (xy 93.98 92.105088)
(xy 93.977746 92.100871) (xy 93.915264 92.024736) (xy 93.839129 91.962254) (xy 93.752267 91.915825) (xy 93.658017 91.887235)
(xy 93.56 91.877581) (xy 91.86 91.877581) (xy 91.761983 91.887235) (xy 91.667733 91.915825) (xy 91.580871 91.962254)
(xy 91.504736 92.024736) (xy 91.442254 92.100871) (xy 91.395825 92.187733) (xy 91.367235 92.281983) (xy 91.357581 92.38)
(xy 91.357581 95.58) (xy 91.367235 95.678017) (xy 91.395825 95.772267) (xy 91.442254 95.859129) (xy 91.504736 95.935264)
(xy 91.580871 95.997746) (xy 91.667733 96.044175) (xy 91.761983 96.072765) (xy 91.86 96.082419) (xy 93.56 96.082419)
(xy 93.658017 96.072765) (xy 93.752267 96.044175) (xy 93.839129 95.997746) (xy 93.915264 95.935264) (xy 93.977746 95.859129)
(xy 93.98 95.854912) (xy 93.982254 95.859129) (xy 94.044736 95.935264) (xy 94.120871 95.997746) (xy 94.207733 96.044175)
(xy 94.301983 96.072765) (xy 94.4 96.082419) (xy 96.1 96.082419) (xy 96.198017 96.072765) (xy 96.292267 96.044175)
(xy 96.379129 95.997746) (xy 96.455264 95.935264) (xy 96.517746 95.859129) (xy 96.52 95.854912) (xy 96.522254 95.859129)
(xy 96.584736 95.935264) (xy 96.660871 95.997746) (xy 96.747733 96.044175) (xy 96.841983 96.072765) (xy 96.94 96.082419)
(xy 98.64 96.082419) (xy 98.738017 96.072765) (xy 98.832267 96.044175) (xy 98.919129 95.997746) (xy 98.995264 95.935264)
(xy 99.057746 95.859129) (xy 99.093429 95.792372) (xy 99.133646 95.889465) (xy 99.281387 96.110575) (xy 99.469425 96.298613)
(xy 99.690535 96.446354) (xy 99.868332 96.52) (xy 99.690535 96.593646) (xy 99.469425 96.741387) (xy 99.281387 96.929425)
(xy 99.133646 97.150535) (xy 99.03188 97.39622) (xy 98.98 97.657037) (xy 98.98 97.922963) (xy 99.03188 98.18378)
(xy 99.133646 98.429465) (xy 99.281387 98.650575) (xy 99.469425 98.838613) (xy 99.690535 98.986354) (xy 99.868332 99.06)
(xy 99.690535 99.133646) (xy 99.469425 99.281387) (xy 99.281387 99.469425) (xy 99.133646 99.690535) (xy 99.06 99.868332)
(xy 98.986354 99.690535) (xy 98.838613 99.469425) (xy 98.650575 99.281387) (xy 98.429465 99.133646) (xy 98.18378 99.03188)
(xy 97.922963 98.98) (xy 97.657037 98.98) (xy 97.39622 99.03188) (xy 97.150535 99.133646) (xy 96.929425 99.281387)
(xy 96.741387 99.469425) (xy 96.593646 99.690535) (xy 96.52 99.868332) (xy 96.446354 99.690535) (xy 96.298613 99.469425)
(xy 96.110575 99.281387) (xy 95.889465 99.133646) (xy 95.64378 99.03188) (xy 95.382963 98.98) (xy 95.117037 98.98)
(xy 94.85622 99.03188) (xy 94.610535 99.133646) (xy 94.389425 99.281387) (xy 94.201387 99.469425) (xy 94.053646 99.690535)
(xy 93.98 99.868332) (xy 93.906354 99.690535) (xy 93.758613 99.469425) (xy 93.570575 99.281387) (xy 93.349465 99.133646)
(xy 93.10378 99.03188) (xy 92.842963 98.98) (xy 92.577037 98.98) (xy 92.31622 99.03188) (xy 92.070535 99.133646)
(xy 91.849425 99.281387) (xy 91.661387 99.469425) (xy 91.513646 99.690535) (xy 91.44 99.868332) (xy 91.366354 99.690535)
(xy 91.218613 99.469425) (xy 91.030575 99.281387) (xy 90.809465 99.133646) (xy 90.56378 99.03188) (xy 90.302963 98.98)
(xy 90.037037 98.98) (xy 89.77622 99.03188) (xy 89.530535 99.133646) (xy 89.309425 99.281387) (xy 89.121387 99.469425)
(xy 88.973646 99.690535) (xy 88.9 99.868332) (xy 88.826354 99.690535) (xy 88.678613 99.469425) (xy 88.490575 99.281387)
(xy 88.269465 99.133646) (xy 88.02378 99.03188) (xy 87.762963 98.98) (xy 87.497037 98.98) (xy 87.23622 99.03188)
(xy 86.990535 99.133646) (xy 86.807955 99.255642) (xy 86.80408 99.25092) (xy 86.78023 99.231347) (xy 85.553658 98.004776)
(xy 85.53408 97.98092) (xy 85.438911 97.902817) (xy 85.330334 97.844781) (xy 85.212521 97.809043) (xy 85.120704 97.8)
(xy 85.120694 97.8) (xy 85.09 97.796977) (xy 85.059306 97.8) (xy 59.085701 97.8) (xy 59.055 97.796976)
(xy 59.024298 97.8) (xy 59.024296 97.8) (xy 58.932479 97.809043) (xy 58.814666 97.844781) (xy 58.706089 97.902817)
(xy 58.61092 97.98092) (xy 58.591346 98.004771) (xy 57.558246 99.037872) (xy 57.54378 99.03188) (xy 57.282963 98.98)
(xy 57.017037 98.98) (xy 56.75622 99.03188) (xy 56.510535 99.133646) (xy 56.289425 99.281387) (xy 56.101387 99.469425)
(xy 55.953646 99.690535) (xy 55.947282 99.705898) (xy 55.860486 99.534379) (xy 55.823598 99.479172) (xy 55.565054 99.410301)
(xy 54.645355 100.33) (xy 55.565054 101.249699) (xy 55.823598 101.180828) (xy 55.949025 100.958309) (xy 55.953646 100.969465)
(xy 56.101387 101.190575) (xy 56.289425 101.378613) (xy 56.510535 101.526354) (xy 56.75622 101.62812) (xy 57.017037 101.68)
(xy 57.282963 101.68) (xy 57.54378 101.62812) (xy 57.789465 101.526354) (xy 58.010575 101.378613) (xy 58.198613 101.190575)
(xy 58.346354 100.969465) (xy 58.42 100.791668) (xy 58.493646 100.969465) (xy 58.641387 101.190575) (xy 58.829425 101.378613)
(xy 59.050535 101.526354) (xy 59.252474 101.61) (xy 59.085693 101.61) (xy 59.054999 101.606977) (xy 59.024305 101.61)
(xy 59.024296 101.61) (xy 58.932479 101.619043) (xy 58.814666 101.654781) (xy 58.706089 101.712817) (xy 58.61092 101.79092)
(xy 58.591347 101.81477) (xy 55.459772 104.946346) (xy 55.435921 104.96592) (xy 55.416348 104.98977) (xy 55.357818 105.061089)
(xy 55.299782 105.169666) (xy 55.264044 105.287479) (xy 55.251977 105.41) (xy 55.255001 105.440703) (xy 55.255001 105.477654)
(xy 55.240535 105.483646) (xy 55.019425 105.631387) (xy 54.831387 105.819425) (xy 54.683646 106.040535) (xy 54.61 106.218332)
(xy 54.536354 106.040535) (xy 54.388613 105.819425) (xy 54.200575 105.631387) (xy 53.979465 105.483646) (xy 53.73378 105.38188)
(xy 53.472963 105.33) (xy 53.207037 105.33) (xy 52.94622 105.38188) (xy 52.700535 105.483646) (xy 52.479425 105.631387)
(xy 52.291387 105.819425) (xy 52.143646 106.040535) (xy 52.04188 106.28622) (xy 52.01 106.446491) (xy 52.01 101.285054)
(xy 53.690301 101.285054) (xy 53.759172 101.543598) (xy 54.012281 101.686268) (xy 54.288361 101.776817) (xy 54.576801 101.811765)
(xy 54.866517 101.78977) (xy 55.146375 101.711676) (xy 55.405621 101.580486) (xy 55.460828 101.543598) (xy 55.529699 101.285054)
(xy 54.61 100.365355) (xy 53.690301 101.285054) (xy 52.01 101.285054) (xy 52.01 100.296801) (xy 53.128235 100.296801)
(xy 53.15023 100.586517) (xy 53.228324 100.866375) (xy 53.359514 101.125621) (xy 53.396402 101.180828) (xy 53.654946 101.249699)
(xy 54.574645 100.33) (xy 53.654946 99.410301) (xy 53.396402 99.479172) (xy 53.253732 99.732281) (xy 53.163183 100.008361)
(xy 53.128235 100.296801) (xy 52.01 100.296801) (xy 52.01 99.374946) (xy 53.690301 99.374946) (xy 54.61 100.294645)
(xy 55.529699 99.374946) (xy 55.460828 99.116402) (xy 55.207719 98.973732) (xy 54.931639 98.883183) (xy 54.643199 98.848235)
(xy 54.353483 98.87023) (xy 54.073625 98.948324) (xy 53.814379 99.079514) (xy 53.759172 99.116402) (xy 53.690301 99.374946)
(xy 52.01 99.374946) (xy 52.01 83.76) (xy 53.619902 83.76)
)
)
(filled_polygon
(pts
(xy 106.753646 100.969465) (xy 106.901387 101.190575) (xy 107.089425 101.378613) (xy 107.310535 101.526354) (xy 107.325 101.532346)
(xy 107.325001 103.881116) (xy 106.259776 104.946342) (xy 106.23592 104.96592) (xy 106.157817 105.06109) (xy 106.099781 105.169667)
(xy 106.064043 105.28748) (xy 106.055 105.379297) (xy 106.055 105.379306) (xy 106.051977 105.41) (xy 106.055 105.440694)
(xy 106.055 105.477654) (xy 106.040535 105.483646) (xy 105.819425 105.631387) (xy 105.631387 105.819425) (xy 105.483646 106.040535)
(xy 105.41 106.218332) (xy 105.336354 106.040535) (xy 105.188613 105.819425) (xy 105.000575 105.631387) (xy 104.779465 105.483646)
(xy 104.765 105.477654) (xy 104.765 101.522656) (xy 104.770535 101.526354) (xy 105.01622 101.62812) (xy 105.277037 101.68)
(xy 105.542963 101.68) (xy 105.80378 101.62812) (xy 106.049465 101.526354) (xy 106.270575 101.378613) (xy 106.458613 101.190575)
(xy 106.606354 100.969465) (xy 106.68 100.791668)
)
)
(filled_polygon
(pts
(xy 111.833646 100.969465) (xy 111.981387 101.190575) (xy 112.169425 101.378613) (xy 112.390535 101.526354) (xy 112.405001 101.532346)
(xy 112.405 105.487345) (xy 112.399465 105.483646) (xy 112.15378 105.38188) (xy 111.892963 105.33) (xy 111.627037 105.33)
(xy 111.36622 105.38188) (xy 111.120535 105.483646) (xy 110.899425 105.631387) (xy 110.711387 105.819425) (xy 110.563646 106.040535)
(xy 110.49 106.218332) (xy 110.416354 106.040535) (xy 110.268613 105.819425) (xy 110.080575 105.631387) (xy 109.859465 105.483646)
(xy 109.845 105.477654) (xy 109.845 101.522656) (xy 109.850535 101.526354) (xy 110.09622 101.62812) (xy 110.357037 101.68)
(xy 110.622963 101.68) (xy 110.88378 101.62812) (xy 111.129465 101.526354) (xy 111.350575 101.378613) (xy 111.538613 101.190575)
(xy 111.686354 100.969465) (xy 111.76 100.791668)
)
)
(filled_polygon
(pts
(xy 101.673646 100.969465) (xy 101.821387 101.190575) (xy 102.009425 101.378613) (xy 102.230535 101.526354) (xy 102.47622 101.62812)
(xy 102.737037 101.68) (xy 103.002963 101.68) (xy 103.26378 101.62812) (xy 103.509465 101.526354) (xy 103.515001 101.522655)
(xy 103.515 102.877207) (xy 103.443717 102.805924) (xy 103.29631 102.70743) (xy 103.13252 102.639586) (xy 102.958642 102.605)
(xy 102.781358 102.605) (xy 102.60748 102.639586) (xy 102.44369 102.70743) (xy 102.296283 102.805924) (xy 102.222207 102.88)
(xy 88.523883 102.88) (xy 87.280887 101.637005) (xy 87.497037 101.68) (xy 87.762963 101.68) (xy 88.02378 101.62812)
(xy 88.269465 101.526354) (xy 88.490575 101.378613) (xy 88.678613 101.190575) (xy 88.826354 100.969465) (xy 88.9 100.791668)
(xy 88.973646 100.969465) (xy 89.121387 101.190575) (xy 89.309425 101.378613) (xy 89.530535 101.526354) (xy 89.77622 101.62812)
(xy 90.037037 101.68) (xy 90.302963 101.68) (xy 90.56378 101.62812) (xy 90.809465 101.526354) (xy 91.030575 101.378613)
(xy 91.218613 101.190575) (xy 91.366354 100.969465) (xy 91.44 100.791668) (xy 91.513646 100.969465) (xy 91.661387 101.190575)
(xy 91.849425 101.378613) (xy 92.070535 101.526354) (xy 92.31622 101.62812) (xy 92.577037 101.68) (xy 92.842963 101.68)
(xy 93.10378 101.62812) (xy 93.349465 101.526354) (xy 93.570575 101.378613) (xy 93.758613 101.190575) (xy 93.906354 100.969465)
(xy 93.98 100.791668) (xy 94.053646 100.969465) (xy 94.201387 101.190575) (xy 94.389425 101.378613) (xy 94.610535 101.526354)
(xy 94.85622 101.62812) (xy 95.117037 101.68) (xy 95.382963 101.68) (xy 95.64378 101.62812) (xy 95.889465 101.526354)
(xy 96.110575 101.378613) (xy 96.298613 101.190575) (xy 96.446354 100.969465) (xy 96.52 100.791668) (xy 96.593646 100.969465)
(xy 96.741387 101.190575) (xy 96.929425 101.378613) (xy 97.150535 101.526354) (xy 97.39622 101.62812) (xy 97.657037 101.68)
(xy 97.922963 101.68) (xy 98.18378 101.62812) (xy 98.429465 101.526354) (xy 98.650575 101.378613) (xy 98.838613 101.190575)
(xy 98.986354 100.969465) (xy 99.06 100.791668) (xy 99.133646 100.969465) (xy 99.281387 101.190575) (xy 99.469425 101.378613)
(xy 99.690535 101.526354) (xy 99.93622 101.62812) (xy 100.197037 101.68) (xy 100.462963 101.68) (xy 100.72378 101.62812)
(xy 100.969465 101.526354) (xy 101.190575 101.378613) (xy 101.378613 101.190575) (xy 101.526354 100.969465) (xy 101.6 100.791668)
)
)
(filled_polygon
(pts
(xy 101.602254 95.859129) (xy 101.664736 95.935264) (xy 101.740871 95.997746) (xy 101.827733 96.044175) (xy 101.921983 96.072765)
(xy 102.02 96.082419) (xy 102.245 96.082419) (xy 102.245 96.235306) (xy 102.241977 96.266) (xy 102.245 96.296694)
(xy 102.245 96.296703) (xy 102.254043 96.38852) (xy 102.289781 96.506333) (xy 102.347817 96.61491) (xy 102.42592 96.71008)
(xy 102.449776 96.729658) (xy 103.515001 97.794884) (xy 103.515001 99.137345) (xy 103.509465 99.133646) (xy 103.26378 99.03188)
(xy 103.002963 98.98) (xy 102.737037 98.98) (xy 102.47622 99.03188) (xy 102.230535 99.133646) (xy 102.009425 99.281387)
(xy 101.821387 99.469425) (xy 101.673646 99.690535) (xy 101.6 99.868332) (xy 101.526354 99.690535) (xy 101.378613 99.469425)
(xy 101.190575 99.281387) (xy 100.969465 99.133646) (xy 100.791668 99.06) (xy 100.969465 98.986354) (xy 101.190575 98.838613)
(xy 101.378613 98.650575) (xy 101.526354 98.429465) (xy 101.62812 98.18378) (xy 101.68 97.922963) (xy 101.68 97.657037)
(xy 101.62812 97.39622) (xy 101.526354 97.150535) (xy 101.378613 96.929425) (xy 101.190575 96.741387) (xy 100.969465 96.593646)
(xy 100.791668 96.52) (xy 100.969465 96.446354) (xy 101.190575 96.298613) (xy 101.378613 96.110575) (xy 101.526354 95.889465)
(xy 101.566571 95.792372)
)
)
(filled_polygon
(pts
(xy 100.355 92.685) (xy 100.375 92.685) (xy 100.375 92.735) (xy 100.355 92.735) (xy 100.355 92.755)
(xy 100.305 92.755) (xy 100.305 92.735) (xy 100.285 92.735) (xy 100.285 92.685) (xy 100.305 92.685)
(xy 100.305 92.665) (xy 100.355 92.665)
)
)
)
)