From 9c3b1c33fffd7686ac7fd037e428882348770c1c Mon Sep 17 00:00:00 2001 From: freitz85 Date: Sun, 10 Sep 2017 14:07:23 +0200 Subject: [PATCH] Reset inited on card remove --- .gitignore | 6 + VHDL/AppleIISd.jed | 1667 ++++++++++++++++++++++++++++++++++++++++++ VHDL/AppleIISd.tspec | 829 --------------------- VHDL/AppleIISd.vhd | 158 ++-- VHDL/AppleIISd.xise | 9 +- VHDL/sr_latch.vhd | 55 ++ 6 files changed, 1829 insertions(+), 895 deletions(-) create mode 100644 VHDL/AppleIISd.jed delete mode 100644 VHDL/AppleIISd.tspec create mode 100644 VHDL/sr_latch.vhd diff --git a/.gitignore b/.gitignore index 3ff132f..cb249ad 100644 --- a/.gitignore +++ b/.gitignore @@ -171,3 +171,9 @@ VHDL/*.lfp Hardware/SD_A2\.b\$1 + +*.vf + +*.nga + +*.tspec diff --git a/VHDL/AppleIISd.jed b/VHDL/AppleIISd.jed new file mode 100644 index 0000000..234df97 --- /dev/null +++ b/VHDL/AppleIISd.jed @@ -0,0 +1,1667 @@ +Programmer Jedec Bit Map +Date Extracted: Sun Sep 10 14:02:45 2017 + +QF46656* +QP44* +QV0* +F0* +X0* +J0 0* +N VERSION P.20131013* +N DEVICE XC9572XL-10-PC44* +N PPMAP 11 1* +N PPMAP 29 11* +N PPMAP 31 12* +N PPMAP 33 13* +N PPMAP 38 14* +N PPMAP 46 18* +N PPMAP 49 19* +N PPMAP 12 2* +N PPMAP 50 20* +N PPMAP 52 22* +N PPMAP 59 24* +N PPMAP 62 25* +N PPMAP 63 26* +N PPMAP 65 27* +N PPMAP 68 28* +N PPMAP 72 29* +N PPMAP 13 3* +N PPMAP 82 33* +N PPMAP 83 34* +N PPMAP 87 35* +N PPMAP 88 36* +N PPMAP 89 37* +N PPMAP 90 38* +N PPMAP 92 39* +N PPMAP 15 4* +N PPMAP 3 40* +N PPMAP 7 42* +N PPMAP 9 43* +N PPMAP 10 44* +N PPMAP 20 5* +N PPMAP 21 6* +N PPMAP 24 7* +N PPMAP 26 8* +N PPMAP 27 9* +L0000000 00000000 00000000 00000000 00000000* +L0000032 10000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000* +L0000096 10000000 00000000 00000000 00000000* +L0000128 00000000 00000000 00000000 00000000* +L0000160 00000000 00000000 00000000 00000000* +L0000192 00000000 00000000 00000000 00000000* +L0000224 00000000 00000000 00000000 00000000* +L0000256 00000000 00000000 00000000 00000000* +L0000288 000000 000000 000000 000000* +L0000312 000000 000000 000000 000000* +L0000336 000000 000000 000000 000000* +L0000360 000000 000000 000000 000000* +L0000384 000000 000000 000000 000000* +L0000408 000000 000000 000000 000000* +L0000432 00000000 00000000 00000000 00000000* +L0000464 00000000 00100000 00000000 00001000* +L0000496 00000000 00000000 00000000 00000000* +L0000528 00000000 00000000 00000000 00000000* +L0000560 00000000 00000000 00000000 00000000* +L0000592 00000000 00000000 00000000 00000000* +L0000624 00000000 00100000 00000000 00000000* +L0000656 00000000 00000000 00000000 00000000* +L0000688 00000100 00000000 00000000 00000000* +L0000720 000000 000000 000000 000000* +L0000744 000000 000000 000000 000000* +L0000768 000000 000000 000000 001000* +L0000792 000000 000000 000000 000000* +L0000816 000000 000000 000000 000000* +L0000840 000000 000000 000000 000000* +L0000864 00000000 00000000 00000000 00000000* +L0000896 00000000 10000000 00000000 00000000* +L0000928 00000000 00000000 00000000 00000000* +L0000960 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 00000000 00000000* +L0001024 00000000 00000000 00000000 00000000* +L0001056 00000000 00000000 00000000 00000000* +L0001088 00000000 00000000 00000000 00000000* +L0001120 00000010 00000000 00000000 00000000* +L0001152 000000 000000 000000 000000* +L0001176 000000 000000 000000 000000* +L0001200 000000 000000 000000 000000* +L0001224 000000 000000 000000 000000* +L0001248 000000 000000 000000 000000* +L0001272 000000 000000 000000 000000* +L0001296 00000000 00000000 00000000 00000000* +L0001328 00000000 00000000 00000000 00000000* +L0001360 00000000 00000000 00000000 00000000* +L0001392 00000000 00000000 00000000 00000000* +L0001424 00000000 00000000 00000000 00000000* +L0001456 00000000 00000000 00000000 00000000* +L0001488 00000000 00000000 00000000 00000000* +L0001520 00000000 00000000 00000000 00000000* +L0001552 00000000 00000000 00000000 00000000* +L0001584 000000 000000 000000 000000* +L0001608 000000 000000 000000 000000* +L0001632 000000 000000 000000 000000* +L0001656 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000* +L0001704 000000 000000 000000 000000* +L0001728 00000000 10000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00000100* +L0001792 00000000 00000000 00000000 00000000* +L0001824 00000000 00000000 00000000 00000000* +L0001856 00000000 00000000 00000000 00000000* +L0001888 00000000 00000000 00000000 00001000* +L0001920 00000000 00000000 00000000 00000000* +L0001952 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000* +L0002016 000000 000000 000000 000000* +L0002040 000000 000000 000000 000000* +L0002064 000000 000000 000000 000010* +L0002088 000000 000000 000000 000000* +L0002112 000000 000000 000000 000000* +L0002136 000000 000000 000000 000000* +L0002160 00000000 00000000 00000000 00000000* +L0002192 00000000 00000000 00000000 00000000* +L0002224 00000000 00000000 00000000 00000000* +L0002256 00000000 00000000 00000000 00000100* +L0002288 00000000 00000000 00000000 00000100* +L0002320 00000100 00000000 00000000 00000000* +L0002352 00000000 00000000 00000000 00000100* +L0002384 00000000 00000000 00000000 00000000* +L0002416 00000000 00000000 00000000 00000000* +L0002448 000000 000000 000000 000000* +L0002472 000000 000000 000000 000000* +L0002496 000000 000000 000000 000000* +L0002520 000000 000000 000000 000000* +L0002544 000000 000000 000000 000110* +L0002568 000000 000000 000000 000000* +L0002592 00000010 00000000 00000000 00000000* +L0002624 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 00000000* +L0002688 00000010 00000000 00000000 00000000* +L0002720 00000010 00000000 00000000 00000000* +L0002752 00111111 00000000 00000000 00000000* +L0002784 00111100 00000000 00000000 00000000* +L0002816 00111100 00000000 00000000 00000000* +L0002848 00101100 00000000 00000000 00000000* +L0002880 000001 000000 000000 000000* +L0002904 011000 000000 000000 000000* +L0002928 011000 000000 000010 000000* +L0002952 011000 100000 000000 000000* +L0002976 011000 000000 000000 000000* +L0003000 010000 000000 000000 000000* +L0003024 11111110 00000000 00000000 11111000* +L0003056 00000011 00000000 00000000 00000000* +L0003088 00000000 00000000 00000000 00000000* +L0003120 00000000 00000000 00000000 00000000* +L0003152 00000010 00000000 00000000 00000000* +L0003184 10000001 00000000 00000000 10010000* +L0003216 00000011 00000000 00000000 00000000* +L0003248 00000000 00000000 00000000 00000000* +L0003280 00000000 00000000 00000000 00000000* +L0003312 000000 000000 000000 000000* +L0003336 100111 000000 000000 111001* +L0003360 000000 000000 000000 000000* +L0003384 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000* +L0003432 000000 000000 000000 000000* +L0003456 00000000 10000000 00000000 00000000* +L0003488 00000000 10000000 00000000 00000000* +L0003520 00000000 10000000 00000000 00000000* +L0003552 00000000 10000000 00000000 00000000* +L0003584 00000000 10000000 00000000 00000000* +L0003616 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000* +L0003680 00000000 10000000 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000* +L0003744 000000 100000 000000 000000* +L0003768 000000 000001 000000 000000* +L0003792 000000 100000 000000 000000* +L0003816 000000 100000 000000 000000* +L0003840 000000 000000 000000 000000* +L0003864 000000 000000 000000 000000* +L0003888 00000000 00000000 00000000 00000000* +L0003920 00000000 00000000 00000000 00000000* +L0003952 00000000 00000000 00000000 00000000* +L0003984 00000000 00000000 00000000 00000000* +L0004016 00000000 00000000 00000000 00000000* +L0004048 00111100 00000000 00000000 00000000* +L0004080 00111100 00000000 00000000 00000000* +L0004112 00111100 00000000 00000000 00000000* +L0004144 00101100 00000000 00000000 00000000* +L0004176 000001 000000 000000 000000* +L0004200 011000 000000 000000 000000* +L0004224 011000 000000 000000 000000* +L0004248 011000 000000 000000 000000* +L0004272 011000 000000 000001 000000* +L0004296 010000 000000 000000 000000* +L0004320 00000000 00000000 00000000 00000000* +L0004352 10000000 00000000 00000000 00000000* +L0004384 01000000 00000000 00000000 00000000* +L0004416 10110100 00000000 00000000 00000000* +L0004448 00000000 00000000 00000000 00000000* +L0004480 00010100 00000000 00000000 00000000* +L0004512 00000000 00000100 00000000 00000000* +L0004544 10111000 00000000 10100000 00000000* +L0004576 00101100 00000000 10100000 00000000* +L0004608 000001 000000 000000 000000* +L0004632 000000 000000 000000 000000* +L0004656 000000 010000 000000 000000* +L0004680 101000 000000 000001 000000* +L0004704 011001 000000 000000 000000* +L0004728 010000 000000 000000 000000* +L0004752 00000000 00000000 00000000 00000000* +L0004784 00000000 00000000 00000000 00000000* +L0004816 00000000 00000000 00000000 00000000* +L0004848 00001000 00011100 00000000 00000000* +L0004880 00000000 00000000 00000000 00000000* +L0004912 00101000 00000000 10100000 00000000* +L0004944 00000000 01000000 00000000 00000000* +L0004976 00000100 00000000 00000000 00000000* +L0005008 00000000 00011100 00000000 00000000* +L0005040 000000 000000 000000 000000* +L0005064 011000 000000 000000 000000* +L0005088 000000 000000 000000 000000* +L0005112 010000 000000 000000 000000* +L0005136 000110 000110 000000 000000* +L0005160 000000 000000 000000 000000* +L0005184 00000011 00000001 00000000 00000011* +L0005216 00000011 00000011 00000010 00000011* +L0005248 00000011 01000011 00000000 00000011* +L0005280 00000011 00000011 00000000 00000011* +L0005312 00000001 00000011 00000010 00000011* +L0005344 00000011 00000011 00000001 00000011* +L0005376 00000011 00000011 00000001 00000011* +L0005408 00000011 00000011 00000011 00000011* +L0005440 00000011 00000011 00000001 00000011* +L0005472 000000 000000 000000 000000* +L0005496 000000 000000 000000 000000* +L0005520 000000 000000 000000 000000* +L0005544 000000 000000 000000 000000* +L0005568 000000 000000 000000 000000* +L0005592 000000 000000 000000 000000* +L0005616 00000011 00000011 00000000 00000011* +L0005648 00000010 00000011 00000000 00100001* +L0005680 00000011 00000011 00000000 00000011* +L0005712 10000011 00000011 00000000 00000011* +L0005744 00000000 00000011 00000000 00000000* +L0005776 00000001 00000011 00000001 00000011* +L0005808 00000011 00000011 00000001 00000011* +L0005840 00001000 00000011 00000000 00000001* +L0005872 00000001 00000000 00000001 00000011* +L0005904 000000 000000 000000 000000* +L0005928 000000 000000 000000 000000* +L0005952 000000 000000 000000 000000* +L0005976 000000 000000 000000 000000* +L0006000 000000 000000 000000 000000* +L0006024 000000 000000 000000 000000* +L0006048 00000011 00000001 00000000 00000011* +L0006080 00000011 00100011 00000010 00000001* +L0006112 00000011 00000011 00000000 00000011* +L0006144 00000011 00000011 00000000 00000011* +L0006176 00000011 00000011 00000000 00000000* +L0006208 00000011 00000011 00000001 00000011* +L0006240 00000011 00000011 00000001 00000011* +L0006272 00000011 00000011 00000010 00000001* +L0006304 00000011 00000010 00000000 00000011* +L0006336 000000 000000 000000 000000* +L0006360 000000 000000 000000 000000* +L0006384 000000 000000 000000 000000* +L0006408 000000 000000 000000 000000* +L0006432 000000 000000 000000 000000* +L0006456 000000 000000 000000 000000* +L0006480 00000000 00000010 00000000 00000000* +L0006512 00000001 00000010 00000010 00000000* +L0006544 00000000 00000000 00000000 00000000* +L0006576 00000000 00000000 00000000 00000000* +L0006608 00000011 00000000 00000000 00000000* +L0006640 00000010 00000000 00000000 00000000* +L0006672 00000000 00000000 00000000 00000000* +L0006704 00000011 00000000 00000010 00000000* +L0006736 00000010 00000000 00000000 00000000* +L0006768 000000 000000 000000 000000* +L0006792 000000 000000 000000 000000* +L0006816 000000 000000 000000 000000* +L0006840 000000 000000 000000 000000* +L0006864 000000 000000 000000 000000* +L0006888 000000 000000 000000 000000* +L0006912 00000011 00011101 00000000 00000001* +L0006944 00000011 00000011 00000110 00000001* +L0006976 00000011 00000001 00000000 00000011* +L0007008 00000011 00000011 00000000 00000011* +L0007040 00000001 00000011 00000000 00000000* +L0007072 00000011 11011111 00000100 00000011* +L0007104 00000011 00000011 00000000 00000011* +L0007136 00000011 00000001 00000010 00000001* +L0007168 00000011 00000010 00000001 00000011* +L0007200 000000 000000 000000 000000* +L0007224 000000 110110 000000 000000* +L0007248 000000 000000 000000 000000* +L0007272 000000 000000 000000 000000* +L0007296 000000 000000 000000 000000* +L0007320 000000 000000 000000 000000* +L0007344 00000011 00000011 00000000 00000001* +L0007376 00000010 00000001 00000000 00000001* +L0007408 00000011 00000011 00000000 00000011* +L0007440 00000011 00000001 00000000 00000011* +L0007472 00000000 00000011 00000000 00000000* +L0007504 00000001 00000011 01000000 00000011* +L0007536 00000011 00000011 00000000 00000011* +L0007568 00000000 00000001 00000000 00000001* +L0007600 00000001 00000000 00000001 00000011* +L0007632 000000 000000 000000 000000* +L0007656 000000 000000 000000 000000* +L0007680 000000 000000 000000 010001* +L0007704 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000* +L0007752 000000 000000 000000 000000* +L0007776 00000011 00000001 00000000 00000011* +L0007808 00000010 00000011 00000010 00000001* +L0007840 00000011 00000001 00000000 00000011* +L0007872 00000011 00000001 00000000 00000011* +L0007904 00000001 00000001 00000000 00000000* +L0007936 00000011 00000001 00000000 00000011* +L0007968 00000011 00000001 00000000 00000011* +L0008000 00000011 10000011 00000010 00000001* +L0008032 00000011 00000000 00000001 00000011* +L0008064 000000 000000 000000 000000* +L0008088 000000 000000 000000 000000* +L0008112 000000 000000 000000 000000* +L0008136 000000 000000 000000 000000* +L0008160 000000 000000 000000 000000* +L0008184 000000 000000 000000 000000* +L0008208 00000001 00000011 00000000 00000011* +L0008240 00000010 00000011 00000000 00000001* +L0008272 00000011 00000001 00000000 00000011* +L0008304 00000011 00000001 00000000 00000011* +L0008336 00000000 00000001 00000000 00000000* +L0008368 00000001 00000001 00000000 00000010* +L0008400 00000011 00000001 00000000 00000010* +L0008432 00000000 00000010 00000000 00000001* +L0008464 00000001 00000000 00100001 00000011* +L0008496 000000 000000 000000 000000* +L0008520 000000 000000 000000 000000* +L0008544 000000 000000 000000 000000* +L0008568 001000 000000 000000 000000* +L0008592 000000 000000 000000 000000* +L0008616 000000 000000 000000 000000* +L0008640 00000000 00000000 00000000 00000000* +L0008672 00000000 00000010 00000000 00000000* +L0008704 00000000 00000000 00000000 00000000* +L0008736 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000* +L0008800 00000010 00000000 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000* +L0008864 00000001 00000000 00000000 00000001* +L0008896 00000000 00000000 00000000 00000000* +L0008928 000000 000000 000000 000000* +L0008952 000000 000000 000000 000000* +L0008976 000000 000000 000000 000000* +L0009000 000000 000000 000000 000000* +L0009024 000000 000000 000000 000000* +L0009048 000000 000000 000000 000000* +L0009072 00000000 00000010 00000000 00000000* +L0009104 00000000 00010000 00000000 00000000* +L0009136 00000000 00000000 00000000 00000000* +L0009168 00000000 00000000 00000000 00000000* +L0009200 00000000 00000000 00000000 00000000* +L0009232 00000000 00000000 00000000 00100000* +L0009264 00000000 00000000 00000000 00000001* +L0009296 00000000 00000000 00000000 00000001* +L0009328 00000000 00000000 00000000 00000000* +L0009360 000000 000000 000000 000000* +L0009384 010000 000000 000000 000000* +L0009408 000000 000000 000000 000000* +L0009432 000000 000000 000000 000000* +L0009456 000000 000000 000000 000000* +L0009480 000000 000000 000000 000000* +L0009504 00000000 00000000 00000000 00000000* +L0009536 00000000 00000010 00000000 00000010* +L0009568 00000000 00000000 00000000 00000000* +L0009600 00000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000* +L0009664 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00000001 00000000* +L0009728 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000000 00000000* +L0009792 000000 000000 000000 000000* +L0009816 000000 000000 000000 000000* +L0009840 000000 000000 000000 000000* +L0009864 000000 000000 000000 000000* +L0009888 000000 000000 000000 000000* +L0009912 000000 000000 000000 000000* +L0009936 00000000 00000000 00000000 00000000* +L0009968 00000000 00000010 00000000 00000000* +L0010000 00000000 00000000 00000000 00000000* +L0010032 00000000 00000000 00000000 00000000* +L0010064 00000000 00000000 00000000 00000000* +L0010096 00000000 00000000 01000000 00000000* +L0010128 00000000 00010000 00000000 00000000* +L0010160 00000000 00000000 00000000 00000001* +L0010192 00000000 00000000 00000000 00000000* +L0010224 000000 000000 000000 000000* +L0010248 001000 000000 000000 000000* +L0010272 000000 000000 000000 000000* +L0010296 000000 000000 000000 000000* +L0010320 000000 000000 000000 000000* +L0010344 000000 000000 000000 000000* +L0010368 00000000 00000000 00000000 00000000* +L0010400 00000000 00000010 00000000 00000000* +L0010432 00000000 00000000 00000000 00000000* +L0010464 00000000 00000000 00000000 00000000* +L0010496 00000000 00000000 00000000 00000000* +L0010528 00000000 00000000 00000000 00000000* +L0010560 00000000 00000000 00000000 00000000* +L0010592 00000000 00000000 00000000 00000000* +L0010624 00000000 00000000 00000000 00000000* +L0010656 000000 000000 000000 000000* +L0010680 000000 000000 000000 000000* +L0010704 000000 000000 000000 000000* +L0010728 000000 000000 000000 000000* +L0010752 000000 000000 000000 000000* +L0010776 000000 000000 000000 000000* +L0010800 00000000 00000000 00000000 00000000* +L0010832 00010000 00000000 00000100 00000000* +L0010864 00000000 00000010 00000000 00000000* +L0010896 00000000 00000000 00000000 00000000* +L0010928 00000000 00000000 00000000 00000000* +L0010960 00000000 00000000 00000100 00000000* +L0010992 10000000 00000000 00000000 00000000* +L0011024 00000000 00000000 00000000 00000000* +L0011056 00000000 00000000 00000000 00000000* +L0011088 000000 000000 000000 000000* +L0011112 000000 000000 000000 000000* +L0011136 000100 000100 000000 000000* +L0011160 000000 000000 000000 000000* +L0011184 000000 000000 000000 000000* +L0011208 000000 000000 000000 000000* +L0011232 00000011 00000001 00000000 00000011* +L0011264 00000011 00000011 00000010 00000011* +L0011296 00000011 00000011 00000000 00000011* +L0011328 00000011 00000011 00000000 00000011* +L0011360 00000011 00000011 00000010 00000011* +L0011392 00000011 00000011 00000001 00000011* +L0011424 00000011 00000011 00000001 00000011* +L0011456 00000011 00000011 00000011 00000011* +L0011488 00000011 00000011 00000001 00000011* +L0011520 000000 000000 000000 000000* +L0011544 000000 000000 000000 000000* +L0011568 000000 000000 000000 000000* +L0011592 000000 000000 000000 000000* +L0011616 000000 000000 000000 000000* +L0011640 000000 000000 000000 000000* +L0011664 00000000 00000000 00000000 00000000* +L0011696 00000000 00000000 00000000 00000000* +L0011728 00000000 00000000 00000000 00000000* +L0011760 00000000 00000000 00000000 00000000* +L0011792 00000000 00000000 00000000 00000000* +L0011824 00000000 00000000 00000000 00000000* +L0011856 00000000 00000000 00000000 00000000* +L0011888 00010000 00000000 00000000 00000000* +L0011920 00000000 00000000 00000000 00000000* +L0011952 000000 000000 000000 000000* +L0011976 000000 000000 000000 000000* +L0012000 000000 000000 000000 000000* +L0012024 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000* +L0012072 000000 000000 000000 000000* +L0012096 00000000 00000000 00000000 00000000* +L0012128 00000000 00000000 00000000 00000000* +L0012160 00000000 00000000 00000000 00000000* +L0012192 00000000 00000000 00000000 00000000* +L0012224 00000000 00000000 00000000 00000000* +L0012256 00000000 00000000 00000000 00000000* +L0012288 00000000 00000000 00000000 00000000* +L0012320 00000000 00000000 00000000 00000000* +L0012352 00000000 00000000 00000000 00000000* +L0012384 000000 000000 000000 000000* +L0012408 000000 000000 000000 000000* +L0012432 000000 000000 000000 000000* +L0012456 000000 000000 000000 000000* +L0012480 000000 000000 000000 000000* +L0012504 000000 000000 000000 000000* +L0012528 00000000 00000000 00000000 00000000* +L0012560 00000000 00000000 00000000 00000000* +L0012592 00000000 00000000 00000000 00000000* +L0012624 00000000 00000000 00000000 00000000* +L0012656 00000000 00000000 00000000 00000000* +L0012688 00000000 00000000 00100000 00000000* +L0012720 00000000 00001000 00000000 00000000* +L0012752 00000000 00000000 00000000 00000000* +L0012784 00000000 00000000 00000000 00000000* +L0012816 000000 000000 000000 000000* +L0012840 000000 000000 000000 000000* +L0012864 000000 000000 000000 000010* +L0012888 010000 000000 000000 000000* +L0012912 000000 000000 000000 000000* +L0012936 000000 000000 000000 000000* +L0012960 00000000 00000000 00000000 00000000* +L0012992 00000000 00000000 00000000 00000011* +L0013024 00000000 00000000 00000000 00000000* +L0013056 00000000 00000000 00000000 00000000* +L0013088 00000000 00000000 00000010 00000011* +L0013120 00000000 00000000 00000000 00000000* +L0013152 00000000 00000000 00000000 00000000* +L0013184 00000000 00000000 00000001 00000011* +L0013216 00000000 00000000 00000000 00000000* +L0013248 000000 000000 000000 000000* +L0013272 000000 000000 000000 000000* +L0013296 000000 000000 000000 000000* +L0013320 000000 000000 000000 000000* +L0013344 000000 000000 000000 000000* +L0013368 000000 000000 000000 000000* +L0013392 00000000 00000000 00000000 00000000* +L0013424 00000000 00000000 00000000 00000000* +L0013456 00000000 00000000 00000000 00000000* +L0013488 00000000 00000000 00000000 00000000* +L0013520 00000000 00000000 00000000 00000000* +L0013552 00000000 00000000 00000000 10000000* +L0013584 00000000 00000000 00000000 00000000* +L0013616 00100000 00000000 00000000 00000000* +L0013648 00000000 00000000 00000000 00000000* +L0013680 000000 000000 000000 000000* +L0013704 000000 000000 000000 000000* +L0013728 000000 000010 000010 000000* +L0013752 000000 000000 000000 000000* +L0013776 000000 000000 000000 000000* +L0013800 000000 000000 000000 000000* +L0013824 00000000 10000000 00000000 00000000* +L0013856 10000001 00000000 00000010 00000010* +L0013888 01000000 00000000 00000000 01110000* +L0013920 00111100 10000000 00000000 10001000* +L0013952 00000011 00000000 00000010 00000011* +L0013984 00000010 00000000 00000000 00000000* +L0014016 00000000 00000000 00000000 00000000* +L0014048 10000011 10000000 00000011 00010010* +L0014080 00000010 00000111 00000000 00000000* +L0014112 000000 100000 000000 000000* +L0014136 000000 000000 000000 000000* +L0014160 000000 000000 000000 000000* +L0014184 100000 000000 000000 011000* +L0014208 000111 000000 000000 100001* +L0014232 000000 000000 000000 000000* +L0014256 00000011 00000001 00000000 00000011* +L0014288 00000010 10000011 00000000 00000001* +L0014320 00000011 10000011 00000000 00000011* +L0014352 00000011 00000011 00000000 00000011* +L0014384 00000000 10000011 00000000 00000000* +L0014416 00111101 00000011 00000001 00000011* +L0014448 00111111 01000111 00000001 00000011* +L0014480 00111100 00000011 00000000 00000001* +L0014512 00101101 00000000 00000001 00000011* +L0014544 000001 000000 000000 000000* +L0014568 011000 000000 000000 000000* +L0014592 011000 000000 000000 000000* +L0014616 011000 100000 000000 000000* +L0014640 011000 000000 000000 000000* +L0014664 010000 000000 000000 000000* +L0014688 00000011 00000001 00000000 00000011* +L0014720 00000010 00000011 00000000 00000001* +L0014752 00000011 00000011 00000000 01110011* +L0014784 00000011 00000011 00000000 00000011* +L0014816 00000000 00000011 00000000 00000000* +L0014848 00000001 00000011 00000001 00000011* +L0014880 00000011 00000011 00000001 00000011* +L0014912 00000000 00000011 00000000 00000001* +L0014944 00000001 00000000 00000001 00000011* +L0014976 000000 000000 000000 000000* +L0015000 000000 000000 000000 000000* +L0015024 000000 000000 000000 000000* +L0015048 000000 000000 000000 011000* +L0015072 000000 000000 000000 000000* +L0015096 000000 000000 000000 000000* +L0015120 00000000 00000000 00000000 00000000* +L0015152 00000000 00000000 00000000 00000000* +L0015184 00000000 00000000 00000000 00000000* +L0015216 00000000 00000000 00000000 10001000* +L0015248 00000000 00000000 00000000 00000000* +L0015280 00000000 00000000 00000000 00000000* +L0015312 00000000 00000000 00000000 00000000* +L0015344 00000000 00000000 00100000 00010000* +L0015376 00000000 00000000 00000000 00000000* +L0015408 000000 000000 000000 000000* +L0015432 000000 000000 000000 000000* +L0015456 000000 000000 000000 000000* +L0015480 000000 000000 000000 000000* +L0015504 010000 000000 000000 100001* +L0015528 000000 000000 000000 000000* +L0015552 00000000 00100000 00000000 00000001* +L0015584 00000010 00000000 00000000 00000001* +L0015616 00000010 00000000 00000000 00000000* +L0015648 00000010 00000000 00000000 00000010* +L0015680 00000000 00000000 00000000 00000000* +L0015712 00000000 00100000 00000000 00000010* +L0015744 00000000 00000000 00000000 00000010* +L0015776 00000000 00000000 00000000 00000000* +L0015808 00000000 00000000 00000001 00000010* +L0015840 000000 000000 000000 000000* +L0015864 000000 000000 000000 000000* +L0015888 000000 001000 000000 000000* +L0015912 000000 000000 000000 000000* +L0015936 000000 000000 000000 000000* +L0015960 000000 000000 000000 000000* +L0015984 00000001 01000001 00000100 00000010* +L0016016 00000000 00000001 00000000 00000000* +L0016048 00000001 00000001 00000000 00000011* +L0016080 00000001 00000001 00000000 00000001* +L0016112 00000000 00000001 00000000 00000000* +L0016144 00000001 00000001 00000000 00000000* +L0016176 00000011 00000001 00000000 00000000* +L0016208 00000000 00000010 00000000 00000000* +L0016240 00000001 00000000 00000000 00000001* +L0016272 000000 000000 000000 000000* +L0016296 000000 000000 000010 000000* +L0016320 000000 000000 000000 000000* +L0016344 000000 000000 000000 000000* +L0016368 000000 000000 000000 000000* +L0016392 000000 000000 000000 000000* +L0016416 00000000 00000000 00000000 00000000* +L0016448 00000000 00000000 00000000 00000000* +L0016480 00000000 00000000 00000000 00000000* +L0016512 00000000 00000000 00000000 00000000* +L0016544 00000000 00000000 00000000 00000000* +L0016576 00000000 00000000 00000000 00000000* +L0016608 00000000 00000000 00000000 00000000* +L0016640 00000000 00000000 00000000 00000000* +L0016672 00000000 00000000 00000000 00000000* +L0016704 000000 000000 000000 000000* +L0016728 000000 000000 000000 000000* +L0016752 000000 000000 000000 000000* +L0016776 000000 000000 000000 000000* +L0016800 000000 000000 000000 000000* +L0016824 000000 000000 000000 000000* +L0016848 00000010 00000000 00000000 00000000* +L0016880 00001100 00000000 00000000 00000000* +L0016912 00000000 00000000 00000000 00000000* +L0016944 00000000 00000000 00000000 00000000* +L0016976 00000000 00000000 00000000 00000000* +L0017008 00000000 00000000 00000000 00000001* +L0017040 00000000 00000000 00000000 00000001* +L0017072 00000000 00000000 00000000 00000000* +L0017104 00000000 00000000 00000000 00000000* +L0017136 000000 000000 000000 000000* +L0017160 000000 000000 000000 000000* +L0017184 100000 000000 000000 000000* +L0017208 000000 000000 000000 000000* +L0017232 000000 000000 000000 000000* +L0017256 000000 000000 000000 000000* +L0017280 00000000 00000000 00000000 00000000* +L0017312 00000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000* +L0017376 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000* +L0017440 00000000 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000* +L0017504 00000000 00000000 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000* +L0017568 000000 000000 000000 000000* +L0017592 000000 000000 000000 000000* +L0017616 000000 000000 000000 000000* +L0017640 000000 000000 000000 000000* +L0017664 000000 000000 000000 000000* +L0017688 000000 000000 000000 000000* +L0017712 00000000 00000000 00000000 00000000* +L0017744 00000000 00000000 00000000 00000000* +L0017776 00000000 00000000 00000000 00000000* +L0017808 00000000 00000000 00000000 00000000* +L0017840 00000000 00000000 00000000 00000000* +L0017872 00000000 00000000 00000000 00000000* +L0017904 00000000 00000000 00000000 00000000* +L0017936 00000000 00000000 00000000 00000000* +L0017968 00000000 00000000 00000000 00000000* +L0018000 000000 000000 000000 000000* +L0018024 000000 000000 000000 000000* +L0018048 000000 000000 000000 000000* +L0018072 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000* +L0018120 000000 000000 000000 000000* +L0018144 00000000 00000000 00000000 00000000* +L0018176 00000000 00000000 00000000 00000000* +L0018208 00000000 00000000 00000000 00000000* +L0018240 00000000 00000000 00000000 00000000* +L0018272 00000000 00000000 00000000 00000000* +L0018304 00000000 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000000* +L0018368 00000000 00000000 00000000 00000000* +L0018400 00000000 00000000 00000000 00000000* +L0018432 000000 000000 000000 000000* +L0018456 000000 000000 000000 000000* +L0018480 000000 000000 000000 000000* +L0018504 000000 000000 000000 000000* +L0018528 000000 000000 000000 000000* +L0018552 000000 000000 000000 000000* +L0018576 00000000 00000000 00000000 00000000* +L0018608 00000000 00000000 00000000 00000000* +L0018640 00000000 00000000 00000000 00000000* +L0018672 00000000 00000000 00000000 00000000* +L0018704 00000000 00000000 00000000 00000000* +L0018736 00000000 00000000 00000000 00000000* +L0018768 00000000 00000000 00000000 00000000* +L0018800 00000000 00000000 00000000 00000000* +L0018832 00000000 00000000 00000000 00000000* +L0018864 000000 000000 000000 000000* +L0018888 000000 000000 000000 000000* +L0018912 000000 000000 000000 000000* +L0018936 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000* +L0018984 000000 000000 000000 000000* +L0019008 00000000 00000000 00000000 00000000* +L0019040 00000001 00000010 00000010 00000011* +L0019072 00000000 00000000 00000000 00000000* +L0019104 00000000 00000000 00000000 00000000* +L0019136 00000011 00000000 00000010 00000011* +L0019168 00000010 00000000 00000000 01100000* +L0019200 00000000 00000000 00000000 00000000* +L0019232 00000011 00000000 00000011 00000011* +L0019264 00000010 00000000 00000000 00000000* +L0019296 000000 000000 000000 000000* +L0019320 000000 000000 000000 000000* +L0019344 000000 000000 000000 000000* +L0019368 000000 000000 000000 000000* +L0019392 000000 000000 000000 000000* +L0019416 000000 000000 000000 000000* +L0019440 00000011 00000011 00000000 00000011* +L0019472 00000011 00000011 00000010 00000011* +L0019504 00000011 00000011 00000000 00000011* +L0019536 00000011 00000011 00000000 00000011* +L0019568 00000001 00000011 00000010 00000011* +L0019600 00000011 00000011 00000001 00000011* +L0019632 00000011 00000011 00000001 00000011* +L0019664 00000011 00000011 00000011 00000011* +L0019696 00000011 00000011 00000001 00000011* +L0019728 000000 000000 000000 000000* +L0019752 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000* +L0019800 000000 000000 000000 000000* +L0019824 000000 000000 000000 000000* +L0019848 000000 000000 000000 000000* +L0019872 00000011 00000011 00000000 00000011* +L0019904 00000011 00000011 00000010 00000001* +L0019936 00000011 00000011 00000000 00000011* +L0019968 00000011 00000011 00000000 00000011* +L0020000 00000011 00000011 00000000 00000000* +L0020032 00000011 00000011 00000001 00000011* +L0020064 00000011 00000011 00000001 00000011* +L0020096 00000011 00000011 00000010 00000001* +L0020128 00000011 00000010 00000000 00000011* +L0020160 000000 000000 000000 000000* +L0020184 000000 000000 000000 000000* +L0020208 000000 000000 000000 000000* +L0020232 000000 000000 000000 000000* +L0020256 000000 000000 000000 000000* +L0020280 000000 000000 000000 000000* +L0020304 00000011 00000011 00000000 00000001* +L0020336 00000011 00000011 00000110 00000001* +L0020368 00000011 00000011 00000000 00000011* +L0020400 00000011 00000011 00000000 00000011* +L0020432 00000001 00000011 00000000 00000000* +L0020464 00000011 00000011 00000000 01000011* +L0020496 00000011 00000011 00000000 00000011* +L0020528 00000011 00000001 00000010 00000001* +L0020560 00000011 00000010 00000001 00000011* +L0020592 000000 000000 000000 000000* +L0020616 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000* +L0020664 000000 000000 000000 000000* +L0020688 000000 000000 000000 000000* +L0020712 000000 000000 000000 000000* +L0020736 00000011 00000011 00000000 00000011* +L0020768 00000010 01000011 00000010 00000001* +L0020800 00000011 00111101 00000000 00001111* +L0020832 00000011 00000001 00000000 01110011* +L0020864 00000001 00000001 00000000 00000000* +L0020896 00000011 00000001 00000000 00000011* +L0020928 00000011 00000001 00000000 00000011* +L0020960 00000011 01011111 00000010 00000101* +L0020992 00000011 10000000 00000001 00010111* +L0021024 000000 000000 000000 000000* +L0021048 000000 000000 000000 000000* +L0021072 000000 000000 000000 000000* +L0021096 000000 010110 000000 100111* +L0021120 000000 000000 000000 011000* +L0021144 000000 000000 000000 000000* +L0021168 00000000 00000010 00000000 00000000* +L0021200 00000000 00000010 00000000 00000000* +L0021232 00000000 00000000 00000000 00000000* +L0021264 00000000 00000000 00000000 10000000* +L0021296 00000000 00000000 00000000 00000100* +L0021328 00000010 00000000 00000000 00000000* +L0021360 00000000 00000000 00000000 00000101* +L0021392 00000001 00000000 00000000 00000001* +L0021424 00000000 00100000 00000000 00000000* +L0021456 000000 000000 000000 000000* +L0021480 000000 000001 000000 000000* +L0021504 000000 000000 000000 000000* +L0021528 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000* +L0021576 000000 000000 000000 000000* +L0021600 00000000 00000011 00000000 00000000* +L0021632 00000000 00000011 00000000 00000000* +L0021664 00000000 00000000 00000000 00000010* +L0021696 00000000 00000000 00000000 00000000* +L0021728 00000010 00000011 00000000 00000001* +L0021760 00000000 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000000* +L0021824 00000000 00000000 00000000 00000000* +L0021856 00000000 00000000 00000000 00000000* +L0021888 000000 000000 000000 000000* +L0021912 000000 000000 000000 000000* +L0021936 000000 000000 000000 000000* +L0021960 000000 000000 000000 000000* +L0021984 000000 000000 000000 000000* +L0022008 000000 000000 000000 000000* +L0022032 00000000 00000000 00000001 00000000* +L0022064 00000000 00000000 00000000 00000000* +L0022096 00000000 00000000 00000000 00000000* +L0022128 00000000 00000000 00000000 00000000* +L0022160 00000000 00000010 00000000 00000000* +L0022192 00000000 00000000 00000000 00000000* +L0022224 00000000 00000000 00000000 00000000* +L0022256 00000000 00000000 00000000 00000000* +L0022288 00000000 00000000 00000000 00000000* +L0022320 000000 000000 000000 000000* +L0022344 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000* +L0022392 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000* +L0022440 000000 000000 000000 000000* +L0022464 00000000 00000000 00000000 00000000* +L0022496 00000010 00000001 00000000 00000010* +L0022528 00000000 00000000 00000000 00000000* +L0022560 00000000 00000000 00000000 00000000* +L0022592 00000010 00000011 00000000 00000010* +L0022624 00000000 00000000 00000000 00000000* +L0022656 00000000 00000000 00000000 00000000* +L0022688 00000000 00000000 00000000 00000000* +L0022720 00000000 00000000 00000000 00000000* +L0022752 000000 000000 000000 000000* +L0022776 000000 000000 000000 000000* +L0022800 000000 000000 000000 000000* +L0022824 000000 000000 000000 000000* +L0022848 000000 000000 000000 000000* +L0022872 000000 000000 000000 000000* +L0022896 00000011 00000001 00000000 00000010* +L0022928 00000011 00000001 00000010 11000010* +L0022960 00000000 00000000 00000000 00000000* +L0022992 00000000 00000000 00000000 00000000* +L0023024 00000000 00000011 00000010 00000000* +L0023056 00000000 00000000 00000000 00000000* +L0023088 00000000 00000000 00000000 00000000* +L0023120 00000000 00000000 00000000 00000000* +L0023152 00000000 00000000 00000000 00000000* +L0023184 000000 000000 000000 000000* +L0023208 000000 000000 000000 000000* +L0023232 000000 000000 000000 000000* +L0023256 000000 000000 000000 000000* +L0023280 000000 000000 000000 000000* +L0023304 000000 000000 000000 000000* +L0023328 00000000 00000001 00000000 00000000* +L0023360 00000000 00000011 00000010 00000001* +L0023392 00000011 10000000 00000000 00000000* +L0023424 00000000 10000000 00000000 00000000* +L0023456 00000000 10000011 00000010 00000001* +L0023488 00000000 00000000 00000000 00001000* +L0023520 00000000 00000000 00000000 00000000* +L0023552 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00000000* +L0023616 000000 100000 000000 000000* +L0023640 000000 000000 000000 000000* +L0023664 000000 000000 000000 000000* +L0023688 000000 000000 000000 000000* +L0023712 000000 000000 000000 000000* +L0023736 000000 000000 000000 000000* +L0023760 00000000 10000000 00000000 00000000* +L0023792 00000000 10000010 00000000 00000000* +L0023824 00000010 00000000 00000010 00000000* +L0023856 00000000 00000000 00000000 00000000* +L0023888 00000000 00000010 00000000 00000000* +L0023920 00000000 00000000 00000000 00000000* +L0023952 00000000 01000000 00000000 00000000* +L0023984 00000000 10000000 00000000 00000000* +L0024016 00000000 00000000 00000000 00000000* +L0024048 000000 000000 000000 000000* +L0024072 000000 000000 000000 000000* +L0024096 000000 000000 000000 000000* +L0024120 000000 100000 000000 000000* +L0024144 000000 000000 000000 000000* +L0024168 000000 000000 000000 000000* +L0024192 00000001 00000000 00000000 00000001* +L0024224 00000001 00000010 00000000 00000001* +L0024256 00000000 00000000 00000000 00000010* +L0024288 00000000 00000000 00000000 00000000* +L0024320 00000010 00000011 00000000 00000000* +L0024352 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000* +L0024416 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000* +L0024480 000000 000000 000000 000000* +L0024504 000000 000000 000000 000000* +L0024528 000000 000000 000000 000000* +L0024552 000000 000000 000000 000000* +L0024576 000000 000000 000000 000000* +L0024600 000000 000000 000000 000000* +L0024624 00000001 00000001 00000000 00000000* +L0024656 00000001 00000011 00000100 00000000* +L0024688 00000000 00000000 00000000 00000000* +L0024720 00000000 00000000 00000000 00000000* +L0024752 00000001 00000011 00000000 00000000* +L0024784 00000000 00000000 00000000 00000000* +L0024816 00000000 00000000 00000000 00000000* +L0024848 00000000 00000000 00000000 00000000* +L0024880 00000000 00000000 00000000 00000000* +L0024912 000000 000000 000000 000000* +L0024936 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000* +L0024984 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000* +L0025032 000000 000000 000000 000000* +L0025056 00000000 00000001 00000000 00000001* +L0025088 00000001 00000011 00000010 00000001* +L0025120 00000000 00000000 00000000 00000010* +L0025152 00000000 00000000 00000000 00000000* +L0025184 00000001 00000011 00000000 00000001* +L0025216 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000* +L0025280 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000* +L0025344 000000 000000 000000 000000* +L0025368 000000 000000 000000 000000* +L0025392 000000 000000 000000 000000* +L0025416 000000 000000 000000 000000* +L0025440 000000 000000 000000 000000* +L0025464 000000 000000 000000 000000* +L0025488 00000000 00000011 00000000 00000000* +L0025520 00000000 00001011 00000010 00000000* +L0025552 00000000 00000000 00000000 00000000* +L0025584 00000000 00000000 00000000 00000000* +L0025616 00000010 00000011 00000010 00000000* +L0025648 00000000 00000000 00000000 00000000* +L0025680 00000000 00000000 00000000 00000000* +L0025712 00000000 00000000 00000000 00000000* +L0025744 00000000 00000000 00000000 00000000* +L0025776 000000 000000 000000 000000* +L0025800 000000 000000 000000 000000* +L0025824 000000 000000 000000 000000* +L0025848 000000 000000 000000 000000* +L0025872 000000 000000 000000 000000* +L0025896 000000 000000 000000 000000* +L0025920 00000010 00000000 00000000 00000010* +L0025952 00000010 00000010 00000000 00000000* +L0025984 00111100 00000000 00000000 00000000* +L0026016 01000000 00000000 00000000 00000000* +L0026048 00000010 10000010 00000000 00000000* +L0026080 00000000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000* +L0026144 00000000 00000000 00000000 00000000* +L0026176 10000000 00000000 00000000 00000000* +L0026208 000000 000000 000000 000000* +L0026232 000000 000000 000000 000000* +L0026256 000000 000000 000000 000000* +L0026280 000111 000000 000000 000000* +L0026304 100000 000000 000000 000000* +L0026328 000000 000000 000000 000000* +L0026352 00000000 00000000 00000000 00000000* +L0026384 00000000 00000010 00000000 00000001* +L0026416 00000000 00000000 00000010 00000000* +L0026448 00000000 00000000 00000000 00000000* +L0026480 00000010 00000010 00000000 00000001* +L0026512 00000000 00000000 00000000 00000000* +L0026544 00000000 00000000 00000000 00000000* +L0026576 00000000 00000000 00000000 00000000* +L0026608 00000000 00000000 00000000 00000000* +L0026640 000000 000000 000000 000000* +L0026664 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000* +L0026712 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000* +L0026760 000000 000000 000000 000000* +L0026784 00000010 00000000 00000011 00000000* +L0026816 00000010 00000010 00000001 00000001* +L0026848 00000000 00000000 00000000 00000000* +L0026880 00000000 00000000 00000000 00000000* +L0026912 00000000 00000010 00000000 00000101* +L0026944 00000000 00000000 00000000 00000000* +L0026976 00000000 00000000 00000000 00000000* +L0027008 00000000 00000000 00000000 00000000* +L0027040 00000000 00000000 00000000 00000000* +L0027072 000000 100000 000000 000000* +L0027096 000000 000000 000000 000000* +L0027120 000000 000000 000000 000000* +L0027144 000000 000000 000000 000000* +L0027168 000000 000000 000000 000000* +L0027192 000000 000000 000000 000000* +L0027216 00000001 00000000 00000000 00000000* +L0027248 00100010 00000000 00000001 00000001* +L0027280 00000000 00000000 00000000 00000000* +L0027312 00000000 00000000 00000000 00000100* +L0027344 00000010 00000000 00000000 00000001* +L0027376 00000000 00000000 00000000 00000000* +L0027408 00000000 00000000 00000000 00000100* +L0027440 00000000 00000000 00000000 00000000* +L0027472 00000000 00000000 00000000 00000000* +L0027504 000000 000000 000000 000000* +L0027528 000000 000000 000000 000000* +L0027552 000000 000000 000000 000000* +L0027576 000000 000000 000000 000000* +L0027600 000000 000000 000000 000110* +L0027624 000000 000000 000000 000000* +L0027648 00000000 00000000 00000010 00000010* +L0027680 00000001 00000010 00000000 00000010* +L0027712 00000000 00000000 00000000 00000000* +L0027744 00000000 00000000 00000000 00000000* +L0027776 00000011 00000010 00000010 00000010* +L0027808 00000000 00000000 00000000 00000000* +L0027840 00000000 00000000 00000000 00000000* +L0027872 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000000* +L0027936 000000 000000 000000 000000* +L0027960 000000 000000 000000 000000* +L0027984 000000 000000 000000 000000* +L0028008 000000 000000 000000 000000* +L0028032 000000 000000 000000 000000* +L0028056 000000 000000 000000 000000* +L0028080 00000000 00000000 00000011 00000011* +L0028112 00000000 00000010 00000010 00000001* +L0028144 00000000 00000000 00000000 00000000* +L0028176 00000000 00000000 00000000 00000000* +L0028208 00000011 00000010 00000000 00000011* +L0028240 00000000 00000000 00000000 00000000* +L0028272 00000000 00000000 00000000 00000000* +L0028304 00000000 00000000 00000000 00000000* +L0028336 00000000 00000000 00000000 00000000* +L0028368 000000 000000 000000 000000* +L0028392 000000 000000 000000 000000* +L0028416 000000 000000 000000 000000* +L0028440 000000 000000 000000 000000* +L0028464 000000 000000 000000 000000* +L0028488 000000 000000 000000 000000* +L0028512 00000001 00000001 00000000 00000000* +L0028544 00000011 00000011 00000001 00000010* +L0028576 00000000 00000000 00000000 00000000* +L0028608 00000000 00000000 00000000 00000000* +L0028640 00000001 00000011 00000001 00000001* +L0028672 00000000 00000000 00000000 00000000* +L0028704 00000000 00000000 00000000 00000000* +L0028736 00000000 00000000 00000000 00000000* +L0028768 00000000 00000000 00000000 00000000* +L0028800 000000 000000 000000 000000* +L0028824 000000 001000 000000 000000* +L0028848 000000 000000 000000 000000* +L0028872 000000 000000 000000 000000* +L0028896 000000 000000 000000 000000* +L0028920 000000 000000 000000 000000* +L0028944 00000000 00000000 00000010 00000010* +L0028976 01000001 00000000 00000000 00000010* +L0029008 00000000 00000000 00000000 00000000* +L0029040 00000000 00000000 00000000 00000000* +L0029072 00000011 00000000 00000010 00000000* +L0029104 00000000 00000000 00000000 00000000* +L0029136 00000000 00000000 00000000 00010000* +L0029168 00000000 00000000 00000000 00000000* +L0029200 00000000 00000000 00000000 00000000* +L0029232 000000 000000 000000 000000* +L0029256 000000 000000 000000 000000* +L0029280 000011 100000 000000 000000* +L0029304 000000 000000 000000 000000* +L0029328 000000 000000 000000 000000* +L0029352 000000 000000 000000 000000* +L0029376 00000000 00000000 00000000 00000000* +L0029408 00000000 00000010 00000010 00000000* +L0029440 00000000 00000000 00000000 00000000* +L0029472 00000000 00000000 00000000 00000000* +L0029504 00000000 00000001 00000000 00000000* +L0029536 00000000 00000000 00000000 00000000* +L0029568 00000000 00000000 00000000 00000000* +L0029600 00000000 00000000 00000000 00000000* +L0029632 00000000 00000000 00000000 00000000* +L0029664 000000 000000 000000 000000* +L0029688 000000 000000 000000 000000* +L0029712 000000 000000 000000 000000* +L0029736 000000 000000 000000 000000* +L0029760 000000 000000 000000 000000* +L0029784 000000 000000 000000 000000* +L0029808 00000011 00000000 00000001 00000000* +L0029840 00000011 00000100 00000001 00000000* +L0029872 00000000 00000000 00000000 00000000* +L0029904 00000000 00000000 00000000 00000000* +L0029936 00000000 00000000 00000000 00000000* +L0029968 00000000 00000000 00000000 00000000* +L0030000 00000000 00000000 00000000 00000000* +L0030032 00000000 00000000 00000000 00000000* +L0030064 00000000 00000000 00000000 00000000* +L0030096 000000 000000 000000 000000* +L0030120 000000 000000 000000 000000* +L0030144 000000 000000 000000 000000* +L0030168 000000 000000 000000 000000* +L0030192 000000 000000 000000 000000* +L0030216 010000 000000 000000 000000* +L0030240 00000001 00000000 00000001 00000000* +L0030272 00000001 10000000 00000001 00000000* +L0030304 00000000 00000000 00000000 00000001* +L0030336 00000000 00000000 00000000 00000000* +L0030368 00000001 10000000 00000001 00000000* +L0030400 00000000 00000000 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000* +L0030464 00000000 10000000 00000000 00000000* +L0030496 00000000 00000000 00000000 00000000* +L0030528 000000 100000 000000 000000* +L0030552 000000 000000 000000 000000* +L0030576 000000 000000 000000 000000* +L0030600 000000 000000 000000 000000* +L0030624 000000 000000 000000 000000* +L0030648 000000 000000 000000 000000* +L0030672 00000000 10000000 00000000 00000001* +L0030704 00000000 00000001 00000000 00000101* +L0030736 00000000 10000000 00000000 00000000* +L0030768 00000000 10000000 00000000 00000000* +L0030800 00000000 00000001 00000000 00000000* +L0030832 00000000 00000000 00000000 00000000* +L0030864 00000000 01000000 00000000 00000000* +L0030896 00000000 00000000 00000000 00000000* +L0030928 00000000 00000000 00000000 00000000* +L0030960 000000 000000 000000 000000* +L0030984 000000 000000 000000 000000* +L0031008 000000 000000 000000 000000* +L0031032 000000 100000 000000 000000* +L0031056 001000 000000 000000 000010* +L0031080 000000 000000 000000 000000* +L0031104 00000000 00000001 00000000 00000000* +L0031136 00000000 00000001 00000000 00000010* +L0031168 00000000 10000000 00000000 00000000* +L0031200 00000000 00000000 00000000 00000000* +L0031232 00000000 00000001 00000000 00000000* +L0031264 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00000000 00000000* +L0031328 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000* +L0031392 000000 000000 000000 000000* +L0031416 000000 000000 000000 000000* +L0031440 000000 000000 000000 000000* +L0031464 000000 000000 000000 000000* +L0031488 000000 000000 000000 000000* +L0031512 000000 000000 000000 000000* +L0031536 00000000 00000000 00000010 00000010* +L0031568 00000001 00000000 00000000 00000001* +L0031600 00000000 00000000 00000000 00000000* +L0031632 00000000 00000000 00000000 00000000* +L0031664 00000001 00000000 00000000 00000000* +L0031696 00000000 00000000 00000000 00000000* +L0031728 00000000 00000000 00000000 00000000* +L0031760 00000000 00000000 00000000 00000000* +L0031792 00000000 00000000 00000000 00000000* +L0031824 000000 000000 000000 000000* +L0031848 000000 000000 000000 000000* +L0031872 000000 000000 000000 000000* +L0031896 000000 000000 000000 000000* +L0031920 000000 000000 000000 000000* +L0031944 000000 000000 000000 000000* +L0031968 00000001 00000011 00000000 00000010* +L0032000 00000001 00000010 00000000 00000010* +L0032032 00000000 00000000 00000000 00000000* +L0032064 00000000 00000000 00000000 00000000* +L0032096 00000001 00000001 00000000 00000000* +L0032128 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000000* +L0032192 00000000 00000000 00000000 00000000* +L0032224 00000000 00000000 00000000 00000000* +L0032256 000000 000000 000000 000000* +L0032280 000000 000000 000000 000000* +L0032304 000000 000000 000000 000000* +L0032328 000000 000000 000000 000000* +L0032352 000000 000000 000000 000000* +L0032376 000000 000000 000000 000000* +L0032400 00000001 00000000 00000000 00000000* +L0032432 00000000 00000000 00000000 00000000* +L0032464 00000000 00000000 00000000 00000000* +L0032496 00000000 00000000 00000000 00000000* +L0032528 00000001 00000000 00000000 00000001* +L0032560 00000000 00000000 00000000 00000000* +L0032592 00000000 00000000 00000000 00000000* +L0032624 00000000 00000000 00000000 00000000* +L0032656 00000000 00000000 00000000 00000000* +L0032688 000000 000000 000000 000000* +L0032712 000000 000000 000000 000000* +L0032736 000000 000000 000000 000000* +L0032760 000000 000000 000000 000000* +L0032784 000000 000000 000000 000000* +L0032808 000000 000000 000000 000000* +L0032832 00000000 00000000 00000000 00000000* +L0032864 00000001 00000000 00000000 00000000* +L0032896 00000000 00000001 00000001 00000010* +L0032928 00000000 00000000 00000000 00000000* +L0032960 00000001 00000000 00000000 00000000* +L0032992 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000* +L0033056 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000* +L0033120 000000 000000 000000 000000* +L0033144 000000 000000 000000 000000* +L0033168 000000 000000 000000 000000* +L0033192 000000 000000 000000 000000* +L0033216 000000 000000 000000 000000* +L0033240 000000 000000 000000 000000* +L0033264 00000000 00000000 00000000 00000000* +L0033296 00000000 00000000 00000000 00000000* +L0033328 00000000 00000000 00000000 00000000* +L0033360 00000000 00000000 00000000 00000100* +L0033392 00000000 00000000 00000000 00000000* +L0033424 00000000 00000000 00000000 00000000* +L0033456 00000000 00000000 00000000 00000000* +L0033488 00000000 00000000 00000000 00000000* +L0033520 00000000 00000000 00000000 00000000* +L0033552 000000 000000 000000 000000* +L0033576 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000* +L0033624 000000 000000 000000 000000* +L0033648 000000 000000 000000 000010* +L0033672 000000 000000 000000 000000* +L0033696 00000010 00000010 00000010 00000110* +L0033728 00000000 00000010 00000000 00000010* +L0033760 00000000 00000000 00000000 00000000* +L0033792 00000000 00000000 00000000 00000000* +L0033824 00000000 00000000 00000000 00000000* +L0033856 00000000 00000000 10100000 00000100* +L0033888 00000010 00000010 10100010 00000010* +L0033920 00000000 00000000 10100000 00000000* +L0033952 00000000 00000000 10100000 00000000* +L0033984 000000 000000 000000 000000* +L0034008 000000 000000 000000 000110* +L0034032 000000 000000 000000 000000* +L0034056 000000 000000 000000 000000* +L0034080 000000 000000 000000 000000* +L0034104 000000 000000 000000 000000* +L0034128 00000000 00000000 00000000 00000000* +L0034160 00000000 00000000 00000000 00000000* +L0034192 00000000 00000000 00000000 00000000* +L0034224 00000000 00000000 00000000 00000000* +L0034256 00000000 00000000 00000000 00000000* +L0034288 00000000 00000000 01000000 00000000* +L0034320 00000000 00000000 00000000 00000000* +L0034352 00000000 00000000 00000000 00000000* +L0034384 00000000 00000000 00000000 00000000* +L0034416 000000 000000 000000 000000* +L0034440 000000 000000 000001 000000* +L0034464 000000 000000 000000 000000* +L0034488 000000 000000 000000 000000* +L0034512 000000 000000 000000 000000* +L0034536 000000 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000* +L0034592 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 00000000 00000000* +L0034656 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000100* +L0034720 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000100* +L0034784 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00000000* +L0034848 000000 000000 000000 000000* +L0034872 000000 000000 000000 000000* +L0034896 000000 000000 000000 000000* +L0034920 000000 000000 000000 000000* +L0034944 000000 000000 000000 000000* +L0034968 000000 000000 000000 000000* +L0034992 00000000 00000000 00000000 00000000* +L0035024 00000000 00000000 00000000 00000000* +L0035056 00000000 00000000 00000000 00000000* +L0035088 00000000 00000000 00000000 00000000* +L0035120 00000000 00000000 00000000 00000000* +L0035152 00000000 00000000 10100000 00000000* +L0035184 00000000 00000000 10100000 00000000* +L0035216 00000100 00000000 10100000 00000000* +L0035248 00000000 00000000 10100000 00000000* +L0035280 000000 000000 000000 000000* +L0035304 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000* +L0035352 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000* +L0035400 000000 000000 000000 000000* +L0035424 00000000 00000000 00000000 00000000* +L0035456 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000* +L0035520 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000* +L0035584 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000* +L0035648 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000* +L0035712 000000 000000 000000 000000* +L0035736 000000 000000 000000 000000* +L0035760 000000 000000 000000 000000* +L0035784 000000 000000 000000 000000* +L0035808 000000 000000 000000 000000* +L0035832 000000 000000 000000 000000* +L0035856 00000000 00000000 00000000 00000000* +L0035888 00000000 00000000 00000000 00000000* +L0035920 00000000 00000000 00000000 00000000* +L0035952 00000000 00000000 00000000 00000000* +L0035984 00000000 00000000 00000000 00000000* +L0036016 00000000 00000000 00000000 00000000* +L0036048 00000000 00000000 00000000 00000000* +L0036080 00000000 00000000 00000000 00000000* +L0036112 00001000 00000000 00000000 00000000* +L0036144 000000 000000 000000 000000* +L0036168 000000 000000 000000 000000* +L0036192 000000 000000 000000 000000* +L0036216 000000 000000 000000 000000* +L0036240 000000 000000 000000 000000* +L0036264 000000 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000* +L0036320 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000000* +L0036384 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000* +L0036448 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00000000* +L0036512 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000* +L0036576 000000 000000 000000 000000* +L0036600 000000 000000 000000 000000* +L0036624 000000 000000 000010 000100* +L0036648 000000 000000 000000 000000* +L0036672 000000 000000 000000 000000* +L0036696 000000 000000 000000 000000* +L0036720 00000000 00000000 00000000 00000000* +L0036752 00000000 00000000 00000000 00000000* +L0036784 00000000 00000000 00000000 00000000* +L0036816 00000000 00000000 00000000 00000000* +L0036848 00000000 00000000 00000000 00000000* +L0036880 00100000 00000000 10000000 00001000* +L0036912 00000000 00000000 00000000 00000000* +L0036944 00000000 00000000 00000000 00000000* +L0036976 00000000 00000000 00000000 00000000* +L0037008 000000 000000 000000 000000* +L0037032 000000 000000 000000 000000* +L0037056 000000 000000 000000 000000* +L0037080 000000 000000 000000 000000* +L0037104 000000 000000 000000 000000* +L0037128 000000 000000 000000 000000* +L0037152 00000000 00000000 00000000 00000000* +L0037184 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000* +L0037248 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000* +L0037312 00000000 00000000 00000000 00000000* +L0037344 00000000 10000000 00000000 00000100* +L0037376 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000* +L0037440 000000 000000 000000 000000* +L0037464 000000 000000 000000 000000* +L0037488 000000 000000 000000 000000* +L0037512 000000 000000 000000 000000* +L0037536 000000 000000 000000 000000* +L0037560 000000 000000 000000 000000* +L0037584 00000000 00000000 00000000 00000000* +L0037616 00000000 00000000 00000000 00000000* +L0037648 00000000 00000000 00000000 00000000* +L0037680 00000000 00000000 00000000 00000000* +L0037712 00000000 00000000 00000000 00000100* +L0037744 00010000 00000000 00000000 00000000* +L0037776 00000000 00000000 00000000 00000000* +L0037808 00000000 00000000 00000000 00000000* +L0037840 00000000 00000000 10000000 00000000* +L0037872 000000 000000 000000 000000* +L0037896 000000 000000 000000 000000* +L0037920 000000 000000 000000 000000* +L0037944 000000 000000 000000 000000* +L0037968 000000 000000 000000 000000* +L0037992 000000 000000 000000 000000* +L0038016 00000000 00000000 00000000 00000000* +L0038048 00000000 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000000* +L0038112 00000000 00000000 00000000 00000000* +L0038144 00000000 00000000 00000000 00000000* +L0038176 00000000 00000000 00000000 00000000* +L0038208 00000000 00000000 00000000 00000000* +L0038240 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000000* +L0038304 000000 000000 000000 000000* +L0038328 000000 000000 000000 000000* +L0038352 000000 000000 000000 000000* +L0038376 000000 000000 000000 000000* +L0038400 000000 000000 000000 000000* +L0038424 000000 000000 000000 000000* +L0038448 00000000 00000000 00000000 00000000* +L0038480 00000000 00000000 00000000 00000000* +L0038512 00000000 00000000 00000000 00000000* +L0038544 00000000 00000000 00000000 00000000* +L0038576 00000000 00000000 00000000 00000000* +L0038608 00000000 00000000 00000000 00000000* +L0038640 00000000 00000000 00000000 00000000* +L0038672 00000000 00000000 00000000 00000000* +L0038704 00100000 00000000 00000000 00000000* +L0038736 000000 000000 000000 000000* +L0038760 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000* +L0038808 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000* +L0038856 000000 000000 000000 000000* +L0038880 00000000 00000000 00000000 00000000* +L0038912 00000000 00000000 00000000 00000000* +L0038944 00000000 00000000 00000000 00000000* +L0038976 00000000 00000000 00000000 00000000* +L0039008 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00000000 00000000* +L0039072 00000000 00000000 00000000 00000000* +L0039104 00000000 00000000 00000000 00000000* +L0039136 00000000 00000000 00000000 00000000* +L0039168 000000 000000 000000 000000* +L0039192 000000 000000 000000 000000* +L0039216 000000 000000 000000 000000* +L0039240 000000 001000 000000 000000* +L0039264 000000 000000 000000 000000* +L0039288 000000 000000 000000 000000* +L0039312 00000000 00000000 00000000 00000000* +L0039344 00000000 00000000 00000000 00000000* +L0039376 00000000 00000000 00000000 00000000* +L0039408 00000000 00000000 00000000 00000000* +L0039440 00000000 00000000 00000000 00000000* +L0039472 00000000 00000000 00000000 00000000* +L0039504 00000000 00000000 00000000 00000000* +L0039536 00000000 00000000 00000000 00000000* +L0039568 00000000 00000000 00000000 00000000* +L0039600 000000 000000 000000 000000* +L0039624 000000 000000 000000 000000* +L0039648 000000 001000 000000 000000* +L0039672 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000* +L0039720 000000 000000 000000 000000* +L0039744 00000000 00000000 00000000 00000000* +L0039776 10000000 00000000 00000000 00000000* +L0039808 01000000 00000000 00000000 00000000* +L0039840 10001000 00000000 00000000 00000000* +L0039872 00000000 00000000 00000000 00000000* +L0039904 00100000 00000000 00000000 00000000* +L0039936 00000000 00000000 00000000 00000000* +L0039968 10010000 00000000 00000000 00000000* +L0040000 00101000 00000000 10100000 00000000* +L0040032 000001 000000 000000 000000* +L0040056 001000 000000 000000 000000* +L0040080 000000 000000 000000 000000* +L0040104 110000 000000 000001 000000* +L0040128 001110 000000 000000 000000* +L0040152 010000 000000 000000 000000* +L0040176 00000000 00000000 00000000 00000000* +L0040208 00000000 00000000 00000000 00000000* +L0040240 00000000 00000000 00000000 00000000* +L0040272 00110100 00000000 00000000 00000000* +L0040304 00000000 00000000 00000000 00000000* +L0040336 00011100 00000000 10100000 00000000* +L0040368 00000000 00000000 00000000 00000000* +L0040400 00101100 00000000 10100000 00000000* +L0040432 00000100 00000000 00000000 00000000* +L0040464 000000 000000 000000 000000* +L0040488 010000 000000 000000 000000* +L0040512 000000 000000 000000 000000* +L0040536 001000 000000 000000 000000* +L0040560 010001 000000 000000 000000* +L0040584 000000 000000 000000 000000* +L0040608 00000000 00000000 00000000 00000000* +L0040640 00000000 00000000 00000000 00000000* +L0040672 00000000 00000000 00000000 01110000* +L0040704 00000000 00000000 00000000 00000000* +L0040736 00000000 00000000 00000000 00000000* +L0040768 00000000 00000000 00000000 00000000* +L0040800 00000000 00000000 00000000 00000000* +L0040832 00000000 00000000 00000000 00000000* +L0040864 00000000 00000000 00000000 00000000* +L0040896 000000 000000 000000 000000* +L0040920 000000 000000 000000 000000* +L0040944 000000 000000 000000 000000* +L0040968 000000 000000 000000 011000* +L0040992 000000 000000 000000 100001* +L0041016 000000 000000 000000 000000* +L0041040 00000000 00000000 00000000 00000000* +L0041072 00000000 00000000 00000000 00000000* +L0041104 00000000 00000000 00000000 00000000* +L0041136 00000000 00000000 00000000 10001000* +L0041168 00000000 00000000 00000000 00000000* +L0041200 00000000 00000000 00000000 00000000* +L0041232 01000000 00000000 00000000 00000000* +L0041264 00000000 00000000 10000000 00010000* +L0041296 00000000 00000000 00000000 00000000* +L0041328 000000 000000 000000 000000* +L0041352 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000* +L0041400 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000* +L0041448 000000 000000 000000 000000* +L0041472 00000000 00000000 00000000 00000000* +L0041504 00000000 00000000 00000000 00000000* +L0041536 00000000 00000000 00000000 00000000* +L0041568 00000000 00000000 00000000 00000000* +L0041600 00000000 00000000 00000000 00000000* +L0041632 00000000 00000000 00000000 00000000* +L0041664 00000000 00000000 00000000 00000000* +L0041696 00000000 00000000 00000000 00000000* +L0041728 00000000 00000000 00000000 00000000* +L0041760 000000 000000 000000 000000* +L0041784 000000 001000 000000 000000* +L0041808 000000 000000 000000 000000* +L0041832 000000 000000 000000 000000* +L0041856 000000 000000 000000 000000* +L0041880 000000 000000 000000 000000* +L0041904 00000000 10000000 00000000 00000000* +L0041936 00000000 10000000 00000000 00010000* +L0041968 00000000 10000000 00000000 00000000* +L0042000 00000000 10011100 00000000 00000000* +L0042032 00000000 10000000 00000000 00000000* +L0042064 00000000 00000000 00000000 00000000* +L0042096 00000000 00000100 00000000 00000000* +L0042128 00000000 10000000 00000000 00000000* +L0042160 00000000 00011100 00000000 00000000* +L0042192 000000 100000 000000 000000* +L0042216 000000 000000 000000 000000* +L0042240 000000 010000 000000 100000* +L0042264 000000 100000 000000 000000* +L0042288 000000 000110 000000 000000* +L0042312 000000 000000 000000 000000* +L0042336 00000000 00000000 00000000 00000000* +L0042368 00000000 00000000 00000000 00000000* +L0042400 00000000 00000000 00000000 00000000* +L0042432 00000000 10000000 00000000 00000000* +L0042464 00000000 00000000 00000000 00000000* +L0042496 00000000 00000000 00000000 00000000* +L0042528 00000000 00000000 00000000 00000000* +L0042560 00000000 00000000 00000000 00000000* +L0042592 00000000 00000000 00000000 00000000* +L0042624 000000 000000 000000 000000* +L0042648 000000 000000 000000 000000* +L0042672 000000 000000 000000 000000* +L0042696 000000 000000 000000 000000* +L0042720 000000 000000 000000 000000* +L0042744 000000 000000 000000 000000* +L0042768 00000000 00000000 00000000 00000000* +L0042800 00000000 00000000 00000000 00000000* +L0042832 00000000 00000000 00000000 00000000* +L0042864 00000000 00000000 00000000 00000000* +L0042896 00000000 00000000 00000000 00000000* +L0042928 00000000 00000000 00000000 00000000* +L0042960 00000000 00000000 00000000 00000000* +L0042992 00000000 00000000 00000000 00000000* +L0043024 00000000 00000000 00000000 00000000* +L0043056 000000 000000 000000 000000* +L0043080 000000 000000 000000 000000* +L0043104 000000 000000 000000 000000* +L0043128 000000 000000 000000 000000* +L0043152 000000 000000 000000 000000* +L0043176 000000 000000 000000 000000* +L0043200 00000000 00000000 00000000 00000000* +L0043232 00000000 00000000 00000000 00000000* +L0043264 00000000 00000000 00000000 00000000* +L0043296 00000000 00000000 00000000 00000000* +L0043328 00000000 00000000 00000000 00000000* +L0043360 00000000 00000000 00000000 00000000* +L0043392 00000000 00000000 00000000 00000000* +L0043424 00000000 00000000 00000000 00000000* +L0043456 00000000 00000000 00000000 00000000* +L0043488 000000 000000 000000 000000* +L0043512 000000 000000 000000 000000* +L0043536 000000 000000 000000 000000* +L0043560 000000 000000 000000 000000* +L0043584 000000 000000 000000 000000* +L0043608 000000 000000 000000 000000* +L0043632 00000000 00000000 00000000 00000000* +L0043664 00000000 00000000 00000000 00000000* +L0043696 00000000 00000000 00000000 00000000* +L0043728 00000000 00000000 00000000 00000000* +L0043760 00000000 00000000 00000000 00000000* +L0043792 00000000 00000000 00000000 00000000* +L0043824 00000000 00000000 00000000 00000000* +L0043856 00000000 00000000 00000000 00000000* +L0043888 00000000 00000000 00000000 00000000* +L0043920 000001 000000 000000 000000* +L0043944 000000 000000 000000 000000* +L0043968 000000 000000 000000 000100* +L0043992 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000* +L0044040 000000 000000 000000 000000* +L0044064 00000000 00000000 00000000 00000000* +L0044096 00000000 00000000 00000000 00000000* +L0044128 00000000 01000000 00000000 00000000* +L0044160 00000000 00000000 00000000 00000000* +L0044192 00000000 00000000 00000000 00000000* +L0044224 00000000 00000000 00000000 00000000* +L0044256 00000000 00000000 00000000 00000000* +L0044288 00000000 00000000 00000000 00000000* +L0044320 00000000 00000000 00000000 00000000* +L0044352 000000 000000 000000 000000* +L0044376 000000 000000 000000 000000* +L0044400 000000 000000 000000 000000* +L0044424 000000 000000 000000 000000* +L0044448 000000 000000 000000 000000* +L0044472 000000 000000 000000 000000* +L0044496 00000000 00000000 00000000 00000000* +L0044528 00000000 00000000 00000000 00000000* +L0044560 00000000 00000000 00000000 00000000* +L0044592 00000000 00000000 00000000 00000000* +L0044624 00000000 00000000 00000000 00000000* +L0044656 00001000 00000000 00000000 00000000* +L0044688 01000000 00000000 00000000 00000000* +L0044720 00000000 00000000 00000000 00000000* +L0044752 00000000 00000000 00000000 00000000* +L0044784 000000 000000 000000 000000* +L0044808 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000* +L0044856 000000 000000 000000 000000* +L0044880 000000 000000 000000 000000* +L0044904 000000 000000 000000 000000* +L0044928 00000000 00000000 00000000 00000000* +L0044960 00000000 00000000 00000000 00000000* +L0044992 00000000 00000000 00000000 00000000* +L0045024 00000000 00000000 00000000 00000000* +L0045056 00000000 00000000 00000000 00000000* +L0045088 00000000 00000000 00000000 00000000* +L0045120 00000000 00000000 00000000 00000000* +L0045152 00000000 00000000 00000000 00000100* +L0045184 00000000 00000000 00000000 00000000* +L0045216 000000 000000 000000 000000* +L0045240 000000 000000 000000 000000* +L0045264 000000 000000 000000 000000* +L0045288 000000 000000 000000 000000* +L0045312 000000 000000 000000 000000* +L0045336 000000 000000 000000 000000* +L0045360 00000000 00000000 00000000 00000000* +L0045392 00000000 00000000 00000000 00000000* +L0045424 00000000 00000000 00000000 00000000* +L0045456 00000000 00000000 00000000 00000000* +L0045488 00000000 00000000 00000000 00000000* +L0045520 00000000 00000000 00000000 00000000* +L0045552 01000000 00000000 00000000 00000000* +L0045584 00000000 00000000 00000000 00000000* +L0045616 00000000 00000000 00000000 00000100* +L0045648 000000 000000 000000 000001* +L0045672 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000* +L0045720 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000* +L0045768 000000 000000 000000 000000* +L0045792 00000000 00000000 00000000 00000000* +L0045824 00000000 00000000 00000000 00000000* +L0045856 10000000 00000000 00000000 00000000* +L0045888 00000000 00000000 00000000 00000000* +L0045920 00000000 00000000 00000000 00000000* +L0045952 00000000 00000000 00000000 00000000* +L0045984 00000000 00000000 00000000 00000000* +L0046016 00000000 00000000 00000000 00000000* +L0046048 00000000 00000000 00000000 00000000* +L0046080 000000 000000 000000 000000* +L0046104 000000 000000 000000 000000* +L0046128 000000 000000 000000 000000* +L0046152 000000 001000 000000 000000* +L0046176 000000 000000 000000 000000* +L0046200 000000 000000 000000 000000* +L0046224 00000000 00000000 00000000 00000000* +L0046256 00000000 00000000 00000000 00000000* +L0046288 00000000 00000000 00000000 00000000* +L0046320 00000000 00000000 00000000 00000000* +L0046352 00000000 00000000 00000000 00000000* +L0046384 00000000 00000000 10100000 00000000* +L0046416 00000000 00000000 10100000 00000000* +L0046448 00000000 00000000 10100000 00000000* +L0046480 00000000 00000000 10100000 00000000* +L0046512 000000 000000 000000 000000* +L0046536 000000 000000 000000 000000* +L0046560 000000 000000 000000 000000* +L0046584 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000* +L0046632 000000 000000 000000 000000* +C99AC* +1DD0 diff --git a/VHDL/AppleIISd.tspec b/VHDL/AppleIISd.tspec deleted file mode 100644 index a47e6b8..0000000 --- a/VHDL/AppleIISd.tspec +++ /dev/null @@ -1,829 +0,0 @@ -AUTO_TS_P2P:FROM:ndev_sel:TO:data<0>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<0>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<0>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<0>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<0>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<0>:1 -AUTO_TS_F2P:FROM:slavesel.Q:TO:data<0>:1 -AUTO_TS_F2P:FROM:divisor<0>.Q:TO:data<0>:1 -AUTO_TS_F2P:FROM:cpha.Q:TO:data<0>:1 -AUTO_TS_F2P:FROM:spidatain<0>.Q:TO:data<0>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<0>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<0>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<0>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:data<1>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<1>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<1>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<1>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<1>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<1>:1 -AUTO_TS_F2P:FROM:divisor<1>.Q:TO:data<1>:1 -AUTO_TS_F2P:FROM:cpol.Q:TO:data<1>:1 -AUTO_TS_F2P:FROM:spidatain<1>.Q:TO:data<1>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<1>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<1>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<1>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:data<2>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<2>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<2>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<2>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<2>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<2>:1 -AUTO_TS_F2P:FROM:divisor<2>.Q:TO:data<2>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<2>:1 -AUTO_TS_F2P:FROM:spidatain<2>.Q:TO:data<2>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<2>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<2>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:data<4>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<4>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<4>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<4>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<4>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<4>:1 -AUTO_TS_F2P:FROM:slaveinten.Q:TO:data<4>:1 -AUTO_TS_F2P:FROM:frx.Q:TO:data<4>:1 -AUTO_TS_F2P:FROM:spidatain<4>.Q:TO:data<4>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<4>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<4>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<4>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:data<6>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<6>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<6>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<6>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<6>:1 -AUTO_TS_P2P:FROM:card:TO:data<6>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<6>:1 -AUTO_TS_F2P:FROM:ier.Q:TO:data<6>:1 -AUTO_TS_F2P:FROM:spidatain<6>.Q:TO:data<6>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<6>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<6>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<6>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:data<3>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<3>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<3>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<3>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<3>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<3>:1 -AUTO_TS_F2P:FROM:tmo.Q:TO:data<3>:1 -AUTO_TS_F2P:FROM:spidatain<3>.Q:TO:data<3>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<3>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<3>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<3>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:data<7>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<7>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<7>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<7>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<7>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<7>:1 -AUTO_TS_P2P:FROM:card:TO:data<7>:1 -AUTO_TS_F2P:FROM:tc.Q:TO:data<7>:1 -AUTO_TS_F2P:FROM:spidatain<7>.Q:TO:data<7>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<7>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<7>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<7>:1 -AUTO_TS_F2P:FROM:inited_int.Q:TO:data<7>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:data<5>:1 -AUTO_TS_P2P:FROM:extclk:TO:data<5>:1 -AUTO_TS_P2P:FROM:nphi2:TO:data<5>:1 -AUTO_TS_P2P:FROM:addr<1>:TO:data<5>:1 -AUTO_TS_P2P:FROM:addr<0>:TO:data<5>:1 -AUTO_TS_P2P:FROM:nrw:TO:data<5>:1 -AUTO_TS_P2P:FROM:wp:TO:data<5>:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:data<5>:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:data<5>:1 -AUTO_TS_F2P:FROM:ece.Q:TO:data<5>:1 -AUTO_TS_F2P:FROM:spidatain<5>.Q:TO:data<5>:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:spi_mosi:1 -AUTO_TS_P2P:FROM:extclk:TO:spi_mosi:1 -AUTO_TS_P2P:FROM:nphi2:TO:spi_mosi:1 -AUTO_TS_F2P:FROM:int_mosi.Q:TO:spi_mosi:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:spi_mosi:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:spi_mosi:1 -AUTO_TS_F2P:FROM:ece.Q:TO:spi_mosi:1 -AUTO_TS_F2P:FROM:tmo.Q:TO:spi_mosi:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:spi_Nsel:1 -AUTO_TS_F2P:FROM:slavesel.Q:TO:spi_Nsel:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:spi_sclk:1 -AUTO_TS_P2P:FROM:extclk:TO:spi_sclk:1 -AUTO_TS_P2P:FROM:nphi2:TO:spi_sclk:1 -AUTO_TS_F2P:FROM:int_sclk.Q:TO:spi_sclk:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:spi_sclk:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:spi_sclk:1 -AUTO_TS_F2P:FROM:ece.Q:TO:spi_sclk:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:ng:1 -AUTO_TS_P2P:FROM:nio_stb:TO:ng:1 -AUTO_TS_P2P:FROM:nio_sel:TO:ng:1 -AUTO_TS_P2P:FROM:a10:TO:b10:1 -AUTO_TS_P2P:FROM:nio_stb:TO:b10:1 -AUTO_TS_P2P:FROM:a8:TO:b8:1 -AUTO_TS_P2P:FROM:nio_stb:TO:b8:1 -AUTO_TS_P2P:FROM:a9:TO:b9:1 -AUTO_TS_P2P:FROM:nio_stb:TO:b9:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:led:1 -AUTO_TS_P2P:FROM:extclk:TO:led:1 -AUTO_TS_P2P:FROM:nphi2:TO:led:1 -AUTO_TS_F2P:FROM:shifting2.Q:TO:led:1 -AUTO_TS_F2P:FROM:start_shifting.Q:TO:led:1 -AUTO_TS_F2P:FROM:ece.Q:TO:led:1 -AUTO_TS_F2P:FROM:slavesel.Q:TO:led:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:noe:1 -AUTO_TS_P2P:FROM:extclk:TO:noe:1 -AUTO_TS_F2P:FROM:add_dec/XLXN_47.Q:TO:noe:1 -AUTO_TS_P2P:FROM:ndev_sel:TO:nirq:1 -AUTO_TS_F2P:FROM:ier.Q:TO:nirq:1 -AUTO_TS_F2P:FROM:slaveinten.Q:TO:nirq:1 -AUTO_TS_F2P:FROM:tc.Q:TO:nirq:1 -AUTO_TS_F2F:FROM:slavesel.Q:TO:slavesel.D:1 -AUTO_TS_F2F:FROM:divisor<0>.Q:TO:slavesel.D:1 -AUTO_TS_F2F:FROM:cpha.Q:TO:slavesel.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:slavesel.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:slavesel.D:1 -AUTO_TS_F2F:FROM:spidatain<0>.Q:TO:slavesel.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:slavesel.D:1 -AUTO_TS_P2F:FROM:data<0>:TO:slavesel.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:slavesel.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:slavesel.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:slavesel.D:1 -AUTO_TS_P2F:FROM:nrw:TO:slavesel.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:slavesel.D:1 -AUTO_TS_P2F:FROM:extclk:TO:slavesel.D:1 -AUTO_TS_F2F:FROM:divisor<1>.Q:TO:cpol.D:1 -AUTO_TS_F2F:FROM:cpol.Q:TO:cpol.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:cpol.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:cpol.D:1 -AUTO_TS_F2F:FROM:spidatain<1>.Q:TO:cpol.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:cpol.D:1 -AUTO_TS_P2F:FROM:data<1>:TO:cpol.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:cpol.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:cpol.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:cpol.D:1 -AUTO_TS_P2F:FROM:nrw:TO:cpol.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:cpol.D:1 -AUTO_TS_P2F:FROM:extclk:TO:cpol.D:1 -AUTO_TS_F2F:FROM:divisor<2>.Q:TO:ece.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:ece.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:ece.D:1 -AUTO_TS_F2F:FROM:spidatain<2>.Q:TO:ece.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:ece.D:1 -AUTO_TS_P2F:FROM:data<2>:TO:ece.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:ece.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:ece.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:ece.D:1 -AUTO_TS_P2F:FROM:nrw:TO:ece.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:ece.D:1 -AUTO_TS_P2F:FROM:extclk:TO:ece.D:1 -AUTO_TS_F2F:FROM:slavesel.Q:TO:cpha.D:1 -AUTO_TS_F2F:FROM:divisor<0>.Q:TO:cpha.D:1 -AUTO_TS_F2F:FROM:cpha.Q:TO:cpha.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:cpha.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:cpha.D:1 -AUTO_TS_F2F:FROM:spidatain<0>.Q:TO:cpha.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:cpha.D:1 -AUTO_TS_P2F:FROM:data<0>:TO:cpha.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:cpha.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:cpha.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:cpha.D:1 -AUTO_TS_P2F:FROM:nrw:TO:cpha.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:cpha.D:1 -AUTO_TS_P2F:FROM:extclk:TO:cpha.D:1 -AUTO_TS_F2F:FROM:slaveinten.Q:TO:frx.D:1 -AUTO_TS_F2F:FROM:frx.Q:TO:frx.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:frx.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:frx.D:1 -AUTO_TS_F2F:FROM:spidatain<4>.Q:TO:frx.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:frx.D:1 -AUTO_TS_P2F:FROM:data<4>:TO:frx.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:frx.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:frx.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:frx.D:1 -AUTO_TS_P2F:FROM:nrw:TO:frx.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:frx.D:1 -AUTO_TS_P2F:FROM:extclk:TO:frx.D:1 -AUTO_TS_F2F:FROM:ier.Q:TO:ier.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:ier.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:ier.D:1 -AUTO_TS_F2F:FROM:spidatain<6>.Q:TO:ier.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:ier.D:1 -AUTO_TS_P2F:FROM:data<6>:TO:ier.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:ier.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:ier.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:ier.D:1 -AUTO_TS_P2F:FROM:nrw:TO:ier.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:ier.D:1 -AUTO_TS_P2F:FROM:card:TO:ier.D:1 -AUTO_TS_P2F:FROM:extclk:TO:ier.D:1 -AUTO_TS_F2F:FROM:slaveinten.Q:TO:slaveinten.D:1 -AUTO_TS_F2F:FROM:frx.Q:TO:slaveinten.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:slaveinten.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:slaveinten.D:1 -AUTO_TS_F2F:FROM:spidatain<4>.Q:TO:slaveinten.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:slaveinten.D:1 -AUTO_TS_P2F:FROM:data<4>:TO:slaveinten.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:slaveinten.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:slaveinten.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:slaveinten.D:1 -AUTO_TS_P2F:FROM:nrw:TO:slaveinten.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:slaveinten.D:1 -AUTO_TS_P2F:FROM:extclk:TO:slaveinten.D:1 -AUTO_TS_F2F:FROM:tmo.Q:TO:tmo.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:tmo.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:tmo.D:1 -AUTO_TS_F2F:FROM:spidatain<3>.Q:TO:tmo.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:tmo.D:1 -AUTO_TS_P2F:FROM:data<3>:TO:tmo.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:tmo.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:tmo.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:tmo.D:1 -AUTO_TS_P2F:FROM:nrw:TO:tmo.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:tmo.D:1 -AUTO_TS_P2F:FROM:extclk:TO:tmo.D:1 -AUTO_TS_F2F:FROM:slavesel.Q:TO:divisor<0>.D:1 -AUTO_TS_F2F:FROM:divisor<0>.Q:TO:divisor<0>.D:1 -AUTO_TS_F2F:FROM:cpha.Q:TO:divisor<0>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:divisor<0>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:divisor<0>.D:1 -AUTO_TS_F2F:FROM:spidatain<0>.Q:TO:divisor<0>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:divisor<0>.D:1 -AUTO_TS_P2F:FROM:data<0>:TO:divisor<0>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:divisor<0>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:divisor<0>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:divisor<0>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:divisor<0>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:divisor<0>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:divisor<0>.D:1 -AUTO_TS_F2F:FROM:divisor<1>.Q:TO:divisor<1>.D:1 -AUTO_TS_F2F:FROM:cpol.Q:TO:divisor<1>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:divisor<1>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:divisor<1>.D:1 -AUTO_TS_F2F:FROM:spidatain<1>.Q:TO:divisor<1>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:divisor<1>.D:1 -AUTO_TS_P2F:FROM:data<1>:TO:divisor<1>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:divisor<1>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:divisor<1>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:divisor<1>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:divisor<1>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:divisor<1>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:divisor<1>.D:1 -AUTO_TS_F2F:FROM:divisor<2>.Q:TO:divisor<2>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:divisor<2>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:divisor<2>.D:1 -AUTO_TS_F2F:FROM:spidatain<2>.Q:TO:divisor<2>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:divisor<2>.D:1 -AUTO_TS_P2F:FROM:data<2>:TO:divisor<2>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:divisor<2>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:divisor<2>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:divisor<2>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:divisor<2>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:divisor<2>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:divisor<2>.D:1 -AUTO_TS_F2F:FROM:tc.Q:TO:inited_int.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:inited_int.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:inited_int.D:1 -AUTO_TS_F2F:FROM:inited_int.Q:TO:inited_int.D:1 -AUTO_TS_F2F:FROM:spidatain<7>.Q:TO:inited_int.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:data<7>:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:nrw:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:extclk:TO:inited_int.D:1 -AUTO_TS_P2F:FROM:card:TO:inited_int.D:1 -AUTO_TS_F2F:FROM:slavesel.Q:TO:spidataout<0>.D:1 -AUTO_TS_F2F:FROM:divisor<0>.Q:TO:spidataout<0>.D:1 -AUTO_TS_F2F:FROM:cpha.Q:TO:spidataout<0>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<0>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<0>.D:1 -AUTO_TS_F2F:FROM:spidatain<0>.Q:TO:spidataout<0>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<0>.D:1 -AUTO_TS_P2F:FROM:data<0>:TO:spidataout<0>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<0>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<0>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<0>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<0>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<0>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<0>.D:1 -AUTO_TS_F2F:FROM:divisor<1>.Q:TO:spidataout<1>.D:1 -AUTO_TS_F2F:FROM:cpol.Q:TO:spidataout<1>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<1>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<1>.D:1 -AUTO_TS_F2F:FROM:spidatain<1>.Q:TO:spidataout<1>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<1>.D:1 -AUTO_TS_P2F:FROM:data<1>:TO:spidataout<1>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<1>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<1>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<1>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<1>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<1>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<1>.D:1 -AUTO_TS_F2F:FROM:divisor<2>.Q:TO:spidataout<2>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<2>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<2>.D:1 -AUTO_TS_F2F:FROM:spidatain<2>.Q:TO:spidataout<2>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<2>.D:1 -AUTO_TS_P2F:FROM:data<2>:TO:spidataout<2>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<2>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<2>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<2>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<2>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<2>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<2>.D:1 -AUTO_TS_F2F:FROM:tmo.Q:TO:spidataout<3>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<3>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<3>.D:1 -AUTO_TS_F2F:FROM:spidatain<3>.Q:TO:spidataout<3>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<3>.D:1 -AUTO_TS_P2F:FROM:data<3>:TO:spidataout<3>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<3>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<3>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<3>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<3>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<3>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<3>.D:1 -AUTO_TS_F2F:FROM:slaveinten.Q:TO:spidataout<4>.D:1 -AUTO_TS_F2F:FROM:frx.Q:TO:spidataout<4>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<4>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<4>.D:1 -AUTO_TS_F2F:FROM:spidatain<4>.Q:TO:spidataout<4>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<4>.D:1 -AUTO_TS_P2F:FROM:data<4>:TO:spidataout<4>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<4>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<4>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<4>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<4>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<4>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<4>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<5>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<5>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<5>.D:1 -AUTO_TS_F2F:FROM:spidatain<5>.Q:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:data<5>:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<5>.D:1 -AUTO_TS_P2F:FROM:wp:TO:spidataout<5>.D:1 -AUTO_TS_F2F:FROM:ier.Q:TO:spidataout<6>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<6>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<6>.D:1 -AUTO_TS_F2F:FROM:spidatain<6>.Q:TO:spidataout<6>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:data<6>:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:card:TO:spidataout<6>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<6>.D:1 -AUTO_TS_F2F:FROM:tc.Q:TO:spidataout<7>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidataout<7>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidataout<7>.D:1 -AUTO_TS_F2F:FROM:inited_int.Q:TO:spidataout<7>.D:1 -AUTO_TS_F2F:FROM:spidatain<7>.Q:TO:spidataout<7>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:data<7>:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidataout<7>.D:1 -AUTO_TS_P2F:FROM:card:TO:spidataout<7>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:start_shifting.D:1 -AUTO_TS_F2F:FROM:frx.Q:TO:start_shifting.D:1 -AUTO_TS_P2F:FROM:addr<1>:TO:start_shifting.D:1 -AUTO_TS_P2F:FROM:addr<0>:TO:start_shifting.D:1 -AUTO_TS_P2F:FROM:nrw:TO:start_shifting.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:start_shifting.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:start_shifting.RSTF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:start_shifting.RSTF:1 -AUTO_TS_F2F:FROM:shiftdone.Q:TO:start_shifting.RSTF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:start_shifting.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:start_shifting.RSTF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:start_shifting.RSTF:1 -AUTO_TS_P2F:FROM:extclk:TO:start_shifting.RSTF:1 -AUTO_TS_P2F:FROM:nphi2:TO:start_shifting.RSTF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:tc.SETF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:tc.SETF:1 -AUTO_TS_F2F:FROM:shiftdone.Q:TO:tc.SETF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:tc.SETF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:tc.SETF:1 -AUTO_TS_P2F:FROM:extclk:TO:tc.SETF:1 -AUTO_TS_P2F:FROM:nphi2:TO:tc.SETF:1 -AUTO_TS_F2F:FROM:shiftcnt<3>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:shiftcnt<2>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:shiftcnt<1>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:shiftdone.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<1>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<2>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<4>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<5>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<6>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<0>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<3>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:spidataout<7>.Q:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:int_mosi.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:int_mosi.D:1 -AUTO_TS_P2F:FROM:extclk:TO:int_mosi.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:int_mosi.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:int_mosi.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:int_mosi.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:int_mosi.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:int_mosi.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:int_mosi.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:int_mosi.CLKF:1 -AUTO_TS_F2F:FROM:slavesel.Q:TO:spidatain<0>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<0>.D:1 -AUTO_TS_P2F:FROM:spi_miso:TO:spidatain<0>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<0>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<0>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<0>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<0>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<0>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<0>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<0>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<0>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<0>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<0>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<0>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<0>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<0>.CE:1 -AUTO_TS_F2F:FROM:spidatain<0>.Q:TO:spidatain<1>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<1>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<1>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<1>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<1>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<1>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<1>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<1>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<1>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<1>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<1>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<1>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<1>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<1>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<1>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<1>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<1>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<1>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<1>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<1>.CE:1 -AUTO_TS_F2F:FROM:spidatain<1>.Q:TO:spidatain<2>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<2>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<2>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<2>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<2>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<2>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<2>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<2>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<2>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<2>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<2>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<2>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<2>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<2>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<2>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<2>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<2>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<2>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<2>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<2>.CE:1 -AUTO_TS_F2F:FROM:spidatain<2>.Q:TO:spidatain<3>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<3>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<3>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<3>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<3>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<3>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<3>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<3>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<3>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<3>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<3>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<3>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<3>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<3>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<3>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<3>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<3>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<3>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<3>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<3>.CE:1 -AUTO_TS_F2F:FROM:spidatain<3>.Q:TO:spidatain<4>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<4>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<4>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<4>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<4>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<4>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<4>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<4>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<4>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<4>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<4>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<4>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<4>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<4>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<4>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<4>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<4>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<4>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<4>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<4>.CE:1 -AUTO_TS_F2F:FROM:spidatain<4>.Q:TO:spidatain<5>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<5>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<5>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<5>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<5>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<5>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<5>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<5>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<5>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<5>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<5>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<5>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<5>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<5>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<5>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<5>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<5>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<5>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<5>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<5>.CE:1 -AUTO_TS_F2F:FROM:spidatain<5>.Q:TO:spidatain<6>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<6>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<6>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<6>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<6>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<6>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<6>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<6>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<6>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<6>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<6>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<6>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<6>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<6>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<6>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<6>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<6>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<6>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<6>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<6>.CE:1 -AUTO_TS_F2F:FROM:spidatain<6>.Q:TO:spidatain<7>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<7>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<7>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<7>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<7>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<7>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<7>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<7>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<7>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<7>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<7>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<7>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<7>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:spidatain<7>.CE:1 -AUTO_TS_F2F:FROM:ece.Q:TO:spidatain<7>.CE:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:spidatain<7>.CE:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:spidatain<7>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidatain<7>.CE:1 -AUTO_TS_P2F:FROM:extclk:TO:spidatain<7>.CE:1 -AUTO_TS_P2F:FROM:nphi2:TO:spidatain<7>.CE:1 -AUTO_TS_F2F:FROM:cpol.Q:TO:int_sclk.D:1 -AUTO_TS_F2F:FROM:shiftdone.Q:TO:int_sclk.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:int_sclk.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:int_sclk.D:1 -AUTO_TS_F2F:FROM:cpha.Q:TO:int_sclk.D:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:int_sclk.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:int_sclk.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:int_sclk.D:1 -AUTO_TS_P2F:FROM:nreset:TO:int_sclk.D:1 -AUTO_TS_P2F:FROM:extclk:TO:int_sclk.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:int_sclk.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:int_sclk.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:int_sclk.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:int_sclk.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:int_sclk.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:int_sclk.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:int_sclk.CLKF:1 -AUTO_TS_F2F:FROM:cpol.Q:TO:int_sclk.SETF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:int_sclk.SETF:1 -AUTO_TS_P2F:FROM:nreset:TO:int_sclk.SETF:1 -AUTO_TS_F2F:FROM:cpol.Q:TO:int_sclk.RSTF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:int_sclk.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:int_sclk.RSTF:1 -AUTO_TS_F2F:FROM:shiftcnt<3>.Q:TO:shiftcnt<3>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<3>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<3>.D:1 -AUTO_TS_F2F:FROM:shiftcnt<2>.Q:TO:shiftcnt<3>.D:1 -AUTO_TS_F2F:FROM:shiftcnt<1>.Q:TO:shiftcnt<3>.D:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:shiftcnt<3>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<3>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<3>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<3>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<3>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<3>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<3>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<3>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<3>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<3>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<3>.CLKF:1 -AUTO_TS_F2F:FROM:shiftcnt<2>.Q:TO:shiftcnt<2>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<2>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<2>.D:1 -AUTO_TS_F2F:FROM:shiftcnt<1>.Q:TO:shiftcnt<2>.D:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:shiftcnt<2>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<2>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<2>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<2>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<2>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<2>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<2>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<2>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<2>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<2>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<2>.CLKF:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<0>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<0>.D:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:shiftcnt<0>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<0>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<0>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<0>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<0>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<0>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<0>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<0>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<0>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<0>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<0>.CLKF:1 -AUTO_TS_F2F:FROM:shiftcnt<1>.Q:TO:shiftcnt<1>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<1>.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<1>.D:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:shiftcnt<1>.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<1>.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<1>.D:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<1>.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<1>.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftcnt<1>.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftcnt<1>.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftcnt<1>.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftcnt<1>.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftcnt<1>.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftcnt<1>.CLKF:1 -AUTO_TS_F2F:FROM:shiftcnt<3>.Q:TO:shiftdone.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftdone.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftdone.D:1 -AUTO_TS_F2F:FROM:shiftcnt<2>.Q:TO:shiftdone.D:1 -AUTO_TS_F2F:FROM:shiftcnt<1>.Q:TO:shiftdone.D:1 -AUTO_TS_F2F:FROM:shiftcnt<0>.Q:TO:shiftdone.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftdone.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftdone.D:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftdone.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftdone.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shiftdone.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shiftdone.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shiftdone.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shiftdone.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:shiftdone.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:shiftdone.CLKF:1 -AUTO_TS_F2F:FROM:shiftdone.Q:TO:shifting2.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shifting2.D:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shifting2.D:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shifting2.D:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shifting2.D:1 -AUTO_TS_P2F:FROM:extclk:TO:shifting2.D:1 -AUTO_TS_P2F:FROM:nphi2:TO:shifting2.D:1 -AUTO_TS_F2F:FROM:shifting2.Q:TO:shifting2.CLKF:1 -AUTO_TS_F2F:FROM:ece.Q:TO:shifting2.CLKF:1 -AUTO_TS_F2F:FROM:start_shifting.Q:TO:shifting2.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:shifting2.CLKF:1 -AUTO_TS_P2F:FROM:extclk:TO:shifting2.CLKF:1 -AUTO_TS_P2F:FROM:nphi2:TO:shifting2.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:int_mosi.SETF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:slavesel.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:slavesel.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:slavesel.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:slavesel.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:slavesel.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:cpol.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:cpol.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:cpol.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:cpol.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:cpol.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:ece.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:ece.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:ece.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:ece.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:ece.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:cpha.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:cpha.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:cpha.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:cpha.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:cpha.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:frx.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:frx.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:frx.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:frx.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:frx.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:ier.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:ier.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:ier.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:ier.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:ier.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:slaveinten.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:slaveinten.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:slaveinten.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:slaveinten.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:slaveinten.CE:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<0>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<1>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<2>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<3>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<4>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<5>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<6>.RSTF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:tmo.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:tmo.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:tmo.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:tmo.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:tmo.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:divisor<0>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:divisor<0>.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:divisor<0>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:divisor<0>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:divisor<0>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:divisor<1>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:divisor<1>.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:divisor<1>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:divisor<1>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:divisor<1>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:divisor<2>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:divisor<2>.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:divisor<2>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:divisor<2>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:divisor<2>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:inited_int.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:inited_int.RSTF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:inited_int.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:inited_int.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:inited_int.CE:1 -AUTO_TS_P2F:FROM:nreset:TO:spidatain<7>.RSTF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<0>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<0>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<0>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<0>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<0>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<1>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<1>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<1>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<1>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<1>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<2>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<2>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<2>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<2>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<2>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<3>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<3>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<3>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<3>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<3>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<4>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<4>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<4>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<4>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<4>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<5>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<5>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<5>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<5>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<5>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<6>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<6>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<6>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<6>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<6>.CE:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:spidataout<7>.CLKF:1 -AUTO_TS_P2F:FROM:nreset:TO:spidataout<7>.SETF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:spidataout<7>.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:spidataout<7>.CE:1 -AUTO_TS_P2F:FROM:nrw:TO:spidataout<7>.CE:1 -AUTO_TS_P2F:FROM:nreset:TO:shiftcnt<3>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:shiftcnt<2>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:shiftcnt<0>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:shiftcnt<1>.RSTF:1 -AUTO_TS_P2F:FROM:nreset:TO:shiftdone.RSTF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:start_shifting.CLKF:1 -AUTO_TS_P2F:FROM:ndev_sel:TO:tc.CLKF:1 -AUTO_TS_P2F:FROM:addr<1>:TO:tc.CE:1 -AUTO_TS_P2F:FROM:addr<0>:TO:tc.CE:1 -AUTO_TS_P2F:FROM:a9:TO:add_dec/XLXN_47.D:1 -AUTO_TS_P2F:FROM:a8:TO:add_dec/XLXN_47.D:1 -AUTO_TS_P2F:FROM:a10:TO:add_dec/XLXN_47.D:1 -AUTO_TS_P2F:FROM:nio_stb:TO:add_dec/XLXN_47.D:1 -AUTO_TS_P2F:FROM:extclk:TO:add_dec/XLXN_47.CLKF:1 diff --git a/VHDL/AppleIISd.vhd b/VHDL/AppleIISd.vhd index 696698e..de09636 100644 --- a/VHDL/AppleIISd.vhd +++ b/VHDL/AppleIISd.vhd @@ -32,38 +32,37 @@ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---use AddressDecoder.ALL; entity AppleIISd is Port ( - data : inout STD_LOGIC_VECTOR (7 downto 0); - nrw : in STD_LOGIC; - nirq : out STD_LOGIC; - nreset : in STD_LOGIC; - addr : in STD_LOGIC_VECTOR (1 downto 0); - nphi2 : in STD_LOGIC; - ndev_sel : in STD_LOGIC; - extclk : in STD_LOGIC; - spi_miso: in std_logic; - spi_mosi : out STD_LOGIC; - spi_sclk : out STD_LOGIC; - spi_Nsel : out STD_LOGIC; - wp : in STD_LOGIC; - card : in STD_LOGIC; - led : out STD_LOGIC; + data : inout STD_LOGIC_VECTOR (7 downto 0); + nrw : in STD_LOGIC; + nirq : out STD_LOGIC; + nreset : in STD_LOGIC; + addr : in STD_LOGIC_VECTOR (1 downto 0); + nphi2 : in STD_LOGIC; + ndev_sel : in STD_LOGIC; + extclk : in STD_LOGIC; + spi_miso: in std_logic; + spi_mosi : out STD_LOGIC; + spi_sclk : out STD_LOGIC; + spi_Nsel : out STD_LOGIC; + wp : in STD_LOGIC; + card : in STD_LOGIC; + led : out STD_LOGIC; - a8 : in std_logic; - a9 : in std_logic; - a10 : in std_logic; - nio_sel : in std_logic; - nio_stb : in std_logic; - b8 : out std_logic; - b9 : out std_logic; - b10 : out std_logic; - noe : out std_logic; - ng : out std_logic - ); + a8 : in std_logic; + a9 : in std_logic; + a10 : in std_logic; + nio_sel : in std_logic; + nio_stb : in std_logic; + b8 : out std_logic; + b9 : out std_logic; + b10 : out std_logic; + noe : out std_logic; + ng : out std_logic + ); constant DIV_WIDTH : integer := 3; @@ -89,7 +88,10 @@ architecture Behavioral of AppleIISd is signal spidataout: std_logic_vector (7 downto 0); signal spiint: std_logic; -- spi interrupt state signal inited: std_logic; -- card initialized + signal inited_set: std_logic; + signal inited_reset: std_logic; signal inited_int: std_logic; + signal inited_intff: std_logic; -- spi register flags signal tc: std_logic; -- transmission complete; cleared on spi data read @@ -117,46 +119,62 @@ architecture Behavioral of AppleIISd is -- spi clock signal clksrc: std_logic; -- clock source (phi2 or extclk) - -- TODO divcnt is not used at all?? + -- TODO divcnt is not used at all?? signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter signal shiftclk : std_logic; component AddressDecoder - port ( - A8 : in std_logic; - A9 : in std_logic; - A10 : in std_logic; - CLK : in std_logic; - NDEV_SEL : in std_logic; - NIO_SEL : in std_logic; - NIO_STB : in std_logic; - B8 : out std_logic; - B9 : out std_logic; - B10 : out std_logic; - NOE : out std_logic - ); + port ( + A8 : in std_logic; + A9 : in std_logic; + A10 : in std_logic; + CLK : in std_logic; + NDEV_SEL : in std_logic; + NIO_SEL : in std_logic; + NIO_STB : in std_logic; + B8 : out std_logic; + B9 : out std_logic; + B10 : out std_logic; + NOE : out std_logic + ); + end component; + + component SR_Latch + port ( + S,R : in std_logic; + Q, Q_n : inout std_logic; + Reset : in std_logic; + Clk : in std_logic + ); end component; begin add_dec : AddressDecoder - port map ( - A8=>a8, - A9=>a9, - A10=>a10, - CLK=>extclk, - NDEV_SEL=>ndev_sel, - NIO_SEL=>nio_sel, - NIO_STB=>nio_stb, - B8=>b8, - B9=>b9, - B10=>b10, - NOE=>noe - ); + port map ( + A8 => a8, + A9 => a9, + A10 => a10, + CLK => extclk, + NDEV_SEL => ndev_sel, + NIO_SEL => nio_sel, + NIO_STB => nio_stb, + B8 => b8, + B9 => b9, + B10 => b10, + NOE => noe); + + sr_inited : SR_Latch + port map ( + S => inited_set, + R => inited_reset, + Q => inited, + Q_n => open, + Reset => reset, + Clk => extclk); - led <= not (bsy or not slavesel); ng <= ndev_sel and nio_sel and nio_stb; - inited <= inited_int and not card; + inited_reset <= card; bsy <= start_shifting or shifting2; process(start_shifting, shiftdone, shiftclk) @@ -232,7 +250,7 @@ begin when "101" => int_mosi <= spidataout(2); when "110" => int_mosi <= spidataout(1); when "111" => int_mosi <= spidataout(0); - when others => int_mosi <= '1'; + when others => int_mosi <= '1'; end case; int_sclk <= cpol xor cpha xor shiftcnt(0); end if; @@ -302,12 +320,27 @@ begin if (shiftdone = '1') then tc <= '1'; elsif (falling_edge(selected) and addr="00") then - tc <= '0'; + tc <= '0'; end if; end process; spiint <= tc and ier; + + -- inited_set pulse + process(extclk, reset) + begin + if(reset = '1') then + inited_set <= '0'; + elsif falling_edge(extclk) then + inited_intff <= inited_int; -- one cycle delayed version + inited_set <= '0'; -- default value + if (inited_int = '1') and (inited_intff = '0') then + inited_set <= '1'; + end if; + end if; + end process; + -------------------------- -- cpu register section -- cpu read @@ -338,8 +371,8 @@ begin int_dout(5) <= wp; int_dout(6) <= card; int_dout(7) <= inited; - when others => - int_dout <= (others => '0'); + when others => + int_dout <= (others => '0'); end case; else int_dout <= (others => '0'); @@ -347,7 +380,7 @@ begin end process; -- cpu write - cpu_write: process(reset, selected, nrw, addr, int_din, inited) + cpu_write: process(reset, selected, nrw, addr, int_din) begin if (reset = '1') then cpha <= '0'; @@ -358,7 +391,6 @@ begin ier <= '0'; slavesel <= '1'; slaveinten <= '0'; - inited_int <= '0'; divisor <= (others => '0'); spidataout <= (others => '1'); elsif (falling_edge(selected) and nrw = '0') then @@ -380,7 +412,7 @@ begin slavesel <= int_din(0); slaveinten <= int_din(4); inited_int <= int_din(7); - when others => + when others => end case; end if; end process; diff --git a/VHDL/AppleIISd.xise b/VHDL/AppleIISd.xise index 66a853e..76af624 100644 --- a/VHDL/AppleIISd.xise +++ b/VHDL/AppleIISd.xise @@ -17,15 +17,19 @@ - + - + + + + + @@ -55,7 +59,6 @@ - diff --git a/VHDL/sr_latch.vhd b/VHDL/sr_latch.vhd new file mode 100644 index 0000000..2f87492 --- /dev/null +++ b/VHDL/sr_latch.vhd @@ -0,0 +1,55 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 22:26:04 09/09/2017 +-- Design Name: +-- Module Name: sr_latch - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + + +entity SR_Latch is +Port ( S,R : in STD_LOGIC; + Q : inout STD_LOGIC; + Q_n : inout STD_LOGIC; + Reset : in STD_LOGIC; + Clk : in STD_LOGIC); +end SR_Latch; + +architecture SR_Latch_arch of SR_Latch is +begin + process (S,R,Q,Q_n, Reset, Clk) + begin + if(rising_edge(Clk)) then + if(Reset = '1') then + Q <= '0'; + Q_n <= '1'; + else + Q <= R NOR Q_n; + Q_n <= S NOR Q; + end if; + end if; + end process; +end SR_Latch_arch;