1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-06-07 01:29:30 +00:00
8bitworkshop/presets/verilog/icestick.pcf
2018-10-01 22:03:44 -04:00

10 lines
144 B
Plaintext

# For the iCE40HX-1K iCEstick
set_io reset 99
set_io hsync 98
set_io vsync 97
set_io rgb[0] 96
set_io rgb[1] 95
set_io rgb[2] 94
set_io clk 21