1
0
mirror of https://github.com/sehugg/8bitworkshop.git synced 2024-07-07 01:28:59 +00:00
8bitworkshop/test/cli/verilog/t_order_b.v
2017-11-22 16:51:21 -05:00

19 lines
405 B
Verilog

// DESCRIPTION: Verilator: Verilog Test module
//
// This file ONLY is placed into the Public Domain, for any use,
// without warranty, 2003 by Wilson Snyder.
module t_order_b (/*AUTOARG*/
// Outputs
o_subfrom_clk_lev2,
// Inputs
m_from_clk_lev1_r
);
input [7:0] m_from_clk_lev1_r;
output [7:0] o_subfrom_clk_lev2;
wire [7:0] o_subfrom_clk_lev2 = m_from_clk_lev1_r;
endmodule