Change-Id: I072eb985b4913ebd9337f9c6db560deed7aa97ae
This commit is contained in:
David Banks 2019-11-04 12:30:33 +00:00
parent 1540f4f5fa
commit 007ebd07c2

View File

@ -7,19 +7,18 @@
-- /___/ \ /
-- \ \ \/
-- \ \
-- / / Filename : MC6808CpuMonCore.vhd
-- /___/ /\ Timestamp : 24/010/2019
-- / / Filename : MC6808CpuMon.vhd
-- /___/ /\ Timestamp : 24/10/2019
-- \ \ / \
-- \___\/\___\
--
--Design Name: MC6808CpuMonCore
--Device: XC3S250E
--Design Name: MC6808CpuMon
--Device: multiple
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use work.OhoPack.all ;
entity MC6809CpuMon is
generic (