Whitespace only

Change-Id: Ie59536f97544885673000f2a383efd1a1338792b
This commit is contained in:
David Banks 2021-03-11 19:01:46 +00:00
parent b23bb1d9ce
commit 0aa58bb25c

View File

@ -1527,5 +1527,3 @@ calcAddr: process(clk)
std_logic_vector(A);
end architecture;