diff --git a/AtomCpuMon.bit b/AtomCpuMon.bit index f5700c7..51f19af 100644 Binary files a/AtomCpuMon.bit and b/AtomCpuMon.bit differ diff --git a/firmware/AtomBusMon.c b/firmware/AtomBusMon.c index 7416554..70f76ae 100644 --- a/firmware/AtomBusMon.c +++ b/firmware/AtomBusMon.c @@ -113,7 +113,7 @@ char *triggerStrings[NUM_TRIGGERS] = { }; -#define VERSION "0.27" +#define VERSION "0.28" #ifdef EMBEDDED_6502 #define NUM_CMDS 24 diff --git a/src/AtomCpuMon.ucf b/src/AtomCpuMon.ucf index 1a8214b..6c2016f 100644 --- a/src/AtomCpuMon.ucf +++ b/src/AtomCpuMon.ucf @@ -64,7 +64,7 @@ NET "avr_RxD" LOC="P15" | IOSTANDARD = LVCMOS33 ; NET "trig<0>" LOC="P62" | IOSTANDARD = LVCMOS33 ; NET "trig<1>" LOC="P63" | IOSTANDARD = LVCMOS33 ; - +NET "fakeTube_n" LOC="P65" | IOSTANDARD = LVCMOS33 ; # NET "" LOC="P48" | IOSTANDARD = LVCMOS33 ; # connector pin E2 # NET "" LOC="P49" | IOSTANDARD = LVCMOS33 ; # connector pin E3 diff --git a/src/AtomCpuMon.vhd b/src/AtomCpuMon.vhd index 7eb411e..75df108 100644 --- a/src/AtomCpuMon.vhd +++ b/src/AtomCpuMon.vhd @@ -42,6 +42,9 @@ entity AtomCpuMon is -- External trigger inputs trig : in std_logic_vector(1 downto 0); + + -- Jumpers + fakeTube_n : in std_logic; -- Serial Console avr_RxD : in std_logic; @@ -158,7 +161,11 @@ begin data_latch : process(Phi0) begin if falling_edge(Phi0) then - Din <= Data; + if (fakeTube_n = '0' and Addr_int = x"FEE0") then + Din <= x"FE"; + else + Din <= Data; + end if; memory_din <= Data; end if; end process;