mirror of
https://github.com/hoglet67/AtomBusMon.git
synced 2024-12-22 01:30:18 +00:00
Z80: fix sw_reset_cpu (sw1)
Change-Id: I75484366054a6175c246fd6bd82b3eb8b937218e
This commit is contained in:
parent
25a5ffe762
commit
41ca5fd481
@ -166,7 +166,6 @@ type state_type is (idle, nop_t1, nop_t2, nop_t3, nop_t4, rd_t1, rd_wa, rd_t2, r
|
||||
signal Sync0 : std_logic;
|
||||
signal Sync1 : std_logic;
|
||||
signal Mem_IO_n : std_logic;
|
||||
signal nRST : std_logic;
|
||||
|
||||
signal MemState : std_logic_vector(2 downto 0);
|
||||
|
||||
@ -231,7 +230,7 @@ begin
|
||||
trig => trig,
|
||||
avr_RxD => avr_RxD,
|
||||
avr_TxD => avr_TxD_int,
|
||||
sw_reset_cpu => '0',
|
||||
sw_reset_cpu => sw_reset_cpu,
|
||||
sw_reset_avr => sw_reset_avr,
|
||||
led_bkpt => led_bkpt,
|
||||
led_trig0 => led_trig0,
|
||||
|
Loading…
Reference in New Issue
Block a user