diff --git a/target/lx9_dave/ice6502/Makefile b/target/lx9_dave/ice6502/Makefile index f3a0725..26814e8 100644 --- a/target/lx9_dave/ice6502/Makefile +++ b/target/lx9_dave/ice6502/Makefile @@ -11,7 +11,7 @@ PROJECT = W65C02CpuMon TARGET = ice6502 # Frequuency that the AVR runs at -F_CPU = 19354838 +F_CPU = 16000000 # Common include files include $(COMMON)/Makefile_$(TARGET).inc diff --git a/target/lx9_dave/ice6502/board.ucf b/target/lx9_dave/ice6502/board.ucf index 7202083..003457b 100644 --- a/target/lx9_dave/ice6502/board.ucf +++ b/target/lx9_dave/ice6502/board.ucf @@ -62,23 +62,23 @@ NET "DIRD" LOC="P93" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; NET "led1" LOC="P44" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # stopped at breakpoint NET "led2" LOC="P41" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 0 active NET "led3" LOC="P67" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 1 active -NET "sw1" LOC="P45" | IOSTANDARD = LVCMOS33 ; # reset -NET "sw2" LOC="P66" | IOSTANDARD = LVCMOS33 ; # interrupt +NET "sw1" LOC="P45" | IOSTANDARD = LVCMOS33 | PULLUP ; # reset +NET "sw2" LOC="P66" | IOSTANDARD = LVCMOS33 | PULLUP ; # interrupt # ID/Jumper -NET "mode" LOC="P140" | IOSTANDARD = LVCMOS33 ; # mode jumper -NET "id<0>" LOC="P88 " | IOSTANDARD = LVCMOS33 ; # id links -NET "id<1>" LOC="P87 " | IOSTANDARD = LVCMOS33 ; # id links -NET "id<2>" LOC="P85" | IOSTANDARD = LVCMOS33 ; # id links -NET "id<3>" LOC="P84" | IOSTANDARD = LVCMOS33 ; # id links +NET "mode" LOC="P140" | IOSTANDARD = LVCMOS33 | PULLUP ; # mode jumper +NET "id<0>" LOC="P88 " | IOSTANDARD = LVCMOS33 | PULLUP ; # id links +NET "id<1>" LOC="P87 " | IOSTANDARD = LVCMOS33 | PULLUP ; # id links +NET "id<2>" LOC="P85" | IOSTANDARD = LVCMOS33 | PULLUP ; # id links +NET "id<3>" LOC="P84" | IOSTANDARD = LVCMOS33 | PULLUP ; # id links # UART NET "avr_TxD" LOC="P51" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; NET "avr_RxD" LOC="P55" | IOSTANDARD = LVCMOS33 ; # External trigger inputs -NET "trig<0>" LOC="P127" | IOSTANDARD = LVCMOS33 ; -NET "trig<1>" LOC="P126" | IOSTANDARD = LVCMOS33 ; +NET "trig<0>" LOC="P116" | IOSTANDARD = LVCMOS33 ; +NET "trig<1>" LOC="P123" | IOSTANDARD = LVCMOS33 ; # 7-segment LED (connect to J5 on FPGA board) NET "tmosi" LOC="P58" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; @@ -90,9 +90,3 @@ NET "tcclk" LOC="P62" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; #NET "test2" LOC="P48" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; #NET "test3" LOC="P57" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; #NET "test4" LOC="P59" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; - - - - - - diff --git a/target/lx9_dave/ice6502/ice6502.xise b/target/lx9_dave/ice6502/ice6502.xise index 5bda8d7..60ee791 100644 --- a/target/lx9_dave/ice6502/ice6502.xise +++ b/target/lx9_dave/ice6502/ice6502.xise @@ -374,7 +374,7 @@ - + diff --git a/target/lx9_dave/icez80/board.ucf b/target/lx9_dave/icez80/board.ucf index c297cdb..a5da6ab 100644 --- a/target/lx9_dave/icez80/board.ucf +++ b/target/lx9_dave/icez80/board.ucf @@ -59,23 +59,23 @@ NET "DIRD" LOC="P7" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; NET "led1" LOC="P44" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # stopped at breakpoint NET "led2" LOC="P41" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 0 active NET "led3" LOC="P67" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; # trigger 1 active -NET "sw1" LOC="P45" | IOSTANDARD = LVCMOS33 ; # reset -NET "sw2" LOC="P66" | IOSTANDARD = LVCMOS33 ; # interrupt +NET "sw1" LOC="P45" | IOSTANDARD = LVCMOS33 | PULLUP ; # reset +NET "sw2" LOC="P66" | IOSTANDARD = LVCMOS33 | PULLUP ; # interrupt # ID/Jumper -NET "mode" LOC="P140" | IOSTANDARD = LVCMOS33 ; # mode jumper -NET "id<0>" LOC="P88 " | IOSTANDARD = LVCMOS33 ; # id links -NET "id<1>" LOC="P87 " | IOSTANDARD = LVCMOS33 ; # id links -NET "id<2>" LOC="P85" | IOSTANDARD = LVCMOS33 ; # id links -NET "id<3>" LOC="P84" | IOSTANDARD = LVCMOS33 ; # id links +NET "mode" LOC="P140" | IOSTANDARD = LVCMOS33 | PULLUP ; # mode jumper +NET "id<0>" LOC="P88 " | IOSTANDARD = LVCMOS33 | PULLUP ; # id links +NET "id<1>" LOC="P87 " | IOSTANDARD = LVCMOS33 | PULLUP ; # id links +NET "id<2>" LOC="P85" | IOSTANDARD = LVCMOS33 | PULLUP ; # id links +NET "id<3>" LOC="P84" | IOSTANDARD = LVCMOS33 | PULLUP ; # id links # UART NET "avr_TxD" LOC="P51" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ; NET "avr_RxD" LOC="P55" | IOSTANDARD = LVCMOS33 ; # External trigger inputs -NET "trig<0>" LOC="P127" | IOSTANDARD = LVCMOS33 ; -NET "trig<1>" LOC="P126" | IOSTANDARD = LVCMOS33 ; +NET "trig<0>" LOC="P116" | IOSTANDARD = LVCMOS33 ; +NET "trig<1>" LOC="P123" | IOSTANDARD = LVCMOS33 ; # 7-segment LED (connect to J5 on FPGA board) NET "tmosi" LOC="P58" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;