diff --git a/src/AtomBusMon.vhd b/src/AtomBusMon.vhd index 92f77e6..f50e593 100644 --- a/src/AtomBusMon.vhd +++ b/src/AtomBusMon.vhd @@ -82,8 +82,8 @@ signal nRSTout : std_logic; begin -- Generics allows polarity of switches/LEDs to be tweaked from the project file - sw_reset_n <= not sw1 when SW1ActiveHigh else sw1; - sw_interrupt_n <= not sw2 when SW2ActiveHigh else sw2; + sw_interrupt_n <= not sw1 when SW1ActiveHigh else sw1; + sw_reset_n <= not sw2 when SW2ActiveHigh else sw2; led3 <= not led3_n when LEDsActiveHigh else led3_n; led6 <= not led6_n when LEDsActiveHigh else led6_n; led8 <= not led8_n when LEDsActiveHigh else led8_n; @@ -130,8 +130,8 @@ begin lcd_db => open, avr_RxD => avr_RxD, avr_TxD => avr_TxD, - sw1 => not sw_reset_n, - nsw2 => sw_interrupt_n, + sw1 => not sw_interrupt_n, + nsw2 => sw_reset_n, led3 => led3_n, led6 => led6_n, led8 => led8_n, diff --git a/src/AtomCpuMon.vhd b/src/AtomCpuMon.vhd index b3a044c..5f4f1c8 100644 --- a/src/AtomCpuMon.vhd +++ b/src/AtomCpuMon.vhd @@ -105,8 +105,8 @@ architecture behavioral of AtomCpuMon is begin -- Generics allows polarity of switches/LEDs to be tweaked from the project file - sw_reset_n <= not sw1 when SW1ActiveHigh else sw1; - sw_interrupt_n <= not sw2 when SW2ActiveHigh else sw2; + sw_interrupt_n <= not sw1 when SW1ActiveHigh else sw1; + sw_reset_n <= not sw2 when SW2ActiveHigh else sw2; led3 <= not led3_n when LEDsActiveHigh else led3_n; led6 <= not led6_n when LEDsActiveHigh else led6_n; led8 <= not led8_n when LEDsActiveHigh else led8_n; @@ -142,8 +142,8 @@ begin trig => trig, avr_RxD => avr_RxD, avr_TxD => avr_TxD, - sw1 => not sw_reset_n, - nsw2 => sw_interrupt_n, + sw1 => not sw_interrupt_n, + nsw2 => sw_reset_n, led3 => led3_n, led6 => led6_n, led8 => led8_n, diff --git a/src/AtomFast6502.vhd b/src/AtomFast6502.vhd index 5064a96..356fae4 100644 --- a/src/AtomFast6502.vhd +++ b/src/AtomFast6502.vhd @@ -130,8 +130,8 @@ architecture behavioral of AtomFast6502 is begin -- Generics allows polarity of switches/LEDs to be tweaked from the project file - sw_reset_n <= not sw1 when SW1ActiveHigh else sw1; - sw_interrupt_n <= not sw2 when SW2ActiveHigh else sw2; + sw_interrupt_n <= not sw1 when SW1ActiveHigh else sw1; + sw_reset_n <= not sw2 when SW2ActiveHigh else sw2; led3 <= not led3_n when LEDsActiveHigh else led3_n; led6 <= not led6_n when LEDsActiveHigh else led6_n; led8 <= not led8_n when LEDsActiveHigh else led8_n; @@ -174,8 +174,8 @@ begin trig => trig, avr_RxD => avr_RxD, avr_TxD => avr_TxD, - sw1 => not sw_reset_n, - nsw2 => sw_interrupt_n, + sw1 => not sw_interrupt_n, + nsw2 => sw_reset_n, led3 => led3_n, led6 => led6_n, led8 => led8_n, diff --git a/src/MC6809ECpuMon.vhd b/src/MC6809ECpuMon.vhd index 1fc6fc3..6e1571d 100644 --- a/src/MC6809ECpuMon.vhd +++ b/src/MC6809ECpuMon.vhd @@ -162,8 +162,8 @@ architecture behavioral of MC6809ECpuMon is begin -- Generics allows polarity of switches/LEDs to be tweaked from the project file - sw_reset_n <= not sw1 when SW1ActiveHigh else sw1; - sw_interrupt_n <= not sw2 when SW2ActiveHigh else sw2; + sw_interrupt_n <= not sw1 when SW1ActiveHigh else sw1; + sw_reset_n <= not sw2 when SW2ActiveHigh else sw2; led3 <= not led3_n when LEDsActiveHigh else led3_n; led6 <= not led6_n when LEDsActiveHigh else led6_n; led8 <= not led8_n when LEDsActiveHigh else led8_n; @@ -202,8 +202,8 @@ begin lcd_db => open, avr_RxD => avr_RxD, avr_TxD => avr_TxD, - sw1 => not sw_reset_n, - nsw2 => sw_interrupt_n, + sw1 => not sw_interrupt_n, + nsw2 => sw_reset_n, led3 => led3_n, led6 => led6_n, led8 => led8_n, diff --git a/src/Z80CpuMon.vhd b/src/Z80CpuMon.vhd index f58cf36..55353f2 100644 --- a/src/Z80CpuMon.vhd +++ b/src/Z80CpuMon.vhd @@ -161,8 +161,8 @@ type state_type is (idle, rd_init, rd_setup, rd, rd_hold, wr_init, wr_setup, wr, begin -- Generics allows polarity of switches/LEDs to be tweaked from the project file - sw_reset_n <= not sw1 when SW1ActiveHigh else sw1; - sw_interrupt_n <= not sw2 when SW2ActiveHigh else sw2; + sw_interrupt_n <= not sw1 when SW1ActiveHigh else sw1; + sw_reset_n <= not sw2 when SW2ActiveHigh else sw2; led3 <= not led3_n when LEDsActiveHigh else led3_n; led6 <= not led6_n when LEDsActiveHigh else led6_n; led8 <= not led8_n when LEDsActiveHigh else led8_n; @@ -208,7 +208,7 @@ begin avr_RxD => avr_RxD, avr_TxD => avr_TxD_int, sw1 => '0', - nsw2 => sw_interrupt_n, + nsw2 => sw_reset_n, led3 => led3_n, led6 => led6_n, led8 => led8_n, @@ -414,17 +414,17 @@ begin end if; end process; - RESET_n_int <= RESET_n and sw_reset_n and nRST; + RESET_n_int <= RESET_n and sw_interrupt_n and nRST; avr_TxD <= avr_Txd_int; - test1 <= sw_reset_n and sw_interrupt_n; + test1 <= sw_interrupt_n and sw_reset_n; process(clock_avr) begin if rising_edge(clock_avr) then clock_avr_ctr <= clock_avr_ctr + 1; - test2 <= sw_reset_n or clock_avr_ctr(23); + test2 <= sw_interrupt_n or clock_avr_ctr(23); end if; end process; @@ -432,7 +432,7 @@ begin begin if rising_edge(clock49) then clock_49_ctr <= clock_49_ctr + 1; - test3 <= sw_interrupt_n or clock_49_ctr(23); + test3 <= sw_reset_n or clock_49_ctr(23); end if; end process;