mirror of
https://github.com/hoglet67/AtomBusMon.git
synced 2025-01-02 23:32:02 +00:00
6bb256b7ab
Change-Id: I3b426484bfad6843d6346064e0eb22b9bf3a9c82
44 lines
780 B
VHDL
44 lines
780 B
VHDL
----------------------------------------------------------------------------------
|
|
-- Company:
|
|
-- Engineer:
|
|
--
|
|
-- Create Date: 13:08:23 06/14/2015
|
|
-- Design Name:
|
|
-- Module Name: Blank - Behavioral
|
|
-- Project Name:
|
|
-- Target Devices:
|
|
-- Tool versions:
|
|
-- Description:
|
|
--
|
|
-- Dependencies:
|
|
--
|
|
-- Revision:
|
|
-- Revision 0.01 - File Created
|
|
-- Additional Comments:
|
|
--
|
|
----------------------------------------------------------------------------------
|
|
library IEEE;
|
|
use IEEE.STD_LOGIC_1164.ALL;
|
|
|
|
|
|
entity Blank is
|
|
|
|
port(
|
|
-- GODIL Switches
|
|
sw1 : in std_logic;
|
|
|
|
-- GODIL LEDs
|
|
led8 : out std_logic
|
|
|
|
);
|
|
end Blank;
|
|
|
|
architecture Behavioral of Blank is
|
|
|
|
begin
|
|
|
|
led8 <= sw1;
|
|
|
|
end Behavioral;
|
|
|