AtomBusMon/.gitignore
David Banks 8a857ae45e Experimental AtomFast6502 adding bus mon function
Change-Id: Ib5115d9e7b736c45bb46a0a063325a0173b6f823
2015-10-31 11:46:40 +00:00

31 lines
640 B
Plaintext

iseconfig/
working/
nohup.out
AtomBusMon_guide.ncd
AtomBusMon_summary.html
src/AtomBusMon_bd.bmm
src/AtomCpuMon_bd.bmm
src/AtomCpuMon_bd.bmm
src/AtomFast6502_bd.bmm
src/Z80CpuMon_bd.bmm
src/MC6809ECpuMon_bd.bmm
*~
#*
firmware/*.o
firmware/*.bit
firmware/avr_progmem.*
ipcore_dir/WatchEvents.asy
ipcore_dir/WatchEvents.gise
ipcore_dir/WatchEvents.ncf
ipcore_dir/WatchEvents.sym
ipcore_dir/WatchEvents.vho
ipcore_dir/WatchEvents/
ipcore_dir/WatchEvents_flist.txt
ipcore_dir/WatchEvents_xmdf.tcl
ipcore_dir/_xmsgs/
ipcore_dir/coregen.cgp
ipcore_dir/coregen.log
ipcore_dir/create_WatchEvents.tcl
ipcore_dir/edit_WatchEvents.tcl
ipcore_dir/tmp/