From 8e0fa3bb5fe70d65e8b7d9cb57a532a5171f0086 Mon Sep 17 00:00:00 2001 From: Thomas Harte Date: Sun, 29 May 2022 21:22:45 -0400 Subject: [PATCH] DIV # with a divide by zero should be 44 cycles. --- OSBindings/Mac/Clock SignalTests/68000ArithmeticTests.mm | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/OSBindings/Mac/Clock SignalTests/68000ArithmeticTests.mm b/OSBindings/Mac/Clock SignalTests/68000ArithmeticTests.mm index b0ae2b872..556040c54 100644 --- a/OSBindings/Mac/Clock SignalTests/68000ArithmeticTests.mm +++ b/OSBindings/Mac/Clock SignalTests/68000ArithmeticTests.mm @@ -865,7 +865,7 @@ XCTAssertEqual(state.registers.data[1], 0x1fffffff); XCTAssertEqual(state.registers.supervisor_stack_pointer, initial_sp - 6); XCTAssertEqual(state.registers.status & ConditionCode::AllConditions, ConditionCode::Extend); - XCTAssertEqual(42, self.machine->get_cycle_count()); + XCTAssertEqual(44, self.machine->get_cycle_count()); // Check stack contents; should be PC.l, PC.h and status register. // Assumed: the program counter on the stack is that of the