1
0
mirror of https://github.com/TomHarte/CLK.git synced 2024-07-22 15:28:56 +00:00

Dealt with the CB page.

This commit is contained in:
Thomas Harte 2017-06-18 18:01:33 -04:00
parent 69ebbe019a
commit bb910e14a4

View File

@ -355,14 +355,14 @@ template <class T> class Processor {
RMWI(a_, op) RMWI(a_, op)
#define IX_READ_OP_GROUP(op) \ #define IX_READ_OP_GROUP(op) \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)), \ Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_}), \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)), \ Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_}), \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)), \ Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_}), \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)), \ Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_}), \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)), \ Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_}), \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)), \ Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_}), \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)), \ Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_}), \
Program(WAIT(2), FETCHL(temp8_, INDEX_ADDR()), {MicroOp::op, &temp8_}, WAIT(1)) Instr(4, Read4(INDEX_ADDR(), temp8_), {MicroOp::op, &temp8_})
#define ADD16(d, s) Program(InternalOperation(4), InternalOperation(3), {MicroOp::ADD16, &s.full, &d.full}) #define ADD16(d, s) Program(InternalOperation(4), InternalOperation(3), {MicroOp::ADD16, &s.full, &d.full})
#define ADC16(d, s) Program(InternalOperation(4), InternalOperation(3), {MicroOp::ADC16, &s.full, &d.full}) #define ADC16(d, s) Program(InternalOperation(4), InternalOperation(3), {MicroOp::ADC16, &s.full, &d.full})