From 238ee30b3cfec5cdc1b6df44bc9b27171e05dcff Mon Sep 17 00:00:00 2001 From: Adrian Conlon Date: Sat, 2 Nov 2019 17:30:03 +0000 Subject: [PATCH] Correct a couple of small Z80 power on issues. Signed-off-by: Adrian Conlon --- Z80/src/Z80.cpp | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) diff --git a/Z80/src/Z80.cpp b/Z80/src/Z80.cpp index 19b603a..3699160 100644 --- a/Z80/src/Z80.cpp +++ b/Z80/src/Z80.cpp @@ -7,6 +7,7 @@ EightBit::Z80::Z80(Bus& bus, InputOutput& ports) : IntelProcessor(bus), m_ports(ports) { RaisedPOWER.connect([this](EventArgs) { + raiseM1(); raiseIORQ(); raiseRD(); @@ -18,11 +19,11 @@ EightBit::Z80::Z80(Bus& bus, InputOutput& ports) REFRESH() = 0; IV() = Mask8; - AF() = IX() = IY() = BC() = DE() = HL() = Mask16; - exxAF(); exx(); + AF() = IX() = IY() = BC() = DE() = HL() = Mask16; + m_prefixCB = m_prefixDD = m_prefixED = m_prefixFD = false; });