From 6940a543555944dd85dcfc4bd3e62eee97e46536 Mon Sep 17 00:00:00 2001 From: Adrian Conlon Date: Sat, 17 Aug 2019 11:04:29 +0100 Subject: [PATCH] Update all EightBit projects to VS2019 (Latest SDK, C++17) Signed-off-by: Adrian Conlon --- Intel8080/src/Intel8080.vcxproj | 6 +++--- Intel8080/test/test_Intel8080.vcxproj | 6 +++--- LR35902/fusetest_LR35902/fusetest_LR35902.vcxproj | 6 +++--- LR35902/src/LR35902.vcxproj | 6 +++--- M6502/src/M6502.vcxproj | 6 +++--- M6502/test/test_M6502.vcxproj | 6 +++--- M6532/src/M6532.vcxproj | 6 +++--- MC6809/src/MC6809.vcxproj | 6 +++--- MC6809/test/test_MC6809.vcxproj | 6 +++--- MC6809/unittest/unittest_MC6809.vcxproj | 6 +++--- MC6850/src/MC6850.vcxproj | 6 +++--- Ricoh2A03/src/Ricoh2A03.vcxproj | 6 +++--- Z80/fusetest_Z80/fusetest_Z80.vcxproj | 6 +++--- Z80/src/Z80.vcxproj | 6 +++--- Z80/test/test_Z80.vcxproj | 6 +++--- src/EightBit.vcxproj | 6 +++--- 16 files changed, 48 insertions(+), 48 deletions(-) diff --git a/Intel8080/src/Intel8080.vcxproj b/Intel8080/src/Intel8080.vcxproj index 9438196..a128f95 100644 --- a/Intel8080/src/Intel8080.vcxproj +++ b/Intel8080/src/Intel8080.vcxproj @@ -29,20 +29,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/Intel8080/test/test_Intel8080.vcxproj b/Intel8080/test/test_Intel8080.vcxproj index ac817c7..a4fa829 100644 --- a/Intel8080/test/test_Intel8080.vcxproj +++ b/Intel8080/test/test_Intel8080.vcxproj @@ -28,20 +28,20 @@ Application true - v141 + v142 Unicode Application false - v141 + v142 true Unicode Application true - v141 + v142 Unicode diff --git a/LR35902/fusetest_LR35902/fusetest_LR35902.vcxproj b/LR35902/fusetest_LR35902/fusetest_LR35902.vcxproj index 2dad5e6..4e1f297 100644 --- a/LR35902/fusetest_LR35902/fusetest_LR35902.vcxproj +++ b/LR35902/fusetest_LR35902/fusetest_LR35902.vcxproj @@ -28,20 +28,20 @@ Application true - v141 + v142 Unicode Application false - v141 + v142 true Unicode Application true - v141 + v142 Unicode diff --git a/LR35902/src/LR35902.vcxproj b/LR35902/src/LR35902.vcxproj index 4cee488..532bce0 100644 --- a/LR35902/src/LR35902.vcxproj +++ b/LR35902/src/LR35902.vcxproj @@ -27,20 +27,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/M6502/src/M6502.vcxproj b/M6502/src/M6502.vcxproj index 56436bc..70b8b70 100644 --- a/M6502/src/M6502.vcxproj +++ b/M6502/src/M6502.vcxproj @@ -28,20 +28,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/M6502/test/test_M6502.vcxproj b/M6502/test/test_M6502.vcxproj index 03c4458..9f33ecc 100644 --- a/M6502/test/test_M6502.vcxproj +++ b/M6502/test/test_M6502.vcxproj @@ -28,20 +28,20 @@ Application true - v141 + v142 Unicode Application false - v141 + v142 true Unicode Application true - v141 + v142 Unicode diff --git a/M6532/src/M6532.vcxproj b/M6532/src/M6532.vcxproj index 571f8db..a73dd52 100644 --- a/M6532/src/M6532.vcxproj +++ b/M6532/src/M6532.vcxproj @@ -28,20 +28,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/MC6809/src/MC6809.vcxproj b/MC6809/src/MC6809.vcxproj index 91478ea..79e36e3 100644 --- a/MC6809/src/MC6809.vcxproj +++ b/MC6809/src/MC6809.vcxproj @@ -43,20 +43,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/MC6809/test/test_MC6809.vcxproj b/MC6809/test/test_MC6809.vcxproj index 9372cd5..ecb841f 100644 --- a/MC6809/test/test_MC6809.vcxproj +++ b/MC6809/test/test_MC6809.vcxproj @@ -28,20 +28,20 @@ Application true - v141 + v142 Unicode Application false - v141 + v142 true Unicode Application true - v141 + v142 Unicode diff --git a/MC6809/unittest/unittest_MC6809.vcxproj b/MC6809/unittest/unittest_MC6809.vcxproj index 8288d04..f831591 100644 --- a/MC6809/unittest/unittest_MC6809.vcxproj +++ b/MC6809/unittest/unittest_MC6809.vcxproj @@ -28,20 +28,20 @@ Application true - v141 + v142 Unicode Application false - v141 + v142 true Unicode Application true - v141 + v142 Unicode diff --git a/MC6850/src/MC6850.vcxproj b/MC6850/src/MC6850.vcxproj index e7b3e61..6f2cb0c 100644 --- a/MC6850/src/MC6850.vcxproj +++ b/MC6850/src/MC6850.vcxproj @@ -28,20 +28,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/Ricoh2A03/src/Ricoh2A03.vcxproj b/Ricoh2A03/src/Ricoh2A03.vcxproj index e3a2173..fb06895 100644 --- a/Ricoh2A03/src/Ricoh2A03.vcxproj +++ b/Ricoh2A03/src/Ricoh2A03.vcxproj @@ -27,20 +27,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/Z80/fusetest_Z80/fusetest_Z80.vcxproj b/Z80/fusetest_Z80/fusetest_Z80.vcxproj index b9db689..8f9953a 100644 --- a/Z80/fusetest_Z80/fusetest_Z80.vcxproj +++ b/Z80/fusetest_Z80/fusetest_Z80.vcxproj @@ -29,20 +29,20 @@ Application true - v141 + v142 Unicode Application false - v141 + v142 true Unicode Application true - v141 + v142 Unicode diff --git a/Z80/src/Z80.vcxproj b/Z80/src/Z80.vcxproj index 935a742..0f100b0 100644 --- a/Z80/src/Z80.vcxproj +++ b/Z80/src/Z80.vcxproj @@ -28,20 +28,20 @@ StaticLibrary true - v141 + v142 Unicode StaticLibrary false - v141 + v142 true Unicode StaticLibrary true - v141 + v142 Unicode diff --git a/Z80/test/test_Z80.vcxproj b/Z80/test/test_Z80.vcxproj index a1b9922..0768d4c 100644 --- a/Z80/test/test_Z80.vcxproj +++ b/Z80/test/test_Z80.vcxproj @@ -27,18 +27,18 @@ true - v141 + v142 Unicode false - v141 + v142 true Unicode true - v141 + v142 Unicode diff --git a/src/EightBit.vcxproj b/src/EightBit.vcxproj index c18a016..83d7a59 100644 --- a/src/EightBit.vcxproj +++ b/src/EightBit.vcxproj @@ -29,20 +29,20 @@ StaticLibrary true Unicode - v141 + v142 StaticLibrary false true Unicode - v141 + v142 StaticLibrary true Unicode - v141 + v142 StaticLibrary