From dc0f411cad8ffdf8ea06333b365661544ca16a10 Mon Sep 17 00:00:00 2001 From: Tito Hinostroza Date: Sun, 27 May 2018 17:03:55 -0500 Subject: [PATCH] Add files via upload --- Cambios.txt | 5 + LICENSE | 836 ++++++++++++++++++-------- PicCore.pas | 659 ++++++++++++++++++++ p6502utils.pas | 1550 ++++++++++++++++++++++++++++++++++++++++++++++++ 4 files changed, 2800 insertions(+), 250 deletions(-) create mode 100644 Cambios.txt create mode 100644 PicCore.pas create mode 100644 p6502utils.pas diff --git a/Cambios.txt b/Cambios.txt new file mode 100644 index 0000000..07d4044 --- /dev/null +++ b/Cambios.txt @@ -0,0 +1,5 @@ +0.0 +=== + +Primera versi髇 compilable de la librer韆. +A鷑 queda pendiente la implementaci髇 de la ejecuci髇 de las instrucciones, y revisar todo la librer韆 para quitar elementos no usados ya que esta librar韆 se ha creado a partir de la librer韆 PicUtils. diff --git a/LICENSE b/LICENSE index d159169..733c072 100644 --- a/LICENSE +++ b/LICENSE @@ -1,281 +1,622 @@ GNU GENERAL PUBLIC LICENSE - Version 2, June 1991 + Version 3, 29 June 2007 - Copyright (C) 1989, 1991 Free Software Foundation, Inc., - 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA + Copyright (C) 2007 Free Software Foundation, Inc. Everyone is permitted to copy and distribute verbatim copies of this license document, but changing it is not allowed. Preamble - The licenses for most software are designed to take away your -freedom to share and change it. By contrast, the GNU General Public -License is intended to guarantee your freedom to share and change free -software--to make sure the software is free for all its users. This -General Public License applies to most of the Free Software -Foundation's software and to any other program whose authors commit to -using it. (Some other Free Software Foundation software is covered by -the GNU Lesser General Public License instead.) You can apply it to + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to your programs, too. When we speak of free software, we are referring to freedom, not price. Our General Public Licenses are designed to make sure that you have the freedom to distribute copies of free software (and charge for -this service if you wish), that you receive source code or can get it -if you want it, that you can change the software or use pieces of it -in new free programs; and that you know you can do these things. +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. - To protect your rights, we need to make restrictions that forbid -anyone to deny you these rights or to ask you to surrender the rights. -These restrictions translate to certain responsibilities for you if you -distribute copies of the software, or if you modify it. + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. For example, if you distribute copies of such a program, whether -gratis or for a fee, you must give the recipients all the rights that -you have. You must make sure that they, too, receive or can get the -source code. And you must show them these terms so they know their -rights. +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. - We protect your rights with two steps: (1) copyright the software, and -(2) offer you this license which gives you legal permission to copy, -distribute and/or modify the software. + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. - Also, for each author's protection and ours, we want to make certain -that everyone understands that there is no warranty for this free -software. If the software is modified by someone else and passed on, we -want its recipients to know that what they have is not the original, so -that any problems introduced by others will not reflect on the original -authors' reputations. + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. - Finally, any free program is threatened constantly by software -patents. We wish to avoid the danger that redistributors of a free -program will individually obtain patent licenses, in effect making the -program proprietary. To prevent this, we have made it clear that any -patent must be licensed for everyone's free use or not licensed at all. + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. The precise terms and conditions for copying, distribution and modification follow. - GNU GENERAL PUBLIC LICENSE - TERMS AND CONDITIONS FOR COPYING, DISTRIBUTION AND MODIFICATION + TERMS AND CONDITIONS - 0. This License applies to any program or other work which contains -a notice placed by the copyright holder saying it may be distributed -under the terms of this General Public License. The "Program", below, -refers to any such program or work, and a "work based on the Program" -means either the Program or any derivative work under copyright law: -that is to say, a work containing the Program or a portion of it, -either verbatim or with modifications and/or translated into another -language. (Hereinafter, translation is included without limitation in -the term "modification".) Each licensee is addressed as "you". + 0. Definitions. -Activities other than copying, distribution and modification are not -covered by this License; they are outside its scope. The act of -running the Program is not restricted, and the output from the Program -is covered only if its contents constitute a work based on the -Program (independent of having been made by running the Program). -Whether that is true depends on what the Program does. + "This License" refers to version 3 of the GNU General Public License. - 1. You may copy and distribute verbatim copies of the Program's -source code as you receive it, in any medium, provided that you -conspicuously and appropriately publish on each copy an appropriate -copyright notice and disclaimer of warranty; keep intact all the -notices that refer to this License and to the absence of any warranty; -and give any other recipients of the Program a copy of this License -along with the Program. + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. -You may charge a fee for the physical act of transferring a copy, and -you may at your option offer warranty protection in exchange for a fee. + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. - 2. You may modify your copy or copies of the Program or any portion -of it, thus forming a work based on the Program, and copy and -distribute such modifications or work under the terms of Section 1 -above, provided that you also meet all of these conditions: + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. - a) You must cause the modified files to carry prominent notices - stating that you changed the files and the date of any change. + A "covered work" means either the unmodified Program or a work based +on the Program. - b) You must cause any work that you distribute or publish, that in - whole or in part contains or is derived from the Program or any - part thereof, to be licensed as a whole at no charge to all third - parties under the terms of this License. + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. - c) If the modified program normally reads commands interactively - when run, you must cause it, when started running for such - interactive use in the most ordinary way, to print or display an - announcement including an appropriate copyright notice and a - notice that there is no warranty (or else, saying that you provide - a warranty) and that users may redistribute the program under - these conditions, and telling the user how to view a copy of this - License. (Exception: if the Program itself is interactive but - does not normally print such an announcement, your work based on - the Program is not required to print an announcement.) + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. -These requirements apply to the modified work as a whole. If -identifiable sections of that work are not derived from the Program, -and can be reasonably considered independent and separate works in -themselves, then this License, and its terms, do not apply to those -sections when you distribute them as separate works. But when you -distribute the same sections as part of a whole which is a work based -on the Program, the distribution of the whole must be on the terms of -this License, whose permissions for other licensees extend to the -entire whole, and thus to each and every part regardless of who wrote it. + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. -Thus, it is not the intent of this section to claim rights or contest -your rights to work written entirely by you; rather, the intent is to -exercise the right to control the distribution of derivative or -collective works based on the Program. + 1. Source Code. -In addition, mere aggregation of another work not based on the Program -with the Program (or with a work based on the Program) on a volume of -a storage or distribution medium does not bring the other work under -the scope of this License. + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. - 3. You may copy and distribute the Program (or a work based on it, -under Section 2) in object code or executable form under the terms of -Sections 1 and 2 above provided that you also do one of the following: + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. - a) Accompany it with the complete corresponding machine-readable - source code, which must be distributed under the terms of Sections - 1 and 2 above on a medium customarily used for software interchange; or, + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. - b) Accompany it with a written offer, valid for at least three - years, to give any third party, for a charge no more than your - cost of physically performing source distribution, a complete - machine-readable copy of the corresponding source code, to be - distributed under the terms of Sections 1 and 2 above on a medium - customarily used for software interchange; or, + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. - c) Accompany it with the information you received as to the offer - to distribute corresponding source code. (This alternative is - allowed only for noncommercial distribution and only if you - received the program in object code or executable form with such - an offer, in accord with Subsection b above.) + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. -The source code for a work means the preferred form of the work for -making modifications to it. For an executable work, complete source -code means all the source code for all modules it contains, plus any -associated interface definition files, plus the scripts used to -control compilation and installation of the executable. However, as a -special exception, the source code distributed need not include -anything that is normally distributed (in either source or binary -form) with the major components (compiler, kernel, and so on) of the -operating system on which the executable runs, unless that component -itself accompanies the executable. + The Corresponding Source for a work in source code form is that +same work. -If distribution of executable or object code is made by offering -access to copy from a designated place, then offering equivalent -access to copy the source code from the same place counts as -distribution of the source code, even though third parties are not -compelled to copy the source along with the object code. + 2. Basic Permissions. - 4. You may not copy, modify, sublicense, or distribute the Program -except as expressly provided under this License. Any attempt -otherwise to copy, modify, sublicense or distribute the Program is -void, and will automatically terminate your rights under this License. -However, parties who have received copies, or rights, from you under -this License will not have their licenses terminated so long as such -parties remain in full compliance. + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. - 5. You are not required to accept this License, since you have not -signed it. However, nothing else grants you permission to modify or -distribute the Program or its derivative works. These actions are -prohibited by law if you do not accept this License. Therefore, by -modifying or distributing the Program (or any work based on the -Program), you indicate your acceptance of this License to do so, and -all its terms and conditions for copying, distributing or modifying -the Program or works based on it. + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. - 6. Each time you redistribute the Program (or any work based on the -Program), the recipient automatically receives a license from the -original licensor to copy, distribute or modify the Program subject to -these terms and conditions. You may not impose any further -restrictions on the recipients' exercise of the rights granted herein. -You are not responsible for enforcing compliance by third parties to + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of this License. - 7. If, as a consequence of a court judgment or allegation of patent -infringement or for any other reason (not limited to patent issues), -conditions are imposed on you (whether by court order, agreement or + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or otherwise) that contradict the conditions of this License, they do not -excuse you from the conditions of this License. If you cannot -distribute so as to satisfy simultaneously your obligations under this -License and any other pertinent obligations, then as a consequence you -may not distribute the Program at all. For example, if a patent -license would not permit royalty-free redistribution of the Program by -all those who receive copies directly or indirectly through you, then -the only way you could satisfy both it and this License would be to -refrain entirely from distribution of the Program. +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. -If any portion of this section is held invalid or unenforceable under -any particular circumstance, the balance of the section is intended to -apply and the section as a whole is intended to apply in other -circumstances. + 13. Use with the GNU Affero General Public License. -It is not the purpose of this section to induce you to infringe any -patents or other property right claims or to contest validity of any -such claims; this section has the sole purpose of protecting the -integrity of the free software distribution system, which is -implemented by public license practices. Many people have made -generous contributions to the wide range of software distributed -through that system in reliance on consistent application of that -system; it is up to the author/donor to decide if he or she is willing -to distribute software through any other system and a licensee cannot -impose that choice. + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. -This section is intended to make thoroughly clear what is believed to -be a consequence of the rest of this License. + 14. Revised Versions of this License. - 8. If the distribution and/or use of the Program is restricted in -certain countries either by patents or by copyrighted interfaces, the -original copyright holder who places the Program under this License -may add an explicit geographical distribution limitation excluding -those countries, so that distribution is permitted only in or among -countries not thus excluded. In such case, this License incorporates -the limitation as if written in the body of this License. - - 9. The Free Software Foundation may publish revised and/or new versions -of the General Public License from time to time. Such new versions will + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will be similar in spirit to the present version, but may differ in detail to address new problems or concerns. -Each version is given a distinguishing version number. If the Program -specifies a version number of this License which applies to it and "any -later version", you have the option of following the terms and conditions -either of that version or of any later version published by the Free -Software Foundation. If the Program does not specify a version number of -this License, you may choose any version ever published by the Free Software -Foundation. + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. - 10. If you wish to incorporate parts of the Program into other free -programs whose distribution conditions are different, write to the author -to ask for permission. For software which is copyrighted by the Free -Software Foundation, write to the Free Software Foundation; we sometimes -make exceptions for this. Our decision will be guided by the two goals -of preserving the free status of all derivatives of our free software and -of promoting the sharing and reuse of software generally. + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. - NO WARRANTY + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. - 11. BECAUSE THE PROGRAM IS LICENSED FREE OF CHARGE, THERE IS NO WARRANTY -FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN -OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES -PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED -OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF -MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS -TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE -PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, -REPAIR OR CORRECTION. + 15. Disclaimer of Warranty. - 12. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING -WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MAY MODIFY AND/OR -REDISTRIBUTE THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, -INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING -OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED -TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY -YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER -PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE -POSSIBILITY OF SUCH DAMAGES. + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. END OF TERMS AND CONDITIONS @@ -287,15 +628,15 @@ free software which everyone can redistribute and change under these terms. To do so, attach the following notices to the program. It is safest to attach them to the start of each source file to most effectively -convey the exclusion of warranty; and each file should have at least +state the exclusion of warranty; and each file should have at least the "copyright" line and a pointer to where the full notice is found. - - Copyright (C) + {one line to give the program's name and a brief idea of what it does.} + Copyright (C) {year} {name of author} - This program is free software; you can redistribute it and/or modify + This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by - the Free Software Foundation; either version 2 of the License, or + the Free Software Foundation, either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, @@ -303,37 +644,32 @@ the "copyright" line and a pointer to where the full notice is found. MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. - You should have received a copy of the GNU General Public License along - with this program; if not, write to the Free Software Foundation, Inc., - 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. + You should have received a copy of the GNU General Public License + along with this program. If not, see . Also add information on how to contact you by electronic and paper mail. -If the program is interactive, make it output a short notice like this -when it starts in an interactive mode: + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: - Gnomovision version 69, Copyright (C) year name of author - Gnomovision comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + {project} Copyright (C) {year} {fullname} + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. This is free software, and you are welcome to redistribute it under certain conditions; type `show c' for details. The hypothetical commands `show w' and `show c' should show the appropriate -parts of the General Public License. Of course, the commands you use may -be called something other than `show w' and `show c'; they could even be -mouse-clicks or menu items--whatever suits your program. +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". -You should also get your employer (if you work as a programmer) or your -school, if any, to sign a "copyright disclaimer" for the program, if -necessary. Here is a sample; alter the names: + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. - Yoyodyne, Inc., hereby disclaims all copyright interest in the program - `Gnomovision' (which makes passes at compilers) written by James Hacker. + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. - , 1 April 1989 - Ty Coon, President of Vice - -This General Public License does not permit incorporating your program into -proprietary programs. If your program is a subroutine library, you may -consider it more useful to permit linking proprietary applications with the -library. If this is what you want to do, use the GNU Lesser General -Public License instead of this License. diff --git a/PicCore.pas b/PicCore.pas new file mode 100644 index 0000000..e89db48 --- /dev/null +++ b/PicCore.pas @@ -0,0 +1,659 @@ +{PICCore + +Contains basic definitions applicable to all PIC microcontroller Cores + Created by Tito Hinostroza 28/04/2018 +} +unit PicCore; +{$mode objfpc}{$H+} +interface +uses + Classes, SysUtils, LCLProc; +const + PIC_MAX_PINES = 64; //Max. number of pines for the package +type + //Union to access bytes of a word + TWordRec = record + case byte of + 1 : (W : Word); + {$IFDEF ENDIAN_LITTLE} + 2 : (L, H: Byte); + {$ELSE} + 2 : (H, L: Byte); + {$ENDIF} + end; + + TPICCellState = ( + cs_impleGPR, //Implemented. Can be used. + cs_unimplem //Not implemented. + ); + + TPICPinType = ( + pptVcc, //Alimentaci贸n + pptGND, //Tierra + pptControl,//Pin de control + pptPort, //Puerto Entrada/Salida + pptUnused //Pin no usado + ); + + { TPICPin } + //Model for a phisycal pin of the PIC + TPICPin = object + nam: string; //Eqtiueta o nombre + typ: TPICPinType; //Tipo de pin + add: word; //Direcci贸n en RAM + bit: byte; //Bit en RAM + function GetLabel: string; + end; + TPICPinPtr = ^TPICPin; + +type //Models for RAM memory + + { TPICRamCell } + {Modela a una direcci贸n l贸gica de la memoria RAM. Se ha taratdo de hacer una + definici贸n eficiente de esta estructura para facilitar la implementaci贸n de + simuladores en tiempo real. Podemos usar un tama帽o mediano para este registro, + porque no esperamos tener muchas celdas de RAM (<1K).} + TPICRamCellPtr = ^TPICRamCell; + TPICRamCell = object + private + Fvalue : byte; //value of the memory + function Getvalue: byte; + procedure Setvalue(AValue: byte); + public + addr : word; //direcci贸n f铆sica de memoria, en donde est谩 la celda. + name : string; //Name of the register (or variable) + used : boolean; //Indicates if have been written + shared : boolean; //Used to share this register + state : TPICCellState; //Status of the cell + property value: byte read Getvalue write Setvalue; + property dvalue: byte read Fvalue write Fvalue; //Direct access to "Fvalue". + function AvailGPR: boolean; + public //Campos para deputaci贸n + breakPnt : boolean; //Indicates if this cell have a Breakpoint + {Be careful on the size of this record, because it's going to be multiplied by 64K} + public //Information of position in source code. Used for debug + rowSrc : word; //Row number + colSrc : word; //Column number + idFile : SmallInt; //Index to a file. No load the name to save space. + {Estos campos de cadena ocupan bastante espacio, a煤n cuado est谩n en NULL. Si se + quisiera optimizar el uso de RAM, se podr铆a pensar en codificar, varios campos en + una sola cadena.} + topLabel : string; //Label on the top of the cell. + topComment : string; //Comment on the top of the cell. + sideComment: string; //Right comment to code + end; + + TPICRam = array of TPICRamCell; + TPICRamPtr = ^TPICRam; + TPICRutExplorRAM = procedure(offs, bnk: byte; regPtr: TPICRamCellPtr) of object; + +type //Models for Flash memory + TPICFlashCell = record + value : word; //Value of the memory (OpCode) + end; + +type + + { TPicCore } + {Abcestor of all 8 bits PIC cores} + TPicCore = class + private + FMaxFlash: integer; + procedure SetMaxFlash(AValue: integer); + public //Limits + {This variables are set just one time. So they work as constant.} + PICBANKSIZE : word; + PICMAXRAM : word; + PICPAGESIZE : word; + PICMAXFLASH : word; + public //General fields + Model : string; //modelo de PIC + frequen : integer; //frecuencia del reloj + MaxFreq : integer; //m谩xima frecuencia del reloj + //Propiedades que definen la arquitectura del PIC destino. + NumBanks: byte; //N煤mero de bancos de RAM. + NumPages: byte; //N煤mero de p谩ginas de memoria Flash. + MsjError: string; + {Maximun numbers of Flash cells implemented (Generally used when the first Flash + page is partially implemented). Applicable only when it's greater than zero} + property MaxFlash: integer read FMaxFlash write SetMaxFlash; + public //Execution control + nClck : Int64; //Contador de ciclos de reloj + CommStop: boolean; //Bandera para detener la ejecuci贸n + OnExecutionMsg: procedure(message: string) of object; //Genera mensaje en ejecuci贸n + protected //Generation of HEX files + minUsed : word; //Direcci贸n menor de la ROM usada + maxUsed : word; //Direcci贸n mayor de la ROM usdas + hexLines : TStringList; //Uusado para crear archivo *.hex + function HexChecksum(const lin: string): string; + procedure GenHexComm(comment: string); + procedure GenHexExAdd(Data: word); + procedure GenHexData(Address: word; Data: string); + procedure GenHexEOF; + function StrHexFlash(i1, i2: integer): string; + public //Memories + ram : TPICRam; //memoria RAM + iRam : integer; //puntero a la memoria RAM, para escribir cuando se ensambla o compila c贸digo. + function DisassemblerAt(addr: word; out nBytesProc: byte; useVarName: boolean + ): string; virtual; abstract; //Desensambla la instrucci贸n actual + public //RAM memory functions + procedure ClearMemRAM; + procedure DisableAllRAM; + procedure SetStatRAM(i1, i2: word; status0: TPICCellState); + function SetStatRAMCom(strDef: string): boolean; + function MapRAMtoPIN(strDef: string): boolean; + function HaveConsecRAM(const i, n: word; maxRam: word): boolean; //Indica si hay "n" bytes libres + procedure UseConsecRAM(const i, n: word); //Ocupa "n" bytes en la posici贸n "i" + procedure SetSharedUnused; + procedure SetSharedUsed; + public //ram memory functions + function UsedMemRAM: word; //devuelve el total de memoria ram usada + procedure ClearMemFlash; + public //Pins fields + Npins : byte; //Number of pins + pines : array[1..PIC_MAX_PINES] of TPICPin; + procedure ResetPins; + procedure SetPin(pNumber: integer; pLabel: string; pType: TPICPinType); + function SetPinName(strDef: string): boolean; + public //RAM name managment + function NameRAM(const addr: word): string; + procedure SetNameRAM(const addr: word; const nam: string); //Fija nombre a una celda de RAM + procedure AddNameRAM(const addr: word; const nam: string); //Agrega nombre a una celda de RAM + public //Execution control + procedure AddBreakpoint(aPC: word); + procedure ToggleBreakpoint(aPC: word); + procedure Exec(aPC: word); virtual; abstract; //Ejecuta la instrucci贸n en la direcci贸n indicada. + procedure Exec; virtual; abstract; //Ejecuta instrucci贸n actual + procedure ExecTo(endAdd: word); virtual; abstract; //Ejecuta hasta cierta direcci贸n + procedure ExecStep; virtual; abstract; //Execute one instruction considering CALL as one instruction + procedure ExecNCycles(nCyc: integer; out stopped: boolean); virtual; abstract; //Ejecuta hasta cierta direcci贸n + procedure Reset; virtual; abstract; + function ReadPC: dword; virtual; abstract; //Defined DWORD to cover the 18F PC register + procedure WritePC(AValue: dword); virtual; abstract; + public //Others + procedure addTopLabel(lbl: string); //Add a comment to the ASM code + procedure addTopComm(comm: string; replace: boolean = true); //Add a comment to the ASM code + procedure addSideComm(comm: string; before: boolean); //Add lateral comment to the ASM code + procedure addPosInformation(rowSrc, colSrc: word; idFile: byte); + public //Initialization + constructor Create; virtual; + destructor Destroy; override; + end; + +implementation + +{ TPICRamCell } +function TPICRamCell.Getvalue: byte; +begin + Result := Fvalue; +end; +procedure TPICRamCell.Setvalue(AValue: byte); +begin + Fvalue := AValue; +end; +function TPICRamCell.AvailGPR: boolean; +{Indica si el registro es una direcci贸n disponible en la memoria RAM.} +begin + Result := (state = cs_impleGPR); +end; + +{ TPICPin } +function TPICPin.GetLabel: string; +{Devuelve una etiqueta para el pin} +begin + case typ of + pptUnused: Result := 'NC'; + else + Result := nam; + end; +end; + +procedure TPicCore.SetMaxFlash(AValue: integer); +begin + if FMaxFlash = AValue then Exit; + FMaxFlash := AValue; +end; +{ TPicCore } +//Creaci贸n de archivo *.hex +function TPicCore.HexChecksum(const lin:string): string; +//Devuelve los caracteres en hexadecimal del Checksum, para el archivo *.hex +var + i: Integer; + chk: Integer; + part: String; +begin + i:=1; + chk := 0; + while iPICMAXRAM-1 then continue; //protection + ram[i].state := status0; + end; +end; +function TPicCore.SetStatRAMCom(strDef: string): boolean; +{Define el estado de la memoria RAM, usando una cadena de definici贸n. +La cadena de definici贸n, tiene el formato: +, , ... +Cada comando, tiene el formato: +-: +Un ejemplo de cadena de definici贸n, es: + '000-01F:IMP, 020-07F:NIM' +Si hay error, devuelve FALSE, y el mensaje de error en MsjError. +} +var + coms: TStringList; + add1, add2: longint; + state: TPICCellState; + staMem, com, str: String; +begin + Result := true; + coms:= TStringList.Create; + try + coms.Delimiter := ','; + coms.DelimitedText := strDef; + for str in coms do begin + com := UpCase(trim(str)); + if com='' then continue; + if length(com)<>11 then begin + MsjError := 'Memory definition syntax error: Bad string size.'; + exit(false); + end; + if com[4] <> '-' then begin + MsjError := 'Memory definition syntax error: Expected "-".'; + exit(false); + end; + if com[8] <> ':' then begin + MsjError := 'Memory definition syntax error: Expected ":".'; + exit(false); + end; + //Debe tener el formato pedido + if not TryStrToInt('$'+copy(com,1,3), add1) then begin + MsjError := 'Memory definition syntax error: Wrong address.'; + exit(false); + end; + if not TryStrToInt('$'+copy(com,5,3), add2) then begin + MsjError := 'Memory definition syntax error: Wrong address.'; + exit(false); + end; + staMem := copy(com, 9, 3); + case staMem of + 'IMP': state := cs_impleGPR; + 'NIM': state := cs_unimplem; + else + MsjError := 'Memory definition syntax error: Expected SFR or GPR'; + exit(false); + end; + //Ya se tienen los par谩metros, para definir la memoria + SetStatRAM(add1, add2, state); + end; + finally + coms.Destroy; + end; +end; +function TPicCore.MapRAMtoPIN(strDef: string): boolean; +{Mapea puertos de memoria RAM a pines f铆sicos del dispositivo. 脷til para la simulaci贸n +La cadena de definici贸n, tiene el formato: +:, , ... +Cada comando, tiene el formato: +-: +Un ejemplo de cadena de definici贸n, es: + '005:0-17,1-18,2-1,3-2,4-3' +Si hay error, devuelve FALSE, y el mensaje de error en MsjError. +} +var + coms: TStringList; + add1, pin, bit: longint; + com, str, ramName: String; + pSep: SizeInt; +begin + Result := true; + //Obtiene direcci贸n + if length(strDef) < 4 then begin + MsjError := 'Syntax error'; + exit(false); + end; + if strDef[4] <> ':' then begin + MsjError := 'Expected "<3-digits address>"'; + exit(false); + end; + if not TryStrToInt('$'+copy(strDef,1,3), add1) then begin + MsjError := 'Address format error.'; + exit(false); + end; + delete(strDef, 1, 4); //quita la direcci贸n + //Obtiene lista de asociaciones + coms:= TStringList.Create; + try + coms.Delimiter := ','; + coms.DelimitedText := strDef; + for str in coms do begin + com := UpCase(trim(str)); //asociaci贸n + if com='' then continue; + pSep := pos('-',com); //Posici贸n de separador + if pSep = 0 then begin + MsjError := 'Expected "-".'; + exit(false); + end; + //Debe tener el formato pedido +// debugln(com); + if not TryStrToInt(copy(com,1,pSep-1), bit) then begin + MsjError := 'Error in bit number.'; + exit(false); + end; + if not TryStrToInt(copy(com,pSep+1,length(com)), pin) then begin + MsjError := 'Error in pin number.'; + exit(false); + end; + if (pin<0) or (pin>PIC_MAX_PINES) then begin + MsjError := 'Pin number out of range.'; + exit(false); + end; + if pin>Npins then begin + MsjError := 'Pin number out of range, for this device.'; + exit(false); + end; + //Ya se tiene el BIT y el PIN. Configura datos del PIN + pines[pin].add := add1; + pines[pin].bit := bit; + pines[pin].typ := pptPort; + ramName := ram[add1].name; + if ramName='' then ramName := 'PORT'; + pines[pin].nam := ramName + '.' + IntToStr(bit); //Nombre por defecto + end; + finally + coms.Destroy; + end; +end; +procedure TPicCore.ResetPins; +{Reset the pins of the device.} +var + i: byte; +begin + for i:=1 to Npins do begin + pines[i].nam := ' '; + pines[i].typ := pptUnused; + end; +end; +procedure TPicCore.SetPin(pNumber: integer; pLabel: string; pType: TPICPinType); +begin + if pNumber>PIC_MAX_PINES then exit; + pines[pNumber].nam := pLabel; + pines[pNumber].typ := pType; +end; +function TPicCore.SetPinName(strDef: string): boolean; +{Define the name for a specified Pin of the microcontroller, using a string. +"strDef" have the format: +: +On error this function return FALSE, and the error menssage in MsjError. +} +var + com, pinName: String; + pNumber: integer; + pcol: SizeInt; +begin + com := UpCase(trim(strDef)); + if com='' then exit; + pcol := Pos(':', strDef); + if pcol=0 then begin + MsjError := 'SetPinName: Expected ":".'; + exit(false); + end; + //"com" must have the correct format + if not TryStrToInt( copy(com, 1, pcol-1) , pNumber) then begin + MsjError := 'SetPinName: Wrong Pin Number.'; + exit(false); + end; + pinName :=copy(com, pcol+1, 32); //limited to 32 + SetPin(pNumber, pinName, pptControl); +end; +function TPicCore.HaveConsecRAM(const i, n: word; maxRam: word): boolean; +{Indica si hay "n" bytes consecutivos libres en la posici贸m "i", en RAM. +La b煤squeda se hace solo hasta la posici贸n "maxRam"} +var + c: Integer; + j: word; +begin + Result := false; + c := 0; + j := i; + while (j<=maxRam) and (c cs_impleGPR) or (ram[j].used) then exit; + inc(c); //verifica siguiente + inc(j); + end; + if j>maxRam then exit; //no hay m谩s espacio + //Si llega aqu铆 es porque estaban libres los bloques + Result := true; +end; +procedure TPicCore.UseConsecRAM(const i, n: word); +{Marca "n" bytes como usados en la posici贸n de memoria "i", en la RAM. + Debe haberse verificado previamente que los par谩metros son v谩lidos, porque aqu铆 no + se har谩 ninguna verificaci贸n.} +var j: word; +begin + for j:=i to i+n-1 do begin + ram[j].used := true; //todos los bits + end; +end; +procedure TPicCore.SetSharedUnused; +{Marca las posiciones que est茅n en "shared", como no usadas, para que se puedan +usar nuevamente.} +var + i: Integer; +begin + for i:=0 to high(ram) do begin + if (ram[i].state = cs_impleGPR) and (ram[i].shared) then begin + ram[i].used := false; //pone en cero + end; + end; +end; +procedure TPicCore.SetSharedUsed; +{Marca las posiciones que est茅n en "shared", como usadas, para que no se puedan +usar nuevamente.} +var + i: Integer; +begin + for i:=0 to high(ram) do begin + if (ram[i].state = cs_impleGPR) and (ram[i].shared) then begin + ram[i].used := true; //pone en uno + end; + end; +end; +//FLASH memory functions +function TPicCore.UsedMemRAM: word; +var + i: Integer; +begin + Result := 0; + for i:=$0000 to PICMAXFLASH-1 do begin + if ram[i].used then inc(Result); + end; +end; +procedure TPicCore.ClearMemFlash; +var + i: Integer; +begin + for i:=0 to high(ram) do begin + ram[i].value := $3FFF; + ram[i].used := false; + ram[i].breakPnt := false; + ram[i].topLabel := ''; + ram[i].sideComment:= ''; + ram[i].topComment := ''; + ram[i].idFile := -1; //Indica no inicializado + end; +end; +//RAM name managment +function TPicCore.NameRAM(const addr: word): string; +{Devuelve el nombre de una celda de la memoria RAM.} +begin + Result := ram[addr].name; +end; +procedure TPicCore.SetNameRAM(const addr: word; const nam: string + ); +{Escribe en el campo "name" de la RAM en la psoici贸n indicada} +begin + ram[addr].name:=nam; +end; +procedure TPicCore.AddNameRAM(const addr: word; const nam: string); +{Escribe en el campo "name" de la RAM en la psoici贸n indicada. Si ya exist铆a un nombre, +lo argega despu茅s de una coma.} +begin + if ram[addr].name = '' then begin + ram[addr].name:=nam; + end else begin + ram[addr].name+=','+nam; + end; +end; +//Execution control +procedure TPicCore.AddBreakpoint(aPC: word); +//Agrega un punto de interrupci贸n +begin + if aPC>=PICMAXFLASH then exit; + ram[aPC].breakPnt := true; +end; +procedure TPicCore.ToggleBreakpoint(aPC: word); +//COnmuta el estado del Punto de Interrupci贸n, en la posici贸n indicada +begin + if aPC>=PICMAXFLASH then exit; + ram[aPC].breakPnt := not ram[aPC].breakPnt; +end; +procedure TPicCore.addTopLabel(lbl: string); +begin + ram[iRam].topLabel := lbl; +end; +procedure TPicCore.addTopComm(comm: string; replace: boolean); +{Agrega un comentario de l铆nea al c贸digo en la posici贸n de memoria actual} +begin + if replace then begin + ram[iRam].topComment := comm; + end else begin + ram[iRam].topComment := ram[iRam].topComment + comm; + end; +end; +procedure TPicCore.addSideComm(comm: string; before: boolean); +{Agrega un comentario para que apareza al lado de la instrucci贸n. + "before" = TRUE -> Se debe llamar despu茅s de codificar la instrucci贸n + "before" = FALSE -> Se debe llamar antes de codificar la instrucci贸n + } +begin + if before then begin + if iRam= 0 then exit; + ram[iRam-1].sideComment+=comm; //se agrega al que pudiera haber + end else begin + if iRam= 0 then exit; + ram[iRam].sideComment+=comm; //se agrega al que pudiera haber + end; +end; +procedure TPicCore.addPosInformation(rowSrc, colSrc: word; idFile: byte); +{Agrega information de la posici贸n en el codigo fuente, a la posici贸n actual de la +memoria flash.} +begin + ram[iRam].rowSrc := rowSrc; + ram[iRam].colSrc := colSrc; + ram[iRam].idFile := idFile; +end; +//Initialization +constructor TPicCore.Create; +begin + hexLines := TStringList.Create; + frequen := 4000000; //4MHz +end; +destructor TPicCore.Destroy; +begin + hexLines.Destroy; + inherited Destroy; +end; + +initialization +end. + diff --git a/p6502utils.pas b/p6502utils.pas new file mode 100644 index 0000000..99d2a9d --- /dev/null +++ b/p6502utils.pas @@ -0,0 +1,1550 @@ +{ +Description +=========== +Utilities for the 6502 CPU. The types here defined are intended to be used for: +* Assembling 6502 instructions. +* Disassembling 6502 instructions. +* Simulating the 6502 execution. +To simulate the CPU, it's assumed there are 64KB of RAM in a virtual system. +The main class TP6502 models a CPU6502 object including access to 64KB RAM. +The aim of this unit is to be used as base for assemblers, compilers and simulators. + + Created by Tito Hinostroza 19/05/2018 +} + +unit P6502utils; +{$mode objfpc}{$H+} +interface +uses + Classes, SysUtils, LCLProc, PicCore; +type //Instructions set + TP6502Inst = ( + i_ADC, //add with carry + i_AND, //and (with accumulator) + i_ASL, //arithmetic shift left + i_BCC, //branch on carry clear + i_BCS, //branch on carry set + i_BEQ, //branch on equal (zero set) + i_BIT, //bit test + i_BMI, //branch on minus (negative set) + i_BNE, //branch on not equal (zero clear) + i_BPL, //branch on plus (negative clear) + i_BRK, //break / interrupt + i_BVC, //branch on overflow clear + i_BVS, //branch on overflow set + i_CLC, //clear carry + i_CLD, //clear decimal + i_CLI, //clear interrupt disable + i_CLV, //clear overflow + i_CMP, //compare (with accumulator) + i_CPX, //compare with X + i_CPY, //compare with Y + i_DEC, //decrement + i_DEX, //decrement X + i_DEY, //decrement Y + i_EOR, //exclusive or (with accumulator) + i_INC, //increment + i_INX, //increment X + i_INY, //increment Y + i_JMP, //jump + i_JSR, //jump subroutine + i_LDA, //load accumulator + i_LDX, //load X + i_LDY, //load Y + i_LSR, //logical shift right + i_NOP, //no operation + i_ORA, //or with accumulator + i_PHA, //push accumulator + i_PHP, //push processor status (SR) + i_PLA, //pull accumulator + i_PLP, //pull processor status (SR) + i_ROL, //rotate left + i_ROR, //rotate right + i_RTI, //return from interrupt + i_RTS, //return from subroutine + i_SBC, //subtract with carry + i_SEC, //set carry + i_SED, //set decimal + i_SEI, //set interrupt disable + i_STA, //store accumulator + i_STX, //store X + i_STY, //store Y + i_TAX, //transfer accumulator to X + i_TAY, //transfer accumulator to Y + i_TSX, //transfer stack pointer to X + i_TXA, //transfer X to accumulator + i_TXS, //transfer X to stack pointer + i_TYA, //transfer Y to accumulator + //INVALID INSTRUCTION + i_Inval + ); + //Addressing Modes + {Implicit Mode and Acumulator Mode are not considered here. We consider + Only Modes with parameters.} + TP6502AddMode = ( + aImplied_, //Implied : BRK + aAcumulat, //Acumulator : ASL + aImmediat, //Immediate : ORA #$B2 + aAbsolute, //Absolute : JMP $4032 + aZeroPage, //Zero page : LDA: $35 + aRelative, //Relative : BNE LABEL + aIndirect, //Indirect : JMP ($1000) + aAbsolutX, //Absolute Indexed by X : STA $1000, X + aAbsolutY, //Absolute Indexed by Y : STA $1000, Y + aZeroPagX, //Zero page Indexed by X : LDA $10, X + aZeroPagY, //Zero page Indexed by Y : LDA $10, Y + aIdxIndir, //Indexed Indirect: LDA ($40,X) Only for X + aIndirIdx //Indirect Indexed: LDA ($40),Y Only for Y + ); + TP6502AddModes = set of TP6502AddMode; + //Instruction Information for each Address Mode + TInstructInform = record + Opcode: byte; //Code for instruction + nBytes: byte; //Num. of bytes of the instruction. + Cycles: byte; //Num. of cycles the instruction takes. + optCycles: string; //Extra options in Num. of cycles. + end; + + //Record for a 6502 instruction + + { TP6502Instruct } + + TP6502Instruct = object + public + //Name of the instruction + name: string[3]; + //Address Modes supported + addressModes: TP6502AddModes; + //Information for each Address Mode supported + instrInform: array[TP6502AddMode] of TInstructInform; + public + procedure Init(name0: string); + procedure AddAddressMode(aMode: TP6502AddMode; Opcode, nBytes, nCycles: Byte; + optCycles: string); + end; + + //Indica el destino de la instrucci贸n + TPIC16destin = ( + toW = %00000000, //al acumulador + toF = %10000000 //a memoria + ); + + + +const //Constants of address and bit positions for some registers + _STATUS = $03; + _C = 0; + _Z = 2; + _RP0 = 5; + _RP1 = 6; +// _IRP = 7; +type + {Objeto que representa al hardware de un PIC de la serie 16} + { TP6502 } + TP6502 = class(TPicCore) + public //Campos para desensamblar instrucciones + idIns: TP6502Inst; //ID de Instrucci贸n. + modIns: TP6502AddMode; //Modo de direccionamiento + parIns: word; //Par谩metro de instrucci贸n. V谩lido solo en algunas instrucciones. + b_ : byte; //Bit destino. V谩lido solo en algunas instrucciones. + k_ : word; //Par谩metro Literal. V谩lido solo en algunas instrucciones. + private //Campos para procesar instrucciones + function GetINTCON: byte; + function GetINTCON_GIE: boolean; + function GetSTATUS: byte; + function GetSTATUS_C: boolean; + function GetSTATUS_DC: boolean; + function GetSTATUS_IRP: boolean; + function GetSTATUS_Z: boolean; + procedure SetINTCON_GIE(AValue: boolean); + procedure SetSTATUS_C(AValue: boolean); + procedure SetSTATUS_DC(AValue: boolean); + procedure SetSTATUS_IRP(AValue: boolean); + procedure SetSTATUS_Z(AValue: boolean); + procedure SetFRAM(value: byte); + function GetFRAM: byte; + public //Campos que modelan a los registros internos + W : byte; //Registro de trabajo + PC : TWordRec; //PC as record to fast access for bytes + PCLATH : byte; //Contador de Programa H + STKPTR : 0..7; //Puntero de pila + STACK : array[0..7] of word; + property STATUS: byte read GetSTATUS; + property STATUS_Z: boolean read GetSTATUS_Z write SetSTATUS_Z; + property STATUS_C: boolean read GetSTATUS_C write SetSTATUS_C; + property STATUS_DC: boolean read GetSTATUS_DC write SetSTATUS_DC; + property STATUS_IRP: boolean read GetSTATUS_IRP write SetSTATUS_IRP; + property INTCON: byte read GetINTCON; + property INTCON_GIE: boolean read GetINTCON_GIE write SetINTCON_GIE; + property FRAM: byte read GetFRAM write SetFRAM; + public //Execution control + function CurInstruction: TP6502Inst; + procedure Exec(aPC: word); override; //Ejecuta la instrucci贸n en la direcci贸n indicada. + procedure Exec; override; //Ejecuta instrucci贸n actual + procedure ExecTo(endAdd: word); override; //Ejecuta hasta cierta direcci贸n + procedure ExecStep; override; //Execute one instruction considering CALL as one instruction + procedure ExecNCycles(nCyc: integer; out stopped: boolean); override; //Ejecuta hasta cierta direcci贸n + procedure Reset; override; + function ReadPC: dword; override; + procedure WritePC(AValue: dword); override; + public //Memories + procedure Decode(const opCode: word); //decodifica instrucci贸n + function Disassembler(const opCode, par1, par2: byte; out nBytesProc: byte; + useVarName: boolean=false): string; //Desensambla la instrucci贸n actual + function DisassemblerAt(addr: word; out nBytesProc: byte; useVarName: boolean + ): string; override; + public //Funciones para la memoria RAM + function GetFreeByte(out addr: word; shared: boolean): boolean; + function GetFreeBytes(const size: integer; var addr: word): boolean; //obtiene una direcci贸n libre + function TotalMemRAM: word; //devuelve el total de memoria RAM + function UsedMemRAM: word; //devuelve el total de memoria RAM usada + procedure ExploreUsed(rutExplorRAM: TPICRutExplorRAM); //devuelve un reporte del uso de la RAM + function ValidRAMaddr(addr: word): boolean; //indica si una posici贸n de memoria es v谩lida + function BankToAbsRAM(const offset, bank: byte): word; //devuelve direcci贸n absoluta + procedure AbsToBankRAM(const AbsAddr: word; var offset, bank: byte); //convierte direcci贸n absoluta + public //M茅todos para codificar instrucciones de acuerdo a la sintaxis + procedure useRAM; + procedure codAsmFD(const inst: TP6502Inst; addMode: TP6502AddMode; param: word); + function codInsert(iflash0, nInsert, nWords: integer): boolean; + public //M茅todos adicionales + function FindOpcode(Op: string): TP6502Inst; //busca Opcode + procedure GenHex(hexFile: string; ConfigWord: integer = - 1); //genera un archivo hex + procedure DumpCode(lOut: TStrings; incAdrr, incCom, incVarNam: boolean); //vuelva en c贸digo que contiene + public //Initialization + constructor Create; override; + destructor Destroy; override; + end; + +var //variables globales + //mnem贸nico de las instrucciones + PIC16InstName: array[low(TP6502Inst)..high(TP6502Inst)] of TP6502Instruct; + +implementation + +{ TP6502Instruct } + +procedure TP6502Instruct.Init(name0: string); +//Initialize the instruction. Must be called before AddAddressMode(). +begin + name := name0; //Set + addressModes:= []; //Clear +end; +procedure TP6502Instruct.AddAddressMode(aMode: TP6502AddMode; + Opcode, nBytes, nCycles: Byte; + optCycles: string); +{Add a new Address Mode including additional information. +"optCycles" is a flag and indicate aditional considerations on cycles: + +'*' -> Add 1 to cycles if page boundery is crossed + +'**' -> Add 1 to cycles if branch occurs on same page + Add 2 to cycles if branch occurs to different page +} +begin + addressModes := addressModes + [aMode]; //Register Mode + //Add information + instrInform[aMode].Opcode:= Opcode; + instrInform[aMode].nBytes:= nBytes; + instrInform[aMode].Cycles:= nCycles; + instrInform[aMode].optCycles := optCycles; +end; + +{ TP6502 } +procedure TP6502.useRAM; +{Marca la posici贸n actual, como usada, e incrementa el puntero iFlash. S ihay error, +actualiza el campo "MsjError"} +begin + //Protecci贸n de desborde + if iRam >= MaxFlash then begin + MsjError := 'FLASH Memory limit exceeded.'; + exit; + end; + ram[iRam].used := true; //marca como usado + inc(iRam); +end; +procedure TP6502.codAsmFD(const inst: TP6502Inst; addMode: TP6502AddMode; param: word); +{Codifica las instrucciones orientadas a registro, con sintAxis: NEM脫NICO f,d} +var + rInst: TP6502Instruct; +begin + rInst := PIC16InstName[inst]; + //Write OpCode + ram[iRam].value := rInst.instrInform[addMode].Opcode; + useRAM; //marca como usado e incrementa puntero. + //Codifica par谩metros + case addMode of + aImplied_, aAcumulat:; //No tiene par谩metros + aImmediat: begin + ram[iRam].value := lo(param); //escribe par谩metro + useRAM; + end; + aAbsolute:begin + ram[iRam].value := lo(param); + useRAM; + ram[iRam].value := hi(param); + useRAM; + end; + aZeroPage:begin + ram[iRam].value := lo(param); + useRAM; + end; + aRelative:begin + ram[iRam].value := lo(param); + useRAM; + end; + aIndirect:begin + ram[iRam].value := lo(param); + useRAM; + ram[iRam].value := hi(param); + useRAM; + end; + aAbsolutX:begin + ram[iRam].value := lo(param); + useRAM; + ram[iRam].value := hi(param); + useRAM; + end; + aAbsolutY:begin + ram[iRam].value := lo(param); + useRAM; + ram[iRam].value := hi(param); + useRAM; + end; + aZeroPagX:begin + ram[iRam].value := lo(param); + useRAM; + end; + aZeroPagY:begin + ram[iRam].value := lo(param); + useRAM; + end; + aIdxIndir:begin + ram[iRam].value := lo(param); + useRAM; + end; + aIndirIdx:begin + ram[iRam].value := lo(param); + useRAM; + end; + else + raise Exception.Create('Implementation Error.'); + end; +end; +function TP6502.codInsert(iflash0, nInsert, nWords: integer): boolean; +{Inserta en la posici贸n iflash0, "nInsert" palabras, desplazando "nWords" palabras. +Al final debe quedar "nInsert" palabras de espacio libre en iflash0. +Si hay error devuelve FALSE.} +var + i: Integer; +begin + Result := True; //By default + if iRam+nInsert+nWords-1> MaxFlash then begin + //Overflow on address + exit(false); + end; + for i:= iRam + nInsert + nWords -1 downto iRam + nWords do begin + ram[i] := ram[i-nInsert]; + end; +end; +//procedure TP6502.BTFSC_sw_BTFSS(iflash0: integer); +//{Exchange instruction i_BTFSC to i_BTFSS, or viceversa, in the specified address.} +//begin +// //Solo necesita cambiar el bit apropiado +// flash[iFlash0].value := flash[iFlash0].value XOR %10000000000; +//end; +function TP6502.FindOpcode(Op: string): TP6502Inst; +{Busca una c谩dena que represente a una instrucci贸n (Opcode). Si encuentra devuelve + el identificador de instrucci贸n . Si no encuentra devuelve "i_Inval". } +var + idInst: TP6502Inst; + tmp: String; +begin + tmp := UpperCase(Op); + for idInst := low(TP6502Inst) to high(TP6502Inst) do begin + if PIC16InstName[idInst].name = tmp then begin + Result := idInst; + exit; + end; + end; + //No encontr贸 + Result := i_Inval; +end; +//Campos para procesar instrucciones +function TP6502.GetSTATUS: byte; +begin + Result := ram[_STATUS].dvalue; +end; +function TP6502.GetSTATUS_Z: boolean; +begin + Result := (ram[_STATUS].dvalue and %00000100) <> 0; +end; +procedure TP6502.SetSTATUS_Z(AValue: boolean); +begin + if AVAlue then ram[_STATUS].dvalue := ram[_STATUS].dvalue or %00000100 + else ram[_STATUS].dvalue := ram[_STATUS].dvalue and %11111011; +end; +function TP6502.GetSTATUS_C: boolean; +begin + Result := (ram[_STATUS].dvalue and %00000001) <> 0; +end; +procedure TP6502.SetSTATUS_C(AValue: boolean); +begin + if AVAlue then ram[_STATUS].dvalue := ram[_STATUS].dvalue or %00000001 + else ram[_STATUS].dvalue := ram[_STATUS].dvalue and %11111110; +end; +function TP6502.GetSTATUS_DC: boolean; +begin + Result := (ram[_STATUS].dvalue and %00000010) <> 0; +end; +procedure TP6502.SetSTATUS_DC(AValue: boolean); +begin + if AVAlue then ram[_STATUS].dvalue := ram[_STATUS].dvalue or %00000010 + else ram[_STATUS].dvalue := ram[_STATUS].dvalue and %11111101; +end; +function TP6502.GetSTATUS_IRP: boolean; +begin + Result := (ram[_STATUS].dvalue and %10000000) <> 0; +end; +procedure TP6502.SetSTATUS_IRP(AValue: boolean); +begin + if AVAlue then ram[_STATUS].dvalue := ram[_STATUS].dvalue or %10000000 + else ram[_STATUS].dvalue := ram[_STATUS].dvalue and %01111111; +end; +function TP6502.GetINTCON: byte; +begin + Result := ram[$0B].dvalue; +end; +function TP6502.GetINTCON_GIE: boolean; +begin + Result := (ram[$0B].dvalue and %10000000) <> 0; +end; +procedure TP6502.SetINTCON_GIE(AValue: boolean); +begin + if AVAlue then ram[$0B].dvalue := ram[$0B].dvalue or %10000000 + else ram[$0B].dvalue := ram[$0B].dvalue and %01111111; +end; +procedure TP6502.SetFRAM(value: byte); +{Escribe en la RAM; en la direcci贸n global f_, el valor "value" +Para determinar el valor real de la direcci贸n, se toma en cuenta los bits de STATUS} +begin + if parIns = 0 then begin + //Caso especial de direccionamiento indirecto + if STATUS_IRP then begin + ram[ram[04].value + $100].value := value; + end else begin + ram[ram[04].value].value := value; + end; + exit; + end; + {Se escribe aplicando la m谩scara de bits implementados. Se podr铆a usra la m谩scara en + lectura o escritura, pero se prefiere hacerlo en escritura, porque se espera que se + hagan menos operaciones de escritura que lectura.} + case STATUS and %01100000 of + %00000000: ram[parIns ].value := value; + %00100000: ram[parIns+PICBANKSIZE ].value := value; + %01000000: ram[parIns+PICBANKSIZE*2].value := value; + %01100000: ram[parIns+PICBANKSIZE*3].value := value; + end; +end; +function TP6502.GetFRAM: byte; +{Devuelve el valor de la RAM, de la posici贸n global f_. +Para determinar el valor real de la direcci贸n, se toma en cuenta los bits de STATUS} +begin + if parIns = 0 then begin + //Caso especial de direccionamiento indirecto + if STATUS_IRP then begin + Result := ram[ram[04].value + $100].value; + end else begin + Result := ram[ram[04].value].value; + end; + exit; + end; + case STATUS and %01100000 of + %00000000: Result := ram[parIns ].value; + %00100000: Result := ram[parIns+ PICBANKSIZE ].value; + %01000000: Result := ram[parIns+ PICBANKSIZE*2].value; + %01100000: Result := ram[parIns+ PICBANKSIZE*3].value; + end; +end; +procedure TP6502.Decode(const opCode: word); +{Decode the value of "opCode" and update: +* "idIns" -> Instruction ID +* "modIns" -> Address Mode +} +var + i : TP6502Inst; + j : TP6502AddMode; + inst: TP6502Instruct; + iInfom: TInstructInform; +begin + //Search the Opcode + for i := low(TP6502Inst) to high(TP6502Inst) do begin + inst := PIC16InstName[i]; + for j := low(TP6502AddMode) to high(TP6502AddMode) do begin + iInfom := inst.instrInform[j]; + if iInfom.Opcode = opCode then begin + idIns := i; + modIns := j; + end; + end; + end; +end; +function TP6502.Disassembler(const opCode, par1, par2: byte; + out nBytesProc: byte; + useVarName: boolean = false): string; +{Disassemble the instruction indicated in "Opcode". If the instruction is multibyte +it will be used "par1" and "par2" according to the intruction length, which is returned +in "nBytesProc". +Global variables used: "idIns", "modIns". +"useVarName" -> Flag to use the name of the variable instead of only the address. + Valid only when a variAble name exists in his address. +} +var + nemo: String; + f: word; +begin + Decode(opCode); //Decode instruction. Update: "idIns", "modIns". + nemo := trim(PIC16InstName[idIns].name) + ' '; + case modIns of + aImplied_: begin + nBytesProc := 1; //No parameters needed + end; + aAcumulat: begin + nBytesProc := 1; //No parameters needed + end; + aImmediat: begin + Result := nemo + '#$'+IntToHex(par1,2); + nBytesProc := 2; + end; + aAbsolute: begin + Result := nemo + '$'+IntToHex(par1 + par2*256, 4); + nBytesProc := 3; + end; + aZeroPage: begin + Result := nemo + '$'+IntToHex(par1, 2); + nBytesProc := 2; + end; + aRelative: begin + Result := nemo + '$'+IntToHex(par1, 2); + nBytesProc := 2; + end; + aIndirect: begin + Result := nemo + '$('+IntToHex(par1 + par2*256, 4)+')'; + nBytesProc := 3; + end; + aAbsolutX: begin + Result := nemo + '$'+IntToHex(par1 + par2*256, 4)+',X'; + nBytesProc := 3; + end; + aAbsolutY: begin + Result := nemo + '$'+IntToHex(par1 + par2*256, 4)+',Y'; + nBytesProc := 3; + end; + aZeroPagX: begin + Result := nemo + '$'+IntToHex(par1, 2)+',X'; + nBytesProc := 3; + end; + aZeroPagY: begin + Result := nemo + '$'+IntToHex(par1, 2)+',Y'; + nBytesProc := 3; + end; + aIdxIndir: begin + Result := nemo + '$('+IntToHex(par1, 2)+',X)'; + nBytesProc := 2; + end; + aIndirIdx: begin + Result := nemo + '$('+IntToHex(par1, 2)+'),Y'; + nBytesProc := 3; + end; + end; +end; +function TP6502.DisassemblerAt(addr: word; out nBytesProc: byte; + useVarName: boolean): string; +{Disassembler the instruction located at "addr"} +var + valOp: Word; +begin + Result := Disassembler(ram[addr].value, + ram[addr+1].value, + ram[addr+2].value, nBytesProc, useVarName); +end; +function TP6502.CurInstruction: TP6502Inst; +{Resturn the instruction pointed by PC, in this moment.} +begin + Decode(ram[PC.W].value); //decode instruction + Result := idIns; +end; +procedure TP6502.Exec; +{Execute the current instruction.} +begin + Exec(PC.W); +end; +procedure TP6502.Exec(aPC: word); +{Ejecuta la instruci贸n actual con direcci贸n "pc". +Falta implementar las operaciones, cuando acceden al registro INDF, el Watchdog timer, +los contadores, las interrupciones} +var + opc: byte; + msk, resNib: byte; + nCycles, nBytes: byte; + resInt : integer; +begin + //Decodifica instrucci贸n + opc := ram[aPC].value; + Decode(opc); //Decode instruction + nCycles := PIC16InstName[idIns].instrInform[modIns].Cycles; + nBytes := PIC16InstName[idIns].instrInform[modIns].nBytes; + + case idIns of + i_ADC:; //add with carry + i_AND:; //and (with accumulator) + i_ASL:; //arithmetic shift left + i_BCC:; //branch on carry clear + i_BCS:; //branch on carry set + i_BEQ:; //branch on equal (zero set) + i_BIT:; //bit test + i_BMI:; //branch on minus (negative set) + i_BNE:; //branch on not equal (zero clear) + i_BPL:; //branch on plus (negative clear) + i_BRK:; //break / interrupt + i_BVC:; //branch on overflow clear + i_BVS:; //branch on overflow set + i_CLC:; //clear carry + i_CLD:; //clear decimal + i_CLI:; //clear interrupt disable + i_CLV:; //clear overflow + i_CMP:; //compare (with accumulator) + i_CPX:; //compare with X + i_CPY:; //compare with Y + i_DEC:; //decrement + i_DEX:; //decrement X + i_DEY:; //decrement Y + i_EOR:; //exclusive or (with accumulator) + i_INC:; //increment + i_INX:; //increment X + i_INY:; //increment Y + i_JMP:; //jump + i_JSR:; //jump subroutine + i_LDA:; //load accumulator + i_LDX:; //load X + i_LDY:; //load Y + i_LSR:; //logical shift right + i_NOP:; //no operation + i_ORA:; //or with accumulator + i_PHA:; //push accumulator + i_PHP:; //push processor status (SR) + i_PLA:; //pull accumulator + i_PLP:; //pull processor status (SR) + i_ROL:; //rotate left + i_ROR:; //rotate right + i_RTI:; //return from interrupt + i_RTS:; //return from subroutine + i_SBC:; //subtract with carry + i_SEC:; //set carry + i_SED:; //set decimal + i_SEI:; //set interrupt disable + i_STA:; //store accumulator + i_STX:; //store X + i_STY:; //store Y + i_TAX:; //transfer accumulator to X + i_TAY:; //transfer accumulator to Y + i_TSX:; //transfer stack pointer to X + i_TXA:; //transfer X to accumulator + i_TXS:; //transfer X to stack pointer + i_TYA:; //transfer Y to accumulator + i_Inval: begin + MsjError := 'Invalid Opcode'; + end; + end; + +{ i_ADDWF: begin + resByte := FRAM; + resWord := W + resByte; + resNib := (W and $0F) + (resByte and $0F); + if modIns = toF then begin + FRAM := resWord and $FF; + end else begin //toW + w := resWord and $FF; + end; + STATUS_Z := (resWord and $ff) = 0; + STATUS_C := (resWord > 255); + STATUS_DC := (resNib > 15); + end; + i_ANDWF: begin + resByte := W and FRAM; + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte = 0; + end; + i_CLRF: begin + FRAM := 0; + STATUS_Z := true; + end; + i_CLRW: begin + W := 0; + STATUS_Z := true; + end; + i_COMF : begin + resByte := not FRAM; + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte = 0; + end; + i_DECF : begin + resByte := FRAM; + if resByte = 0 then resByte := $FF else dec(resByte); + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte = 0; + end; + i_DECFSZ: begin + resByte := FRAM; + if resByte = 0 then resByte := $FF else dec(resByte); + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte = 0; + if STATUS_Z then begin + Inc(PC.W); //Jump one instrucci贸n + Inc(nClck); //In this case it takes one more cicle + end; + end; + i_INCF: begin + resByte := FRAM; + if resByte = 255 then resByte := 0 else inc(resByte); + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte = 0; + end; + i_INCFSZ: begin + resByte := FRAM; + if resByte = 255 then resByte := 0 else inc(resByte); + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte = 0; + if STATUS_Z then begin + Inc(PC.W); //Jump one instrucci贸n + Inc(nClck); //In this case it takes one more cicle + end; + end; + i_IORWF: begin + resByte := W or FRAM; + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte <> 0; + end; + i_MOVF: begin + resByte := FRAM; + if modIns = toF then begin + //no mueve, solo verifica + STATUS_Z := (resByte = 0); + end else begin //toW + w := resByte; + STATUS_Z := (resByte = 0); + end; + end; + i_MOVWF: begin + FRAM := W; //escribe a donde est茅 mapeado, (si est谩 mapeado) + if parIns = $02 then begin //Es el PCL + PC.H := PCLATH; //Cuando se escribe en PCL, se carga PCH con PCLATH + end; + end; + i_NOP: begin + end; + i_RLF: begin + resByte := FRAM; + bit7 := resByte and $80; //guarda bit 7 + resByte := (resByte << 1) and $ff; //desplaza + //pone C en bit bajo + if STATUS_C then begin //C era 1 + resByte := resByte or $01; //pone a 1 el bit 0 + end else begin //C era 0 + //no es necesario agregarlo, porque por defecto se agrega 0 + end; + //Actualiza C + if bit7 = 0 then STATUS_C := false + else STATUS_C := true; + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + end; + i_RRF: begin + resByte := FRAM; + bit0 := resByte and $01; //guarda bit 0 + resByte := resByte >> 1; //desplaza + //pone C en bit alto + if STATUS_C then begin //C era 1 + resByte := resByte or $80; //pone a 1 el bit 0 + end else begin //C era 0 + //no es necesario agregarlo, porque por defecto se agrega 0 + end; + //Actualiza C + if bit0 = 0 then STATUS_C := false + else STATUS_C := true; + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + end; + i_SUBWF: begin + resByte := FRAM; + resInt := resByte - W; + if modIns = toF then begin + FRAM := resInt and $FF; + end else begin //toW + w := resInt and $FF; + end; + STATUS_Z := (resInt = 0); + if resInt < 0 then STATUS_C := false //negativo + else STATUS_C := true; + resInt := (resByte and $0F) - (W and $0F); + if resInt < 0 then STATUS_DC := false //negativo + else STATUS_DC := true; + end; + i_SWAPF: begin + resByte := FRAM; + FRAM := (resByte >> 4) or (resByte << 4); + end; + i_XORWF: begin + resByte := W xor FRAM; + if modIns = toF then begin + FRAM := resByte; + end else begin //toW + w := resByte; + end; + STATUS_Z := resByte <> 0; + end; + //BIT-ORIENTED FILE REGISTER OPERATIONS + i_BCF: begin + msk := $1 << b_; + msk := not msk; + FRAM := FRAM and msk; + end; + i_BSF: begin + msk := $1 << b_; + FRAM := FRAM or msk;// b_ + end; + i_BTFSC: begin + msk := $1 << b_; + if (FRAM and msk) = 0 then begin + Inc(PC.W); //Jump one instrucci贸n + Inc(nClck); //In this case it takes one more cicle + end; + end; + i_BTFSS: begin + msk := $1 << b_; + if (FRAM and msk) <> 0 then begin + Inc(PC.W); //Jump one instrucci贸n + Inc(nClck); //In this case it takes one more cicle + end; + end; + //LITERAL AND CONTROL OPERATIONS + i_ADDLW: begin + resWord := W + k_; + resNib := (W and $0F) + (k_ and $0F); + w := resWord and $FF; + STATUS_Z := (resWord and $ff) = 0; + STATUS_C := (resWord > 255); + STATUS_DC := (resNib > 15); + end; + i_ANDLW: begin + resByte := W and K_; + w := resByte; + STATUS_Z := resByte = 0; + end; + i_CALL: begin + //Guarda direcci贸n en Pila + STACK[STKPTR] := PC.W; + if STKPTR = 7 then begin + //Desborde de pila + STKPTR := 0; + if OnExecutionMsg<>nil then OnExecutionMsg('Stack Overflow on CALL OpCode at $' + IntToHex(aPC,4)); + end else begin + STKPTR := STKPTR +1; + end; + PC.W := k_; //Takes the 11 bits from k + PC.H := PC.H or (PCLATH and %00011000); //And complete with bits 3 and 4 of PCLATH + Inc(nClck,2); //This instruction takes two cicles + exit; + end; + i_CLRWDT: begin + end; + i_GOTO: begin + PC.W := k_; //Takes the 11 bits from k + PC.H := PC.H or (PCLATH and %00011000); //And complete with bits 3 and 4 of PCLATH + Inc(nClck,2); //This instruction takes two cicles + exit; + end; + i_IORLW: begin + resByte := W or k_; + w := resByte; + STATUS_Z := resByte <> 0; + end; + i_MOVLW: begin + W := k_; + end; + i_RETFIE: begin + //Saca direcci贸n en Pila + if STKPTR = 0 then begin + //Desborde de pila + STKPTR := 7; + if OnExecutionMsg<>nil then OnExecutionMsg('Stack Overflow on RETFIE OpCode at $' + IntToHex(aPC,4)); + end else begin + STKPTR := STKPTR - 1; + end; + PC.W := STACK[STKPTR]; //Should be 13 bits + Inc(nClck); //Esta instrucci贸n toma un ciclo m谩s + //Activa GIE + INTCON_GIE := true; + end; + i_RETLW: begin + //Saca direcci贸n en Pila + if STKPTR = 0 then begin + //Desborde de pila + STKPTR := 7; + if OnExecutionMsg<>nil then OnExecutionMsg('Stack Overflow on RETLW OpCode at $' + IntToHex(aPC,4)); + end else begin + STKPTR := STKPTR - 1; + end; + PC.W := STACK[STKPTR]; //Should be 13 bits + Inc(nClck); //Esta instrucci贸n toma un ciclo m谩s + //Fija valor en W + W := k_; + end; + i_RETURN: begin + //Saca direcci贸n en Pila + if STKPTR = 0 then begin + //Desborde de pila + STKPTR := 7; + if OnExecutionMsg<>nil then OnExecutionMsg('Stack Overflow on RETURN OpCode at $' + IntToHex(aPC,4)); + end else begin + STKPTR := STKPTR - 1; + end; + PC.W := STACK[STKPTR]; //Should be 13 bits + Inc(nClck); //Esta instrucci贸n toma un ciclo m谩s + end; + i_SLEEP: begin + end; + i_SUBLW: begin + resInt := k_ - W; + w := resInt and $FF; + STATUS_Z := (resInt = 0); + if resInt < 0 then STATUS_C := false //negativo + else STATUS_C := true; + resInt := (k_ and $0F) - (W and $0F); + if resInt < 0 then STATUS_DC := false //negativo + else STATUS_DC := true; + end; + i_XORLW: begin + resByte := W xor k_; + w := resByte; + STATUS_Z := resByte <> 0; + end; + i_Inval: begin + MsjError := 'Invalid Opcode'; + end; + end; +} + //Increase counters + Inc(PC.W, nBytes); + Inc(nClck, nCycles); +end; +procedure TP6502.ExecTo(endAdd: word); +{Ejecuta las instrucciones secuencialmente, desde la instrucci贸n actual, hasta que el +contador del programa, sea igual a la direcci贸n "endAdd".} +begin + //Hace una primera ejecuci贸n, sin verificar Breakpoints + Exec(PC.W); + //Ejecuta c铆clicamnente + while PC.W <> endAdd do begin + if ram[PC.W].breakPnt then begin + //Encontr贸 un BreakPoint, sale sin ejecutar esa instrucci贸n + if OnExecutionMsg<>nil then OnExecutionMsg('Stopped for breakpoint.'); + exit; + end; + //Ejecuta + Exec(PC.W); + //Debe haber una forma de salir si es un lazo infinito + //if (nClck and $800000) = $800000 then begin + //end; + end; +end; +procedure TP6502.ExecStep; +begin + if CurInstruction = i_JSR then begin + ExecTo(PC.W+3); //Ejecuta hasta la sgte. instrucci贸n, salta el JSR + end else begin + Exec; + end; +end; +procedure TP6502.ExecNCycles(nCyc: integer; out stopped: boolean); +{Ejecuta el n煤mero de ciclos indicados, o hasta que se produzca alguna condici贸n +externa, que puede ser: +* Se encuentre un Punto de Interrupci贸n. +* Se detecta la se帽al, de detenerse. +* Se genere alg煤n error en la ejecuci贸n. +* Se ejecuta la instrucci贸n i_SLEEP. +la bandera "stopped", indica que se ha detendio la ejecuci贸n sin completar la cantidad +de instrucciones requeridas. +Normalmente Se ejecutar谩 el n煤mero de ciclos indicados, pero en algunos casos se +ejecutar谩 un ciclo m谩s, debido a que algunas instrucciones toman dos ciclos.} +var + clkEnd: Int64; + _pc: word; +begin + clkEnd := nClck + nCyc; //Valor final del contador + while nClck < clkEnd do begin + _pc := PC.W; + if ram[_pc].breakPnt then begin + //Encontr贸 un BreakPoint, sale sin ejecutar esa instrucci贸n + if OnExecutionMsg<>nil then OnExecutionMsg('Stopped for breakpoint.'); + stopped := true; + exit; + end; + if not ram[_pc].used then begin + //Encontr贸 un BreakPoint, sale sin ejecutar esa instrucci贸n + if OnExecutionMsg<>nil then OnExecutionMsg('Stopped for executing unused code.'); + stopped := true; + exit; + end; + if CommStop then begin + //Se detect贸 el comando STOP + if OnExecutionMsg<>nil then OnExecutionMsg('Stopped for STOP command.'); + stopped := true; + exit; + end; + //Ejecuta + Exec(_pc); + end; + stopped := false; +end; +procedure TP6502.Reset; +//Reinicia el dipsoitivo +var + i: Integer; +begin + PC.W := 0; + PCLATH := 0; + W := 0; + STKPTR := 0; //Posici贸n inicial del puntero de pila + nClck := 0; //Inicia contador de ciclos + CommStop := false; //Limpia bandera + //Limpia solamente el valor inicial, no toca los otros campos + for i:=0 to high(ram) do begin + ram[i].dvalue := $00; + end; + ram[_STATUS].dvalue := %00011000; //STATUS +end; +function TP6502.ReadPC: dword; +begin + Result := PC.W; +end; +procedure TP6502.WritePC(AValue: dword); +begin + PC.W := AValue; +end; +//Funciones para la memoria RAM +function TP6502.GetFreeByte(out addr: word; shared: boolean): boolean; +{Devuelve una direcci贸n libre de la memoria flash. +"Shared" indica que se marcar谩 el bit como de tipo "Compartido", y se usa para el +caso en que se quiera comaprtir la misma posici贸n para diversos variables. +Si encuentra espacio, devuelve TRUE.} +var + i: Integer; + maxRam: word; +begin + Result := false; //valor inicial + maxRam := NumBanks * PICBANKSIZE; //posici贸n m谩xima + //Realmente deber铆a explorar solo hasta la direcci贸n implementada, por eficiencia + for i:=0 to maxRam-1 do begin + if (ram[i].state = cs_impleGPR) and (not ram[i].used) then begin + //Esta direcci贸n est谩 libre + ram[i].used := true; //marca como usado + if shared then begin + ram[i].shared := true; //Marca como compartido + end; + addr := i; + //Notar que la posici贸n de memoria puede estar mapeada a otro banco. + Result := true; //indica que encontr贸 espacio + exit; + end; + end; +end; +function TP6502.GetFreeBytes(const size: integer; var addr: word): boolean; +{Devuelve una direcci贸n libre de la memoria flash (y el banco) para ubicar un bloque + del tama帽o indicado. Si encuentra espacio, devuelve TRUE. + El tama帽o se da en bytes, pero si el valor es negativo, se entiende que es en bits.} +var + i: word; + maxRam: Word; +begin + Result := false; //valor por defecto + if size=0 then exit; + maxRam := word(NumBanks * PICBANKSIZE) - 1; + for i:=0 to maxRam do begin //verifica 1 a 1, por seguridad + if HaveConsecRAM(i, size, maxRam) then begin + //encontr贸 del tama帽o buscado + UseConsecRAM(i, size); //marca como usado + addr := i; + Result := true; //indica que encontr贸 espacio + exit; + end; + end; +end; +function TP6502.TotalMemRAM: word; +{Devuelve el total de memoria RAM disponible} +var + i: Integer; +begin + Result := 0; + for i := 0 to word(NumBanks * PICBANKSIZE) - 1 do begin + if ram[i].AvailGPR then begin + Result := Result + 1; + end; + end; +end; +function TP6502.UsedMemRAM: word; +{Devuelve el total de memoria RAM usada} +var + i: Integer; +begin + Result := 0; + for i := 0 to word(NumBanks * PICBANKSIZE) - 1 do begin + if ram[i].AvailGPR and (ram[i].used) then begin + //Notar que "AvailGPR" asegura que no se consideran registros maepados + Result := Result + 1; + end; + end; +end; +procedure TP6502.ExploreUsed(rutExplorRAM: TPICRutExplorRAM); +{Genera un reporte de uso de RAM} +var + i: Integer; +begin + for i := 0 to word(NumBanks * PICBANKSIZE) - 1 do begin + if ram[i].AvailGPR and (ram[i].used) then begin + rutExplorRAM(i, 0, @ram[i]); + end; + end; +end; +function TP6502.ValidRAMaddr(addr: word): boolean; +{Indica si la direcci贸n indicada es v谩lida dentro del hardware del PIC} +begin + if addr > PICBANKSIZE*NumBanks then exit(false); //excede l铆mite + exit(true); +end; +function TP6502.BankToAbsRAM(const offset, bank: byte): word; +{Convierte una direcci贸n y banco a una direcci贸n absoluta} +begin + Result := bank * PICBANKSIZE + offset; +end; +procedure TP6502.AbsToBankRAM(const AbsAddr: word; var offset, bank: byte); +{Convierte direcci贸n absoluta a direcci贸n en bancos} +begin + offset := AbsAddr and %01111111; + bank := AbsAddr >> 7; +end; +procedure TP6502.GenHex(hexFile: string; ConfigWord: integer = -1); +{Genera el archivo *.hex, a partir de los datos almacenados en la memoria +FLASH. +Actualiza los campos, minUsed y maxUsed.} +var + cfg, tmp: String; + iHex: word; //脥ndice para explorar + dat: String; //Cadena de d铆gitos hexadecimales + addr: word; //Direcci贸n de inicio +const + MAX_INS_HEX = 8; //N煤mero m谩ximo de instrucciones que devuelve por pasada + + function ExtractHex(out Addre: word): string; + {Devuelve una cadena (de longitud que var铆a desde 0, hasta MAX_INS_HEX*4 caracteres) + con valores en hexadecimal de isntrucciones, consecutivas usadas, en le memoria FLASH. + La lectura se hace a partir de iHex, y los caracteres en hexadecimal se escriben en 4 + d铆gitos, en la misma forma que se usan para los archivos *.HEX. + En "Addr" devuelve la direcci贸n absoluta de inicio desde donde lee. Con cada llamada, + devuelve los bloques consecutivos de datos. Si no hay m谩s datos devuelve cadena vac铆a.} + var p1, p2: word; + cont, p: word; + tmp: String; + begin + Result := ''; + //Busca inicio de instrucciones usadas, desde la posici贸n iHex + while (iHex=PICMAXFLASH then begin + //Lleg贸 al final + exit; //sale con cadena nula + end; + //Ya encontr贸 el inicio ahora busca celdas consecutivas + p1 := iHex; + Addre := p1; + cont := 2; //inicia contador + inc(iHex); //pasa al siguiente + while (iHex=PICMAXFLASH then begin + //Sali贸 porque Lleg贸 al final + p2 := PICMAXFLASH-1; + end else if cont>=MAX_INS_HEX then begin + //Sali贸 porque lleg贸 al m谩ximo de celdas + if ram[iHex].used then begin + //La ultima celda estaba ocupada + p2 := iHex; + inc(iHex); //deja listo para la siguiente exploraci贸n + end else begin + //La ultima celda estaba ocupada + p2 := iHex-1; + //iHex, queda apuntando a la siguiente celda + end; + end else begin + //Sali贸 porque encontr贸 celda sin usar + p2 := iHex-1; + //iHex, queda apuntando a la siguiente celda + end; + //Ya tiene las dos posiciones + tmp := ''; + for p:=p1 to p2 do begin + if p1maxUsed then maxUsed := p2; //Actualiza + tmp := IntToHex(ram[p].value, 4); + Result +=copy(tmp,3,2) + copy(tmp,1,2); //se graba con los bytes invertidos + end; + end; + +begin + hexLines.Clear; //Se usar谩 la lista hexLines + GenHexExAdd($0000); + //Prepara extracci贸n de datos + minUsed := PICMAXFLASH; + maxUsed := 0; + iHex := 0; + //Inicia la extracci贸n de c贸digo + dat := ExtractHex(addr); + while dat <>'' do begin + GenHexData(addr, dat); + dat := ExtractHex(addr); + end; + //Bits de configuraci贸n + tmp := ''; + if ConfigWord<>-1 then begin + //Se pide generar bits de configuraci贸n + {Los bits de configuraci贸n para la serie 16F, se almacenan en: +Config: 0x2007 (0x400E in the HEX file) +EEPROM: 0x2100 (0x4200 in the HEX file) } + cfg := IntToHex(ConfigWord and $FFFF, 4); + tmp +=copy(cfg,3,2) + copy(cfg,1,2); //se graba con los bytes invertidos + GenHexData($2007, tmp); + end; + GenHexEOF; //Fin de archivo + GenHexComm(self.Model); //Comentario + hexLines.SaveToFile(hexFile); //Genera archivo +end; +procedure TP6502.DumpCode(lOut: TStrings; incAdrr, incCom, incVarNam: boolean); +{Desensambla las instrucciones grabadas en el PIC. + Se debe llamar despues de llamar a GenHex(), para que se actualicen las variables} +var + i: Word; + lblLin, comLat, comLin, lin: String; + nBytes: byte; +begin + //Se supone que minUsed y maxUsed, ya deben haber sido actualizados. + i := minUsed; + while i <= maxUsed do begin + //Lee comentarios y etiqueta + lblLin := ram[i].topLabel; + comLat := ram[i].sideComment; + comLin := ram[i].topComment; + //Escribe etiqueta al inicio de l铆nea + if lblLin<>'' then lOut.Add(lblLin+':'); + //Escribe comentario al inicio de l铆nea + if incCom and (comLin<>'') then begin + lOut.Add(comLin); + end; + //Decodifica instrucci贸n + lin := DisassemblerAt(i, nBytes, incVarNam); //Instrucci贸n + i := i + nBytes; + //Verificas si incluye direcci贸n f铆sica + if incAdrr then begin + lin := '0x'+IntToHex(i,3) + ' ' + lin; + end; + //Verifica si incluye comentario lateral + if incCom then begin + lin := lin + ' ' + comLat; + end; + lOut.Add(' ' + lin); + end; +end; +constructor TP6502.Create; +begin + inherited Create; + PICBANKSIZE := 128; //RAM bank size + PICMAXRAM := PICBANKSIZE * 4; //M谩x RAM memory (4 banks) + PICPAGESIZE := 2048; + PICMAXFLASH := PICPAGESIZE * 4; //M谩x Flash memeory (4 pages) + SetLength(ram, PICMAXRAM); + //Default hardware settings + NumBanks:=2; //N煤mero de bancos de RAM. Por defecto se asume 2 + NumPages:=1; //N煤mero de p谩ginas de memoria Flash. Por defecto 1 + MaxFlash := PICPAGESIZE; //En algunos casos, puede ser menor al tama帽o de una p谩gina + //inicia una configuraci贸n com煤n + ClearMemRAM; + SetStatRAM($020, $04F, cs_impleGPR); + + //estado inicial + iRam := 0; //posici贸n de inicio + ClearMemFlash; +end; +destructor TP6502.Destroy; +begin + inherited Destroy; +end; +procedure InitTables; +begin + /////////////////////////////////////////////////////////////////// + ////////////////// Set instructions information /////////////////// + // Based on the information from: + // http://www.masswerk.at/6502/6502_instruction_set.html + /////////////////////////////////////////////////////////////////// + + ////////////////////////////// ADC //////////////////////////////// + PIC16InstName[i_ADC].name := 'ADC'; //Add Memory to Accumulator with Carry + PIC16InstName[i_ADC].AddAddressMode(aImmediat,$69,2,2,''); + PIC16InstName[i_ADC].AddAddressMode(aZeroPage,$65,2,3,''); + PIC16InstName[i_ADC].AddAddressMode(aZeroPagX,$75,2,4,''); + PIC16InstName[i_ADC].AddAddressMode(aAbsolute,$6D,3,4,''); + PIC16InstName[i_ADC].AddAddressMode(aAbsolutX,$7D,3,4,'*'); + PIC16InstName[i_ADC].AddAddressMode(aAbsolutY,$79,3,4,'*'); + PIC16InstName[i_ADC].AddAddressMode(aIdxIndir,$61,2,6,''); + PIC16InstName[i_ADC].AddAddressMode(aIndirIdx,$71,2,5,'*'); + PIC16InstName[i_AND].name := 'AND'; //AND Memory with Accumulator + PIC16InstName[i_AND].AddAddressMode(aImmediat,$29,2,2,''); + PIC16InstName[i_AND].AddAddressMode(aZeroPage,$25,2,3,''); + PIC16InstName[i_AND].AddAddressMode(aZeroPagX,$35,2,4,''); + PIC16InstName[i_AND].AddAddressMode(aAbsolute,$2D,3,4,''); + PIC16InstName[i_AND].AddAddressMode(aAbsolutX,$3D,3,4,'*'); + PIC16InstName[i_AND].AddAddressMode(aAbsolutY,$39,3,4,'*'); + PIC16InstName[i_AND].AddAddressMode(aIdxIndir,$21,2,6,''); + PIC16InstName[i_AND].AddAddressMode(aIndirIdx,$31,2,5,'*'); + PIC16InstName[i_ASL].name := 'ASL'; //Shift Left One Bit (MemoryorAccumulator) + PIC16InstName[i_ASL].AddAddressMode(aAcumulat,$0A,1,2,''); + PIC16InstName[i_ASL].AddAddressMode(aZeroPage,$06,2,5,''); + PIC16InstName[i_ASL].AddAddressMode(aZeroPagX,$16,2,6,''); + PIC16InstName[i_ASL].AddAddressMode(aAbsolute,$0E,3,6,''); + PIC16InstName[i_ASL].AddAddressMode(aAbsolutX,$1E,3,7,''); + PIC16InstName[i_BCC].name := 'BCC'; //Branch on Carry Clear + PIC16InstName[i_BCC].AddAddressMode(aRelative,$90,2,2,'**'); + PIC16InstName[i_BCS].name := 'BCS'; //Branch on Carry Set + PIC16InstName[i_BCS].AddAddressMode(aRelative,$B0,2,2,'**'); + PIC16InstName[i_BEQ].name := 'BEQ'; //Branch on Result Zero + PIC16InstName[i_BEQ].AddAddressMode(aRelative,$F0,2,2,'**'); + PIC16InstName[i_BIT].name := 'BIT'; //Test Bits in Memory with Accumulator + PIC16InstName[i_BIT].AddAddressMode(aZeroPage,$24,2,3,''); + PIC16InstName[i_BIT].AddAddressMode(aAbsolute,$2C,3,4,''); + PIC16InstName[i_BMI].name := 'BMI'; //Branch on Result Minus + PIC16InstName[i_BMI].AddAddressMode(aRelative,$30,2,2,'**'); + PIC16InstName[i_BNE].name := 'BNE'; //Branch on Result not Zero + PIC16InstName[i_BNE].AddAddressMode(aRelative,$D0,2,2,'**'); + PIC16InstName[i_BPL].name := 'BPL'; //Branch on Result Plus + PIC16InstName[i_BPL].AddAddressMode(aRelative,$10,2,2,'**'); + PIC16InstName[i_BRK].name := 'BRK'; //Force Break + PIC16InstName[i_BRK].AddAddressMode(aImplied_,$00,1,7,''); + PIC16InstName[i_BVC].name := 'BVC'; //Branch on Overflow Clear + PIC16InstName[i_BVC].AddAddressMode(aRelative,$50,2,2,'**'); + PIC16InstName[i_BVS].name := 'BVS'; //Branch on Overflow Set + PIC16InstName[i_BVS].AddAddressMode(aRelative,$70,2,2,'**'); + PIC16InstName[i_CLC].name := 'CLC'; //Clear Carry Flag + PIC16InstName[i_CLC].AddAddressMode(aImplied_,$18,1,2,''); + PIC16InstName[i_CLD].name := 'CLD'; //Clear Decimal Mode + PIC16InstName[i_CLD].AddAddressMode(aImplied_,$D8,1,2,''); + PIC16InstName[i_CLI].name := 'CLI'; //Clear Interrupt Disable Bit + PIC16InstName[i_CLI].AddAddressMode(aImplied_,$58,1,2,''); + PIC16InstName[i_CLV].name := 'CLV'; //Clear Overflow Flag + PIC16InstName[i_CLV].AddAddressMode(aImplied_,$B8,1,2,''); + PIC16InstName[i_CMP].name := 'CMP'; //Compare Memory with Accumulator + PIC16InstName[i_CMP].AddAddressMode(aImmediat,$C9,2,2,''); + PIC16InstName[i_CMP].AddAddressMode(aZeroPage,$C5,2,3,''); + PIC16InstName[i_CMP].AddAddressMode(aZeroPagX,$D5,2,4,''); + PIC16InstName[i_CMP].AddAddressMode(aAbsolute,$CD,3,4,''); + PIC16InstName[i_CMP].AddAddressMode(aAbsolutX,$DD,3,4,'*'); + PIC16InstName[i_CMP].AddAddressMode(aAbsolutY,$D9,3,4,'*'); + PIC16InstName[i_CMP].AddAddressMode(aIdxIndir,$C1,2,6,''); + PIC16InstName[i_CMP].AddAddressMode(aIndirIdx,$D1,2,5,'*'); + PIC16InstName[i_CPX].name := 'CPX'; //Compare Memory and Index X + PIC16InstName[i_CPX].AddAddressMode(aImmediat,$E0,2,2,''); + PIC16InstName[i_CPX].AddAddressMode(aZeroPage,$E4,2,3,''); + PIC16InstName[i_CPX].AddAddressMode(aAbsolute,$EC,3,4,''); + PIC16InstName[i_CPY].name := 'CPY'; //Compare Memory and Index Y + PIC16InstName[i_CPY].AddAddressMode(aImmediat,$C0,2,2,''); + PIC16InstName[i_CPY].AddAddressMode(aZeroPage,$C4,2,3,''); + PIC16InstName[i_CPY].AddAddressMode(aAbsolute,$CC,3,4,''); + PIC16InstName[i_DEC].name := 'DEC'; //Decrement Memory by One + PIC16InstName[i_DEC].AddAddressMode(aZeroPage,$C6,2,5,''); + PIC16InstName[i_DEC].AddAddressMode(aZeroPagX,$D6,2,6,''); + PIC16InstName[i_DEC].AddAddressMode(aAbsolute,$CE,3,3,''); + PIC16InstName[i_DEC].AddAddressMode(aAbsolutX,$DE,3,7,''); + PIC16InstName[i_DEX].name := 'DEX'; //Decrement Index X by One + PIC16InstName[i_DEX].AddAddressMode(aImplied_,$CA,1,2,''); + PIC16InstName[i_DEY].name := 'DEY'; //Decrement Index Y by One + PIC16InstName[i_DEY].AddAddressMode(aImplied_,$88,1,2,''); + PIC16InstName[i_EOR].name := 'EOR'; //Exclusive-OR Memory with Accumulator + PIC16InstName[i_EOR].AddAddressMode(aImmediat,$49,2,2,''); + PIC16InstName[i_EOR].AddAddressMode(aZeroPage,$45,2,3,''); + PIC16InstName[i_EOR].AddAddressMode(aZeroPagX,$55,2,4,''); + PIC16InstName[i_EOR].AddAddressMode(aAbsolute,$4D,3,4,''); + PIC16InstName[i_EOR].AddAddressMode(aAbsolutX,$5D,3,4,'*'); + PIC16InstName[i_EOR].AddAddressMode(aAbsolutY,$59,3,4,'*'); + PIC16InstName[i_EOR].AddAddressMode(aIdxIndir,$41,2,6,''); + PIC16InstName[i_EOR].AddAddressMode(aIndirIdx,$51,2,5,'*'); + PIC16InstName[i_INC].name := 'INC'; //Increment Memory by One + PIC16InstName[i_INC].AddAddressMode(aZeroPage,$E6,2,5,''); + PIC16InstName[i_INC].AddAddressMode(aZeroPagX,$F6,2,6,''); + PIC16InstName[i_INC].AddAddressMode(aAbsolute,$EE,3,6,''); + PIC16InstName[i_INC].AddAddressMode(aAbsolutX,$FE,3,7,''); + PIC16InstName[i_INX].name := 'INX'; //Increment Index X by One + PIC16InstName[i_INX].AddAddressMode(aImplied_,$E8,1,2,''); + PIC16InstName[i_INY].name := 'INY'; //Increment Index Y by One + PIC16InstName[i_INY].AddAddressMode(aImplied_,$C8,1,2,''); + PIC16InstName[i_JMP].name := 'JMP'; //Jump to New Location + PIC16InstName[i_JMP].AddAddressMode(aAbsolute,$4C,3,3,''); + PIC16InstName[i_JMP].AddAddressMode(aIndirect,$6C,3,5,''); + PIC16InstName[i_JSR].name := 'JSR'; //Jump to New Location Saving Return Address + PIC16InstName[i_JSR].AddAddressMode(aAbsolute,$20,3,6,''); + PIC16InstName[i_LDA].name := 'LDA'; //Load Accumulator with Memory + PIC16InstName[i_LDA].AddAddressMode(aImmediat,$A9,2,2,''); + PIC16InstName[i_LDA].AddAddressMode(aZeroPage,$A5,2,3,''); + PIC16InstName[i_LDA].AddAddressMode(aZeroPagX,$B5,2,4,''); + PIC16InstName[i_LDA].AddAddressMode(aAbsolute,$AD,3,4,''); + PIC16InstName[i_LDA].AddAddressMode(aAbsolutX,$BD,3,4,'*'); + PIC16InstName[i_LDA].AddAddressMode(aAbsolutY,$B9,3,4,'*'); + PIC16InstName[i_LDA].AddAddressMode(aIdxIndir,$A1,2,6,''); + PIC16InstName[i_LDA].AddAddressMode(aIndirIdx,$B1,2,5,'*'); + PIC16InstName[i_LDX].name := 'LDX'; //Load Index X with Memory + PIC16InstName[i_LDX].AddAddressMode(aImmediat,$A2,2,2,''); + PIC16InstName[i_LDX].AddAddressMode(aZeroPage,$A6,2,3,''); + PIC16InstName[i_LDX].AddAddressMode(aZeroPagY,$B6,2,4,''); + PIC16InstName[i_LDX].AddAddressMode(aAbsolute,$AE,3,4,''); + PIC16InstName[i_LDX].AddAddressMode(aAbsolutY,$BE,3,4,'*'); + PIC16InstName[i_LDY].name := 'LDY'; //Load Index Y with Memory + PIC16InstName[i_LDY].AddAddressMode(aImmediat,$A0,2,2,''); + PIC16InstName[i_LDY].AddAddressMode(aZeroPage,$A4,2,3,''); + PIC16InstName[i_LDY].AddAddressMode(aZeroPagX,$B4,2,4,''); + PIC16InstName[i_LDY].AddAddressMode(aAbsolute,$AC,3,4,''); + PIC16InstName[i_LDY].AddAddressMode(aAbsolutX,$BC,3,4,'*'); + PIC16InstName[i_LSR].name := 'LSR'; //Shift One Bit Right (Memory orAccumulator) + PIC16InstName[i_LSR].AddAddressMode(aAcumulat,$4A,1,2,''); + PIC16InstName[i_LSR].AddAddressMode(aZeroPage,$46,2,5,''); + PIC16InstName[i_LSR].AddAddressMode(aZeroPagX,$56,2,6,''); + PIC16InstName[i_LSR].AddAddressMode(aAbsolute,$4E,3,6,''); + PIC16InstName[i_LSR].AddAddressMode(aAbsolutX,$5E,3,7,''); + PIC16InstName[i_NOP].name := 'NOP'; //No Operation + PIC16InstName[i_NOP].AddAddressMode(aImplied_,$EA,1,2,''); + PIC16InstName[i_ORA].name := 'ORA'; //OR Memory with Accumulator + PIC16InstName[i_ORA].AddAddressMode(aImmediat,$09,2,2,''); + PIC16InstName[i_ORA].AddAddressMode(aZeroPage,$05,2,3,''); + PIC16InstName[i_ORA].AddAddressMode(aZeroPagX,$15,2,4,''); + PIC16InstName[i_ORA].AddAddressMode(aAbsolute,$0D,3,4,''); + PIC16InstName[i_ORA].AddAddressMode(aAbsolutX,$1D,3,4,'*'); + PIC16InstName[i_ORA].AddAddressMode(aAbsolutY,$19,3,4,'*'); + PIC16InstName[i_ORA].AddAddressMode(aIdxIndir,$01,2,6,''); + PIC16InstName[i_ORA].AddAddressMode(aIndirIdx,$11,2,5,'*'); + PIC16InstName[i_PHA].name := 'PHA'; //Push Accumulator on Stack + PIC16InstName[i_PHA].AddAddressMode(aImplied_,$48,1,3,''); + PIC16InstName[i_PHP].name := 'PHP'; //Push Processor Status on Stack + PIC16InstName[i_PHP].AddAddressMode(aImplied_,$08,1,3,''); + PIC16InstName[i_PLA].name := 'PLA'; //Pull Accumulator from Stack + PIC16InstName[i_PLA].AddAddressMode(aImplied_,$68,1,4,''); + PIC16InstName[i_PLP].name := 'PLP'; //Pull Processor Status fromStack + PIC16InstName[i_PLP].AddAddressMode(aImplied_,$28,1,4,''); + PIC16InstName[i_ROL].name := 'ROL'; //Rotate One Bit Left (Memory orAccumulator) + PIC16InstName[i_ROL].AddAddressMode(aAcumulat,$2A,1,2,''); + PIC16InstName[i_ROL].AddAddressMode(aZeroPage,$26,2,5,''); + PIC16InstName[i_ROL].AddAddressMode(aZeroPagX,$36,2,6,''); + PIC16InstName[i_ROL].AddAddressMode(aAbsolute,$2E,3,6,''); + PIC16InstName[i_ROL].AddAddressMode(aAbsolutX,$3E,3,7,''); + PIC16InstName[i_ROR].name := 'ROR'; //Rotate One Bit Right (Memory or Accumulator) + PIC16InstName[i_ROR].AddAddressMode(aAcumulat,$6A,1,2,''); + PIC16InstName[i_ROR].AddAddressMode(aZeroPage,$66,2,5,''); + PIC16InstName[i_ROR].AddAddressMode(aZeroPagX,$76,2,6,''); + PIC16InstName[i_ROR].AddAddressMode(aAbsolute,$6E,3,6,''); + PIC16InstName[i_ROR].AddAddressMode(aAbsolutX,$7E,3,7,''); + PIC16InstName[i_RTI].name := 'RTI'; //Return from Interrupt + PIC16InstName[i_RTI].AddAddressMode(aImplied_,$40,1,6,''); + PIC16InstName[i_RTS].name := 'RTS'; //Return from Subroutine + PIC16InstName[i_RTS].AddAddressMode(aImplied_,$60,1,6,''); + PIC16InstName[i_SBC].name := 'SBC'; //Subtract Memory from Accumulator withBorrow + PIC16InstName[i_SBC].AddAddressMode(aImmediat,$E9,2,2,''); + PIC16InstName[i_SBC].AddAddressMode(aZeroPage,$E5,2,3,''); + PIC16InstName[i_SBC].AddAddressMode(aZeroPagX,$F5,2,4,''); + PIC16InstName[i_SBC].AddAddressMode(aAbsolute,$ED,3,4,''); + PIC16InstName[i_SBC].AddAddressMode(aAbsolutX,$FD,3,4,'*'); + PIC16InstName[i_SBC].AddAddressMode(aAbsolutY,$F9,3,4,'*'); + PIC16InstName[i_SBC].AddAddressMode(aIdxIndir,$E1,2,6,''); + PIC16InstName[i_SBC].AddAddressMode(aIndirIdx,$F1,2,5,'*'); + PIC16InstName[i_SEC].name := 'SEC'; //Set Carry Flag + PIC16InstName[i_SEC].AddAddressMode(aImplied_,$38,1,2,''); + PIC16InstName[i_SED].name := 'SED'; //Set Decimal Flag + PIC16InstName[i_SED].AddAddressMode(aImplied_,$F8,1,2,''); + PIC16InstName[i_SEI].name := 'SEI'; //Set Interrupt Disable Status + PIC16InstName[i_SEI].AddAddressMode(aImplied_,$78,1,2,''); + PIC16InstName[i_STA].name := 'STA'; //Store Accumulator in Memory + PIC16InstName[i_STA].AddAddressMode(aZeroPage,$85,2,3,''); + PIC16InstName[i_STA].AddAddressMode(aZeroPagX,$95,2,4,''); + PIC16InstName[i_STA].AddAddressMode(aAbsolute,$8D,3,4,''); + PIC16InstName[i_STA].AddAddressMode(aAbsolutX,$9D,3,5,''); + PIC16InstName[i_STA].AddAddressMode(aAbsolutY,$99,3,5,''); + PIC16InstName[i_STA].AddAddressMode(aIdxIndir,$81,2,6,''); + PIC16InstName[i_STA].AddAddressMode(aIndirIdx,$91,2,6,''); + PIC16InstName[i_STX].name := 'STX'; //Store Index X in Memory + PIC16InstName[i_STX].AddAddressMode(aZeroPage,$86,2,3,''); + PIC16InstName[i_STX].AddAddressMode(aZeroPagY,$96,2,4,''); + PIC16InstName[i_STX].AddAddressMode(aAbsolute,$8E,3,4,''); + PIC16InstName[i_STY].name := 'STY'; //Sore Index Y in Memory + PIC16InstName[i_STY].AddAddressMode(aZeroPage,$84,2,3,''); + PIC16InstName[i_STY].AddAddressMode(aZeroPagX,$94,2,4,''); + PIC16InstName[i_STY].AddAddressMode(aAbsolute,$8C,3,4,''); + PIC16InstName[i_TAX].name := 'TAX'; //Transfer Accumulator to IndexX + PIC16InstName[i_TAX].AddAddressMode(aImplied_,$AA,1,2,''); + PIC16InstName[i_TAY].name := 'TAY'; //Transfer Accumulator to IndexY + PIC16InstName[i_TAY].AddAddressMode(aImplied_,$A8,1,2,''); + PIC16InstName[i_TSX].name := 'TSX'; //Transfer Stack Pointer toIndex X + PIC16InstName[i_TSX].AddAddressMode(aImplied_,$BA,1,2,''); + PIC16InstName[i_TXA].name := 'TXA'; //Transfer Index X to Accumulator + PIC16InstName[i_TXA].AddAddressMode(aImplied_,$8A,1,2,''); + PIC16InstName[i_TXS].name := 'TXS'; //Transfer Index X to StackRegister + PIC16InstName[i_TXS].AddAddressMode(aImplied_,$9A,1,2,''); + PIC16InstName[i_TYA].name := 'TYA'; //Transfer Index Y to Accumulator + PIC16InstName[i_TYA].AddAddressMode(aImplied_,$98,1,2,''); + + PIC16InstName[i_Inval].name := 'Inval'; + + +end; +initialization + InitTables; +end.