1
0
mirror of https://github.com/dschmenk/PLASMA.git synced 2024-08-25 18:29:11 +00:00

Fix SEL tests

This commit is contained in:
David Schmenk 2018-03-28 11:51:04 -07:00
parent 5e049ebe8e
commit b72e592c9a

View File

@ -265,7 +265,7 @@ def compiler(defptr)#0
if opcode == 0
^codeptr = $98; codeptr++ // TYA -> LDA #$00
else
*codeptr = $A9+(opcode/2<<8) // LDA #(CN/2)
*codeptr = $A9+(opcode/2<<8) // LDA #(CN/2)
codeptr = codeptr + 2
fin
*codeptr = $C094+(VX<<8) // STY ESTKH,X
@ -279,7 +279,7 @@ def compiler(defptr)#0
*codeptr = $D095+(VX<<8) // STA ESTKL,X
codeptr = codeptr + 2
fin
VX-- // DEX
VX-- // DEX
codeptr=>0 = $FFA9 // LDA #$FF
codeptr=>2 = $C095+(VX<<8) // STA ESTKH,X
codeptr = codeptr + 4
@ -467,7 +467,7 @@ def compiler(defptr)#0
codeptr->0 = $38 // SEC
codeptr=>1 = $E9+(^(bytecode+i)<<8) // SBC #imm
codeptr=>3 = $02B0 // BCS +2
codeptr=>5 = $C0D6+(VX<<8) // INC ESTKH,X
codeptr=>5 = $C0D6+(VX<<8) // DEC ESTKH,X
codeptr = codeptr + 7
A_IS_TOSL = TOSL_DIRTY // STA ESTKL,X
break
@ -683,7 +683,7 @@ def compiler(defptr)#0
codeptr=>0 = $C0B4+(VX<<8) // LDY ESTKH,X
codeptr, VX = resolveX(codeptr + 2, VX + 1) // INX
repeat
dest = case + *(bytecode+case)
dest = *(bytecode+case)
//puts(" $"); puth(dest)
codeptr=>0 = $C9+(dest<<8) // CMP #imm
codeptr=>2 = $09D0 // BNE +9
@ -1684,7 +1684,7 @@ def compiler(defptr)#0
codeptr->12 = $18 // CLC
codeptr=>13 = $D075+(VX<<8) // ADC ESTKL,X
codeptr=>15 = $D095+(VX<<8) // STA ESTKL,X
codeptr->17 = $98 // TAY
codeptr->17 = $98 // TYA
codeptr=>18 = $C075+(VX<<8) // ADC ESTKLH,X
codeptr=>20 = $C095+(VX<<8) // STA ESTKLH,X
codeptr=>22 = $00A0 // LDY #$00
@ -1743,7 +1743,7 @@ def compiler(defptr)#0
is $FE // NOPed out earlier by SELect
break
otherwise
//putc('$'); puth(^(bytecode+i))
//puts("???: $"); puth(^(bytecode+i)); putln
wend
fin
//putln