From c23cb6d239a04cec17a6ab820b4ff974891828c2 Mon Sep 17 00:00:00 2001 From: David Schmenk Date: Thu, 7 Mar 2024 18:36:47 -0800 Subject: [PATCH] Clean up module loading and images --- images/apple/A1PLASMA.po | Bin 0 -> 143360 bytes images/apple/PLASMA-2.1-INST.po | Bin 143360 -> 143360 bytes images/apple/PLASMA-2.1.po | Bin 819200 -> 819200 bytes images/apple/PLASMA-2.11-UPD.po | Bin 143360 -> 143360 bytes images/apple/PLASMA2.2mg | Bin 819264 -> 819264 bytes images/apple/PLFORTH.PO | Bin 143360 -> 143360 bytes src/vmsrc/apple/a1cmd.pla | 11 ++++------- src/vmsrc/apple/cmd.pla | 10 ++++------ 8 files changed, 8 insertions(+), 13 deletions(-) create mode 100755 images/apple/A1PLASMA.po diff --git a/images/apple/A1PLASMA.po b/images/apple/A1PLASMA.po new file mode 100755 index 0000000000000000000000000000000000000000..62591cdb6738a386485563c949a33446c6d561c6 GIT binary patch literal 143360 zcmeEv4O~=J`v095hMD2*qM+kTc0)x##Zk+qv;q+va0CME#cFpfVMkMQ)9B^5B^cup z%h=FrD;4c5Nz1Sd4HXt`G=ruwtS=XN8w?9I0X1!W&&oUh@3}LBpysxp-~N98-|mLa zJmcBNU& z3M*0+E5`>`8iRTlR4hobN*r?6B9piv#UhkNhg8<4bO~kb=Y{lzXqSUwXPfBS6o;Fg z*;JdNPa>E3W=fx88dtEOU`bQKLQ>L5QqtDc-c&+TtI^L7O=0^q6r;IE7MY%rHZLuc z@%>e3_46v0=o43{De1Tg_ax5GJ=fW?ZNdB1Z%PdEf`r z*!px?X^meYeNnSeR#jDHYTw(qefLgN+dk9oeT|=**!}xH-PdYDN=0V0D8_GcRYRWL zJf%FenZ0~Wz+QZNvbG{A+TS6L7D?q%G5WhI=BHF7El)|&$IJEiRwU{ty*;_%B|G!6 ztanoHUA=c%MF2l8v}-Hk871_hz>WL~sS#O;nOSK`o+z$dILNw5S>r-^ZUhig zqdjD?5RfMoj{sS?0Azl_UfKMDh13K>Hoyzq6qJ-|2pS-x3B8aSNys$GbA6QD&ER%{ z6zbvTC8Msc!Y?Qh1yzwhDN)G7v4SAfC}H6|A14w@9;0N`$zUobY6{I)fdIaW4<`KI zfQ6L!f1{Y6%lJR40TJc*e^j!c#rogSz|Xz^e?!avx65$f`0xL=@IOoU-_n5Qw!!a?UFAf-$>^BFZO@N z-{60*-!H{ah%1G=M69S=cvSd<$R&J5{3o$hY!e?5uM;1aek+ZTrO4#6J7s$5M(F~{ zy^=R2TP62P9+6Z@tdfsp&9cvB3@uJNW}Su#0jlWR%vSVowpSce-sHg~9W#m;p}5(X zA~~iMkrbgAS2LwLPgFvkhf1{2b11fSefoa=vARZD)=%=8^YzEVTU@bqcG?f|-eV(L zW;GTXFGco6_E+?^qqOsOqf8I@Jge_h%_6Dn;r^{(Y3o>puWdFrqDksnNMS8?jVO$d z`^)43fkD9`bm*}EmL2_^`Cn55tbCUJY7@DQw~*Vs7Sa;VN5g&5MSOITCtC1T9qW)9 zF8UGlmXIWfTRHn;G@fR~1Tzj0HGJg}rA@?k45wW`ZVZq`YRHXdt#l zMMw#!%IvfHvzzU;XDa#9H{1SX8z`WT^+Ye&T>d~4J{Rm>;HtuE&onEtdq~dgL%u2% zd{x<8%i}iN(V0=W57PuRK{2Bx;K@wkuYi9kCNQpm*YWT=F_HNba3HKP_=!)H`VreSU$!xdz!xj1~b680tQRK>%^p9buIa}elnzZ;kriDQsTi~uzNz3 z0Ez3^lAf(!DMx|$3Zkjb&SOQuZZS~ zvir$&jArXS!@@%A_O+aGh1J=bPM=YZXlmNk=Za}!kN2KsdwHDeN%}bEk^WtM6@Bu^ ze*7o)TG3C8wW$dT=k1r6Iwp6%&&2n$N&>K|es)rSf8E~peeBJ3pS0Utlh~2o_)R3v zj&%9=*V)4NvB6DE%J-ogPwdPM{*itH2{&~*Mvx*?LDORi~v^A ziZfL2SzLG}xa3d?ncFqFQt(lek_QUW=)&Qu!r#r|S0;<(Q2+QvS!o%IQbU3~emKVC zWn<(E6BjZ`8HtH^PVh_2$hdQY#FHRQTOhnANI8AR%v*1pb-U`0__+!55|gBN$R%(z z!6hYG7@wd{o+nOUl$GFzizbzTndFj8E_2C6EuNp4l|U|;{#j{@($f<&B$-(m3F!~= zL41}!I3>huG-7g*suSbW7sh8S2qpj!X}~7WPn8Ws0|=Cns7Z{^O!Q#yi%-@_P=(CI zEE0gTUvlQ6`C0rmkz6%AtVtASCO(iQnU{Ru!nAoB`8Tpsc|pLR`ib00za#&SZj#RmZNvSCFXYaE zqgdAbPJTcAihK?IwcHYTMy?P1R&ERA}KuchzJXuarbU=R{yWwaR6KH(< zs0s7NCc9Qz*Jh{-sQc8gEufB7zu!{Vs;=ALk3Z1qq8PVZYG?`AxIdt{H9%)*3wZme zxy2Mfaz<%M%W5cN-G05aZo6LgKI;-+u$!nlyK9W1uBAlU!McK*T>jQGs=7w2t5?RJ z@36C{z*>u6-Jb9U*J{%B>OMJdGft`72QD_ftZuJfQum48|NTAS)_?(9QX*|)#l5m# z|BgNE>5c~Wq_%F)Q-r$VDbOnrAz2!pLZ)4^UH&F_-5!_lLIcTIXh$z2NM!v6Sx;zv zoy+WAMkVuOTff%V34aVn20KuBJ2j#5V3-$$vmQo$SsK&4UE6H_J*FHXR<`JmQuIez zT?+(j)cccKIP#*`HI+!M=P@9aNc(x+UrpCf2wfv8Yb8ul5bMtevb@3)DSNI~;>{7g z&auQOar~E6c_AaySd?Yw%aXc=wMf|EO>*nGS}B?Bq>yPd775x~DJ^PZFKtmQ z6J<;FQsaI!3O{=EldOaVk$|UhjcKZtG)2=cNv&jOpDVNpg8*bOc3-fw`*~)*EWFgX zIW^HFs+F{}ERBrqc2-sa@(O>HYW7oPOY{qv1RaXR-~I-DrB1*A>V$;w;j?z-TksW%{OjKC`*5Dq1otl zzU2cNd3083@WTin5D9W9t@iqh04Vuk}O0rm81!zVx}S`hcf0; zIaHWhBO^g!)6vRQfMSl!%s&dk6?duN9`JQ2t`dgj*rcy925H?jY)bJDkdJE2wt& z>(uA&rNS6St(izIC4z!2k$tIG`qhXp70uK56ZzJPmI_D5)kp~(vo22K?y@&IapukbnC&*4)eNa}CgG7nn;6-6z-Br8}f z@H8dhTp=#+QZHPRMG3R?ff~4*7mIVe)sl;H#2P8dk|Tanm?L~`W3GfmB(D~)l6o>p zeVODr(#3MHMLKVHynNv5DUi1vf?EE)ENfAaPtx>o$f;d^S+*L#;^!{Qpb}z$+Btct zeQs}3j*uiiiHk}E5{i&}>Ju$YPX_fWagI1jnsq#I{VL?7tBLIvLtk-d*&MN_#8r~i zFe)Y=ii)`h4FvK}f?+N>lEu+EluE=Gnp)?k7Dp4+NKn~FSBX`AIl?Dnm>luyte(Ie zaq*Km;HMHjNv?jYbHs}!gxG;DZ=bH&0;DiEmxXzZ>~Hi zGdD15QSP|(C@N>1r%I{A0A?}6(0vDr8h2~dB%%oL9H&j~aOcS78;Y}>^s3RhW2v06 zs|SS08CM*YT(IquY}GhOqY@V6hUJKJ!U}TH_z3P4<_dGfB;&B0aXCUFE0r@W>%q{R zVMtvS26+o|N8}89SDdTJk-j@(l_W=607(_AnB0hT$RD8+=SJj2Xj3n^b0Ug?3!`$F z;z!?=Mvcun8>-As^#hp_omnsCFR9k`v5h=uNLKb`S#*3eraqlZ2t6U-P}4(r)DYves*?G0fc5FNneW zMhjBQD?|{Qj0f5nCM6a6`up5cz|F(TJN}RMjsG#sgaC|pr1%+&MO%+wnF>T0+96-o znlO~Cfw`a)5ybW62GLTXX9k%s5JCs&0>Z3eL7M5LzvsrIkLD&o3%Q9vGsB=nGRNd3 zkS-qo+$B#Z&PjakqKxk&^U1&=p^y+6AV<&Cxnvk8V`9mu{0#xU1HF@e;d_~H3`oC) zTE0HuQPQi11t}3ArV>7i7A1iAx%B}gIknr3q!&`;6uO)o+2+M{V+X%0;ny< zWi@_eyoG|*sVCeNX;dVohEkDZh&)-%bXFn}BK_BV9?iof$m$QvnirHYhRSLWK))1| zu~wOWGoN&GxDtb#Xfk!yy^!j4-%EA7BWLkd!^jX$MMipI)QyyeE)zMEFKJtFEn{1=KKl$DU7HyYNs(Y_K|e#fm4UFi$`6XpJV?P8rbOV|3Yf{K>z3WY}n%9 ze-4!~k%|>Pu__yp^g98refkLkPNQP|*6N=kPW3H~n@N^p%0V%BD_S<5`OWKH&(JA;71tWHbtljBD{EW{BQh0o%l=v^y2U5ai71 zEzM>k08D#q<6Xu(c_gt472*FwaWm{CcuhSKs>F>Ud1c{ zz%a|!Fw=Q}CtDL00fUK%_F^7=GJDD6KC&4@$Y4y@C!0Bxj2PN=2%lK1%YO3dWoxpB zP!BaeKHJmTtCoVsBRPSCi2X%PkM@K!z2R-qK}#Vy#=HNRzo(^S{{J-{NR16^+u7Q- z+cDR>3<&Zr0^(P%UXjfRrVAf=Nc6}c>FYGh7T`%!-J1hkX$;7n$wh_ z!lItU(ic*ZQ9Lk@ik!>?v#H2wJTL>Rd>)9VB5&sbhCGnU!a=pe9Y?je^QaDYAskb7 zs>cmS1vPTi=rLn&8uuS#?>|@cDh(XBjfEp%VB{)@#CtJtJTY(TQjD^nlCA$l?JXzXGl# zAfe=k&3`acWM=4dPtcK%)61Wr?~BZ)UwDF6Ngnkwyc*UH!h7M!3~%W~0{r)yN1!L> zO^cjOfG`g(Hav6LuU2I*WgdNYZ8r0mcP6_NiM)mp#*XEuKqlwOCss1cR!v>8Y*jY1 zX6=($*WR*@EL}Y{jTJL@@x%FYc>9n`OqN5QRA43qVx^pwu_!SpT~5VHlHxU)i9_({)irNqgLQrD zPu29bIe)62ug&?BwR~;XpRD6+v;JfaUz_zu^(#mBixuA%qaxh({uR6XK&04@Z0$c*qd?Aw1zvmGt|IO1|_L=XV3{0?Y#51Gw2=ly6070d6aB ze**j_;LU(v0sJ!3pF;Q~aLa(p0sI)?#enYzoQ3o|5#A15EO3(nM*+SW@L0g3kWL}I zED@EQlZf+AOQ@1lfR6$`4ERflD1R5i9l(7A+y{W)2mBYnm4GXdUM!*VUjn=V_~(It z4)D`}R{?$;unsh7pwR$M20jk>y8zz_csk%%z>`5U3^XBt1A!L-k9A|oWk1N}2f6$p zm!BxV-H*!O4|pH&yMW&T*bevu!0!XD1x+bvUIqMn;ERC&E#Lyc{{{FNz)ykZ5zs6K zd_VB1z%Kx-27C|TIe_l~tnd?-g!_q0Xuuc5!jiLMamhDgQU2Frs^l2ry@(%1_$9*6 z5jqjJA#6do2Vpa4KLhQjfE&f){J#SJ5O5vfcK}xdHUoYOunBM(;6I3|{7v{7@iX98 zgx_zGUV!v`q`v_8zr=!)T)=ApuLk@$U>)FWz*@kM0A37u5#S8KX~?SqoD4V#_;|o~ z1D*|d7T}qHm4GJ$jsiRpFa!7|z+uQ6Di)RWh=e5vMdA{tNL12-a5uvDM1CdJz?%`@ zig+2qEeO{E|19vii2oY#*ugW8mrm*8$#&@Q(cL}JHR)j3VW`v(1 zY()48!VeMFA$$j6HNvg<{R!}!2wxWnOSTBaB_#q;$%}yV06z=(ae**jC!q4P@p}Yx z3y`Kp+TBQt0X#_{&YuAMEr7=X9s@cBeqs2*Rh}P&pA0`g{Dk;%a74M_q&i87^N$1W z1N;@>Lx9@=w@~5|D;!q8rz9mW;g^Tsv*=)Z8h11~=6O6<31IATWbqEIOj_h|WQnOy z9UXi2&7=J&cE4k97EQ7_Ql%Ix{|SG3-v2in%71Ra|3}U7y7;et#w>)m?p7>&lv(k_ zlBL;8Z=tYsjG2HDJ#q~8xeCw234Cm+fPXl_o8o z)xLCZGLQ15FG}Y#XApm&H+@mMFL7Sl{i#>+CMaKWR{UI&jsLrgnU{!o5YjxZCpemz zq{R5FMHz{icLF>0+CM^^rORd}rKKmPPURn?oWwky{VenJvK1>B?B15;JPQ)I3)f^5 zJUq{cGE7?h)Q3H#4iv3P%t~Yu)bSZtDi5lRL=>OuA-#(sr7%fpcntFX#vK(^ipslUlD{vUJK&6)nMSzNgoCG{ftK$$8>QX4R71 zWxraomN@g4tXj&fT(;)1EBd;1h`tu4-FF>r&H53VEA|3$Ro4;`MKWHa0mY0Tp%}0n z(A+VEhBw+P3}#-NI5_X^*Cx+RoS*EmO7Nb3ZF*wrJfGp*HY9Z(9)0y1j*npK5Q2n7 zSxhE~60(xhQkmq`tTZM;lbk*`Ek0u&9Uyl9vTmww^8OV$|7xgUvlF8UaExa zP+_=9%$S;hC#;!FJh7dY0WtWoj(OxEj}-HnIiwGfx)CYnrxD5dUNxTQn}qshWnj$m zs3$2Iy7M6Ti-s9 zO3_X1MTVlMylBUNvmOrsG34>-0;!qR%RG;rU+VSml~u?bJ?uC2REsZ*?X&evH6=1n z>!L(1aZ@S41pc~^zs@7qVoKy;Tu+Hg8(YYUIe}QDiGtd~Z}?BtcDXainbh`P8`E}Q z+tRjgsJlAeZFTMcq;*egckA)ikj@F64|YD@c}M4h&dJW3oHLxycG{hXouaO&uG_k% zw*984t+%z#Yt3wZv~@!(vp?PW3umFz>RjzKIZt$+>vXkA+Fxm}YQMRCPW#{5PqokL z`bqE59{;hw>PEW0k%oexktyr#CUO01v@DM~dAX22KPAgPbHczMMSczi>Iqib*|1Vh0zaD-0K^wB}F^A;vB z^HY;EGm{q%JO;>4$+K&=^Vj|Cj~Vt)#1DCO3oqvld9{nguYF4uuPy$=@1y_do7TrY zZ(9FqKY7#o_`sXizuMn>{3pL@J%l-40ArropLE=M%mWR)WpP~D=}R+;7!>GBksMbx zAmsw2dZSG_u4*K*r`%6q!aM@d zcF~fM`l@$!2avTr1Fts^ddJm^#S6Yq*@wLRTH9lyUZKe%zG&7bqrw&B0F1(v&M_CeRLn6N}oJX?(WL>DCS+X3|itqsC;F({V zuDNev?DQEbymfrv!s)aAbC*c});0I;|6B{O|C`FVr2Mv{i9Ktf?DQ!MZHI|uN8)xA zuLM}b0^sfWXqSko zj)j)Sa&Yy*f`bpXPwu$0 z!`ks_huAsV`CV7gfj7D;yFTq|@0xlb?!b}*xd)i`DXnu_f7wd4$=hbN&24kFX0$D7 zTiv#a%X#X8a_UpfuK4TEsVI6}vYt<}W&kpfOm^?eh z`U<+#B`l=Bxymo`{$Rhvu=-C1`6b@;`X%6rh_Gy?4S(S0pI5zp38Z@b5|Y7wiOQd{ zFKu>Y{k^T}_Wfj=+VKx>Q2(+1U-^^z|FZ6e?i1Y^2OmfOzpvx*jxKnfZg*z>Q~E#g ze{FQ`ac=JXymMsNF>MS6+l zh)C~WSSukE68#zMP1WRZ0QF|OhuGYzHb z?f0!i&q@1z>pyT#+BLiPKeggOH-7j2r&iw{9S6GcyLXa?-8%~OrQkrfpLg$`W3o1T z&)rHHI0Wl|a`)csIfci23RlHpeP6{9egDowMk$+6(YLe5dY3_HukFJC*K&rPP&p_P zRLGw8H6MGMiSi|>aNH8kUczZh{p<%g)GOJo$Udw;jM})fkLZt(gT6`*lOt3vV%7f- z9{EMKvna=RKo}Wz$-z?Z1LE21-Oz0UDF;ql1H-E_B6YCK<$5I!sMM3yD+$kbAn6L@!Tyx%`D6wh zE}oKy_-(FN`j5MA1`BdMs_V;i10N6BJp07=N_Tx~pPc^&PN5TBKRbndM=g@0;mDbd z|4~jMvLWLSp1a~Yg6&6L$2&w;X(r0I=(>>~X@Do;bVI@+EOnI?htw54>MiPu!zKYa z1@ExFr{V|}jz{!2I17)@Q*oF-Ht#28@Eo3ZxZ=<6fz9G_rzy-s;B_^=OAhVy z6gnD-V}~Rbe8nf51fD}Zi8^iv(dZ#WD8TQWrX@?bv-3D>6wH3h`GT=#}6=PO@>r0c-Rn?Z1?pz~}#qf&XtT$`jQ@w}8Ynbxi z^%@?&;x#O4Gv4rDLEB%QJDgQ*FSNhf_CcEs&#~w`=eAi})p)AqS8Z$C!krP$c;_R| zY0fmK)4A9Ax$_C93Qw>I4%~j=zYdt%Zf&2}ZfJi4&#)ABRCjLgT-o`2=S!Whckb&v z)On^;>dbG8Xr0`8PwS@Ew^}c?x?5eXer@F0nw#1tw#{g}t@W7moHMj*YL}>Me3!-f zzH?vufBc7_Zo>hpch3Ho>azb-VcQ!zPIlbVk=8N1GqLl{15%y-*fWanw==rY`ZF7j zezf6uIlJKkzfu`UG6~)j6yk#ceDX$-y?p z?Dop`hW1SzfBC2M|HJJ89s4^jcih&Y?TGHY?@IfdMDGdi{Jmg1;~M!RtxepEl=?7( zHOPt0_6N>j` z2mNkmkld4J6!TmKMJW}1URXp(b(>k;X1i{4i*9q{b_V%%gicKW&-G3o29giQdupl^ zdBX&6Sml!Ft9wT(J&{c2hpJcw*qHdd$PYgYdHVM0{ni zl5=i1IGm#>T#Hh!aPvYkiWd&K)ZT-t%bk1#sP~9oHxZsvz>wXaHQpJ2QPq-op++aUIx5pNa1z(R};16F!LU4 z?M1=s5CZY{P)#qm1uQ+OC~W`>evgvU2VPV7JL(OY&8U)jIHKUdp>;?;y%lP7uw zCUximlOY5??gyql_@EnTpkq$zW z#}A;ycl)+8>LyZGf_S&@3hYrMNk@gRpuvi7(<>M+m!^UG@~lDU;f~xxliuwc8uxJ= ziuG~5Qju%f4ow5!p@;#-185k^VdgCn8Kqv=VH`o6#CVw3sqQdS(t|{0s7ED#t<;tD zyzV)j9Y+6GVvLn<2?!0;?lCTC?yo$KHyI)&xV!?rHTk#z^Ge^~uA}dR7y?4Wa~aS- z!I6k=hky+-yWRY-Mkej(?0h6z|!R(EdqPq!KJ|J z$&%;>1z`omuaVk%AO&gzOCaMlxpAQHIm`g#8ZNKIWHb1i0%X$Uzbnv0EHO{Y2Pj(K zcSQqV9d!j)4$;7NW@{ftydM2wcu7>>6FIf$9D~(%Z6=<|qu=c`zEyDLPa|PYow=nV z!SH+pb7Er6OA*yY5lm#Xbv!&PZiCyl&}~z(Q2;D%8_QVM+nEO^S|t^M(F`6NQb*MM zE~2`aWI(dv+-2)>P}IEleUF5lYwnq1|6U5p+oRHj$`Gkc8bym`akT%b2V|>-vOIkt zXjRP3oOR@>-$$4!!}nt5_nhU-Ev)~`4b&m#=E;`lBMb!*2BnSpFw)`yGA7m-BC0p? zBHlx`jErlx`D=sNX8yt8XS2L$$N%!bnj(-iWm5zj620BA-X*JfIilJaacVQkWG3N%U2QUi^=f6Z1+??h25iZFoH>cTk3wT&cZP8LFYVR$E0K3I+heO3qviR?C zD4@!K9S#8=$O_znj~M5%G!doAVRzkX`EG|}ifbxz8w7U4B0CXSEDxr{dnhsMpOVT0 zhAg{*vb+3AuFI?+0-4aT#YRdWVb&NVwwjWN>R0%NL6U$Zow>#32aRhfte?o}7!>S5H!(4&2VU-o( zie*Aan6XnsNevho@Pf*?`iCP*&HT5pAB@<)bN9X-jcty7d*3mccI?}`%kf$Jem-v6 zxqtt@{X#8Xp3f7Ccon}Iu_NMW#Cs9nMj$Gnq)|d@yr4rALq$y#$Z^IaJ`bEnB~cX< z-2$owZz(MHhL5-fue-CU*E0l^4f*b+s7}gXKz%{oLMie7H%`~cbPMKoK2K2@;<=s6 zsJWd~V?t5wWKxHlMYL5;`|6YVpVGOiB))Q6Kaj^<9p=pPCv?y7(A+erwn{T#c~%Y;uP1XYwM5B4R%K@Jop7C?6V34Gs0_EKZ0ubYd}fAidC z`m8xhg}8RkWkUJjcMrN3YaVoa{-3Z}erLyjEk3>!NM=Hn>lSlfkHj^`9M^N44XGLT zeUHTzY-W3kSfNU57M6suH|Ya0!I-NsF)gH6_E;*#nimo1OEcpasL2B-`Zi1he&j}i zfeJKGQVSL6IK>7BQ-Sh5R3PiOhr%?YvI-Oiu^meYGDFLh`oM|+)iFPP0KRG@S7_E%OrRM6WIPZ@4G2>_aiyr~Iad`H^K7KLQ3cWJi{5lMpbn;n$I6 z>7)V6hc|4AEIU9z@Y6Q3?CzZ=hr|1g`wvYWwKer7?_cdUOLO~fOTE>HAmNUEY}bCr zXH9J;Pu?B-_TY4eo%^dzj=ei-s!g@^AHIhyjk|Z7c(PX0{++Em_jm04w1#Ng_g7AB z2-^_8fo|S7-DVCpY>PB+*M~B}(W^d)G=IiJ)`!s{COX{KEW`VeCjVOUoO4-}iL0ff zMGldQy^9e=E2JqEp=^+Tm`ZAy9tAqfj3`50B(pLq$~d-}@ZP&E6922WMfNxF53M%L zu$9iVH7l6LNxMQTLZkg%B28yx4G}_ha$BS;La}nX%`n~8ZwkUQuWCX*!=@{nVe2m} z-WK`wwn!ts3U@N%v3mnQV`u9;En=t8_MSP^=x(QqMxUjtMGx|(GIeIPprPk_-_ zdyFS)z~W3`b37}d+#mLmg-2?1cwj}SrO{k$&Q-x zK_ndpq(fv3a)^0bSs7*CsSnlMK8ct_3jXxNv=;Y1qAF-p#ri>==M-8IRUJufpbJ7R zI(sx{(2)l>XosKm$toyMA4V?WMk*gEay>?%VR}WAB71~>G~nU-QQ0H)W13wn zH~LLRqCqm5WG?fcj9yeDA*iyDLGVZdGl!yU{3iE^T)|924D)rgW=6C^t9dqtSrnsr zI~u8GYU@Z>U}Z^I6Z?H{_^y%c`K=>dQWF1kgz*x{K?6#x`e{9l550wID~9h3HwLg% zDu(S0Gm6*=+N~q8lNhcaj%&C+oMbPo9aa*yh5dF{_^#o*M$#^=iK-as3L#j0BB?jL z7g+dvMz~}=>eCVIMQyd;1Hwlqs7Lk-btxos0 zV(TcgTcv%Q-ii;MsXESlS>p@MK*|yf~HT$7==4W z>lFo~wCZS4r7k6MP)eNP$~IverB=hIc8;db38tS@X7;2m#u&*sYBb99zLq? zqqJ`cUi5!U_@Y#&)0yE#d;D6V{+Sq4X+1TqlyZ|N+RkH8ozuMG?((S{?&{xg59wNF zp*}&ygzmuJCentT&m`Na={Af#W-?4^|CxgPf8u3P*wvU4%f)qb%Nj(@^tV$#7O{7{ zon#JXW{OOr`u(C(aWHw`nlrSBwwQuTw~O#))8g$SlYf1Os5FA4S&(H(@yDW#jiTU2 zk)=`Ouvz5}3Fez(k!G%_ia9EjT2qT0`>bLa%}NX}+R1-V+C}Obn~3Zw0}XZ&bZirW zwz76k$=uqzOX3RI^JIr<6X}o|WtACzXUBi$6QSk{5xFkM8Xatq#fl}IeMmk(tU$62 zCq-tr=7Px5AWE^`gyQrG`gn^?gdsByV(RbGlSZDSzuPs2BqB-@5hsZt?N&3%!Myi%RAc(%*W@#T6Ab zh1AX^O+bGOQM@KI^y}r^SsYlhgM70%?$Mr1(%1wu7Ra zrKf}l}oyHK0CZ3Ng09$fp&jBCHdiNBq7NnLJ-JsP)X|Zy{kT|GS#dh-no|k5(`~Q>Sdy94I z46oXX|7hFzrcI}hQ!Eo3-T)B4j53tjEU((sQBD(89Aay#jU!W};@5G}H&@lh9bA2x z%>@qMw~W)rBcq9GFkW_zZHZ$aYgm2Rk?jhW(_z+|QldqS;7iT=FEk<>Be4NU!MDce zG9Q0IK(J!w_CTwfZPg(*yI_m-nbg;9x++VVO>KF@rY=%%sd>{@{YS*k*)%4bIf{|l z%mQd#%pCf{y36DzeWo_9bS}PH^X=P-8`ApwE9SWb{mk1xSf$KYUsy#^3N6V}kr3_D zCqVqF2E1)_lxx7-M!npMqa5CN(CX(pghmOIbJ;pon_`_(E^2te#*V-~H_kP>>;+q4 zZCu&&wxX26k~jsv(AX1zMfpTXkO{tctR&8L9&3Tqay$tF;<9x%g|;HmP-xrulC8MN z_R+@Q*(~S3WCL}EVw=ve5xHZ!P&Y zmmhQSOJkJfMFM^IrE#1^PoT?R8pABVB@oqPl%KlrCF^GvFoCx6?;4I?-b^8H&F^g3 zL{atoICYbqW-pSGhgBEZYF>i#Zl8r}w4C_T@U6dwwNccHJll%(wiN}o6&q}Z5SvbC z39+fPRoEX`?zLOooXZc*5^c9+*-ewMOHB8#kKjsKY|YLTMTf|&j*pDOWfH?hf6YBT z8~@BTI4{c$Z*kH0R5e&H69v~^hJwwEVJm0!WAMS$k-={f=x>LtK?D-P7MS%t2xT@c z+JPui&)ZURIc1_YR&yJv&RVtW0Y^Mm?;E*O8@Z-hwJgu^4l6cPb4_M<8P#d1C2B4Q7@<*j%4!Q5&PYn(AaV z%-3#(mifa`aExQ`wxn^$W6whL<^umNOVR%M#8| z!qroqVBgfwUQlSw3shPKuY*+5pVFZEzj5X`Lo+sLKUj~LD6p$?;ilv=VM!`H`wdiQ zNouqU!|pWpLO=UWlX+T6szS?~<3kRa^?vm+DrNcd<%acKrLK!|vhno+PA1`pn!TKB z(uM{2ifMAazzM&qWe=xM(W}udy1E2T7me|mF`hQdVOHh+EvMEM)easLAJyA(aiGU96;x>MEnVwpbY=iyu(R zK<2}KYpD7DDHWVj4oZeTW{x$EQ!_st)-{!+I_}4}Hf!GIP^Xeqh+4s!?^kJ&8x37u z#Q`Wu?QhzPray-$gbPh2%44dITE*u1Dy<`l{SMotIENSw8w9^B1Wr0<4Y2%F4Y8Nk!HkgRr|;)Xj9Au(p>A>XOQ+?gnA^ z<|H@{`ishh-DT9lejKuc`k_w)vHazYt_$o zT`o~KZAn(JXGx|Ijr53_ssdLJBQ3M-2=;SvrN88gLgT6m?f&eUA~pa6nbB7EE2#G( zsf-z005c1tz6m`Cwi;5YSXsqY!IVnVxaLCk0vYiPE78y548J7T(q)`o!d|ePyKH!z zvz)(dSi!-|{U~Qi;S6gz%L2~u6i1A{$8}rzxP~;YOx%s4l9dK=H~a~wFPDXNH=Mg% z#sGV|T(~itYtV3IlL(C^o-@RBLu1z44O*^v38zmgm``dJbt-`v(L9dX_LX&v=D8Cd zmDHqiyjJ2lLx|piXw z1eS5LC(S;Ry-@%4WkO~TVb7acv@Soo8Ggs9VE@16n3i6n)>6ow{4HmcvGksoIM+m0 zw)Z6re&%~sT0=|93 z$|W+-)0&gC%#uFW3M_Ke@F%crzbO<|O=>cvpa%N+2I%+T3F`#Q#BP*kiR?DK#940X zK7QO1)?H4iVvK@*Is09YpG#~`!4Om0t8pGJmp6>@J` z$K*l)e~b$38HILl5vOupf|)9^)yBW}CM%5Fn7lq~rkOI$EuDqt_zr`DA(?}qCILpE z{)S;)rSrLl(Osp9TzwK%n!*t~Dn!4czk-sAt2iyj8Vva=^tnn_LbAV1;$&d0e$6(e znxh4k#eX5Ti_w_sVgsuG!r={G#^|!9L((otcd{P?e-|0JPV1@+`DB0tIhN@2u}Mp^ z{DrH;cEWA()R=BRZ(bL%l{Jn29omFl2;uBu*);jxPhY&wLfDP|G$ z-?Cv{=)YydyDE~(Ms!uoFB{o~o?JG%%M#UHHl_>aLs%T7x;ExyRn#46A6cR?J4GT##L3( z{+0D&lDR0gm|O1q8D8N4#%|)6`6sMuODP9x*9cO-*_L7s42bBja?I~eSYxU|yNOiD zC>=$8UP^s#m5ibelu`%Gc|n^w!)ET(yF{e-$f9F01_N-!z3Adi=q?A?(M{~Pr#5k? zevjDaY#@oji#_Fp@u0T&72JCzy4@m=eVX(As#KOuux3zOMPLwP74@^eBjKtwxL@`}syIiyD$9Cb~ zS?Sm=RFova&R^x=u0yrQdE$mwx$4cF(vMU;fS|^9$qm0JHA7P=ESov{Jkx%{>VjEp z=Cp*Gr!karif2c68UDc4kLkhyw*@1velBcS4JD#aTK+&f_ZD<+%ZB63krP!qAdP|| ztv+c3nO<;Lf!&9nkYqD=OP|pvVwjkRbw2E_oUD2D2?P5hSm}DsBy1SwEQ#xHviq^; zA>Kk*k{I~@eic>%ThLYq!<}#$_BI!8Nfxjts@Fpk&S(zSY!dfh zt}rKjAJ3nx_jkJdv5pGVymQjDzLav31tgG$4P3p{86D@sxOB?8j4%uHGJAt(W*i4j zqiZ&B&Fr(_pAz&2$Nr)|(5X+V4{~bOoFuvPkh}Dhbuy^nB%O$2Q>149DBQt%>twUb z!Av=24FTthq|#t##r)C`r!iKGB!a(4h%0N=y~!rRRcbf&flEHP9)LANZrlc?roiyIbB%efO@d_$dX>i3R^TDoU3=@?l zDFm~C4|Xj;`_!s;C8M8TIBAW7FyPeGR4%L^<}7=jYj}+-9p=Q^!KJ83(Q8n2v>HieZ~#b%94ix~rkQJ%tNoU#UabVL&!g*vr-f|?`*dlJ0LgG~shm<4Kc zU=Z0nXGcwhgQdit#fCV!wc0g?_&DnK~F-oj{*R1n2h|SIiEU9%370d+02h z=u_n>W}5KD;$L;j8ez6+ET@oCt~Li>K?j)Ef#Dsz<_Gp&{!H07MyWYn#c!gJZh4Wc z%jc@Jq!(9}`a7|5j286-vBGFUsmvKIbop6+ z%ds^*Sp5TARW3m%ThHATi0oRDy_r6xPa@?Mkr;FCd+P+I_mE|it#phNrVXdjWV5?8 z%!&KwROxGEt-#Fs+BjBCbP?cmNtk_zL4AH?9kli7c-yw2Kf@PLm(; zH$YoO99D3K7rDwBR7`~SMIswi#6}f^iyH~-xGgdX?(_nGP|dM4>UR#yn|i)7xWl+5 zx`#})a7Jrte6}ytHW;73Ce>AQBW~ag>R~i1q)ytg*6IcBjN4jB6 z8K*76Q8o}M17bJVYD!YA(~DfAnsG=@#lqe+QVS7k(Yr8lf$PwR>C*@)<|#=95UdD! z3N?3}uGLKa6SvUBu{)bs`ISslUOip>8n;@|zp;#yDz;>&>Qiy2CJpbyrRY;pp)?4o z={-brH_fBv^s}gxk#T5L>{#aclNf+-J8?Z0gU*r^EJbK1#&F!($E~7nmr0Dx9N(;D zSI4(0_`x#ZD-gob;PEyPl)sa#!PavMG8DbWVKjQanJdfXijsvm%e9~FZ^-4kFat<@WJ;%t=9sX3>cx1 zH^MmPj&oQi3^K8cr_qg$l1fh?cI5AR6pt>Xus;+Ra0WdVqsOd)1TS_4F)y7phN+oZ zXLP78!J+>$JI`9bW=5T}_5mTw>XVZ&d z;@;*ahT2@4TB0d(jl)4jzP2V73%M7vg?x)2{4jkYX>pvJJGjLo&t9jUNh1@P(lL@N zEU$6C=4MjAi_$Xt&sq~m#}c6mfNv*Nm_Y=ugs2di7oA8>gbsnw!A@o!COJ(q-ya1S zTc(^cIagVkciI4#oJ9 zV#2+=k1Ggs0fyn;L&hM@f%6cnh9h3ev!3De%lffSr9Y|ZYF8@>yi1lg0(%!AP2SlB zl_*oA8?YD13T?orV8$j9?uhDz+@2JyH+L_97m4?G96SNP0rSRDQYnQKjuoiZ@EBK4 zk&K!-h9)e7Glu=T-p|S3Gr@9;_-=x*-b&-WB%?|8vCimqrDL7&eP98~`jG`F zwgV=C8r7F5bf}Y7=Uqi;&8es^8NRLOd5*Q-nWB&M!o%RkFG%?Qi++2-BreBDfgu5r zi{(Oe`h%~*lgldL%<`@fksR)9F0>1+=QXL{k%oHnZQjTDcFG3Q!MrORl5eDE_yDq; zYT%bUO{gb(j?o=McQ9-ti%CNr);)#~$gGfJc%NHU$62;%CFQA?SQQYD2tf9jPt)a;X z3vAiVc)cR7Oip?Xe8qDeaVmDP*+rZ*lz|`5V7aJ2uGq<8c*C|1c%>oUwa*r~v2?vKqc<_A0{!OXq{1iX?;GGUw}eCB#^2dQo;h6+isnK`5X(BMSJ0>fG`y)kz8<3h=D{qFq&^ct2vM{Id-A7>4&0sT81Gw!%mgszS;7pAa>cux1u*Hwo7Z=22Y)uY_R7-7VIeDi6z@d>rD9RaK9t68+#2R zu|eET+?iwH3UmnI9b|>Z9i;dGAiU1PueAKG1cwNajyTqdXAH+Wb(K0T`j>;jGdJW0 zOx*ut?@a)kEb_+x$&;gLxt^p23KR&Xgb)!TUKJ4m3l@weV1QCttyp5I2o-C=s@Nz+ z)D{~BMFqEufD|bfRum7UTuPB6v|O&DC~^s6JrEIT{-1f$77%rJ-{b%8{@lQ)^PPF- zdghtundAA+JjIQ)VS;`dztlj)tZB6RD2qrI>J!-|&MI`eV zbJx~NO(>294{oG1-l~-@>dEPaD{JRP%y?72Pbe7Bc5Q9V%2jLY@@}=gdb+%c%&vLq zn|S`vH79+^Yqc9~%TAa7Af3O#Rzs8XalrtY&gZ0~opo`eblZcc%d2FLwk%muyOF69 z!mO2HR$)IU%+iK1YI>Q>oDA4S225D;Ms1C0dN6Lu>)hYZiM<6Qdb8ZU)&JgwlGSL5=nytZOb)N_sYEM9QK+zE^+N{92- zaMk$LMO$iDO;}yDwKkpiOp&6-#^su@dhYnuOSdwK5RQ0Yh^UF_*w)(M*Rko3hv$-# zA7>;+MOiSoQYkK9`avx{!6UkI-KN+vDUXjCym;U0^5+}!Rs6+Z=HTeP^5#nR=C|?} ztKRm*>2e#kg25apNU^2=j2fGs&Ku+JQw%x=(`jXHPg@>Z2`;E!L1wFpYb7JZ94MbUTqfeVVhXU|mCoSD3m zUZ}q7m@|{ZaVO3*+IHy~;)a7bVtK79I~YZeRM^ChBGf{wdGFq*uMqkEhsRW`S-Woi zhWFmzSh?wg&0Dr^6T$6O3fc^cE)>%1g&{(xL_{e%onCJ+=yZw_QWV9!KlxO|kHM37 zo^*Zg`fU1VZyXCa_}BC!L^DMyxtc|OADe16D7wZ?Vp}zD(XyS|-j@IL%ntD#6Jq%~wA8=c zv8HNAy_zjLoHB}kv!CO-E|*-Dc_j-?mhUa`1SJP68z5ZSB~B&_SG0st`9aUJFyy_;2N|_ zDl+>dj(=fwnb=qKJ%58mC+g~(TYOSh#G5FWc~Ef^7O#|>@yy$rqSa;7SNG}qL2V)!)WkIwWmmYU+sLH~&sia3~o~is2}u^v_K0qS8!@;J`gK z3U^@9>Y@>7pe2`jl1mNAr9UN?_D!aU%65m6%XYE6bz|tZpU}Z58@{@rfA~f$8?oA5 zy}Iz()rHTmPA__PbrHsC{Rg2JLVIlQ?pigY;>#~>!CmF{5@S@i;niq0v2A&zrWBfN zOAKa~M;`1ymbt0md;QB&tJBe6r*~bLRb4cq8r_oYg5I_lcG>>6!(4i}C>xh8Bdd!> zR-2<%JyyY+tyPa#IBKFCY*kV~%s-jYEi#o2ueLSb?g%zRg`=RlEW6tE1L12%aqP}P zH0w1xOZpU!tzOvMHoLmG_13Y~>i4Ccw`NrbdzPhDCr6D{wZp-tOD0rrPOGkICS7Mo z*{XMB5^eFuvhmehMpOsiU(jz*vDJ2_x_nis2qqS#65*=p73nsyv-o)FMZvGI7FBTe z&Q*mK?vuf&q6yWuPTOtY>{!Jaq*a$qsGd8r+IH#o68&u3<7{(rDkZI&w;L0yw~(lt zj>nzVq+E1&b>deOt81<-j~Ey_o>pCK99z3Jt$NFy)y4Xlb zWvr^})h8+09rAcnN2OPuGXU`}?Jj<>3Ja@zkJR#>Qig;0{h(GHU zv5cMLVRuN0v_&R2BMZ_|G( z6#aLKqW@l}=uhYs{SQK?KdI>Sr)Wif((Cl6g* zawOQ=wT+9*?rM*3FNJxQO~EtACsZ?$mR-#lFL*q?o3F7;^4O>`mp#+@X%x`;<#$f>ZC?$!o_!1KX+I4jpM4PtNRxPn}_{=5^UTM0R!a3 z^v5F$i_&%!-Ls?Uu^mNocN8t(u^?%F|DsoS6qfHuJmM|aO)q+ON8xPb5mL_HQF!-` z65I5eE4BCr?hJn45Z||TQW@{Q7t^EYx%3!$Ud8v3hFA6PxiNUWWU+jy$=8y!1Ah|R z-yL4{CsD=VKDo=!C5z8>)m3R9RXV1*ad3(B?XCKgBHcAdLUs8W*I;9AVXbgJiIwv# zCBp(q>D<5Ew&@Fdqm)-zVXN7ZoVmBKg3*U#It81&Kx)Dbsx)_ra4ybFPYgc+RK5Gt zon@lBpeMRjPgb-oZ zk;3vz-j^3Ct}Yu{-6eZ*O*7laJ8Gip+l=6mbXp&V|M?ZG9K*z{k&d-wdJTg&P#+f{XIgIFgd7YsF(Ylg_i1e6Wt zwV0dAVw9gsw-u$XW_8#5KU?|x-p_J9$~2Sq`hN=wVJK36vov3+X;Jiz)m>;=Xxb-& z2D}{JqV*tnw?&d5*On4xS*Mjfbt}qikD`aX^^LM{J5#ub+bN0f%5>2bDCNh+!Hu#uW00p}L#F#4i=+G} zmH-wctXgN~3e}w93Z+NpyKBxYh?J9#1x-p@j1>jEv|QGtz|5-wiVO9$vC#zy3nIHT ziydpU-F({i8fU#C-(@U)m1|56$sPi^`=PYNJ=R+Gm9^-EwMay#E0tC2t4ov(J6J_l zqI|faNUWoydzwRpZFVrk6fno|ZnHhDL&_EgzJg{S>sWT!H+ zvrRZ%T4EFH=C!2qX%+oAwO-bBbZqv?I(~rZo1nVz09AdTuDq^JA1L}-7Q+UvNqo2} zw;8J}rV!7s%7^i@@u0OlqwJ`)=m%@TXVleGR#N}TYFlb8zcE}}zml~TXI%lBmTYbG z#e%PmHPl&~C85T3YQg?4wFUdY?W})pUOE(1K2tO0fNV-xV+F^k>PHKXP}Pg>H!eK% zc;bO?X@n@t73nUO3UI%1L677Tr>(Cwv!I9VB`aAZf3{NV`XjQs2MwjqasN;d+-oPS z=~Wwc?5x`QR!Q}NV+ZzsU-Gl8>2H;+JA9~Q*Vo6eQu*I1S^L@kqoP@xJs-w4)oOoS zUG1mV*DrFtzHh(JU1gaSMa8?jY%9u?yIiY&&QoI^VHykq)Pp6AP18*GEcjOKIhYlTSzj5FV{eQjD`H$E8gBK~(=80BfPxlMF4H($3|Io8OYo8|39ked|&OKB41>6hg zLT6PC;!$O2PvzjstvmkO4cVSZY??H`I+SB@5s<2#W=d75 z&)kJRk*KB*Z7H1Ha}-Yp;k+25wQyd95iRu1#Nkk__%;+0heA$Uj*_7eB#t|!q_G&M zciOPc|1p%KcR3U4Gkv{tN|GUKh1ya7=n|w_ZYKk)^$K+GI zS6X~ZV&_~X!;}&yYqvZyBq2&TYYjPK{pq{{mG`388mTe2=y-8W&$P4XpitF%kFLO5tPWNes8(#%i_a};NHheFeV zo&V=0>QKD>tpx5&6a!}D4)6^~SrPK}lOfZRyY|r`Ou1Ypo${^>%m2Jf)T~R?^d)5c z5|uXV;y&)qQcPEpmNb<@qLPj`=g>ZGld$DoDt(^~=Du9muhg8rQW5NLsI*bTmqv=r zx7A)vTK97|lBdcMf@EH)W=>M4PR6)WO^MP13NhSuHe3q6@!H?&v59W#u<^Hz9yi98 zGr=|?d(8NrHlheegg_Jc70ut37NKm1{#FsVMrR0Q>y*G0cnktMbKp7L{-TQvEYl?g zR^zq_`xc!(up9Glm?;%$zZ3EjBTcAqm;NMBuJ@6@f3Ey%26k(YP_nY)0 z5UKCrZ;adwT0kp^gZ2>5@lN{A{;tSZz*X3L>Fxe&k^8{_7zl%LABw*b$Z5!bLe4v0MEdS4+ z08IyZ|+RZ8q`37O6TW!iWiHQ6N zhhdSyVO(T%m=>8F5sM-`Yz5#k28|w5kf%g2LYVW-A~HWxMCC_`M){2#=0#>lE&^f)d+2z3kmmF~# zT?MXm`F(~iwL620me~u;8~GFY+V*AU{w}rv4viH9YdRh3?+Tdbe{ncnWLkpG{Nz#e zKSi37suRwZVw`+N%>OBrBU~a)muirWvZt}onq!}sA-lUN--Se)A=PXUY3911;RwQi zjf$r^&9X8tf-c0OGg`->F5s60G-iqlnP}Hk`GQHNHi}ey;x(I?oFKa1eA=hH#_(D@ zqNU<9;&kL1oUyqkpO|bDKEvb=q&7JoFUyq~lRL_wcUZKn;ekFG zr>5w-UUj-IQkQp>CG6C_!KrJX29fhKiOiuDF8S2?L;o^-cVzyN3t*JRWxq)E&SgZF zN~LZkGF=s}U$58)WyQ`)5tlvudWuNAe@cqz8hU8vsMJP!6P*E(6X8>G%sxYIr1KGn ziHeoh=vNLsTz^O>GGj!PLwIktx-#TlsOWHLfkPi_n&OO;HB;U+&R8U^yWngkC3&xg z@66x6XQUrz>-un75ZP_6b6RegArC3bP12M(46i!?w=|1HJRl7{o@?INqEbY6Sa-X}V*gKD1_o!42l?~KmtqIw1i`#$w-BwwjA zxXvPj$5~|Xc#90~u*l%uEHe0Imb1Yd3B@el4ONJHL-cs|@ytI2vgf0t*AoZv;DBy$ z8PFmrqu>sB7*@eX_y{7c_=7%hGvvafFbn3u>kt)9y8?Y+9;}9)(ZWwd7l;-nKaX;M zOK1gcpe?kA4#1<<-w8TH7ySPq^Z_HitS0olGIUY&%%c2n>O`O#lehSRgSdTzT&oj) z6bbxBdPpObMuCZthn@EjfhRBnn6ninFb`gaC9n*3!r$Oi_yP{YS8xK(0Ez>$r{#~K z7u1s8kOTR0tJa2N@r;8qw7V;~dmgz?O~HB1t1S+j0qWs=JNpTE~XT(@Sk zTa6NIAE`-=#FhL7Xr;m{HKgZ}T$HiPQ{}Z0|IXesVZ(l0^gVR5B=alq( z28BL_0cQ7p?xr*t_TKWr@1hyN!hDU^^f3L&yeEDVQEg)Y`Ny~hLjj86>>MD?b>UvmGefP zk92hTOrA=e!=nXqIXphur*b)p!?oX0?0v)HjuO~4cb`d{1-eDxQSs(l+(S6jPGq%U z)4K4kV(-@$ z&n0Ar8;Hn|`%-`H_uel;D3C#$I#K0YetV$rR| zIpO4Fj_qtHnL7XLb4TJbRO7!B>KEtai^{q}&FZOUSqaIOeN9u|2oX}{?1@ntT)k_q>21?WD4bEsqccNI^Nd`x z&zy2atxua}PTN;ExzRy->S&ag}6*{X@`!fS(mAWd6)gd-<67eO8xQr2s~Xy<`h*6+e76rk+|vtU+7sT zld?TT0Hx0ov&ei%bzakl3MqYRQ+0%Y!;-&56-1#VEj5cQmyFR(J?xM)<{panww$pO z#@p_?_nsWv=rOk2eo-0286bohWyoqw`E(V1@_MSWXWmm)<{)wmu`9h-q?9j1SP-Tp zswv%=qqn6@u-%q>+t_j1)ZkrX?xL34d=nnqM+pM`Og-M=Q`1?{ouRC=E!P#w)AY1#^z*BxHrdk z@kFiehVwxV5ag>uPLNA7gDT;Pmu@lxUt2P-QOW-WUhtXneJv#<&p?do)_&MMx81>A ze9?7-JtJ+sZW?->d=K0EB3rMd{x^AhYXz#^4h%w$G5ENG!9*^+0bg6_eA1cs=%NF+ z>SFv;=*$h`@&5NQPwJxmXbT7QO1wV`Ggff~?20|mLooz;!HrC>4r6-tPfE1^ zc8=er5D(^b{6C5LSNyDmw-vqrUF>U_dS$xRA4J~66z*QkeM)@b2>b+X=-At!qh9Z~ z(=m7I+XYLey_l1YP5t*{E+SkR zepbLrcpKKhdUzi;;b#kR?L__*4!~jf22Q|Fa0YZH;WwB>Aj0JEMtv-x@Jp%Cfd)Nfd9jHaQ{x!TP;hvHqvD0XJ zL|Q+{XX5Q)x8_OpJy6^D2|2bgcV*{<`=VNpnAmtzU`sQ1QwPv_)V%m6+&ex)YuL{5 zk~&-GFl%;~$eHdimz!m?OrIN>NHWYB)2HT`9cIULnL*Qd#l473YHYY(YL${C z(q`8UE3{sA%J(u^{{M5siM(#V7$(fr;-E*|Q8bJz!b6EiRfbK4vddgQe9%S&e+$ut zlwHdq^8Q)UQuhAqo*G&g`T;o_?&FRV_C(nWC5JAgx?2p1drLZNf3?mksa-*5m2|F9 zQ_4b~HlkZheIFF{L|dBEE48DNFaFk=m3G)r|_i!3& z!9aI43YyR@Rq3+EBBMp%?}Xi%fW_|mtN zHV@nDJ3c8SEb*5n4f9Q!{@miXH}5?jDif{(Q<=_H5Han5eA&Nk<&h5qfYCdTQW|p~&L`A`bibBMS9hDw+LhQX&uB=&WS5#NJ)X}rn z#ooK!b@Qw>oWVM_z-Y3-#PI@Caqoi>!JaZDvpgj;r?g|%dh_H;PkD5~p%v=}v0T99 zGA~|m$R_$MJaEtyR1QY`uztmQ*WR6Li$8Syux`aV*Q-0%6u-h5a1P}c4O&#*auo|b zniUX4*QLgIh;I%A?0gl1Emu|Pyv#0L1NQWKuQi(2KXB8hoqA60q{)BeGU(Fm7gdF7 zK~=$(s>0{Smf887n0AwdYrDJ`>*{+I_5D7+=;6wtzHg_#k1IGNtz%}bS#U^h__Dw> zHiF7NkjmcwyvklxaM(O~(4tDmJnnm)7ixRLOJbdu*g2S#I3lC85w>l0U zI(TToA=gXP{6EWjziHE^6}x9ut=Khd`-OfRoH}Q#SU^o-b}N0?AjjuD13{o zsOr)TpWFGnozD)PsG3zZYpoQv&)P1d*~!5j=SNdTG_yEK*QYDi%AnH9X}W?kY4hZw z`znfVt|)6&f#CWPlVx{l6-ARP9O)u8UPo8LCwet|INd(IPEenJCRbB^)bxz#YAN1> z*0gx-MUML-kvY7=m5EADrU$$zVN9MZqeZ%3smZ-Gxsz48JFQ)X&fdmqB~MKC zd3pDr54+(jFvp;C5F`9|^M0S9fIpS~!fo^y?&Tf%1IP=Z6qew&T_5HD23fubKMl2@ zV=76$13&lOcPvv&T@1RwaD$=#-E_m$*ChPr!GnM{-33!%PvZ6z%!1kQ0=x)+f%)(< zEQT^Dhrhz>@Fu(ib<<(~4aghe1K0}Nfwd|A-S9Wq3;W<8dHvF0Wjf{TD|4wsS@&|h z;gvXoRFbrc_3u{&H*fQrXRAmXH&>>Z*GXxYltNNkyV+~L!h*1)a&v!kf;B9$iOf** z+tNZ8$Zb9-rCpo*nXiaOScfX+P_tKxTehw5Z_bxe^~P-@%)2#d_ZpA+D=Dq7TC;oY z&H-j~j10AH^R}U8F5Z8B|K$>Rti9C#e8G|=Ox?rp|K-AuaMx8N2((}R+1}uPIV-;r z&^#=~JJ9R?#geSw@cg^Z`M-NqIjZZW#Gu1@VU6=>c1IKDIH9xn$~9nRNh}6!S`#}P{$_2 z9!wWad1Qs3%T9HW+bnqadlH$+lIyL^!i3E!Z7ykRiY-ps`bb+lY*Hb?=^2EEyR&`x z)qf;UcyEa%hs9T4sWax?A;yQ-+x>pI{6Bs4zWX0|aLPmM#`Vb5f@zOVf9&xm3X5hu zSseI(`lQb}xvcNhq% z|BZu(j_e>?YVVlJ0rmso?;5N7byaRT2xQkoAowYl(1P@;QNTPggRa7vlw9-x8zo9UoIC zdkxW8HTQ}0KMmyymy)+%6?v#~=AFho0Vm-U7%gZi-SYL!$)8DWWAuH|Su(q&o>H%U z&V328_27;C0P0iW z;+FwffRmw5tn-fgRM@-JnCfjND|Lwc`=#+jV10dh^D$$3?htcfhCRbr-g1n(sr5#C0! zTq#NBa3aV(e+EW#Mc&=7PUPGQ34_tA8THQDjjNdvA=TD!>Uv1uhg&qmpuO@4I}BLT zOkPBW<`(Ia@6AUkc?aJaJ@UxV!vDbhnS2aLD_VTWg*U0d2E?V+FLwYi8v|uS6j|Tb7o0?b2n5I$+z6hUTxl)*+sn$oPHbwuCEAH&&G0-2#L6@-5nSr+)b52BtIYvs6IcB-o z#X$Ni4Wo0TDE$5E0Jl-Q@zf<9xzn=rmSpX@m!-1|S}JcvggO_!MfN0Ey6=%%U$}FJ zN*n!&{2XIOgu5RrV-sEF5x%HwO)&eS3d2&QFDj8$;E{#nBCSboRI76$Gt7nK%ve~u zpJUF5D9nlQOyq5sySI$Q_V=PdL;GwLG8<-v2rdyBk?yYNL_j>hngbaklGOJG@|aBN`-tZ@|qf{ooBD9QC`!?a%0^!HEP#1(ib7GsgcVyf4*#{Jf7c6 zCW4j9|3H*=5xylOWEBR3aO$274YJHLYF30LTRS~>d%+33dr}=eUX1RVpZ|FJhF>z>v6a11FanrRp(&Izm=-Swe|X8Lp!eL4d7dPo}CbupKV*H5T<5f&0n zpL|BmtgTPJw@o$z`f$F`Uj1B6X)Njvdo0rK%tu|eKEI!w2IfMQ%C)WFV*HhqdGYoSrcC!E$?ejTJj{;5MqS{NH=Kl4rwp?Fdsk-}ovUydC2#r6!eDjJ#nP0W)$s^SsyRy-SUE@! z=`;^T+8c&MozHKsdjJGQZT|hPwNA@|-M#omLag zQT#$>2<|O=gjEJFybr8-GqM642sXy!J{_LK-}S_3g&yc{Eo*y#4oRa_ zlQ8AT6>K@Ws2n;axq9crEM23+jp{!wGOGHf)JS2*Pc(iU=3E6G4)i&kn>24ObV}$N znt3}ZM?pH_eW11X^7igiA@9ic<3z7~_s1eb41HWU{W-!D6waqHDuwe|jJ3k~JjUC? zISu1A;hc+6CY&s1^(++5=@@?&&RH0D#1f!cB9qs2ABC2R%22u3 z99kw8gqDk^Lw^;ogkBT5p%r3r=yk%qA*O{^ik#4!qA>Ka7!k@4z4PUYKOrXH$Mp5h z6`8FnawE)5q#*Kmn;3YTa*!5e(jbP?pVzvi^}a@tj#_Q#y|I!=Kq zqFpvp9VeWH324^Jq&}nNnk~l*^;y{)lI|qkUpPOaI2Qa^822{W0ugS#>S8g&rj%)5Xrv46!s+ELM`C4?{1CQZnVNkexRz z69Z&XhGV0PB;*z)L853YoLewj2uI`7ZN*@W zu-|9P3#p!V!v08mDKr=QeEd&mOOpz5EM)K50oPFs2ePgZx!$g#!Y6puk#~jGG>MYd zV*F5tB75yaWv`vL9$H_2{+FTLp)Q{nf8pEufb8OTlK1a7=L|A6xo(gt<+?$p$U7j% zklOf+Cd)3H3;MEhxh4jdT!kke&p^#tvoKcCS;lJvHO5^ASw^b7P`Kt1B;4%2-jX$^ z!aFtI`*yqxMaND|6+E)09FSumpLqR%`r>FgzWPRe_kcQ)i~V4{VEy3Qo^`ZsT+VR z6X!E-mAfrX(Hh-ky07xVC>vb<8!ZE5*M+m?R5kn5aMRmc_dtF2y|1*Uha#VU2Kl5t zpe~^Z52&weW5ogPRBdRev&cdqb2O4NKRV0QaNe{l$mOoPg5gmphnI^NC(6ss<5$Z! z<3$Rrw~oR;o1l`KT3_zo+@U_NZ)nLQV*GGf5W`2Oj~lXt$K?>`jRV|S!l4hl7G?~g zNHT^bDmhH#uyT=_6+32#3yr4+3$P^FIpZcwFI_ zs9-#nwNdk1!zb2fZoWU1%VLLDS~J)kQJ=Y+a)}*z^4&@HhvNA$j zZycU7qjJhArn9{e<~EpmlC7q;;TFZs7=HlsS;Wm4M_x3l74=DkFImIX4Q_Itp#IEl zX$qAb-MaY;m>V`%uIh0j-u>ulL$o!Af=1wpQ~$%VRv!iN$Sc}FzVy80}q{Y6&WzoZV8 z87}nh>Eu>)^by>j*XKDs$Ag{gFu*;V%YjUbr(HFfi`P%9g<8#UP?g9&hvjU}IC+-x zc=?dJa&F0cTZc~bD(hT^D9jPe=Hv|F{2FR};foWA;GF2gao5Fl&Lx&-oH0bZVobDV zc<7&YlXXQYX`_u_!ikf)vsd{@h|Zyl=)d&vf;3n2h*AR2sQ0=9zvm|+GOF1|S z^;s(Sb#qSbvy`r$a6Z+Ct}54BUg#0>-N4???s>A*a^x^qo;LeX49;P14+gEy!Bk@h z(--vX(xqq$`IH*!k&(i|M>R_%CCVxycdwsp8tu zQ{qmx>UudurRt?Hxt1}E+qiW&g~GZN>d%a}k{ajfNkMuiG3mz1XUj9?^#5M|weuHQ zA$7PMMN;Z1t7|ii?sl@_)*g$@19DfG!u3hk5f43C*@jA)`Oy)y&CED8kv-5B_9%b+?NW%T3wDX{PEU}fANHQk1D^|#sPw9pPafG3Xo!dU z=h-W~ojRTCT^kDaRBoxA`K$iRWQAt>adPyL7|kg17oESe+95K%ALt&gsXQaB}=jyHUxx&NEr~1|}MEZ^*b# zZtgfRv158p5=KILPJiDGI^Cr$xiBYLamHjMPo2lsb;+6%%p&%_OLm20o4+!YGXS0V>oWTJ2Alb1nWfW-hRz_!^FOyvR?c2GvsJkx^wS(pKeYJ z+Ve)<5Z`rWLdH8Mnp_j?xD```y>M61Rr9<_4z;YG_25lxK@@ezpX0c9&qXc+Tf-}Vvf=3`nh0@Y zsIRyobe(uH)L--s4G?eFUN1U^lEq&_DPlv&Bc2Qm5wC`Zik`gwT3$Os3=i>acFJqW ze_5|%nb2of;=x0EYC9|9RCkP?6}$5y9vHI3mEHz9?l@%3#A`C1W!X^3$A}lq1+0ugQb;Fm}yix zwie0*@Cv*Qt=bS5%z-bVdz?^ifl}B5&Dsj30M@~^?SxVWE!zv_au^AZzoWuoX(+JHpj% zg%bD~`JAm#0*wrodQ~u1Oj@)pP}-ql)dBfp)U7UstKeGb2mN6v42Lwh1xAAp*mcl< z7u*A^nDn25T2NRa*a$4p9y&o6=mCkWFnrQz4LoOTA9&f=-p{ULfj2PMa%=-^gB`FN z{sy1G=fFzDz}H5_|0AZs)ZQP-%D-r60ddd~?9c@+hD%r#cp0k-uSLEN1^|1C`G>#= zj-{L02gX7+Ji^MsX(k&h5+eh%k>^4QVHeS5U)B#uFSf zMJNGFMEgK|gdxx=!WM8uL3Ez;_L z7W+I{1}ouxj&0%CZjK$m{uR`~_rOQ-{2^!+#WjP@&>gOVUN9U+!mThG#=uw@2NU2P zm<$g>0XzXSU>3}U5||H*U)qi`I)f$!l*sD+3|!XE`qpetMk zz2I874tSaF9|FT+6pVpPxDzJB1CS3>VLB8-F+2^=z;iGcN}v?VU^%RSx1a*n!~5_7 zY=dgp4IjZL@ELpohu|oD2S311a0ZNxg+CG+LkzTp)?kBI$ewYe{@FYA1vtcfagSO|-u43@)6cpKh@^{^SX zK^5$T58*TT0uIAb_zq6O8K7bG>%jz(&={hj1;oK%7zwvP2HXKzkPVaJewYG&mFaX$= z+&>)dfVgrH*z}W zJLad=3ZTFnkT)!U^~heufYjP_>SNCJ+s6 zpaUd8H@E~YgG9I*dPCnB5x73a>K}ycg*5mRq{Cg14fjAU+z(S=Dip$#@Ml;-9Ph?h z1K$x(2)nU4`G6)64YAMxxlQgeYhNR)~cTkO1A_61W_C!ZmOm3~0fIy)CT%VaPt@dyw;x9|S*4 zgU6r{is5N^4(31!yaWs36<7+d!5hT0ig+rJH^3&Sf?e=2d=3ZT82kvLCC?a$Xek0s zT3Y=v$SuJJ9dS#<4FwPXVBi&lKNaYE`bTqYEXT$nPk?)1GTaYSpa7nSxljW0;ji!p zybWt%160ChsDhoa2O7sxhR_mP$BMv3v6jFUu~z@p*n0z=eg6<+?xg!~D74u|0=9Eb1WB>W5^Xx@tU z1}=sktwi98R#ty6Ofu+R% zdMjPvUF5Z}0V-h&RKthxDI9>K@C}@VGoWux8v@OsIkbTe&>5U?30w`=LOn0K&%z7vXP5^Ip%lts8N2~+K?Q7t4`3^7hYw*N z9D*<5ID7*q;U_o)q77RUf(k96HMD~c&>6bI)onzeZyQ};Ao7jCJ3qe{MnD?J(m9ri zJPsznJx~P)Id;5F`@o4dhQN<)^nuzoEZL1~A7~V33s_)8oFOnKP6_0}bGR>nC2`FH z<#Bp{MVttH7-#i=6-RwRZq?S{k83Lewzka!j<)*1#clQe%dro`{btNt+8P44w~g>; zBH!7zxqnhy`dRQ0_8Hh`B0mQ&!6GP!74SANe)Cttr*Ig)hLi9UoB^dBWdu#Z0xh5w zv;`a3p$A+EJ>eSY0|OwX9lMUSYwy1Y`96369)%)cM=$?e*n^+F?Uca2cKX2ncDBHG z?F@k*Fi*8J2Yzm+_lNKk(Ov|i+Uxu=?YU0Kt=qQ^v~AzcZ^PaZoNzJjuJ+OX%P_BO zZ}9iTtvB?=o`m1)kq5yL@WKeV1xAAp#=!*0h5O(EcnBVb0`~g+C$^5fNsW*ziO<ZerSjx2AnjVt=zj1kB4!&sB)&>UWPXdQ-6Y zVq@^f`Cs5BbVv)ocz)r5hIJPu_By{8_z;@Dw$qj@U$)!iMEr~gEUNW+-qOl9ty7*- z-2+6{Q>a+$P=i-8E$Te^!5b8`(dlAF#coy6KlbVEL)o`?qEvWS@V7|&;EidrRV8TN zP^nI4OEW5yXQ@(MUE3;nh{&9!&cH=Rv0P*`k;#O&y$8`Tff+V^BH`;0B1%S@L$*(3 z&Q$3vV0&$*nm&1^O1kgNR7ZPvNj67dk(v^fKgh>;#Wj+m~ z#~WonQQNmo^hxo5_bUGD$NtpJS^xT({9nwWtP1fHukI*#R>Bg{UJ5OUIWZTzUW+2o~RrM$dnKz3>gxA1Dyl;47CuvTdr-|3b@vJ@Eo6{#bkDdk zj)!E0=g!DJCmSOB??lF4#n^S`~^zkRag$M1Di|x z-+>C)02?6)dtg5tfkb9R2Z9?|bL&TW!+$f}3hX`Sp9Fa@1*Ss%1|G%8aubi|U=EbP zBH)wO{&!$4RKjLpUpl#ohkrM)H=X};H~>fB7<>yS;1uYXDQyf^Xb!DF&dMgB?sjh5 z_}*F;aqiseHP2EJE4Nm9&8MVPyOtCd)GMma+PDO z*3ud3-23Dhe{oE%KG%ZR2Yv6npaw;G;BpnUq!vm{4ohrujn4JjC$D7OiX);OO?D<8 zTBJrPrmzQg&5CH36Itg^hK^$OS6wt#rD@og)M#iyVCOn5I_b@NVH{@XHfh8fy-x>dik6(cV##- zmdMCeLMZI=HG#phH!@zhlSEg+$xc%pda{^nG%0*Dpr`y!KngoO8#PgD$5~i{5(Ytd zf7OHKV-fRrYaiZ2LNi+i6JgKue~|8i9ELw+Qg;Rau*Ng9pL_m=KkV5H5veoLsSVdD zHjcGlA$y_uY)4_rVNGJLA$%m)#EKi|My(cd!)2H?f7v}U%xRJH*Mw_SWNM_&9KOBL z&q38W97Lhw${;KXrOL@$R6ZkQqBN~qY6&(Gma-L@W{$zRxjvyD(!X*34w-_pzq~hD zz^T)o5IK6h$;iWx1-XhYkGnWtzSosbrqrf-^SL))Zj{JvjQX`HoFQLhR{EIqGJ)Ul z`oVc#8=w8kiM*9_qnzR6q*bT$?K7k`ZivyUZz>bdjc~5ZVR=wa6hRvKly(Uw(Hf<1 zC8vqVZ7OnAXN8uE%&|394X2SC;b@#2Y0klaPGiC~IX7Ihb3&+;sP;UQMOy#dT+>i0 za!hW3=)ihOp zo@Id+;W6#|DlYB&D#NtztAr!JPy4<~mKOO2YhFjye%dONxKT^u9r>vi`$y4v7peBz zXqns%7bK^BiDd>_+}Fx4v0O*z7QHFC0j4qyieLdOg>4`=NoEC&5>3a~1@b#}^2;nY z!+kInPQn=&NT--3s>(!o6*j;gI1PH#80>H(41>qv9oPUL!52^qCe#~vEuh>0!(alu z3P(YzJ}_;s&=Zkgaq&mPKk*e8|3&z}7`nqHa49?Q-6k6OGcX^-%*ULA`DczTMqY(n zfw@sw{U2Z+zU`L&SUH3>Ec|1G-({Othx95@N4tuX)e;4~2%U9nMvY*o%E1?1zJJ1dhSi@GZ#Ano*TFyKBzbkI2-IZ2c@`vj4x=8X?|jnE(4{cYOILzw%eN|KHh7`+l)qAG`Z4 za1F7S8@eie`heW(Z&KY>e-px6{be?6_1CO!tG@*iV@1I)_djE^ze-1WhqGJ# zwU~dX+%$x3*NV;Z6NgCJX@|E)(>DIw#s+8~X#4)nWB0Qc!<(APllqly*&OQaav!!^ z>b7MY9^RI1@T~B*Y)!-4vejkmU#24dA7y0Di#+20z`u)sxiR1QyYgv!@Ua8m5p4&) z{dF7gy-5{ZA#aV}+knsUKeYj$<5xG}E1SK#OGRaVFz$DE;$tH|xeFhI>*t>tFM6>b z#jotbcSpl6eBFOz7rwKb?EN3_#HXEzwjH1SZA+fVD*ryKZH{-JwjmxL?qCzVMVefu z$%izV4fk-5*EYxNtZk0>jwY|M(DB<#r_4l$>o%AK=zu5-(bc${4h~!51x_m#zd}dB z1((7VbRKSIE4=%W^PvEy!xQi%NIlCJKz?3s9>~sz)UkXQ=!E#!!~3uawm=Z7VHbP| zAH%2cIUIm`-OJ<1a{ImS;Uvh;%NYhDzuc;>ZiBkXYTf>HFC%E%(;Y;RyVG^B)NM}p zc39B%rt4*`+nVkU1i3Ta8<^pZ>GmRN`_h@B>$au4O$u^Xx=9H2o6DkB(J@BX(oBR7707vuA6imoUe~$M=qp7wzKX<$v52MTw7-c7E+dZ$)XRD81`U zMfBoukN94Kg9M8gac2386E^uHT)Z{P6lFy@So`%B@mDyV(qDYM-PuF>#}XfopLi9` zE_ZK~iOX=LA1CAKt;K7W>1h5nBSy13oma^CVr14e6R)DTO*I>2K27r1TcA;GR&7G` zcF7-hd8TKt*F*K9mJE}eF)=ZkQ%p>6{>2D$uc$ajJ2qgm6K@ZfFhoTeWIknD@<(C{ zNeB|Lk+d*7puVV7Pc!C!?tfWEe}w!0Ki6NDz#sSd|DWrB;q?!vsFWq<1 zEX`ZBtv3}@R8wn<*6r#|eMF;X(JkAwuQ%(%)tmL<>dpFa^=5szdb2)Uy;&cw-mDK- zZ`OyaH~kM!onBm05vu+9$C`Z={zo2t^3SV7+@QmTIUjl`KY#Jgor~G1Ks-v}i?eV5mY@)414F;wTk0-6{)lU7>Wbz8Tj)mB?IY0K@vgHp2`Ji2$=w#=i;4%3d6|LgO7XNCdk{Ox}) zf9QL@&;5L!`}#be=YiiZU-96vn*Pl(^P~60YziFO(qrAqxW>SOw#8~e%Yt=<6Jz#S zs3a5H8@0(?j6yuQ&Ai{SW`ogZQ(*d<9ib;}c%kO`wgdT_0`D!@aiCY=0$#vrSvmf? zwtY{|ce2slwtG)w+kw;8Y&3do3JhJd)7;vAYVUyon*tv#*m# z=R0cmH0|BJrBV(U1dj>y76g~Lmu97%(uQ3`^gSo1=(S4pyNC2%MtVy8{sSX zT#vlI^NoC+;*i)5MdXS0*YUGyFOxxdoinm|j-%At>vBa*h>*IU{hCn%lJxfu8_Cy=t<}%rlz*m?SZ(ab*<|I(W&ZyMBORc z<~AO6S;wO;A)@!LX`AyVnHe_Nv!-p~`77gBSW;_aR;W~7n{mn~Mhxs5-wY&3x(4kH zrYw}mrkG6|-&`5n;Apa=nExK;At(R;Ns5ag$jm;IoH!xUBxrw_oQ{M}Z0B98HZ$J` z?Wx_fW=E&2TGO_QMDS{lW6h3*n-=WYwLS2f)VjiN5kix$0b&2IYyY7}zf5JHzjT%T zeEzbxGzMrn`qiAa=GMk_yqptg-q_eua+2dfOsWbThz>-hs=t1+BVhexLkaIA>}or3 zmiGQ#yasO3gs7=bSmAs&Zjv%Jg|{aE*D2gQLS+>xXuPTEv-uqPKS>rTXpT&n{kpn; ztvmOwl(2hubm>}ml?&0H_IU)_SCz#RC>%Hh+rNhou9!OOqS;jrguYe9XZl<*@@$riv^Oc8g zpX+(dU6@(&n49N%*s^yUk3HrtA}CBke!7~QPxWj{ZyAl4?-F5AF-GSMR6~y&Q(n%? zq!TCduJ|?OJZa8*J*hLxW?ZkI>*0BxbWhEb9&d^vkMTU=uG#7F?lNj#@QC@}wRv=s zm^+iiJRwQUPfZf{XKC}%+I*}w|B*JoR-0FA^Pgz*`?UG*wfRbI{*X3#U7Nh6P4*>u zpLEx}pX6jGn@QTuWbNi+?dCG= zrck>nOZL8;R5Mq*yhFQOpk4k#yIhj&z0X~g*H=XHtrUGc`r%yj=elYm=+Bn|o3u758cL zRXWVII?N|^nCrEhziKzTwVRK$n=iB*OAm?H*2DXNyJnR~!e8U@{@z{lM}0E1*5iG^ zQ*%ZSN%ciNyc3dZrsC35Q=v`jv#^qY1G;9O= zK|4r5VuBZ(g=B>bW87Nef)Bk&U8n&+1+%cb89Sse_?y53UnY#E<|)GD8yR0YpMWU(g>60E56_a55MQP5~pp8DJ#%9vBVAf(c+E zKxmHtLNFOz45ot1z!l(1Pz-(uO2IXt99#>k!1dq;a1)pfYQbD^JD3L=zyk0K@JsM( zuo&D2?gz`ja_|SR8Z?7Pz@NYqU>(>9o(5aMvmgL=fak$W;8pM%_#4;__JX&;d*B1` zF*pQ11Ahk!$rDk)4q`z(NCX}rk}i6IKA;~s0U&zYeUBU=73wlZJ-{^2R{dQfxE#X z@Eah~H=4jw@Owaqr=@YM;rmCh7Ca7Gzy|OX*bKIUZD2dt1zrTNfWLs(!JA+Y*bm+X zBE{n)@Co=71f_X&u>Y|6A&+K-Z}jO~dmGPiJn3d<#5@yxz@wFcmp1OmcRUf&?ASim z@r7vCJ-1QR!;?_IxNW z&MwXklctXRg}spj7VW8%vkSj}?!^TK;m}^g*)^sv(pk>L-0@RFj!44mDq7uSzLnL< z*@e01oj-|NY3ARN-Go+asyjI=7!h(J-y?5Hq({>>X-xjuY!N_b#(ZS2ic$w;*SB*i z@P5*y^CoL!`8o2oa;hJiOofq=PP*{oDINKtjvS;EHtRFzPGx$pzW?*7k)-DKF!%px z&RaL18+-vS9L@hH#rKTM4b^3danh^<)@4y&w#Hz2(P>>VLa!@Wczkm|frWZOG1Z9H zGy2N#jK5dpjDNP_bF6eR+kZ!9`vt~SPjq?-RkqBTOJy$bAZ9SZHJ2rVGs`Gvm0-Q4 z%!S%h!Ro}B<>o;jKCW`sCr*8((wUWm9At$q$9fMU5y}joyzhoSbFEPkQYVXA8x_rx zhohWXm=w**iARa+%;Cf=-tefhQhW&eMP+C%cUCE%8a^XlUcj&lgTAa?gcb=wya+JR z{zl9W`o!#5{#&{Fp*779AGjDbBh%;Pl1jA2Yz9`{ z47lHc6i#Uu1QV>`e5v6GS&yFT=;W9+x_;Hlp7T4N#qwFxOSmI&NqR|It$6knagnY| zAjhd0F+Q6RXU)odB&H&yP8PK`GMkAt3lo}25)N^lS!~xLLuoDY=8x&nXOq~lLNBg^ z`X%?YSH|d@BHAYc;)9;G%v}jgWX4+y98(=6HTawr0%d!sOL8xz;RREa6G{>9QIVok zLn)FJM3R7YClW-zL@Ihg8;f{MJAJT#5Cu^qIs@%98E#S}+z^*d-N?mCQ; zxoX(iB-1p}x4A-okd%y4$SLA0#K_kuep8%ON1+A#jo+xp?-Y=JE-hJ z)0Y#H84SJ<`NNtVxi;prWhEBQ;TI_m>>*{jL{q`7Ff47O61f9+ys_3+l#@=8no4mW zREeLU5`U&j{6;D7PYub4DvRnj12L%FXwRXamTx}7twd3=bPta_h^Y2%UDNvqT`zrC z-0=#YL?r>m1r_;sB`tYd?0gn%v`F2~p^2Z)ac>RA&3h;iuqd;P;lqhBcPq4K0>I{;k;Uv1By7js7#spoSRhi46m-84agd zT@4cuHd@4YruEc@8^IFPE5UYaV#AB*-vM8M_^8B&l&Di1`tu#eHw%mbd0-~E3Dkil zQOElqgNgMi0Ml#3UWAFhk6M^pU<#Oy-PN|i4L`9R z@BcaaUjyESZrFr7dE@z2@FDuc=m&CBWhg)}sDCVwTREYdIM<@S72F1X3myc21dju5 z$22?}?eXtI{UVT?FHvm$*un826^Jm2|CxQbzmD%6 z_J005`8J|o$oE(1@8PkW&~=%yFe-|Adn<22?-*|+cHEl@E~bs+HJbr%PaFM2B@0$# zkf>O&8YhZk!?wUntelO(qA^$0#z~?!SJXy&$PsIB1iNS-ydiB24++pYRqY6D-@LPR z!?tGw@_O}Px2fN_E6*{^W2(2et{dl=7S!xm_xyOrNl8Ih+t*#{xGSjH^&G3>OC4Fs zVY?Szr_YY6w|xueCuZR4lWKF$)Z~u+}z0x zN!6xp5zazp?Lo738^<}u_6QQzn&T)8se{jJ(^&rR@0iGe`?dV1H12=B=?U?F*jOQI z9*|jZ7|gOL>ny8^niVxzE#({@3*Kd%=;b^Xg)X;)(O`r&X6&-XO1=K$S2t>!E{ zP;Uv;TLbk`fqGk@J~~iu57fs5Q(W%|WbL!n#|HRu%1@m9#LG{D{J7*Nk^H#j%?A!l z-m+NDiMeg*sy$9`jQM}Mqt@OOGd;F8W^v3a`>)k#4#YeE9uu|v%MN7sJ2<*{IM zbd3m_Y%w0XU(VWTT?)Zs96d6}v+(X|w0=~U=lQ(7MWUE5`mXfBth#>a=8Y$La8yK# zAj9OVjzm@Ue1_lDng*nzbvhEoptM0`?1v6Ft5imQ3sTUSxmy<&SkbJRZ*U+w-{3%W zzQGCHWAlw70>UKZr>i-0%ZWzKZw)UJ+3q*IR~j|T3^CcEOp|AS#Ix#?wXt3-ox&iTio6w?wX&uy*5uxo!jg5)ZF3r8lIXv z-QFIannt&`ucv0A4u@Yt{*~MNuDj+QxA!A=%@ViwQw|;7-gbA*gKlrMr{*EIH_lV@ z2xq+>&Uw8(JvHm)l2#h?-pQa2`~s{1&meYcFgL)@0@>UGFXEzB6>7ObUJn{DTY%Xr z)DMF{fhPdB$o;Kg6A+P3&x4nMh;{la5V1~s!Q0?H@B#Q390H$#zXLWW{ZYUUVr9Mx zL;!zC^4d)H*lu6DL3M@{_Q)aIx&|I&lzZSmw@&pMz0{ zk0xiso=r`0@)IvV2@QK9tyk^oHJwNaX*#jWhiK(Pyk^MSzPW{<#l=FgUufEfBZ*qI z=We^2YaGsWYg+8k5+k%Y=EOERvv%8SolSAOV`}4?;&(f0lN&AF7^P}fxx_o;=6gBM$Jli?yOjm8}+)%#!f2maeL4p41 z@hAR+sQt&2y6M1>tYzv0vz2_Z*b?YRou+ZntXvDT4hCxN3lGv{HU;dpF|;cCoc&BP z7X)AtZCkLe$sQdO?TC&I#MXv-j3#GvTy%VN!hwTL2}a*#{$A7f*Y01ayN`vtrIqfM zR=S&-y7+`p6`ec(;OT+WTTX8~eO+^)l_t7727XaT^Sa%!^Hbn13+%STUxsO8i0zXQ$+J$JmHBPMu(vv!Vs^(hId;c4#qLh{ zM}s0vzjr1YR>XP#fPnDB%ge)ZB zmHQm?V5rHDNy^#VKDejPF-OeIvxrPWApmPZuc(>;I`X&O5TAif$YwhZy$4*)?Y?Bo&^kGTa;RBxgmL^1iK=C*{)jYQc|n zh%L0vlXDU^F87^wvDngKQKu~?VBure-8MDFlJP3%6m=DZR3)c72qLDk5EE*7&^yIv z6EVeQ!sY2Pcnb+3%vh-^N8Ys-pKZ0HFxO}C+4+t-GWj>k=g307V%`*s&mo3&g)nLQ z9Ca9t#;6Y7P)v(vSH){XH1UOJi}(2Qy#AdWIJJXRVsLVByV_Y;ndEcURXMeZoXc9v zoMNuSiU_8|zRFpgG_NwLI4O5)d)|7L_oNy&K;6)urmEY$YHIsnUd&Ec#qAj?r+uip zs67j_kt)A^l*(&A8?zj~xqS0fcKc*Co!89L+NY}2_5zjJeg*FW7OTPJVOo2Mif=Df zj`kUdgsWEYVVQ7O10mszBsZ(9X@hDc1y8)0K4Vb zJ*eJ1vQk%qWBBLEb?Y~5Y<=qKO`Eqov-R2MwjFa9VqQG5TJ1ctMm=`qVf6?sJ)4fK zRU6^iS$^aRwe*PDU^us>aZbp+<%n*IR+?hzn^CMZE0a^J3@ylzCRUg}HEk%O)RDoL z5#U^{t|EP^^dq#Sx*`;|iu4xgPeejrOqJD6^Bi|Pt;tb1!^6>L20aK|5D4#caRi%; ziA!ZP27H{bS!v!@iK#NV*fZ)#rN?Jm>nO?@z-b-dhan~27hhE6B!GBYscGPp?uNs? zwT_BnPkQQvoNJU0HA#o+GJZKb9JJ3h54LZE^SSiJVMBy7W-Ms&b#hqj$>l-Dg<8rW zomyV9N?%s&u`Y@X%vzb8cR}A`&*a!XUm4#O%ZMtYF%*NGK9WaOR`DO#x0`N@+{vx2*iaZZSa^Q{80m=lUj9uF#;^rqLI&@F+wO1hA z+nPnEXImRDWfdpH#4~u4UeQwA9Ez?bcdc0oy5RGE*hk8)u1I=S>3+X5vFXcv@mHt? zlI5L}Eap1%AcdgqX7c0vggR;mJ@8is>@$j!(mIuiN6I8AvP9B*NtK-bSE-Uxqs$`B zimLD#xwxh{v!bO^5_=v&Q7&20LJgHPWBTh|NydUwqAKQ1KTebRmGn_A>1ijyqVx5Y zi^~jORwtlI+DT-hq?)|hJ2Iaj%(*hDEI#9}PslL(HPu}wGxkf$#F`ZwDivKZbeq+I zOSVYf#0o(~!#r+f5~FRrS#pzm`&@OjCHavoI=6Rma&8Z5YADymFO8YBep$9z*hzXf453#4mLPbkIurY+6zWFTX|N=x^Kc>q1DQ&Yc`Ci0!b^AM>~YKNaNqc`N;^qr&@XrCq0JD+jPJLVa~sTORdr`>0~o%_sDqJ(e%;O?u7<( zc<;zW9Yy+EU4zeaC+S}78_*Y;V-^UZ=FliZI%Bt4;hy$BHz2Iz}uy(GC*m4mzfjp*~9z z_n6zvRLQ7sFrVUm%k;&ar%)NIm_6wl#@sxq^O(_*?w|u^&N8}8_?EFAr(>;)oa7WI zRq0pHH-(DIq$47kbVYNedX;ONP9ykJhFOKb(FB#QuH>7}(@s$7D}UkRfvI4&Ks5Z^-Ul=NJ>lchikn}2BGq_IC_0O zKOe`$E<9|~Bs$s$?LxN?nnXwYph1W)jxwjG_qjS}PXnr--oHj8J;^G;$<7cZjMG zce0$3%E^3(A4hO5AU(nAgrnl>z8ZFp?uN8sQUFBvjq2Trs$=jZ@##WJSCSY`^3oE% zq+XVZub9Y(Vs8vNpgY9GX|6tY#&;i{xd6N_G!2tB8&XTzbYvfu z_quTHiosb}qep0l;&7>z5Lfr@DPq2t&ayzN!ol#gkLWt$Cc2KwOmqjssfvw^TQA00 zb%*1AhnFF~YO5r24<1Ky~F2#nXibamMad`Z{r#6@$xg?Fd!Ea0#97>^`bw zV#+u@lr!v*^^&3~&j{B|nK^b;5NdiyF3`bsR8D%ij_5nBo+Lw_iKSobX1@RBlWnQA zq<0tlZPEc#Ev30hiR$*&WkHf*$ciA|Tn2E*DL9Qyl(uMur?H8V(^$7TD?8=uD9d&0 zrF&?yk(Xj_6m;GTlqH{8I-1&pL25OXR+&k zzh!x5e%JE6GN!wn>b_$nua>4=LV0%A0Z3O_p0Tf+99$X9!RJHGCbR<;&cR#1d^3q^ z9o)hFNA|^$`P{KX{S9lS&g<_|D-T8=o!6ZPf^XJ#QfSjMhgklPSlWksys1K5^B zSTCeSBX}k^gs;yMsWfk6~&_!NOn)!dWUQ z2y671%HSEy-w*V$_t&4g98HkUPtM?05FPvZy6Q1a)A>mi)cF}_=4V1=ezLjPL9Stv z>xs!>bsO@{Q)X95CsyTZ{sXy;MTMgMaT20$`u9~`UoceIz1XZ^`Tg}~nzItn4 zjvDfIt~%-MJhk-g$;$RlzRGy_5>@(cfqL@YX)5}?E7VnQ6{?fpD}sf!SgH4Ys^a|; z_1^oXYXAE))O82S)SCy&Rmlexs`!IS_2~yy>fi^}s_MfURq^2s>g5k_RL_4nOBH@J zTP^=+j!ORc7FGB0Ts8OO+ti$o>(tNp%v0~|nXmrz@ttbe!3Ap8!MoHA2N$a9gTGY8 zA1_jcAK$~3`^D<|PwrKThnA>ShwfJ^4lPwX4nLr_9bT@^`0PP->Srs}*3VX}O`ok% zH+=rEs{Z^DmH5S4_2d_ishYn(p?V%UqSng^EE5qqf6dNGQRz8wRWM-@<_Vv*=FKQr zC9LLwPj2(y3Q|h{76t!=n&z!AYW^0Lo>mPbMq#x_4NX9f!r0X5Wmh8fsW^dy8`!U> zSv_2=%K{aKYB*IndHO28VYV_F=BeZSunsgVQ|^WlFc9AX!|xxsptdWzPs1pClK%)Y zP-Zz*!w1ej{*&Wi3I^rz$h!frfwjCI@h(qu-O8oA8srBBz+(uH&FYODmfou2ss4TZ z&+~HoU%(sSV1HZ33+zEgo#3kuawqKBb=xm6;?1jK&#&8@gY5gTq?Ir~Pw0Jg`HnaG z9K$>f-zMoJdxrRbtS5d;{vYA;XLU(kTF!M*ldJqk+qNA$cRl~Yi!Z(W%Bz3=Z%p6UrAZj&zLdKMh8uU}UXsb< zGe~_cUk}q!**Jjphg}4g)Uf)@OO}~c;S3kE*yB~Pfkcz^Y}wMZ!bqbkC8}Z9 z6TTI1k4t!a;Hm2zqOdoV7rU&BWRfeSFeRxp^7bSZyCyezx^tY`+2!MM5Y=@Hz{rK_ zk`UDy8(6JY6uaQOkwa2$y|Xybhoq)5qa;Vt&n;KcN9v6%}ULx;cqi}UZ&I(7fQG_@rB-SV7=B~+qKEtz~*KIUqT0Cv~H*z_k3Ni z2N@hqMTP-yLvVUk_w9KbB+(96mwqbaZw75o^SbGtL^==XuKkd2bcM7Ds*5H#wmkg< zbTHep{FuX5qzeKgd)ZNxTP3>-H=o8{ENa)(p$dbJx<8P_>=DS)dH!W+u=xJGW6SS;! zm|SUC{BSyzN-(xB2}XO5?5$o2v%|v`@QntH=Hf*2bY92JnTf<5K3F2|x!*lVLej&M zkvBHp%=YlPedoc?7ta=V&S^^Li@BX))=Mc^oi`M$&4j@qgP_``7+LwME<)$X$v^4&u%^7tAF&&pxVvp}krQ0v9G-39Gl6XI;uX6`PMW zt7^oKS?5?H4!Vhm5+&#wvPA-o)|vBAEZNef~l^)@!Cwhd7tgtC~=rl}xhb~Xfh;^?gHG_^U z*deWTbfx?_8G&TNE~NhIDC?1t);AnwP5wykkZQu|lC8_}Oun z_}OvS@w0QS<9C>&kRs~G3m;beqC^^ja*Q@9QBpsk2%rE2^Fdy{vcD%PiXEk zlk6A-HStU#Wx(z@l+wg&b_4hd^3Du~q(cagXxeAA>61yFB%9@u_8B>=8~W9>b~>LF z0?n@IFt=$#6Vh)sGx?fgk;AR-O687k8&TI%(Ftch7AmLU65mY5DP2$HIuEF3L0#}2 z3QCg0;bG@;%K8T7Bp$mfrdzun&vmA>Q!#0cq*JO==;Tdp@)tJglrSAh>NQCmMOP@6uSrZ#?f zg-Uv_P+k8~k$UW-V)gn*K9%`#iTa<9IW+rthC1$GnW{Tju3kP^p?Z8$shkI^IP|Jk zBR{E8i$1wQ4gcguwcwLks_m26D*n(Mb^4)O)K!P(svjJ>OHX@7PnW7|KYKvU z{A{_(`20aN`16&j@$=Q{^Uv3)bH8|4?f>Et)%WjfkxBEIdWAzX|2H}`^Mnu0e)M*M zCwyp@rw`3i!-r-)PdR-^(bbiymrNS=mB(j!!Q-!gvNf+m( zUN&}2PR`g1FV4+Ry=>B$sZ%BvjKBPHIRYJO;4pRUl{03fj-7d3S>;ImdXHNu4%<$; zvO0Cj)inf~s%a4WbCG=hcHf?x5yhwpE3 zzXbK~0PoKESAjL)Nw6OKR&ZF^8WJqF1_NoNNtWn_9+s$vlhF@Fe+v2$mZ(k#rdxB3 zsE~vM&QB-uBq!zg)aLETxjbH!&mn&Zv6)Bx6)GwJD~RU`L#+wS*}G-SmSw7Od*k}X z9gQ27U6_?o-ZD2ZA|tb9$=;0O79;JG9U0SGjMPuI@3REnTAFdCh~E)eAWJifv{FQ4 z>^EN7Z@d;zfoD02R$I2cB%&?jOd0h@QSg5T|H>Q~9xdhH?v7C-Lh_$-l)K$%k0>o3 zkLz`fO08GM3X5@#CAHpSGzaR{;(E2RxuxE+xZbjoTGRYuq!*>03>#*O+gJ6xjnm1mp8e5lJjLeLV@D~O{-${I#jXRq+USr8@ z+}ONx*N*0m2RF>Wd*u+*?PzGh?P#Gy+~%2X^E%wFjLK1kkyJeoRZm4yweq%JSKA+u z#{~ z0iC`U(zj#Hh6DD1t+{Q@I!HsnqmasLg+}wPT|1jw4{luP_+(dL)hDg%oAJr z^fiLU#~}r1#A<`bFp$kqnr!3`Fba|-5{Hh&`HzakDv=;AhDdq@Bk5(cRwY}jdJwmo zQ|3?;5JZ$(#Wm6S!lvr8&M9*S&3gEp^N}9m@Hw+{#wuSj#y$1Jf>oT(i1#WRQ=K03 z3Ck%LP?`4ltR&`=f?lY_1v?!+r>{r8%ab=6mu3JVkHn<|N}eyV>l>^>Dui|oAUXe9 z4eGfP0}!e3#>tkxo|(9{c%YJrmmnVxjCPbit-Foty+DX_B&78HW=Q%M$In_ z5VO4{7zohPS^_vsSx&-0-FvH5&}?2}aEp!#m!nc}crTatl4j$$N=4 zqqG`1p3r=S{=HJ0OxM39+N43Nk6Z|vglpN-@F#Q z2<}7{{UYY_$Y}H@1EgpBqhXnIB7d{5wWpTRnTh^XkOjto^T7Ea7hD7`22;TmU`?(0%wCU zU>rCPoDU{}JRlObE&<;M)4&fv5kUUDzXbdUh}5nB0hQp#pa%RD%mO!qTfomi9k>JB z2^zsd@GEc+_$^ohkl5va0IUEj!9(C-@F;i;JPFo=R?1D*qIK;+)P0A2=v27d)_ zfVaRt@D6w%AQ#_%5F7@dgCoF#Ynkmw zew@)$?P%S(b@OwrI|A#To8oA9cc@?V1g-Q_ZFeSNGu}a!`IrBd>-m2f^Z&ArhnQ~J zz4n$pOZGgfEDiQefx~ab+}qNuQ0@&JzU}_qje$lj{Z7l$Y;LP{tXa2dPVBuc$N+UT zDsl8w?EQOwxn$2O$KA?;|ND10A;7N*@qLA|9%y}WLu(+P^}x#Lds9JbvKh=54i(<|k`oo1d$-H$TC1+Rq-aeeyWP%$wSq z0=Bne-->zu*}wj6dR63WzL)n8C?3M4N`dtD6-la z&Ggm*`vmO+-fw5zWJe)n=vd96AoGqKnpFV|FAO@)d_+%U&Zw>UCDc)gWlo-Yl(AQw zPGhL!m7F;Yh&-9YNbStBl{wRszH5{`=@k*BjwAQvcH*$jDUj~}JQn^7RB!)%EYzQ5 zyC=rd+uwtQ`9RBYvfa{Y+hyy^Yj!;sShwK<2fqFd{{~sj{U5s;7?l4Xe)(;xgAy(D z*|T$QW45r`UN|{VXSqyw>e#R0F(Mu+;=(26=d)%n*ApXaomh)4ho=P;i&*8z_7GXUrqb9!s_z{0BY#4N3FUe!e3q}AtPH_-Hndk= zz>8Dki){oB_3~yFp8@`MObjUZUFe%qaPibA=7@zkCrjpA7oVVJFpFPlElf`zEw9FD z}q&wLDZ5r+AFQRI2|=L+A6tqZ?c-f1a_s3wEr7c$$u(Id-nZPqx-juEG=5W z=CJgDNbaX<6x-&QVmoQAD``-L)YpP&bF1UE%?frpv?zU}6PWv00ogZ}~dgEsII zed3!c!M}&^hpKNws->_0Bnwkc@ICND`pKC*xm0cO_qc$yuv>aF zk-r{Tw=>%T(f{|?IR^&DN&kPl(L-I<%N+m1{eNeNkvhx&vfHo^n{w%xykVKA3_o?m zX{Vo&b>^6J$Br96LFv7SLg|QEnM&teuEosyl=oDlpHXr+GSPyI3)kk+DX`Dog1wgTaemAFJ*2 zM8U!EiHwaxh#ChQc2J2&iJ1@Mn%J@tAs^0F2&=;u2?shfZ2|$3IO4NP$SUu2+>R)k zt%*rPTqX$+y2Qk!Fe|cHk45$Vciw&P{R1C-_|dVLc+xD@j=Ce*N&AHZ>7;IG1vjDK>c4^8O&lTIua&R#UeYKIEv}klk8qQJJj>x!zTFRTVGQ(20l| zA0o;e+42CQQ*Rq>sLDwYJXU;;Ey}rB6FlD3tsO4} z|4=7pY|+~BMP=t9sNAO(V5@Ip{%;JPSn*vT?|o(tw(8eD z&z1Wo=lagWl;=Br7o;UCO`-%=;X6;0IH~wtJzZORTYJ^`5T)hqRbw-YCy7LKD9s<} zK~s>+L96Zv*k;Tl*-|80ybp=}$S9BvxsEO%_w{xqPg8O)>-sZ8D=B zS0;94lXJY-=^VZ&r;jSW$T!)SZ@$@jkn4EL&S=%SuG4*V?VDL`sHp2%UDkQq{wal2eS_ zSmmld#h`7VnHN+IH|nrOq@Gx@N$VtEkx(C8w6dH<8P=7aY~)@b+OwnJo0Qy|Q)Z_W z!Va|aIX4cGgYFwoHe~17DkF)V;;oR*o#shgitW|BD;2>#tevJ^Od9Y)X#AGha>lFD zA;xMu&ZOZ~utLHk-g5@(Va6ft8$rD^P5sIJs9lD^6xB3sC7|B`%Mc-UWrnpGSf5RT!Y#X z) zuD!~ZxwJ^S7)5s2Iw~{l!B(mhjQ%P=KbaOH+Y}`HY)Of(?}Q~4jh0YYclNAci^#GS z*hChQQoE>Se`$|WEwo4*Zz+eo6;(wseTlNAd6h3p0*E4jc{8l(vt$k;l$xOzTYcD+ z^R)8OR1Gw#l04TWAD2#HGf;XVxH7MBG-kE?hqMv+DFo>ui5fVf+FP=-dztKf=L_yo=Y19>E44XW9IW-BBsG^K9lSbzZ(HhgWqliFrdg*Y* ziA_8OLqh&-dW2JF7pL|Jr%q89WeG_YOHOO2^T?EN97XA)3I9RZT(nMp&0vnxCN@p6 z8IVJ(ofT$^v+PBZWFM^o5?fH3TFokUB(A7 zN-o5}w3FX(tVOfPR?lhR0#F7j!B4?@z@x?f7r;;sentT~SdxRJ>o`POZ1wn? zP_G2fg4e*i;B%0QOozcyeR-s~Z^PM9ef6VjQ!u*>^^Z9)k_Xgo0lx-+0^7moAc{ki zSl|Zms`*a+8RjZ-cFG!(#9|@E~SuakB+H3qprCub@uk zP^A~>1LUwp4q7t6aBw>K9uE^wjPBi#A06LtX>=cdA$lL`>geMdZjO$Z2aOwUi+1_z zaoY%f34Q~Xfj@xN*f-<;aj*e*&tMh+e*tfT7#=Qm0vC@I_prz7hmHrM9|q0>H)4L9 zo$yir9QCj5ZvSGw_uCEsANa1eTl|k*$s;0rJ)hC@1#4Q>MW zgFk_7fCpIp9xx1y1V3=7hGK`y|3lP20u|tTa09pnyW1Uc{yR|L34Q_Y0r!JtU?pe< zkAoJ_iu)}NkN;WJJHYecCCvWf=<9z2_1oZM@ENcp=OYR9j#Ul)V|)1rp*{%=1E+zr z!8q*B$Bwq-&j$sd5ct3ha4q;TZf?R&E$Z7*H=zCn>R*HVz%uX$uo^syeM_vzzXA0# z;5pC+c7YeMdj-3{pne^^3HD(2PHbQQ2Yf%~dl<8J5DkN+1GqpkvP$|o`})18hk#ST zC@>D34<>`Dpb&5n?w;;)j+b_587OgjA1qP^ z%GRyhj(#p%KcwANI!bugOwJ|pjb2BcPlTkUn7ckkU$x_f*48}7Q_1VMU*H&%vO_+e z9-9c~sqNz(X!pq=t=hVcgN+kno}v^u6S0Ox7T;KHfQldCM=la2fWqf#MJwU4v- zrq7b)uCicNhrU?Nt7N5@`+U34QV?Z&o#xGu5adhtmwk@tkKH{C{f20ruQ1XyoXlD6scvoducRVqERB+VEVGaDuUZNUoX z9V!f8>7&hRmnp_}>Y;aPCu4lxFbK~#cn!xdt51|lIYK7jHG@rM63R>?qdJE6Rdc#Q zW34&c@D4F*#u>EOnu%I{p+Qrqo-8f4I^Xn{jK52a60cExjcL%kQ`^WKE+Wl%DDM74 zM_^9NOr2)Elj-^n@G^KE90ajU+sA{u0X>_a&dm=Wjz0x3PWgKSgopXr0`m6;Q9-kX_gW|{+?0Zq$Q^6|3AFH1HSc zEdTp?;|_-To#*xvrqma zLmQtne$teoV=o+MMs4?4Vw7@*ooP-UGGxk_+)lKm5Sp^9-wa7G21>sDW{6?n?BDs% zrun~Su-uptasTTZoB@BQM47`$O&_DQ57)tFDmZ*mVVa4`d$}Rob-AfXtDJE4jOnS< ztFOMc@>+c>3v1JFUY2+=x3YLLaA@C)wMfg<%9*KGRZPFKa(Zg<)fLmPs+?JIeP@qq z+R)_S^{|u8`ktCMCO=#5fvIT?*Km)koO@Z<(py)7pMqKR;y0_L&^@k(dey(-K4lYD z2Lxl<*tm)EuSWex%wNU)HPnB@e7A~fP}Br^uE;JcEU2>Ei&??-7bDI;_iv5n|MVC! zYIU&um14r2QP|?+++=oP`c2~stR;mlu0^9PC0Dk@FB)YnDQa;p8f7cFs)Yk~$6a^b zB{S!YsoKMc*&MT5X3s9nWKK*l+SGzJqX2s=frxqUkkNcB_&%ruzlAODmk2RkK}!ve4;wc>y?N)lt=n7IjdOU6 zz_#r>wQ`^lw0L3r=AGjmW3`Q`{=Tv9xpiB&J+0l<85>)-uKT`&kpEl%X6p98oc6!` zpV0pQx4CHc0ipim&My7OuXX>CGk}Yoy8kF+GV7BGZr7f`>~liFT%e@q>C_D!r!Ilb zW2mR2bE!ad?ls&3g#xoL8XBECWXRcCe|fNPqKoMp>S7oKQ!mUJmpf+C_*A+Z`3Ae1 z6?8Q#>1t#m`7n4Kw9s{IpsRTr^%m66(xtpbmjZKI!#i{-@6*+MjQSA%KEuu5fsM&s z43j&jCCM*)!*0~cmi`UHEH?je)TeP>^c>XZq8^Vrn=7P0I=Wl>-xkS#xc|?|%47QP z-*Z?xrii@8_G7USOR!G+a@Qwy7S zaC>({?cT+f=I!TS?P%CDcUerso@KU%J z=1$H!2gn6tM|E?6Fk5Q(-m?4NS&MC1tM+8tw*)@D?SS=zJ#u5l+}ONj_nMaGzyZsq zTF0E&HS3z&M7d|q-UpVoJF55ZU#YUT1bWR4^yIev{d<<|-Dg?3|0zdc|5LGnw+=Y= z@6S*z`;GmB@83;~;h^Tka!F%57c|y$L8EQcoIQ(`qk5G+>;64k0)HojLj<-dV0|lw zkoFSNo7(^0!uN7jAnLsfmBsw~*5&$N;^Bz0Sfgyw_WzRPeEVc&*mL9UMh-j_w;Nfr(ACtl*I!_TU)NxVvgW@xO zG;D8qyeiY#ANQ9#{0$e6OP&#S!qi~AuJm*A`?!fo=?~&RM3z;5ty9@xDHo+2H;tS>NCMe zBmW_zGBJMx`>sMN;%wJ{DhedCnF=HiYMX@e^cJyjmGhh5JE^o@uITWK8um@NI&G8~ zoOq=t8mmPlcZ`Cgs>*@3=49k=8+qlH(m}{)nc*BYE9XIln)TO=xRQEffooA^d~w{U z#GE2>Vn%}Z2gW1uMUN!N#Tz&ka&i@BXS}s66V;o_A_~PVZE<%ON&@O>dFw1XmAP8g=6!qwwLAjGB{- zQvRQ447mLWOl~O7&lzd4su}U#VaCe%Lb5R-D~fy!=XOzzLr+j_b+KCzV8J)tm9Tm3NOW5M294?)&rCU2O z!#-1oeQJPNP$BhE_R2Nb;6TYSm=D}zcZh(t|m6}FZ!lvSk zIPY1;BXLEu9*k4PPE)PBG}^+O?Uiwv#c_@_7geKfRMd=w$~YMEOh>S=hFhUFIlA>- zMZgt#gCk<0-Ek;lI*({{uS-O9qGs11m9eL;@boEWg*PjEflF8PnnAjTQ{88GRQCSu zgl8Rxe1eWN!A9&A8j8HjjK_u;MWx3Zm&m2HI4NXZtfM#)wncbI2zr2Hn)L6}PIY5~ z9rj`RvlEdx0Uzuw5>n|2#w9uMF(T69sG`rSKT_b*2fwo(jHXJ=B2C=ZPy`@R-*}?I zJq^3EqF06lmKh3-^Ilw}F`QX15$AaU%};49y~E%hN1XDOC9%Pf=TKf>PZac;Hl3ev z=6WX?kJu`fyf1gIOmh-1=GS$YbA~*WL4-41a#52*Hj;~q?yn%)Ywb;#vhRk}b_PT% z+ZSd!KWb;JF0cuY?54>hlsCgu!_Mk?o|^uK*X5}>!4NrFNmKN(-*sizURPc|v!Zf( z@le^ENS(%Oi&lsFYkP}&x?Sb})>Ctbk!MwsqzN$O_YiXEx}O+m6xkM;ii#!gw99E5 zdvYQXAp@KTiotIIBagKV_+Wao_EuKzPFmN1Kk*Py*HgA1u&Hq+xE1`2&5jgKfd+B< zbT%hKmjO9(sst@SBpqx9&jFEma2N~f1d)WW3Oo*4z*FEw@E7np*aP;1kHH}jl4KAMBbx^Z zBV9jm0yq(*gAw2iFb0eR=L1@i{}RAmKmQe=7+eD?Koz(i+yHI@wO}szIk*oz4Ay}y zpbfkVUI+WY`=A|oV0b$oc)<{m1-=KygA2fApbT6OW`o0K(jNFZcs^1Z)Od!FI3<{000CybBJ29x%hfz~?_6c)`ga6PyLc z17X~o3N8azf?^P2+?#_MhCTn?;2!W>&;*tOrtB^+% z-;e#f*gKpy|8X$D9S;TqVaUq_!jyL=5XQW*9JZO;5o`*CIpTsZgFW5Kk+402KknIG zJ2r0!$WDDuZ>`?3b6cKcO;Fw1wyX8I4dWesj2(ezw(s1A!DcX#THq!>a!DPulWbfSy7En!m@_{0vh49wwN4aN zMpKe1v%hjqUu#uL!($w8J;^cEdS-F0U@O?hY;HTpThF6@3H7V0zaRDp;gxE57qP(Ois9qKnx??JsE^}CiR%_sE{>cbXm!{04dKikX=QC3HT1D>e_ ztJO~r2_IE#Ll0|I!?{f5y5~#6^jyY$KG{aB3ZJd)+_ozaXq{}NbT5_lUv>CT#b?KX z{r^*t9(z_q|KF)aEGwulXbJx>RQV?u)6$!&3tFa8UHBi8?wfCl^u=WIXqA5oOO=9_ z{L_q~aZ_f@{Bi2F<`HZ{v7J^ zxzywFAP2LHREqyn)bIc`lyRI}iTVcAb*S$_E&PNJfR#Xwf*%77@05EJ?t?fg0TYguZs04G}J zjCOr$7IO37{Jm=Sl&em&SiT3RjsA;|8B<<9WBSC2!(sJQ>I|DD%AU@ZNB-IsLb+@q zNnGS%`cQtBAX>?qsU>ou(=xae^2E+i9KRIX>MB_Pt+W-+Lik0oEq(Na+;=z(!@y@v zvtv>aU5o?V%`TjsWeqw`Z$CHed~JIwXK$WpZu{GcGAvy2;JP?!E-7b3*+%C)FS-MQ z^waWZy0fga3nxFS?A2**@0o6lIsFydkJ-P3L^c?X;Xi#JN>`85b@f0da&}I#NuA%;7AyDV&qn<>J$!Id9A7DOmLQ)x#w7SNs8eeX&{CmoGubfy6SYoG8QMQ z8+#aRIbXs}7`HRcJm-A39UR zhmksQVrr4zb+4Q`Qg#$@m4AUdeV8bRic%B<3@v76sBK*C6j`BTVA?R*ynqWUGXd#p zn936nm$Oj$ktN#yHjAB4z^6?3EZp^WvJK8<4?o=RY@d6y@L{YqhL`Rn-Jftz3AM((aJMt@(Fo(OkynS}YUJebjO zSC$cwo3O{spGD2(EatoYr3U}>jfvAw%M9uNSzj)veK*3xzAT(K9b126P91j2&{Ohs zqK|FvFQwc`$tgX!_jj!RmDJa|O6qR`x4KGtmEG!k@K)F3H(ToGx=LzQNv&mZ>?(D4 zqie2lxJlJ-b2VA&1FjW!xaxPfR?K(R?{uwbaMkZ}t+>-w|GaC(T$gc8Nv*Y{HfqJK zuFZ}Esra_u{X6a3T5E5Ls*Oo~P~BF4hihT|d{@p~)nu)I#?=&6zty#@WyoCD#FoJD zl3H6yZ8RQjcR#8<+Una}P0{t+T{$nSrkLy|d-gJwy{vC`s#1l^6k*-#e0+iH*N?ci z1P-m(=EC)gfD7j<+FZ5v7293GI2(xbPS=WCT-hsX!8}m!%FbTaQv$+AHh!~L)PdW< z4zLsK0?&gxT$_&=N%z_otJEi>?zJvf%T($DOHQ7J^kWk*Hp^UM6c}(;skS|;>)Q<698-xE^`(n!~d-hzg%$9wdwhK89I&F0Q&h@D4 z8P^N0S6m;sq7qXQPfYBS@co4A6Yfjcknn863kk0$yq$0`p*3MYm#9;?)WRzS)>% zuNVo=Ow{$krHk=w48GUd!X_3v2A;$k^IgygTkrNu!YwMpWvbqf)Q8iOZM+(f6k1TxP?wbD5F4^2?luaw}Yjw(MoSbiV0` z97I%j??~O=XjAu&3ak2tRsBM$)E`CNdv>H|bV##efh!Qb?53QzZOhv0?{V?-YsvCO zt`$H3f0b6xYZE~fPBPm~lWu3%5<*RD98`J`DSHqt-dYfX46%PgjF%on3W68U;z5Hc zS`ehLEU4@u&>ls>lc2WHMet&U_TbUOUKJsJ-^`}1H$6;t-^`o$-uHfd8`*n~*LbYA zEdV?D%rgtdzW+S`@)$rL2T*XwevfE0}gqm`UAu^T$bYDwwB z1DT$M6kY~5h7b5(&=tT|G4@Z-D42nJnpyYFcUPw&f;HAVg zs^22lz_mGR|4gQqoAB!yzsa!Gf^G}9$RD;$CMvcAT++GKEE0DmUV!Z2jKB)fEW95K z(_-hKfaX}x?--yYGIfMZCN3_-G75MgBWVmku?AkfRYE&ilX$6{9aR@*lh@Qe(P1X1 zJ`S^SCftQ*z2)c)Ct*mLt1gyxwXCj})w;-P(5Dv5p_)S76i`$%UMn_!|<85RVsKF$K-Se}Q&X(s~Zl-VVoB1*!)urZxe1fW<|T zrZM5DjU3fRMh&}z`dZkmaIRc1_z9pc2HSFShx4#yCg2)mQqc~nsQpde-jkbU_#^#b z6NSQO6<^w67GRhC^bC6&j()`<8OiS*3=J(U`n0sf-(cprY0%UFOo(&vBF>lm)K!-% zwx-5REB6|^Q3-9fSyX9dCGgtYvd@4xNLHKutC9+%W1jiHpu+@myC+f~eU?^DW3b8{ zwiz0hnWFqZQNoM9T7hkLmVR477&fQvMZZtUGZ`M>%k`Z_>Ow8I75u%TP>2qlnN7u$j}jM)K3vNB^&W=-84F#-$aM2 zV|Xe!i25fukR7DM!w>{vc-mnHy^83m7txDH=o?W96B zlQ3zU-G|@@khoZ%S2oV&z8^@*Af64KW#%(0nYf0s{wdV`&+Gc7m+E3uLZJO@G;NDF z%iN;1wGQz6i)7f@FB-JkS4gohS<(ER6<(Eem-5?q*XSaYE9iP{ypUo z@F|9MDZIl~oaLQ(pC{-8Zn#GQ4fZKrDeMZs92LNm9vF61m}+UEF(BNGrk8|<;Z?RH z?CgA2XPcQo=e>ZvcYRvvj^oBbTeiAdzKnWc(3x=MPIggnH8fCWKU1i2f}|mH;!`BT z@=gdDMx17}6A}S6_9BZKW|xDkpe*woY7K?wQElXcc2t)|ihN*l%M~H5vcfI2yvm;l zt-8Q8K(jv4P-!o_&|{-nUR1b=rl*+A$Q=UAVh-EUWREqTEKg znfs8$p{#P-j@yrs+X2PlhiM|t{QuZ__B3mkz~D(tIL81J4K+x#l3WRrB^VlZasrG= z;oxjRYOYsqY)jHuc+j)tu|jzMekQ!;4M?lub1$cL>DcC+1%y&f7XO)zz>48}FJBld zOzklcy!shoveYihxE-@r!(ZN9?sI{?OJ78oiX>sJ6iKckwy^8r!uat#$-5_FjFolQ mZWXHqwxQdsE8H5tDt!$PPrQ-j_3a5;3W>aL$$#xjTmJxLcJZ13 delta 904 zcmYLH&ui3B5T2xQ4N;eDb~jzKQI`-xNLSf|ii%(nslVbH^&w(G(h|qww((be6%}_a zi>EylqW%dUqzBnzK~O{x@!&yF?}{fW3SK-KCu`9h@+LF$ee->9()lcRKFd!oNa}|? zWRDDALCu1tIqBK4%Fj&&G5dOJvhrMcp`68V(0r4a(Z?n8)C0M_A|SXUY`oZ@H!Dwj zoyD~fjd<#qzmW=V%c&OFC!LBvbvmhK){8tpFP3Io?g*duUb`(eQ5c{udB6AjDnuTe zD>u9&w~Ok+*_Ft1TV97Pl(~p4F1gd(La7ewJXe6{+bGHh7G=Phx_ExnzYcim;&|cV z&G7+w3zyK~$MwgFn~O|e;B9RRZ)CGHHvm@mWBU=~{2NzHh1o@Q8K^L>iz zm3uw*x*TujHNe{C$ZKku-OT7fR(qh!>cy5j)8!SEu+rrcigfG|NwJiHb+k}qKMJUG z4Yj_=wa7z_Jp6Sf!V&*l`qwoA>g>LbIuXPbYUy$V^}fVSRQd+bp&8rU3COUsOX3c; zov`^UMW;t`E-qoN%VjK~(&b7}0Nd^T9emwJ+mlHd;dM>OZFD^Ryl8BPrZPXZ7Y{C)_Lh zHYI7a5o?%ZQ1mwt<0=7Nfs0z^(b+Xbs>#61G*}DX*o~q}Yxutmlik%Db*OO_^ZpLN zd|!$412d;%wGN|Weg!PdXI-ccwutHikpv3Kt*0;V6Hq<7vb68qdqHR=YG{kCYI6Kq zIXN0`7nYMwSScAM4*7=xq23Yc_iP}r-N|BTR9n@lH8q5=cgSA{^&Ev+IqO8vD4UM1iP~wJ7@-tL9kq9Z_NfXk{1(agzt$y`B*3{ Oy_#$Y^UL&wJ0a*~SU}tUga%3u!(oR|C=^0ZJLJ$^ci2w8l=jkN)lW`fI!KS-`@Q%3{T}Lc zZge^~{_coEfJ!AqdJFH#gelUuF` zX;l?&q1C9pi_q!{OanB#%RQA&u&>8$G^=fen`kx^vmd#0fO#xn0!{Wv<7rMjv*BD5 z6OCEoWC6)CT4H3V!L;!`_YzaIN~4omDrw^=g$YvZo;Px$fXQ%OEP+q9+d&G6+tKk%i%mjiz&@Rh(H X4*W#mj|9FN_?H5IH1Ly8{8ah_@!tLI~Mu8N?PXf{T>(N5eGAMZ|d-WjrpO+3Yr5 z?-nhcaT)S74-2vV6Z#!zVCeBJsA#< z42MVVU6-_HD&&t0FF?zK-A(C1yZZX`qL_a@u~=oZvR%nzIBh*nt@z(v>&ox)U|&G+ z7FoO0q&KVd{o&3mbQgVXIrxkUUeB!+*>i)6uMIk>WyA0Kfhv|adfp=M`;WXHyHcE@ z*8I1p0u8!8+pIMGbHA3f?aTXJ-|P89wq4;O_PFHrxs6f-v@NaxR|hD{-|fhNs}1qm zQg8!s?Z^#iOgEBwsNSB&>5cz=Acoa zFfZp_d+C4CM)gIF-7j50SjhxZ+{lDbA{N$9SJ%EVzc9n&d5)d7!sayCtFb3mlbPw? zYnOyqsj+@nDDM8V-W0atBo>lbOyZd&mXi2T5*LzqHi_jV{v?T&B%b>-o>$)iNvR22 diff --git a/images/apple/PLASMA-2.11-UPD.po b/images/apple/PLASMA-2.11-UPD.po index ceb3facab0d8e019dec2dd078f942a78eb26dcb3..e71832e07366bb5e1edab7223d848b72f6bb2d13 100644 GIT binary patch delta 856 zcmYLH&ui0Q7=Dw*8Mjiuu4z}>ti~9}w^}s^l?oGzNYN2$lur>ip-O#Sr;ER~PtoD( z7&{d#MEw&S$PUutK?Fe7fsV1sxGml+ zahulHc0zS5M@r5f(V(@_OpJX=isrAR_zKD#tx_kDw7D7`<1@K+T4UE@-Kp8!pHmKj zDrFB7c5Y%JPMTLbRZ45QI%_7lj5V&%8E&AG2eQOf;E_d?)zfP#;H|uTqv*^7&dyB6 zUOk?S;T^8xJwAXBc!bV#!#xaWu#I>*^G^Wgr~sZ0L&;HLx~ql8QQ>AXz9ck^N_jKD zfq|6`F!S_)62+Ddu-s4j~XY0u=AD?(ZYgH@RGX=Fp4@WYDn%2w6~}HF>p@`T|SD|ztebDWn6Vk0Se)iN3fqk+!++>!s&}) z=20YJD67!dbNeZ9cS5f8C{Dzg{~tTeo+a%(7(9v*=NMq5rFxNWl1pAR55G`?U1$V|J3JFTN(B)egR=Rkc72-Ai0Xz!mj!YBgfMu?VboRR?uC$ nTdZc-d);P({^ya)(pUdL_KhUBH?y|n6M6TNv0_NC4VnA}Na*a9 delta 907 zcmYLH&ui3p6n{zMn2kDqCo_|dnY4xwLP*EmIjFQESVZctFvjJ}ve?Tg7J2un{R+KUBVq73A#eoyE&5<+XAa!+Gm%V%Z-ztizAg&aQ;uPw?4d zo!-1WA9UCELu(>nGvN^`_-(IR;C~xb{9@2aElWWw2=j7jsqIgQ`QVM;=GO~D)MD`O z*^ogi;49^NaOrN5tzFw~1%5l|^0l&%u`LvTUO1@KfUOD*M81QvdTw0>T&T%6r^98y z#apw5$A8Th@OPo%ZE+BnMc!W#PV^(7!`~Jg)x80*6j0*cD9nT^%nfIubDY%77FTJ8 z(`>v?@u2pg&)<~eabW}6zqNvfp4rWe4rO(Qx}1LO_zOKzK?N&4p`c91DVY>28Q4Gv zW&XKY@Kgcxb^(&%b*0FVt-9Ou&|)c^R-)OeA0qBA5#_~`B3 zT4!FT>*Zjd~4i z!7v}50L%}xI6pM=OU!C8Jrh>I!F<+*Y`8^aYeW)hB)68ndPqQaZFl30`CgLR3A0?e zT}`h1R8B5i$ED4rYgJ07g(KlbK&W+P`aSCid@5PD%xb$jx6ejs_Ai77pq8UBD`#Ds z$tP>6vR&oRi$5dGr4lKwrBb923!hIG#!i|?&1fpi@$*(x9|oIM{?4j%GkH1otMpG| Vj6anM8?VRf(yINymY&!$`wtmr`X&GX diff --git a/images/apple/PLASMA2.2mg b/images/apple/PLASMA2.2mg index 45af3d7892e5cfaf1a31aad2de6b87c9cc49cfb1..01a1950d4a64940443949edd3354e1387c6569bd 100644 GIT binary patch delta 898 zcmYLH&ubHD7=C9uO~)o=znDpyO|oSehw?QB8AK(bLUviot_jW%m-a%k1u9|AE5dVM*D8Afiyb+Jjy_=qVS$gI)y3cT&NDXXfR3e?8C3Jn8O! z(%t>vdyQ?R;k>hB`wn;mEDqL3M;8thUVoQSfu4tDk-L#w&BZa)%Fn`PB-7S>$c*MvAf6;nQ-yvcWsz zOlH`1vGVB$mqDA08#$+P_)eCso*c9}?^L>CH78Z2HbU!qzAO;p9( z3>uOl>#LHrIWm&GWkQ9+?jYJSiGYUqGmi%5SAsm1tjGcyeN7fo?-zmu>MP`;7+BKw z$)sJ=q>XmV`w*esBhCP{dT0AOpA<(Y9JFdnnzYbrY1Sa}>wtMIU;-_1+mLC=0;}cj zq>k1>=4JueS+uETq$P}rWq%uB8q1oFvKBt~3P!SwBks?DQ0=Pp zpE(Gk5`OfGrDkbv)I@0Yej<_81ch-ru38J7Ba4L>CGmf@fiM>-B&`}LzD85TsqoQ} zeMMIE_s5*5m3?Oztd+#WvLljV%0HpJ3eWlfD(X5r>L`CW-~3u{{9bP;1eGvC&iEv0 z%eIciz1YGI#Pu%-q97fdE5W%K#7jZ_1zyRh;Dz4pW>4y{2A;ep=ks~qleO-iweFrL zUnKQ)7SczCcc7-m%IIi#sPuVTPE5aER#QNR$X!b zJ?g2@cG+UF?wB{WWG;=qktte zFoPDmRp2VuBCF-?qJq|8)yo5F7tp4PkrpdVE(PO&>OjWrtWiMi+W(#WXGteGLR7ee z_U6q+cI&Y0S>&YAMx|>w1)4?sIc35 zy#`gTV%FOSm>nolb|~g_q}HG@?Ulg9Y?_7YaEqueQG5*uvE3C$AeyLzoaKt+S2(_+Azh@nR9f&{pMma3cjaCs_{o~#^)G}nI z;WTSC-Z`^SdRJyo^G$@gL?FhsLENM|TMN^ diff --git a/images/apple/PLFORTH.PO b/images/apple/PLFORTH.PO index 57b2d0d9d3f553793103110cf60337ed5e1ae153..e8355a5ca4f191c5b6d8d0ca05902ec947c18a8b 100644 GIT binary patch delta 857 zcmYLH&ui0Q7=Dw*8Mjiuu4z}>IAe_CTP>1yRMWh$ei$~*^ZeR|{%kw_(`#jG}s$bOV7xm4k zLRR81YVYmX2j75<+GfYnw6c6A^L2MZ2JtNGEH#~)PenBp_0SKc(c@XT7A78$~}3~@9Yu{TItRu*vGVJ{!WXppiI&-bwWv-tk51lo?oFAHk@cq z-N^qv?hq(bHl4EbrynNC{6M2jX(eA}^C>Q4g)4NN8>ke3%yJcYYzAfZ#A_9Bq99-E zbEW`qj1MMW+#gKfO|If?-iCL1j81XGJqT#9)nqBRB>MwRv>swx+mjkwN%=bO0_?g~rlpQ3ZWOd>tG(&VsQCt+SeXAVrDp_LLjz^@Gl3c> zNa`{tWr{?2-b^9GX{QlxrbIxEJxim8={Y|wD04i6T3z8;RO=bP71cQrBkP;oaz#q3 zsBjA{ukGnhDZijsTy(AHL{(tQ(dz`ilVDLD`oWp>zy6VT8NiO;E z0`&De839J5h29@hPHHTbuC7bcP_W0dgZ(3~Bzb9l#Fhdg@0=~aEK6(u0BQE~g#Z8m delta 906 zcmYLHO>5L(5T2xQ4N;eDb~jzKQI`-xNLSf|N|i#3Nd1ay)E5y8l9qKWZX3Vax1!>% zW%0C!!us(KJV+0+#e$%SAmYJ;pk6#xJe8v0#iMbu7R@2=WM-acp68u3SLEi3{Pd!v zeoRC1$nXu+Bv_i0o*ys#+?ElOuXiTP&*c~LNeqX~w~x#(!lxzk^aHuECLp*2Y%E)$ zH_K0X&BcvS8}QUoe=8N-x>L-s&pH)<>U2`ej92sgw3wTzy90d6d*jyGc&3NC?EOCA zt5EaUT)yHRy`5DT&aKrvx9&CBLY|9Q=aM_cEtJZj&T|ELx`Cp6a8U-FE{hjN{8_-u zm&P&=Z;oZ~Dp&A2-;A?7?apy4*ac{@x7liOeE`gOl(^FmqrME2y;*4OCN*Q(d75E` z)ekXlR_?Xgn|!pLmjFvwYF<@K>?THgvKl>IRy(rX=@u`bgoPHDP^4qONQ$KdtfPe@ z`;kGNYp8WauGKu$$irV(A{_Nsi_TWc@9~xK2P<;G&jzbO!rKH5qsn2OGf~y^&RE4gZ&6vb%bv3?;5& z+TR11?kZ8bXXZ?#mSJSnFMx&Vqzl#F7ExUwl0YH3<@nWo0;=cMmWI!N5QKV14Q;Vr zd~{+}$aju~yM^UWGc4o`6Z`xlfKYCV^nX?m*uKtUXcX(k$qglhuzlFy1mzTkNjd3S z?tBer3g3$CS@smdWGs;6ax4TAv9PJm^!Q$5hY{>ca_o>9RC>X3k-al3%;>xvKP!Ci U=o3$b%+l+LiZEY$Toc~^0Wq=vmjD0& diff --git a/src/vmsrc/apple/a1cmd.pla b/src/vmsrc/apple/a1cmd.pla index 133700d..4cc583c 100755 --- a/src/vmsrc/apple/a1cmd.pla +++ b/src/vmsrc/apple/a1cmd.pla @@ -988,7 +988,6 @@ def loadmod(mod)#1 if loadmod(moddep) < 0 return -perr fin - prstr("Loaded "); prstr(@str); crout fin moddep = moddep + dcitos(moddep, @str) loop @@ -1033,12 +1032,10 @@ def loadmod(mod)#1 // // Run through the DeFinition Dictionary. // - prstr("Bytecode = "); prword(bytecode); crout while ^rld == $02 // // This is a bytcode def entry - add it to the def directory. // - prstr("Add DEF: "); prword(rld=>1 + defofst); prstr(" -> "); prword(deflast); crout adddef(rld=>1 + defofst, @deflast) rld = rld + 4 loop @@ -1048,7 +1045,7 @@ def loadmod(mod)#1 while ^rld rld, addr, fixup = reloc(modfix, modofst, bytecode, rld) if ^rld - *addr = ^rld & $10 ?? *addr + lookupextern(esd, rld->3) :: lookupdef(fixup + defofst, deftbl) + *addr = ^rld & $10 ?? *addr + lookupextern(esd, rld->3) :: lookupdef(fixup, deftbl) rld = rld + 4 fin loop @@ -1062,12 +1059,11 @@ def loadmod(mod)#1 // // EXPORT symbol - add it to the global symbol table. // - addr = esd=>1 + defofst // modofst + addr = esd=>1 + modofst if uword_isge(addr, bytecode) // // Use the def directory address for bytecode. // - //addr = lookupdef(addr + defofst, deftbl) addr = lookupdef(addr, deftbl) fin addsym(sym, addr) @@ -1087,7 +1083,8 @@ def loadmod(mod)#1 // fixup = modkeep if init - fixup = adddef(init + defofst, @deflast)() + init = init + defofst + fixup = adddef(init, @deflast)() if fixup < 0 perr = -fixup fin diff --git a/src/vmsrc/apple/cmd.pla b/src/vmsrc/apple/cmd.pla index 29f03d9..e0d2acf 100755 --- a/src/vmsrc/apple/cmd.pla +++ b/src/vmsrc/apple/cmd.pla @@ -979,7 +979,7 @@ def adddef(addr, deflast)#1 return defentry end def loadmod(mod)#1 - word rdlen, modsize, bytecode, codefix, defofst, defcnt, init, initcode[], fixup + word rdlen, modsize, bytecode, defofst, defcnt, init, initcode[], fixup word addr, defaddr, modaddr, modfix, modofst, modend word deftbl, deflast word moddep, rld, esd, sym @@ -1074,15 +1074,13 @@ def loadmod(mod)#1 modofst = modfix - RELADDR modend = modaddr + modsize bytecode = defofst + modofst + defofst = bytecode - defofst rld = modend // Re-Locatable Directory esd = rld // Extern+Entry Symbol Directory while ^esd // Scan to end of ESD esd = esd + 4 loop esd = esd + 1 - defaddr = bytecode - codefix = defaddr - bytecode - defofst = defaddr - defofst // // Run through the DeFinition Dictionary. // @@ -1099,7 +1097,7 @@ def loadmod(mod)#1 while ^rld rld, addr, fixup = reloc(modfix, modofst, bytecode, rld) if ^rld - *addr = ^rld & $10 ?? *addr + lookupextern(esd, rld->3) :: lookupdef(fixup + codefix, deftbl) + *addr = ^rld & $10 ?? *addr + lookupextern(esd, rld->3) :: lookupdef(fixup, deftbl) rld = rld + 4 fin loop @@ -1118,7 +1116,7 @@ def loadmod(mod)#1 // // Use the def directory address for bytecode. // - addr = lookupdef(addr + codefix, deftbl) + addr = lookupdef(addr, deftbl) fin addsym(sym, addr) fin