llvm-6502/projects/Stacker/test/eq.st

7 lines
95 B
Smalltalk
Raw Normal View History

#
# EQ test
#
FORWARD success;
FORWARD failure;
: MAIN 17 17 = IF success ELSE failure ENDIF ;