1
0
mirror of https://github.com/KarolS/millfork.git synced 2024-07-03 10:29:58 +00:00
millfork/include/stdio_zxspectrum.mfk

28 lines
455 B
Plaintext
Raw Normal View History

#if not(ZX_SPECTRUM)
#warn stdio_zxspectrum module should be only used on ZX Spectrum-compatible targets
#endif
2018-08-03 11:23:37 +00:00
#pragma zilog_syntax
import stdio
void putstr(pointer str, byte len) {
asm {
? LD DE,(str)
? LD A,(len)
? LD B, 0
? LD C, A
CALL 8252
}
}
void putstrz(pointer str) {
2018-09-28 20:39:52 +00:00
word length
length = strzlen(str)
asm {
? LD DE,(str)
? LD BC,(length)
CALL 8252
}
}