simplify timing constraints

This commit is contained in:
marqs 2018-03-06 23:53:48 +02:00
parent bd1d58660c
commit 0ab31b30b4
8 changed files with 449 additions and 309 deletions

View File

@ -241,6 +241,8 @@ set_global_assignment -name VERILOG_FILE rtl/lat_tester.v
set_global_assignment -name QIP_FILE rtl/linebuf.qip
set_global_assignment -name QIP_FILE rtl/pll_2x.qip
set_global_assignment -name QIP_FILE rtl/pll_3x.qip
set_global_assignment -name QIP_FILE rtl/mux5.qip
set_global_assignment -name CDF_FILE output_files/Chain1.cdf
set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

View File

@ -9,14 +9,40 @@ set_false_path -to {sys:sys_inst|sys_pio_1:pio_1|readdata*}
### Scanconverter clock constraints ###
create_clock -period 108MHz -name pclk_direct [get_ports PCLK_in]
create_clock -period 33MHz -name pclk_indirect [get_ports PCLK_in] -add
create_clock -period 108MHz -name pclk_1x [get_ports PCLK_in]
create_clock -period 33MHz -name pclk_2x_source [get_ports PCLK_in] -add
create_clock -period 33MHz -name pclk_3x_source [get_ports PCLK_in] -add
create_clock -period 33MHz -name pclk_4x_source [get_ports PCLK_in] -add
create_clock -period 33MHz -name pclk_5x_source [get_ports PCLK_in] -add
#derive_pll_clocks
create_generated_clock -master_clock pclk_indirect -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name pclk_2x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[0]}
create_generated_clock -master_clock pclk_indirect -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]}
create_generated_clock -master_clock pclk_indirect -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name pclk_4x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[1]}
create_generated_clock -master_clock pclk_indirect -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 5 -duty_cycle 50.00 -name pclk_5x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[1]}
create_generated_clock -master_clock pclk_2x_source -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 2 -duty_cycle 50.00 -name pclk_2x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[0]}
create_generated_clock -master_clock pclk_3x_source -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 3 -duty_cycle 50.00 -name pclk_3x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[0]}
create_generated_clock -master_clock pclk_4x_source -source {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 4 -duty_cycle 50.00 -name pclk_4x {scanconverter_inst|pll_linetriple|altpll_component|auto_generated|pll1|clk[1]}
create_generated_clock -master_clock pclk_5x_source -source {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|inclk[0]} -multiply_by 5 -duty_cycle 50.00 -name pclk_5x {scanconverter_inst|pll_linedouble|altpll_component|auto_generated|pll1|clk[1]}
# retrieve post-map/post-fix clkmux source and output pins dynamically
set clkmux_source [get_pins -nowarn scanconverter_inst|mux5_inst|LPM_MUX_component|auto_generated|muxlut_*clkctrl|inclk[0]]
set clkmux_output [get_pins -nowarn scanconverter_inst|mux5_inst|LPM_MUX_component|auto_generated|muxlut_*clkctrl|outclk]
if {[get_collection_size $clkmux_source] != 1} {
set clkmux_source [get_pins scanconverter_inst|mux5_inst|LPM_MUX_component|auto_generated|muxlut_result*|dataa]
set clkmux_output [get_pins scanconverter_inst|mux5_inst|LPM_MUX_component|auto_generated|muxlut_result*|combout]
}
# create postmux clocks which clock postprocess pipeline
create_generated_clock -master_clock pclk_1x -source $clkmux_source -multiply_by 1 -name pclk_1x_postmux $clkmux_output
create_generated_clock -master_clock pclk_2x -source $clkmux_source -multiply_by 1 -name pclk_2x_postmux $clkmux_output -add
create_generated_clock -master_clock pclk_3x -source $clkmux_source -multiply_by 1 -name pclk_3x_postmux $clkmux_output -add
create_generated_clock -master_clock pclk_4x -source $clkmux_source -multiply_by 1 -name pclk_4x_postmux $clkmux_output -add
create_generated_clock -master_clock pclk_5x -source $clkmux_source -multiply_by 1 -name pclk_5x_postmux $clkmux_output -add
# create output clocks that drive PCLK output pin
set pclk_out_port [get_ports HDMI_TX_PCLK]
create_generated_clock -master_clock pclk_1x -source $clkmux_source -multiply_by 1 -name pclk_1x_out $pclk_out_port
create_generated_clock -master_clock pclk_2x -source $clkmux_source -multiply_by 1 -name pclk_2x_out $pclk_out_port -add
create_generated_clock -master_clock pclk_3x -source $clkmux_source -multiply_by 1 -name pclk_3x_out $pclk_out_port -add
create_generated_clock -master_clock pclk_4x -source $clkmux_source -multiply_by 1 -name pclk_4x_out $pclk_out_port -add
create_generated_clock -master_clock pclk_5x -source $clkmux_source -multiply_by 1 -name pclk_5x_out $pclk_out_port -add
derive_clock_uncertainty
@ -24,52 +50,32 @@ derive_clock_uncertainty
set TVP_dmin 0
set TVP_dmax 1.5
set critinputs [get_ports {R_in* G_in* B_in* HSYNC_in VSYNC_in FID_in}]
set_input_delay -clock pclk_direct -min $TVP_dmin $critinputs
set_input_delay -clock pclk_direct -max $TVP_dmax $critinputs
set_input_delay -clock pclk_indirect -min $TVP_dmin $critinputs -add_delay
set_input_delay -clock pclk_indirect -max $TVP_dmax $critinputs -add_delay
foreach_in_collection c [get_clocks "pclk_1x pclk_*_source"] {
set_input_delay -clock $c -min $TVP_dmin $critinputs -add_delay
set_input_delay -clock $c -max $TVP_dmax $critinputs -add_delay
}
# output delay constraints
set IT_Tsu 1.0
set IT_Th -0.5
set critoutputs_hdmi [get_ports {HDMI_TX_RD* HDMI_TX_GD* HDMI_TX_BD* HDMI_TX_DE HDMI_TX_HS HDMI_TX_VS}]
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_direct -min $IT_Th $critoutputs_hdmi
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_direct -max $IT_Tsu $critoutputs_hdmi
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x -min $IT_Th $critoutputs_hdmi -add_delay
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x -max $IT_Tsu $critoutputs_hdmi -add_delay
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x -min $IT_Th $critoutputs_hdmi -add_delay
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_3x -max $IT_Tsu $critoutputs_hdmi -add_delay
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_4x -min $IT_Th $critoutputs_hdmi -add_delay
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_4x -max $IT_Tsu $critoutputs_hdmi -add_delay
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_5x -min $IT_Th $critoutputs_hdmi -add_delay
set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_5x -max $IT_Tsu $critoutputs_hdmi -add_delay
foreach_in_collection c [get_clocks pclk_*_out] {
set_output_delay -clock $c -min $IT_Th $critoutputs_hdmi -add
set_output_delay -clock $c -max $IT_Tsu $critoutputs_hdmi -add
}
set_false_path -to [remove_from_collection [all_outputs] $critoutputs_hdmi]
### CPU/scanconverter clock relations ###
# Set direct pixel clock as exclusive group
set_clock_groups -exclusive -group {pclk_direct}
# Treat CPU clock asynchronous to pixel clocks
set_clock_groups -asynchronous -group {clk27}
# Ignore following clock transfers
set_false_path -from [get_clocks pclk_2x] -to [get_clocks {pclk_indirect pclk_3x pclk_4x pclk_5x}]
set_false_path -from [get_clocks pclk_3x] -to [get_clocks {pclk_indirect pclk_2x pclk_4x pclk_5x}]
set_false_path -from [get_clocks pclk_4x] -to [get_clocks {pclk_indirect pclk_2x pclk_3x pclk_5x}]
set_false_path -from [get_clocks pclk_5x] -to [get_clocks {pclk_indirect pclk_2x pclk_3x pclk_4x}]
# Ignore paths which would result from pclk_act switchover during postprocess chain
set pclk_act_regs [get_registers {scanconverter:scanconverter_inst|R_out* \
scanconverter:scanconverter_inst|G_out* \
scanconverter:scanconverter_inst|B_out* \
scanconverter:scanconverter_inst|HSYNC_out \
scanconverter:scanconverter_inst|VSYNC_out \
scanconverter:scanconverter_inst|DE_out \
scanconverter:scanconverter_inst|*_pp*}]
set_false_path -from [get_clocks {pclk_indirect}] -to $pclk_act_regs
set_false_path -from [get_clocks {pclk_indirect}] -to [get_ports HDMI_TX_*]
set_clock_groups -asynchronous -group \
{clk27} \
{pclk_1x pclk_1x_postmux pclk_1x_out} \
{pclk_2x_source pclk_2x pclk_2x_postmux pclk_2x_out} \
{pclk_3x_source pclk_3x pclk_3x_postmux pclk_3x_out} \
{pclk_4x_source pclk_4x pclk_4x_postmux pclk_4x_out} \
{pclk_5x_source pclk_5x pclk_5x_postmux pclk_5x_out}
# Ignore paths from registers which are updated only at leading edge of vsync
set_false_path -from [get_registers {scanconverter_inst|H_* scanconverter_inst|V_* scanconverter_inst|X_* scanconverter_inst|FID_1x}]

6
rtl/mux5.qip Normal file
View File

@ -0,0 +1,6 @@
set_global_assignment -name IP_TOOL_NAME "LPM_MUX"
set_global_assignment -name IP_TOOL_VERSION "17.1"
set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}"
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "mux5.v"]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "mux5_inst.v"]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "mux5_bb.v"]

117
rtl/mux5.v Normal file
View File

@ -0,0 +1,117 @@
// megafunction wizard: %LPM_MUX%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: LPM_MUX
// ============================================================
// File Name: mux5.v
// Megafunction Name(s):
// LPM_MUX
//
// Simulation Library Files(s):
// lpm
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 17.1.0 Build 590 10/25/2017 SJ Lite Edition
// ************************************************************
//Copyright (C) 2017 Intel Corporation. All rights reserved.
//Your use of Intel Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Intel Program License
//Subscription Agreement, the Intel Quartus Prime License Agreement,
//the Intel FPGA IP License Agreement, or other applicable license
//agreement, including, without limitation, that your use is for
//the sole purpose of programming logic devices manufactured by
//Intel and sold by Intel or its authorized distributors. Please
//refer to the applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module mux5 (
data0,
data1,
data2,
data3,
data4,
sel,
result);
input data0;
input data1;
input data2;
input data3;
input data4;
input [2:0] sel;
output result;
wire [0:0] sub_wire0;
wire sub_wire7 = data4;
wire sub_wire6 = data3;
wire sub_wire5 = data2;
wire sub_wire4 = data1;
wire [0:0] sub_wire1 = sub_wire0[0:0];
wire result = sub_wire1;
wire sub_wire2 = data0;
wire [4:0] sub_wire3 = {sub_wire7, sub_wire6, sub_wire5, sub_wire4, sub_wire2};
lpm_mux LPM_MUX_component (
.data (sub_wire3),
.sel (sel),
.result (sub_wire0)
// synopsys translate_off
,
.aclr (),
.clken (),
.clock ()
// synopsys translate_on
);
defparam
LPM_MUX_component.lpm_size = 5,
LPM_MUX_component.lpm_type = "LPM_MUX",
LPM_MUX_component.lpm_width = 1,
LPM_MUX_component.lpm_widths = 3;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: new_diagram STRING "1"
// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
// Retrieval info: CONSTANT: LPM_SIZE NUMERIC "5"
// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MUX"
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "1"
// Retrieval info: CONSTANT: LPM_WIDTHS NUMERIC "3"
// Retrieval info: USED_PORT: data0 0 0 0 0 INPUT NODEFVAL "data0"
// Retrieval info: USED_PORT: data1 0 0 0 0 INPUT NODEFVAL "data1"
// Retrieval info: USED_PORT: data2 0 0 0 0 INPUT NODEFVAL "data2"
// Retrieval info: USED_PORT: data3 0 0 0 0 INPUT NODEFVAL "data3"
// Retrieval info: USED_PORT: data4 0 0 0 0 INPUT NODEFVAL "data4"
// Retrieval info: USED_PORT: result 0 0 0 0 OUTPUT NODEFVAL "result"
// Retrieval info: USED_PORT: sel 0 0 3 0 INPUT NODEFVAL "sel[2..0]"
// Retrieval info: CONNECT: @data 0 0 1 0 data0 0 0 0 0
// Retrieval info: CONNECT: @data 0 0 1 1 data1 0 0 0 0
// Retrieval info: CONNECT: @data 0 0 1 2 data2 0 0 0 0
// Retrieval info: CONNECT: @data 0 0 1 3 data3 0 0 0 0
// Retrieval info: CONNECT: @data 0 0 1 4 data4 0 0 0 0
// Retrieval info: CONNECT: @sel 0 0 3 0 sel 0 0 3 0
// Retrieval info: CONNECT: result 0 0 0 0 @result 0 0 1 0
// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL mux5.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL mux5_inst.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL mux5_bb.v TRUE
// Retrieval info: LIB_FILE: lpm

View File

@ -33,6 +33,12 @@
`define V_MULTMODE_4X 3'd3
`define V_MULTMODE_5X 3'd4
`define PCLK_MUX_1X 3'd0
`define PCLK_MUX_2X 3'd1
`define PCLK_MUX_3X 3'd2
`define PCLK_MUX_4X 3'd3
`define PCLK_MUX_5X 3'd4
`define H_MULTMODE_FULLWIDTH 2'h0
`define H_MULTMODE_ASPECTFIX 2'h1
`define H_MULTMODE_OPTIMIZED 2'h2
@ -91,7 +97,7 @@ module scanconverter (
wire pclk_act;
wire pclk_1x, pclk_2x, pclk_3x, pclk_4x, pclk_5x;
wire pclk_2x_lock, pclk_3x_lock;
wire linebuf_rdclock;
wire [2:0] pclk_mux_sel;
//RGB signals&registers: 8 bits per component -> 16.7M colors
wire [7:0] R_act, G_act, B_act;
@ -278,8 +284,7 @@ case (V_MULTMODE)
line_id_act = {2'b00, vcnt_1x[0]};
hcnt_act = hcnt_1x;
vcnt_act = vcnt_1x;
pclk_act = pclk_1x;
linebuf_rdclock = 0;
pclk_mux_sel = `PCLK_MUX_1X;
linebuf_hoffset = 0;
col_id_act = {2'b00, hcnt_1x[0]};
rlpf_trigger_act = 1'b1;
@ -294,16 +299,15 @@ case (V_MULTMODE)
line_id_act = {1'b0, line_out_idx_2x[1], line_out_idx_2x[0]^FID_1x};
hcnt_act = hcnt_2x;
vcnt_act = vcnt_2x;
linebuf_rdclock = pclk_2x;
case (H_MULTMODE)
default: begin //`H_MULTMODE_FULLWIDTH
pclk_act = pclk_2x;
pclk_mux_sel = `PCLK_MUX_2X;
linebuf_hoffset = hcnt_2x;
col_id_act = {2'b00, hcnt_2x[0]};
rlpf_trigger_act = 1'b1;
end
`H_MULTMODE_OPTIMIZED: begin
pclk_act = pclk_1x; //special case: pclk bypass to enable 2x native sampling
pclk_mux_sel = `PCLK_MUX_1X; //special case: pclk bypass to enable 2x native sampling
linebuf_hoffset = hcnt_2x_opt;
col_id_act = {2'b00, hcnt_2x[1]};
rlpf_trigger_act = (hcnt_2x_opt_ctr == H_OPT_SCALE-1'b1);
@ -321,24 +325,21 @@ case (V_MULTMODE)
vcnt_act = vcnt_3x;
case (H_MULTMODE)
default: begin //`H_MULTMODE_FULLWIDTH
pclk_act = pclk_3x;
linebuf_rdclock = pclk_3x;
pclk_mux_sel = `PCLK_MUX_3X;
linebuf_hoffset = hcnt_3x;
hcnt_act = hcnt_3x;
col_id_act = {2'b00, hcnt_3x[0]};
rlpf_trigger_act = 1'b1;
end
`H_MULTMODE_ASPECTFIX: begin
pclk_act = pclk_4x;
linebuf_rdclock = pclk_4x;
pclk_mux_sel = `PCLK_MUX_4X;
linebuf_hoffset = hcnt_4x_aspfix;
hcnt_act = hcnt_4x_aspfix;
col_id_act = {2'b00, hcnt_4x[0]};
rlpf_trigger_act = 1'b1;
end
`H_MULTMODE_OPTIMIZED: begin
pclk_act = pclk_3x;
linebuf_rdclock = pclk_3x;
pclk_mux_sel = `PCLK_MUX_3X;
linebuf_hoffset = hcnt_3x_opt;
hcnt_act = hcnt_3x;
col_id_act = hcnt_3x_opt_ctr;
@ -356,8 +357,7 @@ case (V_MULTMODE)
line_id_act = {1'b0, line_out_idx_4x};
hcnt_act = hcnt_4x;
vcnt_act = vcnt_4x;
pclk_act = pclk_4x;
linebuf_rdclock = pclk_4x;
pclk_mux_sel = `PCLK_MUX_4X;
case (H_MULTMODE)
default: begin //`H_MULTMODE_FULLWIDTH
linebuf_hoffset = hcnt_4x;
@ -381,8 +381,7 @@ case (V_MULTMODE)
line_id_act = {2'b00, line_out_idx_5x};
hcnt_act = hcnt_5x;
vcnt_act = vcnt_5x;
pclk_act = pclk_5x;
linebuf_rdclock = pclk_5x;
pclk_mux_sel = `PCLK_MUX_5X;
case (H_MULTMODE)
default: begin //`H_MULTMODE_FULLWIDTH
linebuf_hoffset = hcnt_5x_hscomp;
@ -422,13 +421,23 @@ wire [11:0] linebuf_wraddr = hcnt_1x-H_AVIDSTART;
linebuf linebuf_rgb (
.data({R_in_L, G_in_L, B_in_L}),
.rdaddress ( {~line_idx, linebuf_rdaddr[10:0]} ),
.rdclock ( linebuf_rdclock ),
.rdclock ( pclk_act ),
.wraddress( {line_idx, linebuf_wraddr[10:0]} ),
.wrclock ( pclk_1x ),
.wren ( !linebuf_wraddr[11] ),
.q ( {R_lbuf, G_lbuf, B_lbuf} )
);
mux5 mux5_inst (
.data0 ( pclk_1x ),
.data1 ( pclk_2x ),
.data2 ( pclk_3x ),
.data3 ( pclk_4x ),
.data4 ( pclk_5x ),
.sel ( pclk_mux_sel ),
.result ( pclk_act )
);
//Postprocess pipeline
//
// Latency with respect to h_cnt/v_cnt before 1st stage:

View File

@ -1,6 +1,6 @@
:020000020000FC
:2000000000402074084008140800683A0000000000000000000000000000000000000000FE
:2000080006C02074DEE80014068020B4D68304140080207410A5611400C0207418E67214C3
:2000080006C02074DEE80014068020B4D6830A140080207410A5671400C0207418E67F14AA
:2000100010C00326100000151080010410FFFD360816AD800816BB80003FFF06DEFFFB0417
:2000180000BFE084D880000500800084D880004500800344D880008500800484DFC0041543
:20002000D88000C531803FCCD8C005173000022600BFE004000001060080100439C03FCC99
@ -26,7 +26,7 @@
:2000C000DFC00417DCC00317DC800217DC400117DC000017DEC00504F800283ADEFFFF0433
:2000C8000140FA04DFC00015081620C01009883ADFC00017DEC001040816BE41DEFFFF04F6
:2000D000000B883A010003C4DFC0001508102900000B883A01001844DFC00017DEC0010403
:2000D80008102901DEFFFD04DC000015040020B4842577048140008301000144DFC00215BB
:2000D80008102901DEFFFD04DC000015040020B484257D048140008301000144DFC00215B5
:2000E000DC40011508102900808000838100030300FFFEC41004D1FA20C6703A044001040A
:2000E8001085883A1085883A1884B03A01400F448809883A8080030508102900010000444F
:2000F000081031C08809883A014007440810290001400C04010018440810290001402C84E7
@ -40,7 +40,7 @@
:20013000050000441021883A9D00021E0486000400000106048C000401400044010003C440
:2001380008102900000B883A01000CC408102900900AD23A01000D04048020B40810290036
:20014000000B883A01000D440810290081403FCC01000C0408102900800AD23A01000C443A
:2001480094A5770429403FCC08102900800AD43A01000C8408102900000B883A010003C42F
:2001480094A57D0429403FCC08102900800AD43A01000C8408102900000B883A010003C429
:2001500008102900000B883A0100314408102900954002159D000226040002840000010688
:200158000400008400800044940001C5908001058C403FCC050000448D0002260005883A2F
:2001600000000106008003C401003804908001450140300408102900047FFF040100164407
@ -76,7 +76,7 @@
:20025000DC40011508102900044030448809883A0810274084003FCC800AC03A10803F8C23
:200258008809883A114AB03A08102900014000C401003184DFC00217DC400117DC00001713
:20026000DEC0030408102901DEFFF904DCC00315DC800215DC4001152025883A2823883A50
:20026800010001040140074404C020B49CE57704DD000415DC000015DFC006153821883A94
:20026800010001040140074404C020B49CE57D04DD000415DC000015DFC006153821883A8E
:20027000DD4005153029883A0810290098C0030381C0004C00BFFF441884703A39CF883ADE
:2002780011CEB03A99C00305988003171080008C10000226010000440810928001001C0426
:200280009C0000C308102740108000CC8D4000CC00C00044100B883AA8C0052600C00084D3
@ -95,17 +95,17 @@
:2002E80001000104081029000400190401000384081027401080040C1000321E0100004442
:2002F000081031C080BFFFC41021883A10BFFFCC103FF61E00002B06053FE8048C400C0CAE
:2002F800008008048880081E018000C4D16000C401001CC408102B40014020B40180048470
:2003000029610A840000090600800C0488800B1E018000C4D16000C401001CC408102B4057
:20030800014020B4018004842961018401001D8408102B4004000084003FA306008004048B
:200310008880081E018000C4D160000401001CC408102B40014020B4018004842960FD0419
:20031800003FF206018000C4D160000401001CC408102B40014020B40180048429610604FE
:20030000296110840000090600800C0488800B1E018000C4D16000C401001CC408102B4051
:20030800014020B4018004842961078401001D8408102B4004000084003FA3060080040485
:200310008880081E018000C4D160000401001CC408102B40014020B4018004842961030412
:20031800003FF206018000C4D160000401001CC408102B40014020B40180048429610C04F8
:20032000003FEA06000B883A01000304081029000140100401000344081029000140004415
:200328000100038408102900000B883A0100038408102900081033C000800044DFC00617CB
:20033000DD400517DD000417DCC00317DC800217DC400117DC000017DEC00704F800283A27
:20033800DEFFFD04DC400115DC0000152823883A2021883A000B883A010003C4DFC0021549
:200340000810290001001644081027408C403FCC10800BCC8800051E81403FCC280A91BA50
:2003480029400414114AB03A00000106100B883A29403FCC01001644DFC00217DC40011730
:20035000DC000017DEC0030408102901008020B410A2CD0410C03217D0A25E15180005269B
:20035000DC000017DEC0030408102901008020B410A2D30410C03217D0A25E151800052695
:2003580010C03517008040041880021E0005883AF800283A00BFCE04F800283ADEFFFD0403
:20036000DC400115280F883A2823883A200B883AD1225E17DC000015DFC002153021883A36
:200368000817080010000B1E800D883A8463883A34400626308000031005C2721005D63A5C
@ -121,87 +121,87 @@
:2003B8008009883A0810D7C010000A1E800D003A880B883A9809883A081723C084004004AA
:2003C000003FF1061500021E0005883A0000010600BFCD04DFC00617DD400517DD00041767
:2003C800DCC00317DC800217DC400117DC000017DEC00704F800283ADEFFFD04DC000115F0
:2003D0002021883A010020B421257B04DFC002150815BFC010803FCC1000091E0080800448
:2003D800010020B4D8800015000F883A000D883A800B883A21257B040815E18010803FCCF8
:2003E000DFC00217DC000117DEC00304F800283A014020B4010020B42965890421257E0485
:2003E80008146341014020B4010020B429659F042125824408146341DEFFFB04DFC00415BA
:2003D0002021883A010020B421258104DFC002150815BFC010803FCC1000091E0080800442
:2003D800010020B4D8800015000F883A000D883A800B883A212581040815E18010803FCCF2
:2003E000DFC00217DC000117DEC00304F800283A014020B4010020B429658F042125840479
:2003E80008146341014020B4010020B42965A5042125884408146341DEFFFB04DFC00415AE
:2003F000DC000015DCC003152021883ADC800215DC40011508107080000B883A0009883A00
:2003F80084003FCC0810868080002B1E040020B484258E048140011701006734213F300453
:200400000816150081000287014006841023883A081620C000C020B418E5BF8410C5883A76
:200408001140000B8809883A044020B4081620C0814004031009883A8C65A344081620C096
:2003F80084003FCC0810868080002B1E040020B4842594048140011701006734213F30044D
:200400000816150081000287014006841023883A081620C000C020B418E5C58410C5883A70
:200408001140000B8809883A044020B4081620C0814004031009883A8C65A944081620C090
:20041000814003031009883A29400044081620C0810003838CC009438C800903100B883AEB
:2004180021000044081620C0980D883A900B883A1009883A0810488089000903DFC0041793
:20042000DCC00317DC800217DC400117DC000017DEC0050408100F81DFC00417DCC00317AB
:20042800DC800217DC400117DC000017DEC00504F800283ADEFFFB04DC0001152021883A46
:2004300001000044DFC00415DC800315DC4002150810928008106C80000B883A0009883A42
:20043800081073C084003FCC800F003A000D883A000B883A010000440810988080000D1E45
:20044000044020B48C658E04048020B4888003C394A5A34491C00503D8800015000D883A26
:20044000044020B48C659404048020B4888003C394A5A94491C00503D8800015000D883A1A
:20044800000B883A0009883A081005C09080050388800AC58009883A0810FB800009883A87
:20045000DFC00417DC800317DC400217DC000117DEC0050408109281DEFFFD04DFC00215CD
:20045800DC400115DC00001521003FCC2000271ED0A2610300C0020410C01D2600C0040459
:2004600010C0062600C0010410C01C1E0009883A0814F4800100004400002E06040020B405
:2004680084258E048140011701006734213F30040816150081000287014006841023883A33
:20047000081620C000C020B418E5BF8410C5883A1140000B8809883A081620C000C072B470
:20046800842594048140011701006734213F30040816150081000287014006841023883A2D
:20047000081620C000C020B418E5C58410C5883A1140000B8809883A081620C000C072B46A
:2004780018F0DFC418800236010003C4000001060009883A0814F480000015060009883AD9
:200480000814F4800009883A00001206008020B410A5A34410C00783044000841021883AE4
:200480000814F4800009883A00001206008020B410A5A94410C00783044000841021883ADE
:200488001C4002260009883A00000106010003C40814F4808100078320803FCC8880042EB6
:20049000008001441109C83A21003FCC00000106010000C4DFC00217DC400117DC00001794
:20049800DEC0030408149241DEFFF50400C020B4DFC00A15DF000915DDC00815DD80071558
:2004A000DD400615DD000515DCC00415DC800315DC400215DC0001150089C40418C434045A
:2004A80019800037054020B4AD4434043000020E10BFFFC4103FFA1E29403FCC21003FCC49
:2004B000040020B40815418084258E04102D883A808002C310000426AC4000378823D43A61
:2004B000040020B40815418084259404102D883A808002C310000426AC4000378823D43A5B
:2004B8008C4000CC000001060023883A01000DC40814A0C001000E041027883A0814A0C0CA
:2004C0001025883A108003CC1004923A01000E4414E6B03A9004D1BA1080004C808002456D
:2004C8000814A0C001000E841029883A0814A0C0A8C00037010000848C403FCC89000E26D6
:2004D000010020B421258E04210002C32000021E010063C424C0082E010000448900041E07
:2004D000010020B421259404210002C32000021E010063C424C0082E010000448900041E01
:2004D8001827D4BA9CC1FFCC9927883A000005069480080C9000032698C032280540004466
:2004E0000000020698C06428002B883A814002C3048020B4B1003FCC94A5A3442800131E10
:2004E0000000020698C06428002B883A814002C3048020B4B1003FCC94A5A9442800131E0A
:2004E8002000212618002026D0E25F030140008419003FCC2100201C213FE0042900070E53
:2004F00000C020B40100004418E58E04D0225F05190002C5044001040000160618C00044CD
:2004F00000C020B40100004418E59404D0225F05190002C5044001040000160618C00044C7
:2004F800D0E25F050023883A000012062000012618000D1ED1225F03017FFF0421803FCCC3
:200500003180201C31BFE0043140040ED0225F05800002C50440010400000506213FFFC483
:20050800D1225F0500000106D0225F050023883A18008726108003CC1004923A80C00017DF
:200510001528B03A19000044008020B410A58E0424C007369900004420C0053610C00117AB
:200510001528B03A19000044008020B410A5940424C007369900004420C0053610C00117A5
:20051800A0C0031E10C00203A8803FCC18800226D02264C500000C06D0E264C300800044B0
:2005200019003FCC208008261887883AD0E264C518C03FCC1880041E88803FCC00C0008499
:2005280010C0012E1823883A9140028380800843010020B400C020B42125A34418E58E04F1
:2005280010C0012E1823883A9140028380800843010020B400C020B42125A94418E59404E5
:200530002880281E214002C3188008832880251E21400303188008C32880221E2140034330
:200538001880090328801F1E214003831880094328801C1E21400143188007032880191E47
:2005400021400183188007432880161E214001C3188007832880131E21400203188007C310
:200548002880101E210002431880080320800D1E914005C381000B8300C020B4008020B459
:2005500018E5A34410A58E042900061E1940060311000BC32900031E18C0088310800E4344
:2005500018E5A94410A594042900061E1940060311000BC32900031E18C0088310800E4338
:200558001880042688803FCC00C0008410C0012E1823883A90C0054380800B0318800F26FB
:20056000008020B410A58E041100028701400684081620C000C020B418E5C00410C5883A91
:20056000008020B410A594041100028701400684081620C000C020B418E5C60410C5883A85
:2005680010C0000B008083441880041E88803FCC00C0008410C0012E1823883AD0A265C3AA
:200570001000202685C0028701400684058020B4B809883A081620C0B5A5BC0487000403FA
:200570001000202685C0028701400684058020B4B809883A081620C0B5A5C20487000403F4
:20057800B085883A1140038BE009883A081620C0173FFFCC80800B83A0FFFFCC10000A268B
:2005800001400684B809883AD8C00015081620C0B085883A1180060BD8C00017300CD07A94
:200588003180004C00000106000D883A180B883AE009883A0814C7C088803FCC1000011E0B
:200590000440004484C00015850001158540020591400003810005C300C020B4008020B4FE
:2005980018E5A34410A58E042900181E19400043110006032900151E194000831100064371
:2005980018E5A94410A594042900181E19400043110006032900151E194000831100064365
:2005A0002900121E194000C31100068329000F1E19400403110009C329000C1E19400443A6
:2005A80011000A032900091E1940048311000A432900061E194003C3110009832900031E34
:2005B00018C008C310800E831880032688803FCC1000011E044000449100058380800B4375
:2005B80004C020B49CE58E0420800626994004030814FE409880044588803FCC1000011ECF
:2005B80004C020B49CE5940420800626994004030814FE409880044588803FCC1000011EC9
:2005C000044000449100068380800C432080012608150F40910006C380800C832080012647
:2005C8000814C0009100070380800CC3208001260814C0C0910007C380800D832080071EBA
:2005D000008020B410A5A34410C00803008020B410A58E0410800DC31880022691400803A9
:2005D000008020B410A5A94410C00803008020B410A5940410800DC318800226914008039D
:2005D8000814BCC09100064380800C032080062601400604081620C0010020B42120300423
:2005E0002089883A0814E3009100078380800D4320800126081115809100074380800D03C6
:2005E800208001260814F900014020B4010020B40180018429659D842125AD040816234000
:2005F0001000031E010020B421259D840814C18090C0090380800EC31880071E008020B4E3
:2005F80010A5A34410C00943008020B410A58E0410800F0318800226910004C30810FB8043
:2005E800208001260814F900014020B4010020B4018001842965A3842125B30408162340F4
:2005F0001000031E010020B42125A3840814C18090C0090380800EC31880071E008020B4DD
:2005F80010A5A94410C00943008020B410A5940410800F0318800226910004C30810FB8037
:20060000D0A26603100009269100098380800F4320C03FCC18800526213FFD0421003FCC16
:200608002100201C213FE004081445C0014020B4010020B401800B442965A344212593C444
:200608002100201C213FE004081445C0014020B4010020B401800B442965A944212599C438
:20061000081626408805883AD02265C5DFC00A17DF000917DDC00817DD800717DD400617AB
:20061800DD000517DCC00417DC800317DC400217DC000117DEC00B04F800283ADEFFF0049B
:20062000DC400715044020B48C658E048A40028701400684DF000E154809883ADD400B1577
:20062000DC400715044020B48C6594048A40028701400684DF000E154809883ADD400B1571
:20062800DD000A15DCC00915DA400215DFC00F15DDC00D15DD800C15DC800815DC0006155B
:20063000081620C0054020B488C005C3AD65BC04A885883A010000848F00040312C00503CD
:20063000081620C0054020B488C005C3AD65C204A885883A010000848F00040312C00503C7
:200638001500030B14C004C3DA4002171900031E8C8006039480004400000E0601000044B1
:2006400019000B1E10C0060B1906703A890003031800032620000626048000C4000005063F
:2006480020000326148005C394800118000001060025883A88C0050B008020B4010200041F
:2006500010A58E0419001B2620C00D360100080419001A2620C003360080040418801526EC
:2006500010A5940419001B2620C00D360100080419001A2620C003360080040418801526E6
:200658000000310601004004190019260100800419002D1E10800983040001040000160684
:20066000010800041900162620C00536010400041900251E108009830400014400000E061F
:200668000110000419001326012000141900162600001D060400010400001E061400098391
@ -227,21 +227,21 @@
:200708001006923A88800A431004913A1884B03A88C0064310C4B03A00C020B418C424044E
:2007100018800035DFC00F17DF000E17DDC00D17DD800C17DD400B17DD000A17DCC00917F9
:20071800DC800817DC400717DC000617DEC01004F800283ADEFFF304DC000415040020B466
:2007200084258E04DC4005158440011700800044DFC00C15DDC00B15DD800A15DD40091574
:2007200084259404DC4005158440011700800044DFC00C15DDC00B15DD800A15DD4009156E
:20072800DD000815DCC00715DC800615D0A264C58800162684C00017980014260100673460
:20073000880B883A213F3004081615001025883A8080020301283BF4980B883A212EC004C1
:200738001000042608161500880B883A1009883A0000030608161500880B883A1089883A18
:20074000081615001023883A000002060445DC04048F550401000EC40814A0C001000F04F1
:200748001027883A0814A0C08080058300C0207418DD97041085883A1085883A1885883AA3
:2007500011C000178080020380C000171000021E00801A440000010600801C04018020747B
:20075800010020B4D8C00015319D4F040140044421258244D8800115081628C00140190477
:20075800010020B4D8C00015319D4F040140044421258844D8800115081628C00140190471
:200760008809883A081615000140FA049009883A1029883A08161500014019048809883A7A
:20076800102B883A08161B400140FA049009883AD8800215DD00011508161B4001400284BF
:200770001009883A0816150001802074010020B4D8800015A80F883A319D510401400444DF
:2007780021259F04081628C0D0A26B831000011E0810FA4081000017D1E265838140020398
:20078000A00D883A044020B40815488011003FCC2100201C213FE00400FFFFC48C658E04EB
:200778002125A504081628C0D0A26B831000011E0810FA4081000017D1E265838140020392
:20078000A00D883A044020B40815488011003FCC2100201C213FE00400FFFFC48C659404E5
:2007880020C0011E00800104888002858480028301400684054020B4D4A2600594803FCCD7
:200790009480201C94BFE0049009883A081620C0AD65BC04A885883AD0E265831440058332
:200790009480201C94BFE0049009883A081620C0AD65C204A885883AD0E26583144005832C
:20079800858004038500011788E2703AD462610515C0038BB1403FCC9CC03FCCB93FFFCC5F
:2007A000081620C09809883A100B883A081620C0A00B883A1009883A08161500B1003FCCC6
:2007A800B80B883A1027883A081620C015BFFFCC80800B838C403FCCA53FFFCC10000826C4
@ -249,24 +249,24 @@
:2007B800000F883A80800CC39CC03FCCA00D883AD880031580800DC3B00B883A8809883A9B
:2007C000D880021580800D83DCC00015D880011508151BC081000D430811158081000B43B5
:2007C800814004030814FE4080800445081187C080800A83810003831000051E008020B42B
:2007D00010A58E04114003C3290B003A00000106000B883A0810CE0080C00E03008020B4DE
:2007D80010A58E0481000A8318000B26DFC00C17DDC00B17DD800A17DD400917DD0008172B
:2007D00010A59404114003C3290B003A00000106000B883A0810CE0080C00E03008020B4D8
:2007D80010A5940481000A8318000B26DFC00C17DDC00B17DD800A17DD400917DD00081725
:2007E000DCC00717DC800617DC400517DC000417DEC00D0408110B412000061E11C00AC3A1
:2007E800108003C3000D883A000B883AD8800015081005C0DFC00C17DDC00B17DD800A17B6
:2007F000DD400917DD000817DCC00717DC800617DC400517DC000417DEC00D04F800283A45
:2007F800D0A26443DEFFFE04DFC00115DC00001510000426008020B410A58E041080058356
:20080000000001060005883AD122640300C020B418E586841885883A1100000521003FCC74
:2007F800D0A26443DEFFFE04DFC00115DC00001510000426008020B410A594041080058350
:20080000000001060005883AD122640300C020B418E58C841885883A1100000521003FCC6E
:2008080008140F401021883A1000021E010003C40813F2C08005883ADFC00117DC000017BC
:20081000DEC00204F800283AD0A26443DEFFFE04DFC00115DC00001510000426008020B49E
:2008180010A58E0410800583000001060005883AD122640300C020B418E586841885883A3F
:2008180010A5940410800583000001060005883AD122640300C020B418E58C841885883A33
:200820001100000521003FCC0813F2C01021883A1000021E010003C40813F2C08005883AAA
:20082800DFC00117DC000017DEC00204F800283ADEFFFD04DC000015D4226003DC400115AE
:2008300001400684D422648584003FCC8009883A044020B4DFC002158C65BC04081620C0A7
:2008300001400684D422648584003FCC8009883A044020B4DFC002158C65C204081620C0A1
:200838008885883A10C0038B014006848009883AD0E2638D10C00503D0E2668D10C00483E7
:20084000D0E25F8D10C0028BD0E2630D10C00543D0E2618D108004C3D0A2650D081620C08A
:200848008885883A1080030BD0A2628DDFC00217DC400117DC000017DEC00304F800283AE4
:20085000DEFFFA04008020B4DD000415DCC00315DC800215DC400115DC000015DFC0051560
:2008580010A58E0410C002C3040020B42029883AD46264838425BC04D4E25F8BD4A2650BB5
:2008580010A5940410C002C3040020B42029883AD46264838425C204D4E25F8BD4A2650BA9
:20086000180021261080028714401F1E8809883A01400684081620C08085883A1100038BED
:20086800D0E2638B20C0161E11000503D0E2668B20C0131E1100048398C03FCC20C0101EE6
:200870001100028BD0E2630B20C00D1E11000543D0E2618B20C00A1E10C004C390803FCCEE
@ -274,7 +274,7 @@
:2008800000800044D0A265C58809883A01400684081620C0D0E2638B8085883A8809883A22
:2008880010C0038DD0E2668B14C004850140068410C00505D0E2630B10C0028DD0E2618B2E
:20089000148004C510C00545081620C0D0E2628B01802074010020B48085883AA1FFFFCC18
:20089800319E8504014004442125890410C0030DDFC00517DD000417DCC00317DC800217CE
:20089800319E85040140044421258F0410C0030DDFC00517DD000417DCC00317DC800217C8
:2008A000DC400117DC000017DEC00604081628C1DEFFFE04DC000015040020B4DFC0011505
:2008A80084043C04008004448080003580000035008020B41084300410000035008020B401
:2008B00010842804100000350109C4040816BE4000803444D0A2620D00803444808000352F
@ -282,43 +282,43 @@
:2008C0002104180408172B00081454C008148AC0100026260009883A0814A0C000C03FC491
:2008C80010C0242608150640010000840810274010803FCC00C004C410C0201E08103640D0
:2008D000081449401000022600800044D0A266050810D4C01021883A10001A1E0812B5C014
:2008D80001402074010020B401800D84295E05842125AE8408162640010003C408140F4005
:2008D80001402074010020B401800D84295E05842125B48408162640010003C408140F40FF
:2008E000D122640308140F40008020B41084380410800037108000AC1000011E0812BAC049
:2008E8000009883A08110B4000000706043FFF8400000506043FFF4400000306043FFF040E
:2008F00000000106043FFFC48005883ADFC00117DC000017DEC00204F800283AD0A26043D7
:2008F80000E00004DEFFF1041080004410803FCC1008933AD0A2620BDC000515040020B429
:2009000010C4B03A2084B03AD0A2620DDFC00E15DF000D15DDC00C15DD800B15DD400A1570
:20090800DD000915DCC00815DC800715DC400615D900030D10BFFFCC84043C0480800035EC
:2009100001802074010020B4319D57040140044421258904081628C00810F900054020B428
:2009180004C020B4058020B405C020B400800044AD4438049CE5AE84B5A58904BDC4200405
:2009100001802074010020B4319D57040140044421258F04081628C00810F900054020B422
:2009180004C020B4058020B405C020B400800044AD4438049CE5B484B5A58F04BDC42004F9
:20092000AC4000378C7FFFCC1000611E8800602698C0058BD0A2620B88C0551E00F3FFC4E9
:200928001884703AD0A2620D10BFFFCC80800035B00000050810F900010001B42106A00472
:200930000816BE40D0A2620BD8C0030B1090001410C4B03AD0A2620D10BFFFCC80800035E4
:200938000100FA040815FF4007000044081603001700021EBC800037903FFC0E0816050032
:2009400090BFFFC410BFFFCC00FFFF541880072E01802074010020B4319D5A04014004442D
:2009480021258904081628C000002F069038D43A953FFFCC0083FFC4E703FFCC01401904B3
:2009480021258F04081628C000002F069038D43A953FFFCC0083FFC4E703FFCC01401904AD
:20095000A009883AE0800F1E08161500A009883A01401904173FFFCC08161B4010BFFFCC5F
:2009580001802074010020B4D8800015E00F883A319D5C040140044421258904081628C0E7
:2009580001802074010020B4D8800015E00F883A319D5C040140044421258F04081628C0E1
:20096000000019060816150011FFFFCC01401904E009883AD9C0041508161B4010BFFFCC7C
:2009680001401904E009883AD88002150816150010BFFFCC01401904A009883AD8800115F3
:2009700008161B40D9C0041710BFFFCC01802074010020B4D8800015319D5F04014004448F
:2009780021258904081628C00810F9000000020698C0060B88C00A26D0E2620B00AFFFC4FB
:2009780021258F04081628C00810F9000000020698C0060B88C00A26D0E2620B00AFFFC4F5
:200980001884703AD0A2620D10BFFFCC808000350109C4040816BE408805883A003F980647
:200988001083FFCCD0A2620D808000350005883ADFC00E17DF000D17DDC00C17DD800B170E
:20099000DD400A17DD000917DCC00817DC800717DC400617DC000517DEC00F04F800283A9B
:20099800DEFFFF04DFC000150811C7400814B800008020B410A5A344110004C3DFC0001739
:20099800DEFFFF04DFC000150811C7400814B800008020B410A5A944110004C3DFC0001733
:2009A000DEC0010408110B41DEFFF504DFC00A15DF000915DDC00815DD800715DD40061533
:2009A800DD000515DCC00415DC800315DC400215DC000115081229001000201600801404C9
:2009B00001802074010020B4000F883A319D64040140044421258244D8800015081628C02E
:2009B80001402074010020B401800444295D700421259F04081626400810FA4001000234BC
:2009A800DD000515DCC00415DC800315DC400215DC00011508122900100020160080144489
:2009B00001802074010020B4000F883A319D64040140044421258844D8800015081628C028
:2009B80001402074010020B401800444295D70042125A504081626400810FA4001000234B6
:2009C0002128480405C020B4050020B405802074054020B40816BE400021883A0027883AF6
:2009C8000023883A0025883ABDC43804A5258E04B59D9704AD65A34400003A0601802074EF
:2009D000010020B4100F883A319D69040140044421258244081628C001402074010020B4D1
:2009D80001800444295E940421259F0408163A400810FA40003FFF0610FFFF8418C03FCC8B
:2009C8000023883A0025883ABDC43804A5259404B59D9704AD65A94400003A0601802074E3
:2009D000010020B4100F883A319D69040140044421258844081628C001402074010020B4CB
:2009D80001800444295E94042125A50408163A400810FA40003FFF0610FFFF8418C03FCC85
:2009E000010000C420C0302ED0E2680310C02E260812D540D0A26B83100002260009883A21
:2009E8000813A6C0D0A260C3A1000583070020B410C03FCCE7258E0420C0251EA8C004C30A
:2009E8000813A6C0D0A260C3A1000583070020B410C03FCCE725940420C0251EA8C004C304
:2009F000E0800A8318800B26000F883A000D883A000B883A0009883AD8000015081005C02F
:2009F800A90004C308110B40A88004C3A0000115A0800A85008020B410A5A344108004C370
:2009F800A90004C308110B40A88004C3A0000115A0800A85008020B410A5A944108004C36A
:200A000010009726E08005831000A11ED0A26A1701004B04D0A26715D0A26B03D0A2680562
:200A08000816BE40B880003710FFFFCCD0E269150086303A1004D63A18C000ECD0E26A1530
:200A1000D0A26B05103FCC1ED0226915003FD00610BFFFC410803FCC0100020420804036DC
@ -330,43 +330,43 @@
:200A40000023883A003FEE060080040404000044D0A265858027883A00000F06008003C48D
:200A4800D0A265850021883A00000A0604000084008003C4D0A2658504C000448023883AA7
:200A50008025883A00000606008003C4D0A26585040000C404C00044044000848825883A69
:200A5800D0A2644310000926008020B410A5868410C7883A18800003D0E2640311003FCCAA
:200A5800D0A2644310000926008020B410A58C8410C7883A18800003D0E2640311003FCCA4
:200A600020C00226D0A2640508140F40D0A260C3E1400D43E00002C5E080058500C000448D
:200A680028803FCC89003FCC1880042E00800144114BC83A29403FCC00000106014000C4BA
:200A7000081499000814AF4008107080D0A266031000022699003FCC081441C081403FCCFE
:200A780091003FCC08152E00E0800583010020B4018004441085883A1085883AB085883A4C
:200A80001140001721258244E000011508163A4001402074010020B401800444295D6D04EA
:200A880021259F0408163A40D0A26B831000011E0810FA40D0E260830080028418BF5F1EFD
:200A80001140001721258844E000011508163A4001402074010020B401800444295D6D04E4
:200A88002125A50408163A40D0A26B831000011E0810FA40D0E260830080028418BF5F1EF7
:200A9000D0A269171000031ED0A26A171080006C103F5A26010003C40813F2C0003F570634
:200A9800A9C00503E0800AC338BF6626A08003C3000D883A000B883AD88000150009883A63
:200AA000081005C0A8800503A0800AC5003F5D0681403FCC91003FCC0811268010803FCCD6
:200AA80000C0008410C0252600C0010410C0032600C0004410FF551E00002406A08002C37C
:200AB00010000926D0A2620B00C020B418C43C0410800094D0A2620D10BFFFCC18800035EC
:200AB80008126600003F4906A00001150814AF40A0800583010020B4018004441085883AB2
:200AC0001085883AB085883A114000172125824408163A4001402074010020B40180044449
:200AC800295D6D0421259F0408163A40D0A26B83103F361E0810FA40003F3406A08002C3E3
:200AC0001085883AB085883A114000172125884408163A4001402074010020B40180044443
:200AC800295D6D042125A50408163A40D0A26B83103F361E0810FA40003F3406A08002C3DD
:200AD000103F32260811C740003F3006A08002C3103F2E26081187C0003F2C06014020749C
:200AD800010020B4DEFFFF0401800B44295DA2042125A344DFC0001508162640014020B4D3
:200AE000010020B40180B60429603C042125BC040816264000800044D0A265C50005883A6C
:200AD800010020B4DEFFFF0401800B44295DA2042125A944DFC0001508162640014020B4CD
:200AE000010020B40180BC8429603C042125C2040816264000800044D0A265C50005883AE0
:200AE800DFC00017DEC00104F800283ADEFFF804DD000415DCC00315DC80021505002074AC
:200AF000048020B404C020B4DD800615DC000015DFC00715DD400515DC400115002D883A7A
:200AF8000021883AA51E1304948438049CE5AE8401402074010020B401800444295DAE046F
:200B000021257E0408163A408405883A1085883AA085883A11400017010020B401800444E6
:200B08002125890408163A400810F9000023883A054000849080003710BFFFCCD0A26915D2
:200AF8000021883AA51E1304948438049CE5B48401402074010020B401800444295DAE0469
:200B00002125840408163A408405883A1085883AA085883A11400017010020B401800444E0
:200B080021258F0408163A400810F9000023883A054000849080003710BFFFCCD0A26915CC
:200B100090C0003700C6303A18C000ECD0E26A1510001A26B08019268407883A98C7883AEC
:200B180088000A1E01402074010020B401800444295DB00421257E041880000D08163A405B
:200B180088000A1E01402074010020B401800444295DB004212584041880000D08163A4055
:200B20000810F9000440004400000C0618C0000B10C0092601402074010020B401800444B5
:200B2800295DB20421257E0408163A400810F9000023883A0000010604400084D0A267175C
:200B2800295DB2042125840408163A400810F9000023883A0000010604400084D0A2671756
:200B300010000F1ED0E26A170080007418800C1E8000091E01402074010020B401800D841C
:200B3800295E05842125AE840816264004400084040006C400000206843FFF8404400084E5
:200B3800295E05842125B4840816264004400084040006C400000206843FFF8404400084DF
:200B4000D0A26A17D5A26917D0A267158D4003260109C4040816BE40003FC6068400004406
:200B480000800684143FB20E010003C4DFC00717DD800617DD400517DD000417DCC003178A
:200B5000DC800217DC400117DC000017DEC008040813F2C1DEFFEF04D88009C4D88001150E
:200B5800008020B410A5A5C410C00044D8C003150140207410C00084D8800215D8C00415FE
:200B5800008020B410A5ABC410C00044D8C003150140207410C00084D8800215D8C00415F8
:200B6000018001C410C000C4295E03C410800104D9000804DFC01015DD400F15DD000E15CE
:200B6800DCC00D15DC800C15DC400B15DC000A15D80009C5D8C00515D8C00615D88007157C
:200B700008162640D1226917014020B40005883A2965AE84018006841087883A1947883A4C
:200B780018C0000B044020B48C65AE84190003261181122610800044003FF70600C00684D9
:200B700008162640D1226917014020B40005883A2965B484018006841087883A1947883A46
:200B780018C0000B044020B48C65B484190003261181122610800044003FF70600C00684D3
:200B800018810E36100490BA00C0207418CB870410C5883A108000171000683A00812E8831
:200B880000812EA400812EB400812E9000812EAC00812EBC00812E9C0081323C00812EC4E5
:200B900000812ECC00812ED40081323C0081323C0081323C0081323C0081323C0081323CAD
@ -374,36 +374,36 @@
:200BA000008131F800813148008000440000010600800084D0A260C50000E806008000C4F9
:200BA800003FFC0600800104003FFA0600800144003FF80600800184003FF606008001C4A1
:200BB000003FF40600800204003FF20600800244003FF006D0A26B831007003AD0E26B85E1
:200BB8001000031E010000440813A6C00000D3060810FA400000D106008020B410A58E0489
:200BC0001100028701400684081620C001C020B439E5BC0401802074010020B4388F883ACC
:200BC800319DB6040140044421257E04081628C0008020B41084340411C00037108000373F
:200BB8001000031E010000440813A6C00000D3060810FA400000D106008020B410A5940483
:200BC0001100028701400684081620C001C020B439E5C20401802074010020B4388F883AC6
:200BC800319DB6040140044421258404081628C0008020B41084340411C000371080003739
:200BD000018020741005D43A39C1FFCC010020B4108000CC39C00044319DB9040140044486
:200BD80021258904D8800015081628C00810F9000000B206D0A2620B00C020B418C43C045F
:200BE0001080041CD0A2620D10BFFFCC188000350000AA0600C020B418E5A344188000033A
:200BD80021258F04D8800015081628C00810F9000000B206D0A2620B00C020B418C43C0459
:200BE0001080041CD0A2620D10BFFFCC188000350000AA0600C020B418E5A9441880000334
:200BE8000140004411003FCC290002361145883A000001060005883A1880000500009F06C3
:200BF00000C020B418E5A344188000430140004411003FCC290002361145883A0000010671
:200BF8000005883A1880004500009406008020B410A5A34410C0008319003FCC20000126F1
:200C000018FFFFC410C0008500008C0600C020B418E5A344188000830140038411003FCC9C
:200BF00000C020B418E5A944188000430140004411003FCC290002361145883A000001066B
:200BF8000005883A1880004500009406008020B410A5A94410C0008319003FCC20000126EB
:200C000018FFFFC410C0008500008C0600C020B418E5A944188000830140038411003FCC96
:200C0800290002361080004400000106008003C4188000850000810601402074010020B4FB
:200C100001800444295DBD0421257E0408163A4001402074010020B401800444295DC10496
:200C18002125890408163A40048020B4050020B4054020B40810F9009484380404C006C414
:200C2000A525BC04AD658E04908000370021883A10BFFFCC8407883A1C47883A18C0000B6D
:200C100001800444295DBD042125840408163A4001402074010020B401800444295DC10490
:200C180021258F0408163A40048020B4050020B4054020B40810F9009484380404C006C40E
:200C2000A525C204AD659404908000370021883A10BFFFCC8407883A1C47883A18C0000B61
:200C280010C002268400004484FFFA1EA900028701400684081620C0A085883A108005C317
:200C300000C0014418803F3600C001041C001916D90008042087883A18C000031C07D83A24
:200C380018C0004C180007261085883A1085883AD8C001041885883A1080001714000005C9
:200C40000000300601802074010020B481C00044319DC4040140044421258904081628C0F7
:200C40000000300601802074010020B481C00044319DC4040140044421258F04081628C0F1
:200C48000810F90001000234212848040816BE400000240600800304808022260109C404C8
:200C50000816BE40003FD00601402074010020B401800444295DC80421257E0408163A402E
:200C580001402074010020B401800444295DCC042125890408163A40040020B40810F9005F
:200C50000816BE40003FD00601402074010020B401800444295DC8042125840408163A4028
:200C580001402074010020B401800444295DCC0421258F0408163A40040020B40810F90059
:200C600084043804048006C404C0024405000304808000370009883A10BFFFCC2107883AC6
:200C68001C47883A18C0000B10C01D1E99001F16014002842100004408160DC0D0A2640599
:200C70000811FE000810FA40D0226B8500001B0600C020B418E5A344188005830140078494
:200C780011003FCC290002361080004400000A060005883A0000080600C020B418E5A344AE
:200C70000811FE000810FA40D0226B8500001B0600C020B418E5A94418800583014007848E
:200C780011003FCC290002361080004400000A060005883A0000080600C020B418E5A944A8
:200C80001880058311003FCC2000022610BFFFC400000106008007C41880058500000706BD
:200C88002100004424BFDD1E00000106253FE5260109C4040816BE40003FD506D0A267179B
:200C90001000191ED0E26A171880006C10000A26008020B410A58E0410800583010002448C
:200C90001000191ED0E26A171880006C10000A26008020B410A59404108005830100024486
:200C980011403FCC29000226108000440000010600800044D0A260C518C000AC18000A268D
:200CA00000C020B418E5A344188000030140004411003FCC290002361145883A0000010600
:200CA00000C020B418E5A944188000030140004411003FCC290002361145883A00000106FA
:200CA8000005883A18800005DFC01017DD400F17DD000E17DCC00D17DC800C17DC400B1740
:200CB000DC000A17DEC01104F800283ADEFF6B04D9000304DC008C15DFC09415DDC09315E4
:200CB800DD809215DD409115DD009015DCC08F15DC808E15DC408D150810F3801021883A58
@ -415,20 +415,20 @@
:200CE800D8808B171005C232D8808915D8808217D8808B151005C232D8808A1500C078844E
:200CF00028BFF9841880093601800044D9000304081723C0D8C08A1710C00626040019842C
:200CF80000002D060400190400002B06040019440000290601802074010020B4319E5D04AD
:200D00000140044421257E04081628C0D9C0881701802074010020B4319E6104014004449D
:200D080021258904081628C00810F900DD008817DD8089170023883A0005883A05C0800473
:200D00000140044421258404081628C0D9C0881701802074010020B4319E61040140044497
:200D080021258F04081628C00810F900DD008817DD8089170023883A0005883A05C080046D
:200D10008D00132EA465C83ABC80012E048080048D408004A80CD27A010020B4DC800015E0
:200D1800000F883AD940030421257B040815E18014003FCC8000081E880D003A900B883A96
:200D1800000F883AD9400304212581040815E18014003FCC8000081E880D003A900B883A90
:200D2000D9000304081723C0A823883A003FEC06B080761E00000206048000C400004906B0
:200D2800D8808487D9C08403D8C084431000C82600802074109DF70401802074010020B425
:200D3000D8C00015319E64040140044421257E04DCC00215D8800115081628C00140207472
:200D3800010020B401800444295E7A042125890408162640044020B4040020B40810F900A0
:200D40008C4438048425AE848880003780C0000B10BFFFCC10C0B11E0814AF40D0A2620BFF
:200D3000D8C00015319E64040140044421258404DCC00215D8800115081628C0014020746C
:200D3800010020B401800444295E7A0421258F0408162640044020B4040020B40810F9009A
:200D40008C4438048425B4848880003780C0000B10BFFFCC10C0B11E0814AF40D0A2620BF9
:200D480000C020B418C43C0410800094D0A2620D10BFFFCC188000350109C4040816BE4081
:200D500001402074010020B401800444295E670421257E0408163A40048000C401402074A1
:200D5800010020B401800444295E6A042125890408163A400810F9000027883A058080047A
:200D500001402074010020B401800444295E67042125840408163A40048000C4014020749B
:200D5800010020B401800444295E6A0421258F0408163A400810F9000027883A0580800474
:200D600005004004DC4088179C406E2E8CE3C83AB440012E044080049D408004A80DD27A39
:200D6800010020B4DC400015000F883AD940030421257B040815E18010803FCC10004E2612
:200D6800010020B4DC400015000F883AD9400304212581040815E18010803FCC10004E260C
:200D700000A1C83A0815F9C0008000448080362614000F1600BFCD4480802D26140005163F
:200D780000BFCD0480802D1E01402074295E540400002F0600BFCD848080222600BFCDC4EF
:200D80008080261E01402074295E4804000028060080198480801226140007160080190416
@ -436,41 +436,41 @@
:200D900000801A048080151E01402074295E43040000170601402074295E32040000140606
:200D980001402074295E3A04000011060815F9C0048000C401402074040019C4295E3E04ED
:200DA00000000B0601402074295E4C040000080601402074295E50040000050601402074D8
:200DA800295E59040000020601402074295E2E04010020B4212589040180044408163A40A8
:200DA800295E59040000020601402074295E2E04010020B421258F040180044408163A40A2
:200DB0000810F900010003F4211090040816BE4080004A0E9000492601802074010020B478
:200DB800319E76040140044421257E04081628C094BFFFC4003F99069827D23A880B883A67
:200DB800319E76040140044421258404081628C094BFFFC4003F99069827D23A880B883A61
:200DC000A440012E01404004980D883AD90003040810DEC01021883A103FAA1EA440023658
:200DC800A827883A003F970699800044897FC004D90043040810DEC01021883A103FF8263F
:200DD000003FA00601402074010020B401800444295E6E0421257E0408163A40014020747D
:200DD800010020B401800444295E6A042125890408163A400810F900D9808917D94088173B
:200DD000003FA00601402074010020B401800444295E6E042125840408163A400140207477
:200DD800010020B401800444295E6A0421258F0408163A400810F900D9808917D940881735
:200DE000D9C003040009883A0810E9001021883A103F8C1E0815F9C001402074010020B41B
:200DE80001800444295E7F0421257E040816264001402074010020B401800444295E72045C
:200DF0002125890408163A400810F900003FFF0600802074109E9404003F370680C0008B82
:200DE80001800444295E7F04212584040816264001402074010020B401800444295E720456
:200DF00021258F0408163A400810F900003FFF0600802074109E9404003F370680C0008B7C
:200DF80010C003260109C4040816BE40003F4606048000C404001A04003F720600BFFFC4C6
:200E0000DFC09417DDC09317DD809217DD409117DD009017DCC08F17DC808E17DC408D17EF
:200E0800DC008C17DEC09504F800283A21C03FCC01802074010020B4319E84040140044404
:200E100021258904081628C1DEFFFF040141194421003FCCDFC00015081620C0014019042D
:200E18001009883A0816058001802074010020B4100F883A319E86040140044421258904BC
:200E100021258F04081628C1DEFFFF040141194421003FCCDFC00015081620C00140190427
:200E18001009883A0816058001802074010020B4100F883A319E86040140044421258F04B6
:200E2000DFC00017DEC00104081628C121C03FCC01802074010020B4319E88040140044498
:200E280021258904081628C1014003F4DEFFFC042950900421003FCCDFC00315DC40021598
:200E280021258F04081628C1014003F4DEFFFC042950900421003FCCDFC00315DC40021592
:200E3000DC000115081620C0014018F4294BA8041009883A1021883A081615008009883AF9
:200E3800014659041023883A081615000140FA041009883A08161B40014002841009883A99
:200E40000816150001802074010020B4D8800015880F883A319E8B040140044421258904F5
:200E40000816150001802074010020B4D8800015880F883A319E8B040140044421258F04EF
:200E4800081628C0DFC00317DC400217DC000117DEC00404F800283ADEFFFF04014119C4A3
:200E500021003FCCDFC00015081620C0014019041009883A0816058001802074010020B4DE
:200E5800100F883A319E8E040140044421258904DFC00017DEC00104081628C121C03FCC90
:200E600001802074010020B4319E90040140044421258904081628C121003FCCDEFFFF04B6
:200E5800100F883A319E8E040140044421258F04DFC00017DEC00104081628C121C03FCC8A
:200E600001802074010020B4319E90040140044421258F04081628C121003FCCDEFFFF04B0
:200E680001409C4421000044DFC00015081620C0014019041009883A081605800180207441
:200E7000010020B4100F883A319E93040140044421258904DFC00017DEC00104081628C18A
:200E7000010020B4100F883A319E93040140044421258F04DFC00017DEC00104081628C184
:200E780021003FCC2100201C213FE00421FFFD0401802074010020B4319E95040140044491
:200E800021258904081628C1DEFFFF040140068421003FCCDFC00015081620C0014020B4DA
:200E88002965BC04010020B401800444288B883A21258904DFC00017DEC0010408163A4124
:200E800021258F04081628C1DEFFFF040140068421003FCCDFC00015081620C0014020B4D4
:200E88002965C204010020B401800444288B883A21258F04DFC00017DEC0010408163A4118
:200E900021003FCC2109883A008020B410A02A042109883A1109883A21400017010020B4E3
:200E9800018004442125890408163A41D0A26917DEFFF70401C020B4DFC00815DDC0071531
:200E98000180044421258F0408163A41D0A26917DEFFF70401C020B4DFC00815DDC007152B
:200EA000DD800615DD400515DD000415DCC00315DC800215DC400115DC00001500C002C4BD
:200EA80039E5AE840180044418CB883A29CB883A2940000B2880041E21003FCC1827883A4B
:200EA80039E5B4840180044418CB883A29CB883A2940000B2880041E21003FCC1827883A45
:200EB0002000051E0000030618C0004419BFF61E0001080610010A26D5226B43040020B401
:200EB80084210F04A5C03FCCB82290FA014005048445883A148001031580001795403FCC95
:200EB80084211504A5C03FCCB82290FA014005048445883A148001031580001795403FCC8F
:200EC000A809883A081620C0B0C00117993FFD0421003FCC1885883A00C00104180B883A0B
:200EC80019002836200890BA00C0207418CECF0420C9883A20C000171800683A00813B8478
:200ED00000813B5000813B6800813C6800813C68A800011EB480000394BFFFC48461883ACD
@ -492,29 +492,29 @@
:200F50000000130611400317290000172800102698C03FCC018003C4208000031980041E56
:200F580010C03FCC1800092610BFFFC40000070628C0010311803FCC19403FCC3140022E2B
:200F600010800044000001061805883A208000050023883A0021883AD0A26B4300C020B496
:200F680018E10F04100490FA014005041885883A1100010314C00017081620C01025883A21
:200F700098800117010020B421257E041485883A114000170180044408163A409880011740
:200F680018E11504100490FA014005041885883A1100010314C00017081620C01025883A1B
:200F700098800117010020B4212584041485883A114000170180044408163A40988001173A
:200F7800010001041485883A10C0010320C03636180690BA01002074210F82041907883A43
:200F800018C000171800683A00813E1C00813E4C00813E5800813EA000813E6810C002173C
:200F8800110004170180044418C0000318C5883A1085883A2085883A11400017010020B4DF
:200F90002125890408163A4000001F0610C002171080041700001A0610C002171080041769
:200F900021258F0408163A4000001F0610C002171080041700001A0610C002171080041763
:200F98001900000B0000170680000D268800032601C0207439DE99040000020601C020742E
:200FA00039DE970401802074010020B4319E9B040140044421258904081628C000000A06B5
:200FA800108003171000041E008020B410A58904100000050000040610C000171080021708
:200FA00039DE970401802074010020B4319E9B040140044421258F04081628C000000A06AF
:200FA800108003171000041E008020B410A58F04100000050000040610C000171080021702
:200FB00019000003103EE83ADFC00817DDC00717DD800617DD400517DD000417DCC00317BB
:200FB800DC800217DC400117DC000017DEC009040810F90121003FCC203FA51E103FA41E61
:200FC000DFC00817DDC00717DD800617DD400517DD000417DCC00317DC800217DC4001178E
:200FC800DC000017DEC00904F800283A21003FCC008003C411006336009114B4DEFFB80402
:200FD0001094D544D88000150080107410951044DC404115D8800115244002B0008014044C
:200FD0001094D544D88000150080107410951044DC404115D8800115244002B0008014440C
:200FD800DCC04315DC400285DFC04715DD804615DD404515DD004415DC804215DC0040151E
:200FE000D8000205D88002458C403FCC24C0040488001C1E014020B4008010C4018002847E
:200FE80029658684D9000344D88002C5D800030508162640008020B410A58E0410800583FB
:200FF000014020B401800D84D8800605D0A260832965AE84D9000684D8800645D0A2644323
:200FE80029658C84D9000344D88002C5D800030508162640008020B410A5940410800583EF
:200FF000014020B401800D84D8800605D0A260832965B484D9000684D8800645D0A264431D
:200FF800D88005C508162640980C923A01401404D809883A0810DEC01004C03A0085C83A7C
:201000000000320600BFF604014020B401800B44D88003452965A34400800084D90003C441
:20100800D98002C5D8000305D880038508162640014020B49826923A018031042965BC04C1
:201000000000320600BFFC84014020B401800B44D88003452965A94400800084D90003C4B5
:20100800D98002C5D8000305D880038508162640014020B49826923A018031042965C204BB
:20101000D9000F0408162640980D883A01404004D809883A04004004054020B40810DEC0AA
:201018000480310405008504AD65BC04802D883A917FFFCC800D883AA94B883AD809883AAD
:201018000480310405008B84AD65C204802D883A917FFFCC800D883AA94B883AD809883A21
:201020000816264089803FCC800B883A84A5883AA421C83A34CD883AD809883A8029883A48
:20102800843FFFCC0810DEC08C40004480000326B43FEF2E04004004003FED060005883A5A
:201030000000020600BFFFC4F800283ADFC04717DD804617DD404517DD004417DCC043175E
@ -522,19 +522,19 @@
:20104000DD804615DD404515DD004415DCC04315DC804215DC404115DC00401521003FCCB5
:20104800008003C41100022E047FFFC400007706240004048008943AD80D883A014040048F
:201050000810D7C0103FF81E014020B40180020429602D04D809883A081634401023883AEC
:201058001000671ED88002031000671ED8C00243008014041880641ED8800283100003264C
:201058001000671ED88002031000671ED8C00243008014441880641ED8800283100003260C
:2010600000C0004410C02A2600006006D8800303D8C002C31004923A10C4B03A00C010C4F9
:2010680010C05A1E01C020B4D8C003440005883A0180024439E58684014002841900000313
:2010680010C05A1E01C020B4D8C003440005883A0180024439E58C8401400284190000030D
:2010700022003FCC3200023611D1883A410000051080004418C00044117FF81ED8800643A8
:2010780000C00244D0A2608511003FCC1900032ED880060311003FCC19000136D0A260C531
:20108000D88005C3010020B401800D84D0A26445008020B410A5868410800003D9400684E5
:201088002125AE84D0A264050816264000003706D8800317D8C002C311803FCC300C923AC1
:2010900030CCB03A00C00B4430C0301E1004D23A00C0B6041025883A10BFFFCC10C02B1EC9
:20109800010020B48020923AD94003C42125A34405C020B4081626400027883A002D883AF5
:2010A00005400F0405004004BDE5BC04A97FFFCCA14DC83A90BFFFCCB13FFFCCD94B883A8F
:20108000D88005C3010020B401800D84D0A26445008020B410A58C8410800003D9400684DF
:201088002125B484D0A264050816264000003706D8800317D8C002C311803FCC300C923ABB
:2010900030CCB03A00C00B4430C0301E1004D23A00C0BC841025883A10BFFFCC10C02B1E43
:20109800010020B48020923AD94003C42125A94405C020B4081626400027883A002D883AEF
:2010A00005400F0405004004BDE5C204A97FFFCCA14DC83A90BFFFCCB13FFFCCD94B883A89
:2010A80011800F16B909883A08162640990000442027883A21003FCC2409883A2008923A75
:2010B000A56BC83AD80D883A01404004ADAD883A94BFC0040810D7C0002B883A003FEB06E3
:2010B800100D883A008020B410A5BC041109883A0816264000800044D0A265C500000306A7
:2010B800100D883A008020B410A5C2041109883A0816264000800044D0A265C500000306A1
:2010C0000440004400000106044000848805883ADFC04817DDC04717DD804617DD4045173E
:2010C800DD004417DCC04317DC804217DC404117DC004017DEC04904F800283ADEFFFC045C
:2010D000DC000015040020B484041804DC80021504801284DC400115900B883A2023883A72
@ -675,11 +675,11 @@
:201508002023883A01000504DC000015DFC002152821883A0814A0C08C403FCC00C000846B
:2015100088C00A1E81403FCC00C0004428C0031E1080240C10802420000006062800031E89
:201518001080220C10802220000002061004D07A1080004CDFC00217DC400117DC00001702
:20152000DEC00304F800283ADEFFEC04DC400B15044020B48C658E0400800104D880060D18
:20152000DEC00304F800283ADEFFEC04DC400B15044020B48C65940400800104D880060D12
:201528008880070302400204020010044884983A01810004028800041244B03AD880068D56
:201530008880074300C020B4DFC013154084983ADDC01115DD801015D880070D8880078315
:20153800DD400F15DD000E153084983ADF001215DCC00D15D880078D888007C3DC800C15CD
:20154000DC000A155084983AD800088518E5C004D880080DD8800884D880001588800844B2
:20154000DC000A155084983AD800088518E5C604D880080DD8800884D880001588800844AC
:20154800D880011588800884D8800215888008C4D880031588800904D880041588800944F3
:20155000D880051588800B030029883A02C04004D8800905030400040382000403C08004C1
:201558000340040407C02004054008040580010405C083441CC001C301800144A021883AED
@ -691,28 +691,28 @@
:201588009485883A1085883AD885883A108000171980020B108000031085883AD885883A9C
:201590001280060B5194703A50BFFFCC1000BA263180004C2F003FCC3180005CE180B61EC6
:201598001980000B318007843100B33607000044018020B488000385880003C58F000405A1
:2015A000880004858A80050D31A58E0412C0762658800E3613405D2668800336158020263A
:2015A000880004858A80050D31A5940412C0762658800E3613405D26688003361580202634
:2015A80012402E260000A40612005C2617C069261540A11E008000448880030500800084ED
:2015B0008880034500800184000072061300822660800936028100041280702613806826A4
:2015B80013C0951E00800084888003058880034500800144000067060710000417007C2623
:2015C00001A00014118083260288000412808A1E0080010488800305880003450000830666
:2015C80030000305300003459CC03F4C008000449880871E89000BC300C020B418E58E0471
:2015C80030000305300003459CC03F4C008000449880871E89000BC300C020B418E594046B
:2015D0002000032601000084190004050000010618800385888003C500007D0600800044CD
:2015D8008880030588800E43054020B4AD658E041000101E01400684A009883A081620C05B
:2015E00000C020B418E5BF8410C5883A1080000B00C15DC4188007369CFFFFC49CC03FCC69
:2015D8008880030588800E43054020B4AD6594041000101E01400684A009883A081620C055
:2015E00000C020B418E5C58410C5883A1080000B00C15DC4188007369CFFFFC49CC03FCC63
:2015E8000080008414C00336A8800345A880040500000106880003450080008490800D2613
:2015F0000080010490800B26008001449080601E01400684A009883A081620C000C020B4FA
:2015F80018E5BF8410C5883A1080000B00C12BC41880573688C00BC3008020B410A58E04DB
:2015F80018E5C58410C5883A1080000B00C12BC41880573688C00BC3008020B410A59404CF
:20160000180032261000034500002D06008000443080030500800084000015060080008430
:20160800308003053000034500C000C490C0481E89000BC300C020B418E58E042000022696
:20160800308003053000034500C000C490C0481E89000BC300C020B418E594042000022690
:201610001880040500004206008000441880038500003F06008000848880030500800044D0
:201618008880034500003A06008000843080030530800345008001043080040500003406F6
:20162000008000C4888003050080008488800345008001048880040500002D06008000C4F5
:201628003080030530000345008000C49080281E88C00BC3008020B410A58E0418000326E6
:201628003080030530000345008000C49080281E88C00BC3008020B410A5940418000326E0
:2016300000C0008410C004050000210600C0004410C0038500001E06008000C430800305DA
:20163800008000843080034500800144003FE2060080010430800305008000843080034571
:20164000008001443080040500801E043080048500000F0600800104888003050080008483
:2016480088800345008001848880040500801E048880048500000606A50000440080070469
:2016480088800345008001848880040500801E048880048500000606A50000440080074429
:2016500018C00684A0BF0B1E00BFFFC4000001068005883ADFC01317DF001217DDC011172A
:20165800DD801017DD400F17DD000E17DCC00D17DC800C17DC400B17DC000A17DEC0140479
:20166000F800283A20803FCCDEFFF9041080201CDC400415DFC00615DC800515DC00031566
@ -735,7 +735,7 @@
:2016E8001104B03A1006923AD8800243010004441884B03A00000306000B883A0009883AF4
:2016F0000005883A10BFFFCC10C0004421003FCC28803FCC2085883A10BFFE441884983AA0
:2016F800DFC00917DCC00817DC800717DC400617DC000517DEC00A04F800283ADEFFF604D0
:20170000014020B4DCC00715018002842027883A2960F204D809883ADD000815DC4005159A
:20170000014020B4DCC00715018002842027883A2960F884D809883ADD000815DC40051514
:20170800DFC00915DC800615DC000415050000C408162640044000440815F3000815F9C0DD
:201710000815FD8001400284D809883A0815F4C0000B883A98000015010010040815984060
:2017180010803FCC1440511E01406A84010012040815984010803FCC1440271E014001049E
@ -788,7 +788,7 @@
:2018900028C0000310C0022610C5C83AF800283A2100004429400044003FF7060005883A0F
:20189800F800283A2005883A2007883A218D883A198005262900000318C0004429400044DD
:2018A000193FFFC5003FFA06F800283ADEFFF504DFC00915DC400815DC000715D9C00A15F7
:2018A800008020B410A32B04144000172800040E008022C48880001500BFFFC400001C061E
:2018A800008020B410A33104144000172800040E008022C48880001500BFFFC400001C0618
:2018B00000C08204D8C0000DD9000415D90002152800022628FFFFC4000001060007883A41
:2018B800D8C00515D8C003151100001700FFFFC4D8C0008D00C0207418DA6D042821883ADD
:2018C000D9C00A04D80B883AD8C00115D80006150816488000FFFFC410C0020E00C022C4ED
@ -854,17 +854,17 @@
:201AA0001989883A2000052618FFFFC419000003297FFFC429000005003FF906F800283A50
:201AA80019C005262900000318C0004429400044193FFFC5003FFA06F800283A2005883A89
:201AB00010C000071800022610800044003FFC061105C83AF800283ADEFFFF04010020B4C3
:201AB800014020B4DFC000152120FD0429632F042140061E01002074014020742100080428
:201AC000294008042140121E00000B0600C020B418E32F041907C83A0005883A10FFF5261A
:201AB800014020B4DFC0001521210304296335042140061E0100207401402074210008041B
:201AC000294008042140121E00000B0600C020B418E335041907C83A0005883A10FFF52614
:201AC800114F883A39C00017110D883A1080010431C00015003FF9060100207401402074A9
:201AD000211D4F04295D4F042140101E00000B0600C0207418C008041907C83A0005883ACB
:201AD80010FFF526114F883A39C00017110D883A1080010431C00015003FF90608174C006E
:201AE000DFC00017DEC001040817530100C020B418E0FD041907C83A0005883A18BFF726B0
:201AE000DFC00017DEC001040817530100C020B418E103041907C83A0005883A18BFF726A9
:201AE800114F883A39C00017110D883A1080010431C00015003FF906DEFFFF040009883A48
:201AF000DFC000150816BE800816C080D1A26D17D1626E17D1226F17DFC00017DEC00104E7
:201AF8000812688108174401DEFFFF04DFC0001508175340008000441001703ADFC00017EC
:201B0000DEC00104F800283A008020B410840004D0A27115010020B40080673410BF3004F1
:201B08002122CD04D0A2701508170D01D0E27017D0A2711718000A2610C00104010002042E
:201B08002122D304D0A2701508170D01D0E27017D0A2711718000A2610C001040100020428
:201B100019000035013FFFD4110002351100033500800104188000350005883AF800283A50
:201B180000BFFFC4F800283AD0A2701710000926D0E27117188004041000003510800037B3
:201B200018C005371806943A10BFFFCC1884303AF800283A00BFFFC4F800283AD0A27017D6
@ -1027,8 +1027,8 @@
:202008003034362030383478003036402E63655231303620000000002E636552393037203D
:2020100000000000484D35394828207A2056544400294949484D35334828207A20565444C5
:2020180000002949484D36314528207A29565444000000007A484D39445328200029565422
:2020200000006E4F008195910000000200813A40008195A0000000090081382C00819590F5
:202028000000001B00813A0800817E8C00817E9800817EA0445253550041544100000020C5
:2020200000006E4F008195A90000000200813A40008195B8000000090081382C008195A8AD
:202028000000001C00813A0800817E8C00817E9800817EA0445253550041544100000020C4
:202030000081802C0000200020002CE5E926F4FD38BC2000000000000081803800002000A5
:202038002000323EF113FA043B6120000000000036333531303432780600000007FE00F062
:202040000FEA01060112039632312002327830380000303400F005000106061803480FAABB
@ -1041,21 +1041,21 @@
:2020780000424C3000F00140013801AA031F293149100112783635324C3034320100004253
:20208000015500F02927013801120319383292200000703800000000012002D001380360EF
:20208800033F1345000E0112703438330000000001F00000028001801D3201A70204033E3C
:202090003436000E38337830000000340180028001EC032002603F30000E02106930383468
:202098000000000002D00000035A00F00F39020D0312033E3834044F0000703000000000FD
:2020A00001E002D0020D035A063E1E3C000C0414783034360030383402800000032001E00B
:2020A8002130020D041402603436000C3135783000000032020002800238032002601C30F9
:2020B000000C0414693637350000000002D0000003600120134502710312033F3735044FAA
:2020B8000000703600000000024002D00271036005402744000C040478303038003030360E
:2020C0000320000004200258175802740010048032370004000070300000000002D0050002
:2020C80002EE0672052814DC00040018343230313836377804000000054003001DA0032641
:2020D0000010068832310004317830380034323004000500042A0698037026F800040010CA
:2020D800783034366930363902800000032001E02130041A051402603031000D006930381F
:2020E00000000000021C078004650898052C1094000D051830383031000000700780000073
:2020E80008980438249404650018052C36310004317830300030303204B0064004E2087034
:2020F00003F12EFF00040010FFFFFFFFFFFFFFFF0000FFFF7665642F6370652F6F635F712E
:2020F8006F72746E72656C6C615F305F6D5F6C7600006D6500000000000000000000000087
:202100000000000000000000000000000000000000000000000000000000000000000000BF
:202090003436000E30347830000000300190028001C1032002602430000C0210783034369E
:202098000034383302800000032001803F3001EC021002603834000E000069300000000080
:2020A00000F002D0020D035A033E0F39044F0312703038340000000002D00000035A01E0E5
:2020A8001E3C020D0414063E3436000C383478300000003001E00280020D03200260213051
:2020B000000C0414783034360032313502800000032002001C300238041402603735000C23
:2020B8000000693600000000012002D002710360033F1345044F031270363735000000008C
:2020C00002D000000360024027440271040405403038000C3036783000000030025803202F
:2020C800027404200480175800040010703032370000000005000000067202D014DC02EE1F
:2020D00000180528303100043778343200003836030004000326054006881DA000040010EF
:2020D8003038323132303178050000340698040026F8042A001003703436000436397830E3
:2020E0000000693001E00280041A032002602130000D051430383031000000690780000011
:2020E8000898021C109404650518052C3031000D00703038000000000438078004650898AD
:2020F000052C249400040018303036313032317806400030087004B02EFF04E2001003F140
:2020F800FFFF0004FFFFFFFFFFFFFFFF7665642F6370652F6F635F716F72746E72656C6CE5
:20210000615F305F6D5F6C7600006D650000000000000000000000000000000000000000F0
:202108000000000000000000000000000000000000000000000000000000000000000000B7
:202110000000000000000000000000000000000000000000000000000000000000000000AF
:202118000000000000000000000000000000000000000000000000000000000000000000A7
@ -1124,78 +1124,78 @@
:202310000000000000000000000000000000000000000000000000000000000000000000AD
:202318000000000000000000000000000000000000000000000000000000000000000000A5
:2023200000000000000000000000000000000000000000000000000000000000000000009D
:2023280000000000000000000000000000000000000000000000000000000000017804E533
:202330003CCE00813FAE03833F333D4905B80383009301B404163C493CD93F9F04163F1011
:20233800020E04093D0E00C83F6E03833ED03DAC04B2038300E9026404163C933D493F569C
:2023400004163E9F00818C18000000000000000000000000000000000000000000817A70F6
:202348000000000300818C58000000000000000000817A840000000300818C50000000002E
:202350000000000000817A980000000300818C48000000000000000000817AAC00000003D8
:2023580000818C40000000000000000000817AC00000000300818C38000000000000000015
:2023600000817AD40000000300818C30000000000000000000817AE80000000300818C2833
:20236800000000000000000000817AFC0000000300818C20000000000000000000817B1022
:202370000000000400811FE0008180900000000000817B200000000400812048008180909E
:202378000000000000817B300000000400812B5C000000000000000000817B4400000000CD
:2023800000819592000A000100818A2800817B5400000000008195A10001000100818CA0A1
:2023880000817B6400000004008132CC000000000000000000817B7800000000008196B116
:202390000001000100818C6800817B8800000000008196B20001000100818CA000817B9826
:2023980000000001008196B300180000008139E000817BA800000000008196AE000100013D
:2023A00000818CA000817BB800000000008196AF0001000100818CA000817BCC000000007F
:2023A8000081968D000200010081899C00817BD8000000010081968F000F000000813998EC
:2023B00000817BE8000000000081968E000200010081899000817BF800000000008196904C
:2023B8000001000100818C7000817C0C000000010081969D003F00000081397C00817C1C3A
:2023C000000000010081969E003F00000081397C00817C2C000000010081969F000F0000E3
:2023C8000081382C00817C3C00000001008196B0001F00000081382C00817C4800000004C2
:2023D000008123DC008180840000000000817C58000000000081969700040001008189B81E
:2023D80000817C6800000000008196980001000100818C9000817C74000000000081969911
:2023E00000030001008189A800817C84000000000081969A0001000100818C9000817C94C5
:2023E800000000000081969B0001000100818C8800817CA40000000000819692000200013F
:2023F000008189D800817CB0000000000081969300030001008189E400817CBC00000000E9
:2023F8000081969400020001008189D800817CC8000000000081969500020001008189D8DF
:2024000000817CD4000000000081969600020001008189CC00817CE4000000000081969CD1
:202408000001000100818C8000817CF400000000008196A00001000100818C7800817CFCFD
:2024100000000000008196A10001000100818CA000817D0800000000008196AA000300017A
:20241800008189F400817D1800000001008196A7001F00000081393800817D280000000199
:20242000008196A800FF0000008138A800817D3800000001008196A900C80A00008138A853
:2024280000817D4800000001008196AC000500000081388C00817D5800000001008196AD25
:20243000000500000081388C00817D6C00000001008196A3001F00010081384800817D7C82
:2024380000000000008196A20002000100818A0400817D8C00000000008196A40001000172
:2024400000818CA000817DA000000000008196A50001000100818CA000817DB40000000311
:2024480000818C600081809C008120B000817DC800000000008196AB0005000100818A1070
:2024500000817DD400000000008196A60001000100818C9800817DE400000001008196B488
:2024580000FF00000081382C00817DF000000001008196B500FF00000081382C00817DFCE7
:2024600000000001008196B600FF00000081382C00817E0800000001008196B700FF0000D5
:202468000081382C00817E1400000001008196B800FF00000081382C00817E200000000188
:20247000008196B900FF00000081382C00817E2C000000020081959E08FC012C00812140A4
:2024780000817E3C00000002008195AA00FF000A0081214000817E48000000020081958E6F
:2024800000FF00010081214000817E58000000020081959C078000C80081214000817E64BB
:202488000000000200819596000700010081214000817E7000000002008195A4003F000131
:202490000081214000817E80000000020081959A04B000C80081214000817EE400817EF0E9
:2024980000817EFC00817F0800817F0C00817F1400817F2C00817F3800817F5000817F60ED
:2024A00000817F2C00817F4800817F7000817F7800817F8000817F8800817F9400817FA084
:2024A80000817FAC00817FB800817FC800817FD800817FAC00817FB800817FC800817F08DC
:2024B00000817FE800817FF40081800000817F0C008180100081801C00817F0C00817F08E1
:2024B80000818044008180540081806400818074008175D4008175E4008175F0008175FC14
:2024C0000081760800817614008176200081762C0081763800817644008176500000000007
:2024C80000818B1400818B1C00818B2400000000000000000000000000000000000000007C
:2024D0000000000000000000000000000000000000000000000000000000000000000000EC
:20232800000000000000000000000000000000000000000000000000000000000000000095
:202330000000000000000000000000000000000000000000017804E53CCE00813FAE03832D
:202338003F333D4905B80383009301B404163C493CD93F9F04163F10020E04093D0E00C8D7
:202340003F6E03833ED03DAC04B2038300E9026404163C933D493F5604163E9F00818C3090
:20234800000000000000000000000000000000000000000000817A700000000300818C708A
:20235000000000000000000000817A840000000300818C68000000000000000000817A98E3
:202358000000000300818C60000000000000000000817AAC0000000300818C5800000000E6
:202360000000000000817AC00000000300818C50000000000000000000817AD40000000370
:2023680000818C48000000000000000000817AE80000000300818C400000000000000000CD
:2023700000817AFC0000000300818C38000000000000000000817B100000000400811FE07E
:20237800008180900000000000817B200000000400812048008180900000000000817B30EE
:202380000000000400812B5C000000000000000000817B4400000000008195AA000A000126
:2023880000818A4000817B5400000000008195B90001000100818CB800817B6400000004A0
:20239000008132CC000000000000000000817B7800000000008196C90001000100818C80CB
:2023980000817B8800000000008196CA0001000100818CB800817B9800000001008196CB82
:2023A00000180000008139E000817BA800000000008196C60001000100818CB800817BB86F
:2023A80000000000008196C70001000100818CB800817BCC00000000008196A500020001E9
:2023B000008189B400817BD800000001008196A7000F00000081399800817BE80000000077
:2023B800008196A600020001008189A800817BF800000000008196A80001000100818C8849
:2023C00000817C0C00000001008196B5003F00000081397C00817C1C00000001008196B6CB
:2023C800003F00000081397C00817C2C00000001008196B7000F00000081382C00817C3C5B
:2023D00000000001008196C8001F00000081382C00817C4800000004008123DC00818084BB
:2023D8000000000000817C5800000000008196AF00040001008189D000817C680000000086
:2023E000008196B00001000100818CA800817C7400000000008196B100030001008189C058
:2023E80000817C8400000000008196B20001000100818CA800817C9400000000008196B379
:2023F0000001000100818CA000817CA400000000008196AA00020001008189F000817CB012
:2023F80000000000008196AB00030001008189FC00817CBC00000000008196AC000200017A
:20240000008189F000817CC800000000008196AD00020001008189F000817CD4000000006B
:20240800008196AE00020001008189E400817CE400000000008196B40001000100818C98AB
:2024100000817CF400000000008196B80001000100818C9000817CFC00000000008196B984
:202418000001000100818CB800817D0800000000008196C20003000100818A0C00817D18CD
:2024200000000001008196BF001F00000081393800817D2800000001008196C000FF0000B7
:20242800008138A800817D3800000001008196C100C80A00008138A800817D4800000001AA
:20243000008196C4000500000081388C00817D5800000001008196C5000500000081388CEA
:2024380000817D6C00000001008196BB001F00010081384800817D7C00000000008196BADB
:202440000002000100818A1C00817D8C00000000008196BC0001000100818CB800817DA090
:2024480000000000008196BD0001000100818CB800817DB40000000300818C780081809C02
:20245000008120B000817DC800000000008196C30005000100818A2800817DD40000000070
:20245800008196BE0001000100818CB000817DE400000001008196CC00FF00000081382C26
:2024600000817DF000000001008196CD00FF00000081382C00817DFC00000001008196CEC5
:2024680000FF00000081382C00817E0800000001008196CF00FF00000081382C00817E148B
:2024700000000001008196D000FF00000081382C00817E2000000001008196D100FF000079
:202478000081382C00817E2C00000002008195B608FC012C0081214000817E3C0000000216
:20248000008195C200FF000A0081214000817E4800000002008195A600FF00010081214092
:2024880000817E5800000002008195B4078000C80081214000817E6400000002008195AEB7
:20249000000700010081214000817E7000000002008195BC003F00010081214000817E805E
:2024980000000002008195B204B000C80081214000817EE400817EF000817EFC00817F0827
:2024A00000817F0C00817F1400817F2C00817F3800817F5000817F6000817F2C00817F4874
:2024A80000817F7000817F7800817F8000817F8800817F9400817FA000817FAC00817FB88C
:2024B00000817FC800817FD800817FAC00817FB800817FC800817F0800817FE800817FF45C
:2024B8000081800000817F0C008180100081801C00817F0C00817F0800818044008180541B
:2024C0000081806400818074008175D4008175E4008175F0008175FC00817608008176149C
:2024C800008176200081762C0081763800817644008176500000000000818B2C00818B3491
:2024D00000818B3C00000000000000000000000000000000000000000000000000000000A4
:2024D8000000000000000000000000000000000000000000000000000000000000000000E4
:2024E0000000000000000000000000000000000000000000000000000000000000000000DC
:2024E8000000000000000000000000000000000000000000000000000000000000000000D4
:2024F0000000000000000000000000000000000000000000000000000000000000000004C8
:2024F800000000000001000A000000000002000A00000000000000000000000000000000AD
:2025000000000000008183D4000000000000000000816F580081708000816D3800816D74A2
:2025080000816E08000000000080000000000000000000000000000000000000000000003C
:202510000000000000000000000000000000000000000000000000000000000000000000AB
:2024F0000000000000000000000000000000000000000000000000000000000000000000CC
:2024F800000000000000000000000000000000000000000000000004000000000001000AB5
:20250000000000000002000A0000000000000000000000000000000000000000008183ECBF
:20250800000000000000000000816F580081708000816D3800816D7400816E08000000007B
:2025100000800000000000000000000000000000000000000000000000000000000000002B
:202518000000000000000000000000000000000000000000000000000000000000000000A3
:2025200000000000000000000000000000000000000000000000000000000000000000009B
:202528000000000000000000000000000000000000816CA4000000000080000000821020D0
:20253000008000000000000100000080000100000000010000000000001080100000008068
:20253800000000080081845400000006008184F4000000030081856C00000002008185A8FE
:2025400000000009008185D00000000D008186840000000600818788000000050081880060
:202548000000000800818864000000070081890400817EB000817EC400817ED800817EDCC5
:2025500000817F1C00817F2400817FB400817F2800817F2C00817F3800817F2C00817F4877
:202558000081802C0081803800817F0800818080C896554B00818A540000000000818CB456
:2025600000818CB4000000000000000000000000000000000000000000000000000000009A
:20252800000000000000000000000000000000000000000000000000000000000000000093
:20253000000000000000000000816CA4000000000080000000821020008000000000000147
:20253800000000800001000000000100000000000010801000000080000000080081846C68
:20254000000000060081850C000000030081858400000002008185C000000009008185E817
:202548000000000D0081869C00000006008187A00000000500818818000000080081887C62
:20255000000000070081891C00817EB000817EC400817ED800817EDC00817F1C00817F24DA
:2025580000817FB400817F2800817F2C00817F3800817F2C00817F480081802C0081803849
:2025600000817F0800818080C896554B00818A6C0000000000818CCC00818CCC00000000AB
:20256800000000000000000000000000000000000000000000000000000000000000000053
:2025700000000000000000000000000000000000000000000000000000000000000000004B
:20257800000000000000000000000000000000000000000000000000000000000000000043

View File

@ -2,8 +2,8 @@
<sch:Settings xmlns:sch="http://www.altera.com/embeddedsw/bsp/schema">
<BspType>hal</BspType>
<BspVersion>default</BspVersion>
<BspGeneratedTimeStamp>Feb 24, 2018 7:18:24 PM</BspGeneratedTimeStamp>
<BspGeneratedUnixTimeStamp>1519492704534</BspGeneratedUnixTimeStamp>
<BspGeneratedTimeStamp>Mar 6, 2018 11:46:21 PM</BspGeneratedTimeStamp>
<BspGeneratedUnixTimeStamp>1520372781076</BspGeneratedUnixTimeStamp>
<BspGeneratedLocation>./</BspGeneratedLocation>
<BspSettingsFile>settings.bsp</BspSettingsFile>
<SopcDesignFile>../../sys.sopcinfo</SopcDesignFile>

View File

@ -1,11 +1,11 @@
<?xml version="1.0" encoding="UTF-8"?>
<EnsembleReport name="sys" kind="sys" version="1.0" fabric="QSYS">
<!-- Format version 17.1 590 (Future versions may contain additional information.) -->
<!-- 2018.02.24.18:26:12 -->
<!-- 2018.03.06.23:39:06 -->
<!-- A collection of modules and connections -->
<parameter name="AUTO_GENERATION_ID">
<type>java.lang.Integer</type>
<value>1519489572</value>
<value>1520372346</value>
<derived>false</derived>
<enabled>true</enabled>
<visible>false</visible>