From 0ce2809183120372fa6d1ef6272c0bda310461ee Mon Sep 17 00:00:00 2001 From: marqs Date: Wed, 23 Aug 2023 21:34:40 +0300 Subject: [PATCH] add Line6x mode for 240p/288p --- rtl/scanconverter.v | 33 +- rtl/tvp7002_frontend.v | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 14242 ++++++++-------- software/sys_controller/ossc/av_controller.c | 18 +- software/sys_controller/ossc/av_controller.h | 2 - software/sys_controller/ossc/avconfig.h | 1 + software/sys_controller/ossc/firmware.h | 4 +- software/sys_controller/ossc/menu.c | 21 +- software/sys_controller/ossc/menu.h | 2 +- software/sys_controller/ossc/video_modes.c | 38 +- software/sys_controller/ossc/video_modes.h | 11 +- .../sys_controller/ossc/video_modes_list.c | 83 +- 12 files changed, 7293 insertions(+), 7164 deletions(-) diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 4d0af86..4b11daf 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -83,7 +83,10 @@ localparam FID_EVEN = 1'b0; localparam FID_ODD = 1'b1; localparam PP_PL_START = 1; -localparam PP_LINEBUF_START = PP_PL_START + 1; +localparam PP_MASK_START = PP_PL_START; +localparam PP_MASK_LENGTH = 1; +localparam PP_MASK_END = PP_MASK_START + PP_MASK_LENGTH; +localparam PP_LINEBUF_START = PP_MASK_END; localparam PP_LINEBUF_LENGTH = 1; localparam PP_LINEBUF_END = PP_LINEBUF_START + PP_LINEBUF_LENGTH; localparam PP_SRCSEL_START = PP_LINEBUF_END; @@ -188,7 +191,7 @@ reg VSYNC_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg DE_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg [11:0] xpos_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; reg [10:0] ypos_pp[PP_PL_START:PP_PL_END] /* synthesis ramstyle = "logic" */; -reg mask_enable_pp[PP_LINEBUF_START:PP_TP_START] /* synthesis ramstyle = "logic" */; +reg mask_enable_pp[PP_MASK_END:PP_TP_START] /* synthesis ramstyle = "logic" */; reg draw_sl_pp[(PP_SLGEN_START+1):(PP_SLGEN_END-1)] /* synthesis ramstyle = "logic" */; reg [7:0] sl_str_pp[(PP_SLGEN_START+1):(PP_SLGEN_START+2)] /* synthesis ramstyle = "logic" */; reg [3:0] x_ctr_sl_pp[PP_PL_START:PP_SLGEN_START] /* synthesis ramstyle = "logic" */; @@ -394,7 +397,7 @@ always @(posedge PCLK_OUT_i) begin if (~ext_sync_mode & ~MISC_LM_DEINT_MODE & (Y_RPT > 0) & ~V_INTERLACED & (src_fid == FID_EVEN)) begin ypos_lb_next <= 11'(Y_START_LB) - 1'b1; y_ctr <= ((Y_RPT+1'b1) >> 1); - y_ctr_sl_pp[1] <= SL_BOB_ALTERN ? ((Y_RPT+1'b1) >> 1) : 0; + y_ctr_sl_pp[1] <= SL_BOB_ALTERN ? ((Y_RPT+1'b1) >> 1) : '0; end else begin if (Y_SKIP & (dst_fid == FID_EVEN)) begin // Linedrop mode and output interlaced @@ -427,7 +430,7 @@ always @(posedge PCLK_OUT_i) begin y_ctr <= y_ctr + 1'b1; end if (!ypos_pp_init) - y_ctr_sl_pp[1] <= (y_ctr_sl_pp[1] == SL_IV_Y) ? 0 : y_ctr_sl_pp[1] + 1'b1; + y_ctr_sl_pp[1] <= (y_ctr_sl_pp[1] == SL_IV_Y) ? '0 : y_ctr_sl_pp[1] + 1'b1; end end xpos_pp[1] <= 0; @@ -446,7 +449,7 @@ always @(posedge PCLK_OUT_i) begin end else begin x_ctr <= x_ctr + 1'b1; end - x_ctr_sl_pp[1] <= (x_ctr_sl_pp[1] == SL_IV_X) ? 0 : x_ctr_sl_pp[1] + 1'b1; + x_ctr_sl_pp[1] <= (x_ctr_sl_pp[1] == SL_IV_X) ? '0 : x_ctr_sl_pp[1] + 1'b1; end end end @@ -454,15 +457,14 @@ end // Pipeline stages 2- integer pp_idx; always @(posedge PCLK_OUT_i) begin - - for(pp_idx = PP_LINEBUF_START; pp_idx <= PP_PL_END; pp_idx = pp_idx+1) begin + for(pp_idx = PP_PL_START+1; pp_idx <= PP_PL_END; pp_idx = pp_idx+1) begin HSYNC_pp[pp_idx] <= HSYNC_pp[pp_idx-1]; VSYNC_pp[pp_idx] <= VSYNC_pp[pp_idx-1]; DE_pp[pp_idx] <= DE_pp[pp_idx-1]; xpos_pp[pp_idx] <= xpos_pp[pp_idx-1]; ypos_pp[pp_idx] <= ypos_pp[pp_idx-1]; end - for(pp_idx = PP_LINEBUF_START; pp_idx <= PP_SLGEN_START; pp_idx = pp_idx+1) begin + for(pp_idx = PP_PL_START+1; pp_idx <= PP_SLGEN_START; pp_idx = pp_idx+1) begin x_ctr_sl_pp[pp_idx] <= x_ctr_sl_pp[pp_idx-1]; y_ctr_sl_pp[pp_idx] <= y_ctr_sl_pp[pp_idx-1]; end @@ -473,16 +475,17 @@ always @(posedge PCLK_OUT_i) begin B_pp[pp_idx] <= B_pp[pp_idx-1]; end - if (($signed({1'b0, xpos_pp[PP_LINEBUF_START-1]}) >= X_OFFSET) & - ($signed({1'b0, xpos_pp[PP_LINEBUF_START-1]}) < X_OFFSET+X_SIZE) & - ($signed({1'b0, ypos_pp[PP_LINEBUF_START-1]}) >= Y_OFFSET) & - ($signed({1'b0, ypos_pp[PP_LINEBUF_START-1]}) < Y_OFFSET+Y_SIZE)) + /* ---------- Mask enable calculation (1 cycle) ---------- */ + if (($signed({1'b0, xpos_pp[PP_MASK_START]}) >= X_OFFSET) & + ($signed({1'b0, xpos_pp[PP_MASK_START]}) < X_OFFSET+X_SIZE) & + ($signed({1'b0, ypos_pp[PP_MASK_START]}) >= Y_OFFSET) & + ($signed({1'b0, ypos_pp[PP_MASK_START]}) < Y_OFFSET+Y_SIZE)) begin - mask_enable_pp[PP_LINEBUF_START] <= 1'b0; + mask_enable_pp[PP_MASK_END] <= 1'b0; end else begin - mask_enable_pp[PP_LINEBUF_START] <= 1'b1; + mask_enable_pp[PP_MASK_END] <= 1'b1; end - for(pp_idx = PP_LINEBUF_START+1; pp_idx <= PP_TP_START; pp_idx = pp_idx+1) begin + for(pp_idx = PP_MASK_END+1; pp_idx <= PP_TP_START; pp_idx = pp_idx+1) begin mask_enable_pp[pp_idx] <= mask_enable_pp[pp_idx-1]; end diff --git a/rtl/tvp7002_frontend.v b/rtl/tvp7002_frontend.v index 312c4d3..e5765d9 100644 --- a/rtl/tvp7002_frontend.v +++ b/rtl/tvp7002_frontend.v @@ -62,7 +62,7 @@ localparam VSYNC_SEPARATED = 1'b0; localparam VSYNC_RAW = 1'b1; localparam PP_PL_START = 1; -localparam PP_RLPF_START = PP_PL_START + 1; +localparam PP_RLPF_START = PP_PL_START; localparam PP_RLPF_LENGTH = 3; localparam PP_RLPF_END = PP_RLPF_START + PP_RLPF_LENGTH; localparam PP_PL_END = PP_RLPF_END; diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index aa9e283..6c7ac6b 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000971720 -:04002F000A070713A2 +:04002F001F8707130D :040030000000A7978E -:04003100A1478793C9 +:04003100B3C7879337 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E9CA -:04003A0067E9A607C5 -:04003B00A647A583AC +:04003A0067E9B88733 +:04003B00B8C7A5831A :04003C0040A267E98E -:04003D00A687A503EA +:04003D00B907A50357 :04003E00406F0131DD -:04003F00A98570E03F +:04003F00A98505503A :0400400067E980826A :04004100000207377B -:04004200A6E7A82362 +:04004200B8E7AC234C :0400430007B7656135 :040044006769019C4B :04004500CC078793CA -:040046005E850513BB -:04004700A6F72623CF +:0400460072850513A7 +:04004700B8F72A23B9 :040048006769AC6DCB -:04004900A6C727031C +:04004900B947270389 :04004A00A78367E938 -:04004B00557DA70731 +:04004B00557DB9879F :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E9808259 -:04005200A6C7A78313 +:04005200B947A78380 :04005300CF91557D77 :04005400A78367E92E -:04005500A823A7072E +:04005500A823B9879C :040056004B880007CC :0400570005424BDC37 :0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E9A9 -:04005C008082A6C731 +:04005C008082B9479E :04005D002783C90D1F :04005E0011510C052B :04005F00C22205A212 @@ -254,7 +254,7 @@ :0400FD00E963FEB7FE :0400FE0066DD02F6C3 :0400FF008693078A53 -:0401000097B6BC06EC +:0401000097B6D04698 :040101008782439C12 :04010200200007933F :040103000D0526833D @@ -287,7 +287,7 @@ :04011E00D918DD5CB3 :04011F0002052A2388 :04012000C134DD10F9 -:040121000F4585936E +:0401210024C58593D9 :0401220040A22201D4 :04012300853E47814D :0401240080820131A3 @@ -354,7 +354,7 @@ :04016100BFD507857A :04016200451CC1195E :0401630067E5EF91CC -:040164000FC7A78397 +:040164002547A78301 :040165001151C395DC :040166009782C406B2 :0401670047D940A292 @@ -365,7 +365,7 @@ :04016C00C188C3C8BB :04016D008082450146 :04016E0005136569A7 -:04016F0047D9AC457B +:04016F0047D9BEC5E9 :040170005529C11C30 :04017100832A8082DB :040172008383CA09B0 @@ -405,59 +405,59 @@ :04019400B7FD8D4DD9 :04019500C222115120 :04019600646565E156 -:040197000420061327 -:04019800D545859331 -:04019900278405139F +:040197000430061317 +:04019800EC0585935A +:040199003CC405134A :04019A003FB1C406A7 :04019B00000217B790 :04019C000D07A78321 :04019D00656565E14E :04019E008B8983C501 -:04019F00278404139A -:0401A000738006134F -:0401A100D9858593E4 -:0401A2002BC5051351 -:0401A30002F40EA3B1 +:04019F003CC4041345 +:0401A00070C0061312 +:0401A100F04585930D +:0401A20041050513FB +:0401A30002F40F2330 :0401A40040A23F1D19 :0401A50067E94412B0 -:0401A6008F23470557 -:0401A7004501AAE77D +:0401A6008323470563 +:0401A7004501BEE769 :0401A800808201311F :0401A900C78367E9B8 -:0401AA006769AC07CE -:0401AB00AAF70FA3FD +:0401AA006769BE873C +:0401AB00BEF703A3F5 :0401AC0002C0071373 :0401AD0002E787B32B :0401AE000713676567 -:0401AF0097BA2BC709 +:0401AF0097BA4107B3 :0401B0000127D683CA -:0401B1001723676940 -:0401B200C683AAD77F +:0401B1001B2367693C +:0401B200C683BCD76D :0401B3006769014730 -:0401B400AAD718238B +:0401B400BCD71C2375 :0401B50001C7C68335 -:0401B6001923676939 -:0401B700D683AAD76A +:0401B6001D23676935 +:0401B700D683BCD758 :0401B80067690187EB -:0401B900AAD7152389 +:0401B900BCD7192373 :0401BA0000C7D68321 -:0401BB001423676939 -:0401BC00C683AAD775 +:0401BB001823676935 +:0401BC00C683BCD763 :0401BD00676901D796 -:0401BE00AAD71E237B +:0401BE00BED7122373 :0401BF0001A7D6833B -:0401C0001C2367692C -:0401C100D683AAD760 +:0401C0001023676938 +:0401C100D683BED74C :0401C200676900E782 -:0401C300AAD71B2379 +:0401C300BCD71F2363 :0401C4000217C683D5 -:0401C500162367692D -:0401C600C683AAD76B +:0401C5001A23676929 +:0401C600C683BCD759 :0401C700676902273B -:0401C800AAD71D2372 +:0401C800BED711236A :0401C9000207C7035F -:0401CA009A2367E924 -:0401CB008082AAE79D +:0401CA009E2367E920 +:0401CB008082BCE78B :0401CC008793678529 :0401CD0007B38027CD :0401CE00273702F5D8 @@ -553,10 +553,10 @@ :040228001FF0079329 :0402290000F51D239C :04022A0000E5578311 -:04022B005A0007135B +:04022B006C00071349 :04022C0002C787B3CB :04022D0000F754631F -:04022E005A000793D8 +:04022E006C000793C6 :04022F0001E547039B :0402300000F517239B :040231000165578389 @@ -573,13 +573,13 @@ :04023C0002C786333C :04023D0000C51B23BA :04023E0067698082EA -:04023F00AA070713F0 +:04023F00BC8707135E :04024000E793431CE1 :04024100C31C0807CB :040242000002173768 :040243000EF720236F :0402440067698082E4 -:04024500A087071374 +:04024500B3070713E1 :0402460000C7578313 :0402470001275683B2 :0402480015FD6585B6 @@ -608,13 +608,13 @@ :04025F008FD10792A2 :040260008EDD06B673 :04026100879367E92F -:04026200C6039F47E9 +:04026200C603B1C757 :040263008A3D0027A9 :040264008ED10662CF :040265000037C60395 :040266008ED10672BD :0402670066E9D2363C -:04026800A346869390 +:04026800B5C68693FE :0402690000C6D603F2 :04026A000126D50391 :04026B000166D30352 @@ -665,17 +665,17 @@ :04029800F6138D517B :04029900066200F603 :04029A0007F28E4990 -:04029B0016830513AE +:04029B002C03051318 :04029C00DA3E8FD1E6 -:04029D00042547836A -:04029E0004154603FA +:04029D0003F547839B +:04029E0003E546032B :04029F008A3D8B9D6C :0402A0008FD1079261 -:0402A10004354603D7 +:0402A1000405460307 :0402A200061E8A7D2D :0402A3008DFD8FD16D -:0402A40004954783F3 -:0402A50016830613A3 +:0402A4000465478323 +:0402A5002C0306130D :0402A6009E63C03261 :0402A700478316076C :0402A8008789023709 @@ -685,55 +685,55 @@ :0402AC004363008127 :0402AD00470500F011 :0402AE00179365E954 -:0402AF008EA3018792 -:0402B00087E1A8E555 +:0402AF0082A301879E +:0402B00087E1BCE541 :0402B1000FF77613BA :0402B20000D05463C1 :0402B3000FF6F61339 -:0402B4000E23676945 -:0402B5004702A8C78D -:0402B60002E7470311 +:0402B4000223676951 +:0402B5004702BCC779 +:0402B60002B7470341 :0402B7004692C23A6F :0402B8009863470DF3 :0402B900470200E612 -:0402BA0003F74703FC +:0402BA0003C747032C :0402BB0000F7739342 :0402BC007393E3193C :0402BD00461200F6EF :0402BE009713468DBF :0402BF001E63015366 :0402C000468210D68C -:0402C1000406C683E6 +:0402C10003D6C68317 :0402C2001006896336 :0402C3000076F79337 :0402C40001279693E5 :0402C50064B3666553 :0402C600069300D7C4 -:0402C700C7031686CD -:0402C800458503263F +:0402C700C7032C0637 +:0402C800458502F670 :0402C900C63A4512DA :0402CA00001787137F :0402CB0095B387055B :0402CC0015FD00E537 :0402CD00F713078993 :0402CE0087850FF51C -:0402CF000336C683A9 +:0402CF000306C683D9 :0402D00000F717B369 :0402D10003F7F793A5 :0402D20003F5F593A8 :0402D3000337CE3EE1 :0402D400079301008B -:0402D5007513168601 +:0402D50075132C066B :0402D600CA2E0FD548 :0402D700C83ECC361B -:0402D800168606136D +:0402D8002C060613D7 :0402D9004681470112 :0402DA004581478192 :0402DB00C2AA137D23 :0402DC00440D451276 :0402DD0000259293D3 :0402DE000A85136317 -:0402DF000346470388 +:0402DF0003164703B8 :0402E000FFF705130C :0402E1003733893DE9 :0402E200153300E0F0 @@ -761,7 +761,7 @@ :0402F800440D461259 :0402F90006861763FB :0402FA00441D474216 -:0402FB00039746031C +:0402FB00036746034C :0402FC00FFF60713EF :0402FD0046638B3D8C :0402FE00941304D47D @@ -804,8 +804,8 @@ :0403230064B38F65CB :04032400470200B7D5 :0403250047034682C2 -:04032600C683030780 -:04032700071A031698 +:04032600C68302D7B1 +:04032700071A02E6C9 :040328007713069EA3 :040329008F550407E1 :04032A000187D693DE @@ -815,11 +815,11 @@ :04032E0047028FD91A :04032F00C20006B74B :04033000470316FD6C -:040331008EE502F75C +:040331008EE502C78C :0403320007668B7D52 :0403330000E6E4B349 :0403340046854702B1 -:0403350002D74703A1 +:0403350002A74703D1 :0403360000D7136376 :04033700EB1147225D :04033800C1000737C2 @@ -988,11 +988,11 @@ :0403DB00D92FF06FB7 :0403DC0067E911516B :0403DD00C22266E9E9 -:0403DE008423C406AA -:0403DF008793A2A7B7 -:0403E000C7839F46EA +:0403DE008823C406A6 +:0403DF008793B4A7A5 +:0403E000C783B1C658 :0403E100842A002743 -:0403E2009F46869319 +:0403E200B1C6869387 :0403E3008537CB850A :0403E4000785000584 :0403E500E405051313 @@ -1134,9 +1134,9 @@ :04046D001151B9C5AB :04046E00C02667E558 :04046F00A50384AAB3 -:0404700067E91747DA +:0404700067E92CC745 :04047100C406C222D9 -:04047200A087879345 +:04047200B3078793B2 :040473000237C70382 :04047400019C0437AC :04047500CC0404139C @@ -1145,7 +1145,7 @@ :04047800E31935A0AF :040479000127D5037F :04047A00C78367E9E4 -:04047B000433AA5745 +:04047B000433BCD7B3 :04047C00E8B9028554 :04047D009D6347092B :04047E00C7B700E715 @@ -1156,7 +1156,7 @@ :04048300A805450D76 :040484003DCD450124 :04048500C68367E9DA -:040486008793AA7737 +:040486008793BCF7A5 :04048700F793FFC622 :04048800C7990FB74A :0404890045014709D9 @@ -1180,20 +1180,20 @@ :04049B000593BFC93D :04049C00053302C062 :04049D0065E502B55A -:04049E002BC5859352 +:04049E0041058593FC :04049F0095AA46458F :0404A0000513656576 -:0404A100506F1E85F5 -:0404A20047A97C40AA +:0404A100506F33C5A0 +:0404A20047A910B0A6 :0404A30000F50E63EF -:0404A400050A67E1FD -:0404A5006DC7879305 +:0404A400050A67E5F9 +:0404A50081C78793F1 :0404A600410C953E32 :0404A70046456565FC -:0404A8001E85051395 -:0404A9007A60506FB6 +:0404A80033C5051340 +:0404A9000ED0506FB2 :0404AA00859365DDF4 -:0404AB00B7FDBF4595 +:0404AB00B7FDD38541 :0404AC00CA0611214A :0404AD00C626C82275 :0404AE003E31842A2D @@ -1201,11 +1201,11 @@ :0404B0003CC90CE057 :0404B1000A6347890A :0404B20067E51EF4E8 -:0404B3001807A683FD +:0404B3002D47A683A8 :0404B400879367E5DE -:0404B500C7032787CB -:0404B600C6030397DF -:0404B700041303A780 +:0404B500C7033CC776 +:0404B600C60303A7CF +:0404B700041303B770 :0404B80047853E80B6 :0404B9000286D433B0 :0404BA001563648DD5 @@ -1235,10 +1235,10 @@ :0404D2000C500513B2 :0404D300468234A188 :0404D400471264E582 -:0404D5001584879370 +:0404D5002B048793DA :0404D6004785C794FB :0404D70084934622A2 -:0404D800440915843A +:0404D80044092B04A4 :0404D90000F70363C2 :0404DA0046854429E6 :0404DB00008483A373 @@ -1417,10 +1417,10 @@ :040588000CD005137B :04058900F70FF0EF89 :04058A00871367E587 -:04058B004483168708 -:04058C0087930157F9 -:04058D00470916877D -:04058E0034B3C23E82 +:04058B0044832C0772 +:04058C008793013719 +:04058D0047092C07E7 +:04058E0034B3C43E80 :04058F00478500900C :040590000485C03AE4 :0405910000F403630C @@ -1428,17 +1428,17 @@ :04059300F0EF45112F :0405940066E5F46FB5 :04059500FFE40793E5 -:04059600158686132D +:040596002B06861397 :0405970000F037B386 :04059800001797139E :0405990000C64783CE :04059A008FD99BF565 -:04059B001586871327 +:04059B002B06871391 :04059C0000F606233C -:04059D008B89C43A48 +:04059D008B89C23A4A :04059E004505C781C7 :04059F00F72FF0EF53 -:0405A000051347A256 +:0405A0000513479266 :0405A100C783070005 :0405A200C63E00371A :0405A300EBEFF0EF9B @@ -1457,29 +1457,29 @@ :0405B000ED4FF0EF2C :0405B10045814782B7 :0405B20067E5C3B581 -:0405B30011478793D2 +:0405B30026C787933D :0405B4000007C583F4 :0405B50007300513F3 :0405B600EBCFF0EFA8 :0405B700871367E55A -:0405B800458311471F +:0405B800458326C78A :0405B900051300170F :0405BA00F0EF074017 :0405BB0067E5EAAF57 -:0405BC0011478793C9 +:0405BC0026C7879334 :0405BD000027C583CB :0405BE0007500513CA :0405BF00E98FF0EFE1 -:0405C00047816761A7 -:0405C1007087071325 +:0405C00047816765A3 +:0405C1008487071311 :0405C20000F706B385 :0405C3000006C583E6 :0405C400076785132D :0405C500F0EFC03E55 :0405C6004782E7EF92 -:0405C70046C9676159 +:0405C70046C9676555 :0405C8000713078589 -:0405C90091E37087C3 +:0405C90091E38487AF :0405CA004589FED78A :0405CB0007200513ED :0405CC00F0EFC02E5E @@ -1487,7 +1487,7 @@ :0405CE008DC98971D9 :0405CF0007200513E9 :0405D000E54FF0EF14 -:0405D100458547A273 +:0405D1004585479283 :0405D20000C7C78314 :0405D300E3918B899C :0405D4000513458145 @@ -1541,16 +1541,16 @@ :0406040006100513C4 :04060500D80FF0EF2B :0406060006634789B7 -:04060700479202F420 -:04060800849364E58E -:04060900C6832784F9 -:04060A0067E9014754 -:04060B00A3E7C50399 -:04060C0003E4C6033A +:0406070067E902F4A9 +:04060800C68364E55C +:040609008493B2E73D +:04060A0067E93CC49C +:04060B00B667C50306 +:04060C0003F4C6032A :04060D00008035B381 :04060E0031690586C3 -:04060F0003E4C783B6 -:040610000DA34712DD +:04060F0003F4C783A6 +:040610000C2347224E :04061100852204F743 :04061200A69FF0EFC0 :0406130040E244522B @@ -1571,7 +1571,7 @@ :0406220017B716FDF3 :04062300A703000227 :0406240067E50D0772 -:040625001FC78793D1 +:04062500350787937B :0406260086138F7533 :04062700D5030347AD :040628000463000760 @@ -1620,7 +1620,7 @@ :04065300459D40A2DF :0406540002B00513D8 :04065500F06F013110 -:040656004795DD8F58 +:040656004799DD8F54 :040657000CA7E963A0 :04065800C222115158 :04065900C406C026ED @@ -1630,7 +1630,7 @@ :04065D000AA78863FD :04065E0007B347D1C6 :04065F0065E102F55A -:04066000C2C58593F7 +:04066000D845859361 :040661008513465166 :04066200883D00448B :0406630095BE040A32 @@ -1638,11 +1638,11 @@ :04066500F793409C2B :040666008C5DFC3774 :040667006465C08086 -:04066800168407935A -:0406690001C7C7837B -:04066A0016840413DB +:040668002C040793C4 +:040669000187C783BB +:04066A002C04041345 :04066B006769CF915B -:04066C00AA070713BF +:04066C00BC8707132D :04066D004529431CBC :04066E00C31C9BF519 :04066F000002173737 @@ -1664,9 +1664,9 @@ :04067F006713439822 :04068000C3980027F4 :04068100EFAFE0EF08 -:0406820001C44783E5 +:040682000184478325 :040683006769CF8153 -:04068400AA070713A7 +:04068400BC87071315 :04068500E793431C98 :04068600C31C00276A :04068700000217371F @@ -1678,11 +1678,11 @@ :04068D0002D505335A :04068E000640069389 :04068F000613665D8B -:0406900045C5BFC6D7 +:0406900045C5D40682 :0406910002D546B395 :040692000513656582 -:04069300406F1E8511 -:0406940046B75F8086 +:04069300406F33C5BC +:0406940046B773E012 :040695008693000F39 :0406960005332406FE :04069700678902D598 @@ -1691,139 +1691,139 @@ :04069A00006336B70C :04069B00EA06869352 :04069C000613665D7E -:04069D0045C5C04649 +:04069D0045C5D486F5 :04069E0002F557B357 :04069F0002E7F7B3C4 :0406A00056B34729DD :0406A100656502D5B4 -:0406A2001E85051399 +:0406A20033C5051344 :0406A30002E7D73360 -:0406A4005B60406FE8 +:0406A4006FC0406F74 :0406A5002710069381 :0406A600053305050E :0406A700069302D5DF :0406A800665D064045 -:0406A900C10606136D +:0406A900D546061319 :0406AA0046B345C549 :0406AB00656502D5AA -:0406AC001E8505138F -:0406AD005920406F21 +:0406AC0033C505133A +:0406AD006D80406FAD :0406AE002710069378 :0406AF0002D5053338 :0406B0000640069367 :0406B1000613665D69 -:0406B20045C5C10673 +:0406B20045C5D5461F :0406B30002D546B373 :0406B4000513656560 -:0406B500406F1E85EF -:0406B600BFF9570031 +:0406B500406F33C59A +:0406B600BFF96B60BD :0406B70067E9ED0101 -:0406B800A9C7C70304 +:0406B800BC47C70371 :0406B90067DD66DDB6 :0406BA008693070517 -:0406BB008793C186DA -:0406BC00A8014987C1 +:0406BB008793D5C686 +:0406BC00A8015E47EC :0406BD00869367DDDC -:0406BE000713C14716 +:0406BE000713D587C2 :0406BF008793001508 -:0406C000665DC1476B +:0406C000665DD58717 :0406C1000613656552 -:0406C20045C5C20662 -:0406C3001E85051378 -:0406C4005360406FD0 +:0406C20045C5D6460E +:0406C30033C5051323 +:0406C40067C0406F5C :0406C50067E9ED01F3 -:0406C600A9D7C703E6 +:0406C600BC57C70353 :0406C70067DD66DDA8 :0406C8008693070509 -:0406C9008793C186CC -:0406CA00A8014987B3 +:0406C9008793D5C678 +:0406CA00A8015E47DE :0406CB00869367DDCE -:0406CC000713C14708 +:0406CC000713D587B4 :0406CD0087930015FA -:0406CE00665DC1475D +:0406CE00665DD58709 :0406CF000613656544 -:0406D00045C5C20654 -:0406D1001E8505136A -:0406D2004FE0406F46 +:0406D00045C5D64600 +:0406D10033C5051315 +:0406D2006440406FD1 :0406D300665D86AA30 :0406D400061365653F -:0406D50045C5C286CF -:0406D6001E85051365 -:0406D7004EA0406F82 +:0406D50045C5D6C67B +:0406D60033C5051310 +:0406D7006300406F0D :0406D800665D86AA2B :0406D900061365653A -:0406DA0045C5CE063E -:0406DB001E85051360 -:0406DC004D60406FBE +:0406DA0045C5E246EA +:0406DB0033C505130B +:0406DC0061C0406F4A :0406DD00F805069383 :0406DE00665D06E26D :0406DF0086E16565E6 -:0406E000EF86061388 +:0406E00003C6061334 :0406E100051345C5F3 -:0406E200406F1E85C2 -:0406E30016934BC05F +:0406E200406F33C56D +:0406E30016936020EA :0406E40086E1018525 :0406E5006565665D84 :0406E600061316D110 -:0406E70045C5C346FC -:0406E8001E85051353 -:0406E9004A20406FF4 +:0406E70045C5D786A8 +:0406E80033C50513FE +:0406E9005E80406F80 :0406EA0096B34685F8 :0406EB00665D00A6A2 :0406EC000613656527 -:0406ED0045C5C286B7 -:0406EE001E8505134D -:0406EF0048A0406F70 +:0406ED0045C5D6C663 +:0406EE0033C50513F8 +:0406EF005D00406FFB :0406F0004685050531 :0406F10000A696B316 :0406F2006565665D77 -:0406F300C3C6061361 +:0406F300D80606130C :0406F400051345C5E0 -:0406F500406F1E85AF -:0406F60047834700EF +:0406F500406F33C55A +:0406F60047835B607B :0406F7004711004562 :0406F800696386B2FA :0406F900675D06F73C :0406FA000713078A51 -:0406FB0097BAC5C71E +:0406FB0097BADA07C9 :0406FC008782439C12 :0406FD004645451C0D :0406FE000007C70327 :0406FF00070A491C81 :04070000438C97BAD5 :040701000513656512 -:04070200406F1E85A1 -:040703004518641021 +:04070200406F33C54C +:0407030045187870AD :040704004503491C44 :0407050087820007E0 :040706004508491C3D :0407070067E5878299 -:040708001E07842321 +:0407080032078E2303 :04070900C195808294 :04070A0065DDE611B2 :04070B008593464547 -:04070C00BFC9C48518 +:04070C00BFC9D8C5C4 :04070D00020650632D :04070E006565665D5A -:04070F00C506061302 +:04070F00D9460613AE :04071000051345C5C3 -:04071100406F1E8592 -:04071200455C400002 +:04071100406F33C53D +:04071200455C54608E :040713004398DBE943 :04071400B7C1479C86 :0407150067E980828E -:04071600A897C78356 +:04071600BB17C783C3 :0407170067E5C3E1EE -:040718001207C703FA +:040718002787C70365 :040719001B63478592 :04071A0067650AF70E :04071B00071311119E -:04071C00CA22FA47AC +:04071C00CA220F8757 :04071D00CC06C82618 :04071E0044814401CD :04071F00C23A478112 :0407200047036769BB -:040721004692A8A7AD +:040721004692BB271A :040722009736070EF1 :040723004703431035 :04072400CD6300069B @@ -1841,7 +1841,7 @@ :04073000C03600F6D9 :04073100430C9732AC :04073200C43A46413E -:0407330057F040EF4C +:040733006C5040EFD7 :0407340047B247225F :0407350046034585AD :0407360092B3004733 @@ -1856,40 +1856,40 @@ :04073F000107851316 :0407400065E54782A2 :04074100953E46415A -:040742001E858593F8 -:0407430053F040EF40 +:0407420033C58593A3 +:04074300685040EFCB :0407440047A242B2D4 :0407450000546433C5 :04074600B79D0785CF :0407470066E980825D :04074800FD8101131B -:04074900ABF68693F2 +:04074900BE7686935F :04074A0066E9C43662 -:04074B00AAE6869301 +:04074B00BD6686936E :04074C0066E9C03664 -:04074D00AB068693DE +:04074D00BD8686934C :04074E0066E9C23660 -:04074F00AB268693BC +:04074F00BDA686932A :0407500066E9C6365A -:04075100ABC686931A +:04075100BE46869387 :04075200C83667E559 :04075300D02266E961 :040754008693CE2694 -:04075500D206AAC658 -:0407560016878793E8 +:04075500D206BD46C5 +:040756002C07879352 :04075700C703CA36D4 :0407580066E9012726 -:04075900ABA6869332 +:04075900BE2686939F :04075A0063E964E506 :04075B00636962E983 :04075C00666965E97C :04075D00842ACC36E8 -:04075E002BC4849391 -:04075F00AAA3839333 -:04076000AA82829354 -:04076100AB83031350 -:04076200AB6585936B -:04076300AB46061388 +:04075E00410484933B +:04075F00BD238393A0 +:04076000BD028293C1 +:04076100BE030313BD +:04076200BDE58593D9 +:04076300BDC60613F6 :040764008703C775CB :0407650047A201178F :040766000007C7833E @@ -1933,7 +1933,7 @@ :04078C000007D78308 :04078D0000F6866389 :04078E00468567E94C -:04078F00AAD78F2333 +:04078F00BED783232B :0407900002C0079309 :0407910002F7073331 :040792000006550305 @@ -1942,13 +1942,13 @@ :04079500751302A72F :04079600F0EF0FF57C :0407970067E9916F0E -:04079800AB478613D2 +:04079800BDC7861340 :04079900859367E9F4 -:04079A0067E9AB67F9 -:04079B00AB87831392 +:04079A0067E9BDE767 +:04079B00BE078313FF :04079C00829367E9F4 -:04079D0067E9AA87D7 -:04079E00AAA78393F0 +:04079D0067E9BD0744 +:04079E00BD2783935D :04079F00470247A224 :0407A000C783656541 :0407A1005683000774 @@ -1984,28 +1984,28 @@ :0407BF0002D7073323 :0407C0003E800693DE :0407C1000613665D58 -:0407C20046B3C7066D +:0407C20046B3DB4619 :0407C300540202D703 :0407C40044F2509219 :0407C500051345C50E -:0407C60001131E8578 +:0407C600011333C523 :0407C700406F0281FC -:0407C8004702128052 +:0407C800470226E0DE :0407C90000E40563E0 :0407CA00126347125D :0407CB00471502E4E8 :0407CC0002E7873386 :0407CD0050925402F0 :0407CE00665D44F22E -:0407CF00C7860613C0 +:0407CF00DBC606136C :0407D000051345C503 -:0407D10001131E856D +:0407D100011333C518 :0407D200406F0281F1 -:0407D300665D0FC090 +:0407D300665D24201B :0407D4000004568344 -:0407D500CE06061333 +:0407D500E2460613DF :0407D60067E9BF5DB3 -:0407D700A9878793D4 +:0407D700BC07879341 :0407D800EF1943983A :0407D9000713671D7E :0407DA0005339787C5 @@ -2018,7 +2018,7 @@ :0407E100C4061151E8 :0407E2009BEFE0EFBA :0407E300A78367E998 -:0407E40040A2A987FF +:0407E40040A2BC076C :0407E50000F53533B3 :0407E60080820131DB :0407E7006463473DC3 @@ -2039,42 +2039,42 @@ :0407F60002F11023D9 :0407F70007934629F5 :0407F800859304B031 -:0407F90005131C8543 +:0407F900051331C5EE :0407FA0001A3025104 :0407FB00022302F1E2 :0407FC00E0EF020127 :0407FD0067E9DD4F7C -:0407FE00AA47C783BC +:0407FE00BCC7C7832A :0407FF00061365E593 :040800000823036066 :0408010067E902F1B0 -:04080200A807C783F9 -:040803001FC58593F5 +:04080200BA87C78367 +:04080300350585939F :0408040003A1051334 :0408050002F108A351 :04080600C78367E954 -:040807000820A8D746 +:040807000820BB57B3 :0408080007A31884A6 :0408090067E902F1A8 -:04080A00A887C78371 +:04080A00BB07C783DE :04080B0002F10923CA :04080C00C78367E94E -:04080D0009A3A7672D +:04080D0009A3B9E79B :04080E0067E902F1A3 -:04080F00A747C783AD +:04080F00B9C7C7831B :0408100002F10A23C4 :04081100C78367E54D -:040812000AA311071D +:040812000AA3268788 :0408130067E902F19E -:04081400A757C78398 +:04081400B9D7C78306 :0408150002F10B23BE :04081600C78367E548 -:040817000BA3120716 +:040817000BA3278781 :0408180067E502F19D -:040819001217C78368 +:040819002797C783D3 :04081A0002F10C23B8 :04081B00C78367E93F -:04081C000CA3A8C7BA +:04081C000CA3BB4727 :04081D00E0EF02F115 :04081E004503D50FAA :04081F0004050004C8 @@ -2082,7 +2082,7 @@ :04082100FEA40FA37F :04082200FE9419E344 :04082300A50367E9D9 -:040824000693A84748 +:040824000693BAC7B6 :040825000830058012 :04082600001F05B7F3 :04082700A4EFE0EF6B @@ -2091,27 +2091,27 @@ :04082A001181248391 :04082B001241011362 :04082C00079380822C -:04082D001023301054 +:04082D001023501034 :04082E0007B702F115 -:04082F00879307386C -:0408300064E5042750 +:04082F008793070C98 +:0408300064E5043740 :04083100C783D83E63 -:04083200EB892684A4 +:04083200EB893BC44F :040833000613665DE5 -:0408340045B5C806F8 -:04083500268485137D -:0408360076F030EF39 -:0408370026848593FB +:0408340045B5DC46A4 +:040835003BC4851328 +:040836000B4040EF44 +:040837003BC48593A6 :040838000513463529 :0408390040EF023159 -:04083A0065E516500A -:04083B00042006137C -:04083C0027858593F4 +:04083A0065E52AB096 +:04083B00043006136C +:04083C003CC585939F :04083D00E0EF184888 :04083E0064E5CD0F91 -:04083F000A20061372 -:040840002BC48593AD -:040841000761051333 +:04083F000A10061382 +:040840004104859357 +:040841000771051323 :04084200CBEFE0EF29 :04084300C503083CA5 :04084400C03E0007AB @@ -2123,20 +2123,20 @@ :04084A000FF4741320 :04084B0001041793FA :04084C0067E9C23E58 -:04084D00A847A50310 +:04084D00BAC7A5037E :04084E0010000693FD :04084F0015930830C5 :04085000E0EF0104D0 :0408510067E99A8F2A -:04085200A847879399 +:04085200BAC7879307 :04085300F929C63E7B -:040854002BC4879397 +:040854004104879341 :04085500071304423F :040856008493100473 -:0408570087930A2752 -:04085800C03A7A2701 +:0408570087930A1762 +:04085800C03A7A1711 :040859000413C43E82 -:04085A000693696038 +:04085A00069366B0EB :04085B0087221000E0 :04085C000086F463BB :04085D00100007136D @@ -2170,19 +2170,19 @@ :04087900557DBD7577 :04087A001151808216 :04087B0064E9C02646 -:04087C00A8F48493C5 +:04087C00BB74849332 :04087D000004C503AB :04087E00C406C222C8 :04087F00842A33454F :04088000C703E51DA8 :0408810067E900041F -:040882008723468101 -:0408830067E9A8E792 -:04088400A8D7C783A7 +:040882008B234681FD +:0408830067E9BAE780 +:04088400BB57C78314 :0408850067E5C781DB -:040886001847C683C6 +:040886002D87C68371 :04088700879367E507 -:0408880097B61C877C +:0408880097B631C727 :0408890000E78023E1 :04088A00F0EF453D09 :04088B0040A2D73F71 @@ -2190,7 +2190,7 @@ :04088D00013144826F :04088E000113808250 :04088F0067E5EDC16B -:040890002607842390 +:040890003A078E2372 :040891002023C43E1E :040892002E231211EE :040893002C23108181 @@ -2199,13 +2199,13 @@ :0408960006A76363EB :040897000541676947 :040898000FF577934E -:04089900A847250344 +:04089900BAC72503B2 :04089A00010795932A :04089B0010000693B0 :04089C00C03E083022 :04089D0092EFE0EF07 :04089E00082467695A -:04089F00A84707134C +:04089F00BAC70713BA :0408A000842687AA79 :0408A1004503C63A0B :0408A200C83E000448 @@ -2215,7 +2215,7 @@ :0408A60016E347C24C :0408A700E385FE8760 :0408A800859365DDF2 -:0408A9000828C885CE +:0408A9000828DCC57A :0408AA00B6AFE0EF16 :0408AB00136387AAA2 :0408AC0047032005D9 @@ -2239,7 +2239,7 @@ :0408BE00F371471279 :0408BF0047816765A1 :0408C000071345399C -:0408C10045A91C87A2 +:0408C10045A931C74D :0408C20000D4C60395 :0408C30000C56663A3 :0408C40000F7033303 @@ -2247,70 +2247,70 @@ :0408C6000485078519 :0408C700FEB796E3FF :0408C800031147834E -:0408C9000023676938 -:0408CA004725A8F71F +:0408C9000423676934 +:0408CA004725BAF70D :0408CB0000F7666369 -:0408CC000223676933 -:0408CD00A029AAF7BD +:0408CC00062367692F +:0408CD00A029BCF7AB :0408CE000301478358 :0408CF00FEF77AE3D3 :0408D00003314703A6 :0408D100656567E909 -:0408D200A6E78B23E7 +:0408D200B8E78F23D1 :0408D3000341470393 :0408D400061367E9B7 -:0408D5008A2303600F -:0408D6004703A6E747 +:0408D5008E2303600B +:0408D6004703B8E735 :0408D70067E503517D :0408D80003A10593E0 -:0408D90010E7882379 +:0408D90026E7842367 :0408DA00036147036C :0408DB00051367E9B1 -:0408DC008AA31FC507 -:0408DD004703A6E740 +:0408DC008EA33505AD +:0408DD004703B8E72E :0408DE0067E5037156 -:0408DF0012E7802379 +:0408DF0026E78C2359 :0408E0000381470346 -:0408E10080A367E5A4 -:0408E200470312E7CF +:0408E1008CA367E598 +:0408E200470326E7BB :0408E30067E902F1CE -:0408E400A8E786A358 +:0408E400BAE78AA342 :0408E500C70367E5F9 -:0408E60067E91C871B -:0408E700A8E78723D4 +:0408E60067E931C7C6 +:0408E700BAE78B23BE :0408E800032147039E -:0408E900842367E914 -:0408EA004703A8E731 +:0408E900882367E910 +:0408EA004703BAE71F :0408EB0067E9039125 -:0408EC00A8E78623D0 +:0408EC00BAE78A23BA :0408ED00A12FE0EF68 :0408EE00B711478176 :0408EF000201468339 :0408F0000EE69C6311 :0408F1000211468327 -:0408F2009763470DB4 +:0408F20097634715AC :0408F30056830EE634 :0408F40007130301E2 -:0408F50094E3042064 +:0408F50094E3043054 :0408F6005403EEE6D3 :0408F70007130321BF -:0408F8001EE3738008 +:0408F8001EE370C0CB :0408F90047A2ECE442 :0408FA004635C82A8D -:0408FB0026878513B4 +:0408FB003BC785135F :0408FC00023105932D -:0408FD00656040EF03 +:0408FD0079C040EF8F :0408FE0047C2471294 :0408FF00EC0711E30E :040900000613656510 -:04090100184C04206A -:04090200278505132D +:04090100184C04305A +:040902003CC50513D8 :040903009BAFE0EFD7 :04090400676547825A -:040905002BC70713E2 +:04090500410707138C :04090600C23E07A244 :04090700C002448165 -:0409080005E007936C +:0409080005F007935C :040909004722C43A83 :04090A000613468208 :04090B008E1D10002D @@ -2345,30 +2345,30 @@ :04092800A021F059C1 :04092900E0EF862253 :04092A0067E9920FD8 -:04092B008F234705CA -:04092C00B719AAE766 +:04092B0083234705D6 +:04092C00B719BEE752 :04092D00B521478524 :04092E00B51147892F :04092F00C02611517C :04093000849364E95F -:04093100C503A8F45E +:04093100C503BB74CB :0409320045810004F7 :04093300C406C22212 :04093400D6BFF0EF4B :04093500E121842A0E :040936000004C703EF :0409370046A967E97D -:04093800A8E7872382 +:04093800BAE78B236C :04093900C78367E524 -:04093A0085632B970F +:04093A00856340E7AA :04093B0066E900D792 -:04093C00AAF6822372 +:04093C00BCF686235C :04093D00C78367E91C -:04093E004681A8D76F +:04093E004681BB57DC :04093F0067E9C7811C -:04094000AA47C68379 +:04094000BCC7C683E7 :04094100879367E54C -:0409420097B61C87C1 +:0409420097B631C76C :0409430000E7802326 :04094400F0EF453D4E :0409450040A2A8BF65 @@ -2378,19 +2378,19 @@ :04094900C606458514 :04094A00F0EFC02AE0 :04094B006765D11FEC -:04094C002687478330 +:04094C003BC74783DB :04094D0007134682C4 -:04094E00E781268790 +:04094E00E7813BC73B :04094F000713675DC6 -:0409500040B2C907E1 +:0409500040B2DD478D :040951006565665D15 -:04095200C986061339 +:04095200DDC60613E5 :04095300051345C57E -:0409540001411E85BA -:040955002F30306FA0 +:04095400014133C565 +:040955004390306F2C :04095600676911219B :040957000413C8229B -:04095800401CAA078E +:04095800401CBC87FC :0409590016B7CA06FD :04095A00F79300020D :04095B00C01CF9F7CC @@ -2406,12 +2406,12 @@ :040965000207E7930B :04096600A023C01CEE :0409670085260EF6DD -:040968004CE040EF30 +:04096800614040EFBB :040969000FF577937C :04096A0065E1EB89CF :04096B0085934645E5 -:04096C0085264F4548 -:04096D00496040EFAE +:04096C008526634534 +:04096D005DC040EF3A :04096E004701478571 :04096F0000E486B367 :040970000006C503B5 @@ -2432,12 +2432,12 @@ :04097F000207E793F1 :04098000A023C01CD4 :0409810040EF0EF441 -:0409820074934680A4 +:0409820074935AE030 :04098300E8890FF5FB :0409840065E14502E2 :0409850085934645CB -:0409860040EF4F45AA -:040987004485430060 +:0409860040EF634596 +:0409870044855760EC :04098800470247815A :04098900C23E45D154 :04098A004503973E4C @@ -2457,38 +2457,38 @@ :0409980064E5478546 :040999000863646526 :04099A00676500F598 -:04099B001207468376 +:04099B0027874683E1 :04099C009463470910 :04099D00464104E6E5 -:04099E001D448593DC +:04099E003284859387 :04099F0000024537D6 -:0409A0003CA040EF48 +:0409A000510040EFD3 :0409A100000247B752 :0409A20002078513B0 :0409A3000593464131 -:0409A40040EF1E847E -:0409A50047B73B8095 +:0409A40040EF33C429 +:0409A50047B74FE021 :0409A600A623000282 :0409A700470D3C07B5 :0409A8003CE7A22363 :0409A9003C07A42340 -:0409AA001E8405930F +:0409AA0033C40593BA :0409AB0040B24422F0 -:0409AC001D4485134E +:0409AC0032848513F9 :0409AD00014144922E :0409AE0017E3B54551 :0409AF006769FEE591 -:0409B000A8A74703AA +:0409B000BB27470317 :0409B1000593464123 -:0409B20016931E84F6 +:0409B200169333C4A1 :0409B300676500373D -:0409B400FA470713E4 +:0409B4000F8707138F :0409B50046839736A8 :0409B6004737004778 :0409B7009513000292 :0409B800054100569F :0409B900C036953A75 -:0409BA00362040EFB4 +:0409BA004A8040EF40 :0409BB0047374682F2 :0409BC00260300020C :0409BD0047853C87A7 @@ -2496,7 +2496,7 @@ :0409BF0024238FD18D :0409C000B75D3CF7EC :0409C100C78367E998 -:0409C200E3B5A8975A +:0409C200E3B5BB17C7 :0409C300C406115104 :0409C400C026C22265 :0409C5004737C909DE @@ -2504,6800 +2504,6886 @@ :0409C700E7933C076F :0409C80020230027C1 :0409C90064E53CF7AE -:0409CA0023448593AA +:0409CA003884859355 :0409CB004537464125 :0409CC0040EF0002F6 -:0409CD0044373180FA +:0409CD00443745E086 :0409CE0067E50002D7 -:0409CF002487859361 +:0409CF0039C785930C :0409D0000204051305 :0409D10040EF46416C -:0409D200470D30405D +:0409D200470D44A0E9 :0409D3003C04262397 :0409D4003CE42223BA :0409D5003C04242397 :0409D60040A24412E5 -:0409D700234485131D +:0409D70038848513C8 :0409D80067E5448209 -:0409D9002487859357 +:0409D90039C7859302 :0409DA00F06F013188 :0409DB008082DEFF39 -:0409DC00CD22713582 -:0409DD000613646930 -:0409DE00458102C08D -:0409DF00A0840513D8 -:0409E000CF06CB264D -:0409E100E7FFD0EF6D -:0409E200871365E52D -:0409E300435C1685D6 -:0409E400A0EEC6B704 -:0409E500B00686933F -:0409E60002F6D6330C -:0409E700A084049351 -:0409E80000070A23D7 -:0409E900000709A357 -:0409EA0000C498238A -:0409EB0001074603B7 -:0409EC00A0840713C9 -:0409ED008713C43A6E -:0409EE0035931685A2 -:0409EF000585001664 -:0409F00002B787B310 -:0409F1000640059324 -:0409F2004318C23AAA -:0409F30000E49B235E -:0409F40002B787B30C -:0409F50002E7D7B38B -:0409F60002F6D7B37B -:0409F7004792C83E1D -:0409F800CE3E479C0C -:0409F900001637931A -:0409FA0000F48F2353 -:0409FB0046F24792E7 -:0409FC0001C7C783E5 -:0409FD0000D48E2371 -:0409FE0000279693A5 -:0409FF00879367E192 -:040A000097B6BD07E1 -:040A01000793439480 -:040A0200C21906907F -:040A0300070007934E -:040A04006565665D61 -:040A0500CA06061304 -:040A0600051345C5CA -:040A070030EF234564 -:040A080047A202906F -:040A090006934742C7 -:040A0A00D6033E8051 -:040A0B000793010745 -:040A0C0075B3064078 -:040A0D00656502D742 -:040A0E002485051323 -:040A0F003E8004938E -:040A100002F6773340 -:040A110002F657B3DF -:040A1200C03A46425E -:040A1300D733472965 -:040A140045C502E5ED -:040A150002D656B3FC -:040A16000613665D00 -:040A170030EFCAC62C -:040A180045057E8092 -:040A19004791354587 -:040A1A004792CABE77 -:040A1B00040109A326 -:040A1C00C7036309A0 -:040A1D0047A10227C4 -:040A1E00710303134A -:040A1F0000E797B3A2 -:040A20000087E793D1 -:040A21004792CCBE6E -:040A2200C70346813F -:040A230007930237FC -:040A240097B3200064 -:040A2500CEBE00E75A -:040A2600C703479229 -:040A270067C102475A -:040A280000E797B399 -:040A29004792D0BE62 -:040A2A000257C703A5 -:040A2B00002007B7E9 -:040A2C0000E797B395 -:040A2D00D2BE4712DC -:040A2E00077547926F -:040A2F00D8BAD6BAA1 -:040A3000C78347121F -:040A310007790297A8 +:0409DC00F5C101134D +:0409DD006469CF2258 +:0409DE0002C006133A +:0409DF000513458136 +:0409E000CD26B30469 +:0409E100D0EFD1067C +:0409E2006765E7DF7F +:0409E3002C070613C4 +:0409E400C6B7425CF4 +:0409E5008693A0EE67 +:0409E600D5B3B006CF +:0409E700460302F6CB +:0409E800049301066D +:0409E9000713B30439 +:0409EA00C23A2C07DA +:0409EB009B234318EF +:0409EC00982300E468 +:0409ED00059300B4BA +:0409EE00C62EB3045A +:0409EF000016359326 +:0409F00087B305853F +:0409F100059302B7B1 +:0409F20087B3064081 +:0409F300D7B302B7BD +:0409F400D7B302E78C +:0409F500CC3E02F6FC +:0409F600479C479241 +:0409F7003793D03E24 +:0409F8008F23001633 +:0409F900479200F42D +:0409FA00C7835682D7 +:0409FB008E230187BF +:0409FC00969300D4FA +:0409FD0067E1002787 +:0409FE00D287879382 +:0409FF00439497B6D0 +:040A000006900793C2 +:040A01000793C2197C +:040A0200665D070026 +:040A0300061365650C +:040A040045C5DE46C0 +:040A05003885051318 +:040A0600175030EF66 +:040A0700476247B249 +:040A08003E80069393 +:040A09000107D60308 +:040A0A000640079308 +:040A0B0002D775B3E6 +:040A0C000513656504 +:040A0D00049339C550 +:040A0E0077333E807C +:040A0F0057B302F6E1 +:040A1000466202F642 +:040A11004729C03A77 +:040A120002E5D733EF +:040A130056B345C5CC +:040A1400665D02D643 +:040A1500DF060613DF +:040A1600135030EF5A +:040A1700355D4505FF +:040A1800CABE47917A +:040A190009A3479254 +:040A1A006309040167 +:040A1B0001E7C70325 +:040A1C00031347A1D8 +:040A1D0097B3710317 +:040A1E00E79300E773 +:040A1F00CCBE0087C2 +:040A20004681479232 +:040A210001F7C7030F +:040A22002000079316 +:040A230000E797B39E +:040A24004792CEBE69 +:040A25000207C703FA +:040A260097B367C15A +:040A2700D0BE00E756 +:040A2800C703479227 +:040A290007B70217F2 +:040A2A0097B300205E +:040A2B00D2BE00E750 +:040A2C00C703479223 +:040A2D0007B70227DE +:040A2E0097B3040076 +:040A2F00471200E783 +:040A30004792D4BE57 +:040A3100D8BA0765C3 :040A32004712DABAD3 -:040A33000793CA3E1D -:040A3400077D053104 -:040A3500DEBADCBA8F -:040A3600D4BE4712D1 -:040A37000713C53E9E -:040A3800C13A0207B6 -:040A39004712C33A63 -:040A3A000217071385 -:040A3B00C93EC73AAF -:040A3C00C602479215 -:040A3D000277C283F7 -:040A3E00C78347A281 -:040A3F00D63E01C7D7 -:040A4000D78347A26F -:040A4100D43E012777 -:040A4200C78347928D -:040A4300D03E028718 -:040A4400C78347A27B -:040A4500D83E01E7AF -:040A4600D78347A269 -:040A4700DA3E01672B -:040A4800D78347A267 -:040A4900DC3E010787 -:040A4A00841367E5C5 -:040A4B0087932BC79B -:040A4C00CC3E2BC7AA -:040A4D000FD2F7933A -:040A4E004703DE3E3E -:040A4F004795024481 -:040A50001AE7E4635A -:040A5100EC63478D7E -:040A52000D6324E725 -:040A530009501AF735 -:040A540000271793CD -:040A5500A78397B22A -:040A5600C783FD470E -:040A5700078A000703 -:040A5800A58397B229 -:040A5900541CFC0726 -:040A5A0081638FED38 -:040A5B0047831E07A8 -:040A5C00564201E419 -:040A5D001CC79C63B3 -:040A5E005783565212 -:040A5F005562016477 -:040A600040F607B3A2 -:040A610041F7D61370 -:040A62008F918FB130 -:040A63000104560331 -:040A640040C5063350 -:040A650041F65513EE -:040A66008E098E293E -:040A67002297C363AC -:040A68007663451D4F -:040A6900946300E5AD -:040A6A00416300974D -:040A6B0047092266AF -:040A6C0018974E6326 -:040A6D0018F4DC633A -:040A6E00041347B274 -:040A6F00656902C0F3 -:040A70000287843342 -:040A7100462947E2E9 -:040A7200943E64E961 -:040A73000793445849 -:040A74004683A08590 -:040A7500C7D8024498 -:040A760001C447036D -:040A77000124530300 -:040A780000A4428311 -:040A79005703D03A15 -:040A7A00538301841D -:040A7B0085A200C48C -:040A7C004C18D43A04 -:040A7D00A085051338 -:040A7E000067992351 -:040A7F005703CF98B2 -:040A8000DA3601C49D -:040A81000057852372 -:040A820000E79E23C8 -:040A830001444703E0 -:040A8400DC1ADE1E7C -:040A850000E78A23D9 -:040A8600021447030C -:040A8700D63AD8166D -:040A8800822350185D -:040A8900D39802D725 -:040A8A00022040EF17 -:040A8B000593656901 -:040A8C000613A08528 -:040A8D00851302C00B -:040A8E00D0EFA344BE -:040A8F008793B8DFB2 -:040A90008523A344D3 -:040A910067E900070A -:040A92009F478713E0 -:040A9300569246052C -:040A94000007202314 -:040A95000007222311 -:040A9600000724230E -:040A9700000726230B -:040A98000007082328 -:040A990000C708A3E7 -:040A9A0065915418F6 -:040A9B009F478413DA -:040A9C0003638F75EC -:040A9D0056D262B714 -:040A9E0040E5E563E7 -:040A9F0010000593AB -:040AA0005CB70063DC -:040AA10053F2536257 -:040AA20016E5E96309 -:040AA3000E6345C1D8 -:040AA40052C254B72F -:040AA50012E5EF6304 -:040AA6000163459112 -:040AA70045A14AB764 -:040AA8000CB7116313 -:040AA90000C400A3E2 -:040AAA008F63470D02 -:040AAB00871300E6C7 -:040AAC007713FFA617 -:040AAD0079630FF763 -:040AAE00472500E6F2 -:040AAF0052E6946314 -:040AB0004AF00713EE -:040AB10052676063C5 -:040AB200876347D23D -:040AB30067E9500798 -:040AB4004589460525 -:040AB500A08785137E -:040AB600D29FD0EF0C -:040AB70000144603DE -:040AB80076130605A6 -:040AB900A9ED0FF69E -:040ABA0012E3479963 -:040ABB004783E6F790 -:040ABC00460900A443 -:040ABD000CC791636E -:040ABE000A0289633C -:040ABF0088E34785FC -:040AC000A0A9E4F213 -:040AC1002000079377 -:040AC2000793CEBE0A -:040AC300D0BE100091 -:040AC400D2BE67A196 -:040AC5009CE357A2B5 -:040AC6005603E207EA -:040AC700079301642C -:040AC80016E31C1005 -:040AC90067DDE2F60D -:040ACA0085934629A1 -:040ACB008522CC476D -:040ACC00C4B6C69A4C -:040ACD00C0BAC29653 -:040ACE006E5030EF47 -:040ACF0042964706FE -:040AD000433646A6BD -:040AD1005782E51D46 -:040AD200E00793E3C3 -:040AD30007930685FA -:040AD400041302A065 -:040AD50092E302C4E2 -:040AD6000793DEF6AE -:040AD700DDE306D085 -:040AD80067E9E4974F -:040AD900A00782A34D -:040ADA00446A40FA30 -:040ADB00610D44DA8B -:040ADC0067DD8082D0 -:040ADD00859346298E -:040ADE008522CD0799 -:040ADF00C4B6C69A39 -:040AE000C0BAC29640 -:040AE100699030EFF9 -:040AE20042964706EB -:040AE300433646A6AA -:040AE400DA051FE32D -:040AE50047855602E9 -:040AE600DAF61BE33E -:040AE7000793BF456D -:040AE800CEBE20005E -:040AE900D0BE67C153 -:040AEA005632B35D70 -:040AEB000520079348 -:040AEC00D8C7FFE385 -:040AED004605BF619A -:040AEE00D8C79BE3E7 -:040AEF00F7D957F2EA -:040AF000D22EB379D6 -:040AF10084BE83320A -:040AF200B749C63604 -:040AF3008332D22E4A -:040AF4000693BFE5C1 -:040AF5000A6304008C -:040AF600069300D78C -:040AF7000D63080083 -:040AF800069340D74A -:040AF9001EE30200F6 -:040AFA000713F6D711 -:040AFB0010231010A4 -:040AFC00470500E4C6 -:040AFD0000E40123ED -:040AFE000593A6DDD9 -:040AFF008C6380077D -:040B000065854605BC -:040B010080058513D3 -:040B020006E56C6335 -:040B03002000059336 -:040B040044B702638D -:040B05004000069313 -:040B0600F4D715E328 -:040B0700470957B291 -:040B080000E400A362 -:040B09000017971327 -:040B0A008733578254 -:040B0B00142340E38C -:040B0C00470D00E4AD -:040B0D0002E7D6B372 -:040B0E00861367E9FA -:040B0F004591A34722 -:040B1000A344851362 -:040B110000D60E23D9 -:040B1200D3B356A261 -:040B1300D6B302E370 -:040B1400533302E66F -:040B15001C2302E3B8 -:040B1600871300D66B -:040B17008693A347D7 -:040B1800460DA3479C -:040B1900A3478793D4 -:040B1A0000769623A8 -:040B1B0000078A2322 -:040B1C000067192332 -:040B1D00B8DFD0EF7E -:040B1E0008A34711D0 -:040B1F00A0A900E4A5 -:040B200040B7026375 -:040B21001EE36689E0 -:040B22000713ECD7F2 -:040B2300102320304B -:040B2400460D00E496 -:040B25004591470DA2 -:040B2600A34485134C -:040B270000E40123C2 -:040B2800B61FD0EF35 -:040B290000040703BA -:040B2A00001406832A -:040B2B00068507052F -:040B2C0002D70733B2 -:040B2D0000244683D7 -:040B2E0047330685BE -:040B2F0008A302D73E -:040B3000470900E48D -:040B310000E40023B9 -:040B320000140703A1 -:040B3300665D67E9AB -:040B34008693070598 -:040B35000613A0877C -:040B360045A9CDC63A -:040B3700A34485133B -:040B3800366030EF04 -:040B390000845703DA -:040B3A0047A2EF19C6 -:040B3B000217C68354 -:040B3C0000C7D70314 -:040B3D008F15068684 -:040B3E000004068326 -:040B3F0007330685ED -:040B4000142302D7A1 -:040B4100568300E4F3 -:040B420067E900A4BB -:040B4300A3478793AA -:040B440000E7D703EC -:040B45000014050390 -:040B460047A2EE894B -:040B470000150693FC -:040B48000227C603B7 -:040B490002C686B3A7 -:040B4A0006B3068662 -:040B4B00152340D757 -:040B4C0067E900D481 -:040B4D00A3478793A0 -:040B4E0000C7D68383 -:040B4F0000845603C5 -:040B500047A24289ED -:040B5100C6B38E9108 -:040B52001223025612 -:040B5300C68300D481 -:040B5400072302175A -:040B5500568300D4EF -:040B560006B300A43E -:040B5700C6B340D70A -:040B5800132302560B -:040B5900469100D4ED -:040B5A0008D51E6339 -:040B5B0046854792F2 -:040B5C000267C583E4 -:040B5D0008D58863CC -:040B5E007800031305 -:040B5F0040C3063356 -:040B600002564633C0 -:040B6100869367E927 -:040B6200D683A3474C -:040B63008493012650 -:040B64008693A3478A -:040B650012238806C9 -:040B6600C63300C4CE -:040B6700C6B302A669 -:040B68008E23025680 -:040B6900861300C42B -:040B6A001C23A3475E -:040B6B00869300D697 -:040B6C009623A347E2 -:040B6D00E5B9006680 -:040B6E00071346A97A -:040B6F004733BC87C5 -:040B7000469502D7CD -:040B7100876107622F -:040B720000E407A3F1 -:040B730002D707336B -:040B7400A34786937A -:040B750001A6D6837C -:040B76008693973695 -:040B77009D23A347D0 -:040B7800879300E679 -:040B79000713A34774 -:040B7A0097234380FA -:040B7B0047A200E7A6 -:040B7C00C70346A9BC -:040B7D0006B3022792 -:040B7E00071302D780 -:040B7F008F1543800B -:040B800000E4152355 -:040B8100871367E986 -:040B82004703A3473B -:040B83008B11023799 -:040B840034070463CB -:040B850086934741CB -:040B860080A3A3475E -:040B8700472502E616 -:040B8800A347879365 -:040B890002E78123DB -:040B8A0067E94705CB -:040B8B0000E4082357 -:040B8C00A3478713E1 -:040B8D0000A7470373 -:040B8E000004162326 -:040B8F004712EB011D -:040B9000A34787935D -:040B910002B747035D -:040B920000E78523D0 -:040B930004834792FE -:040B9400676900C1CC -:040B9500017D80B7A7 -:040B9600009788A399 -:040B9700460347B218 -:040B980005830024AD -:040B99000023011420 -:040B9A0047A2ACF7CB -:040B9B0080934301FF -:040B9C00D78383F088 -:040B9D004289012761 -:040B9E0047A2CA3E62 -:040B9F000147C783C0 -:040BA00005B7A601EE -:040BA10008630010D5 -:040BA200E06328B72D -:040BA30005B706E5A7 -:040BA40002630002E6 -:040BA500E86326B724 -:040BA60065A102E55E -:040BA70024B701630B -:040BA80010E365C130 -:040BA900470DCCB771 -:040BAA0000A316F19D -:040BAB00F69300E4D9 -:040BAC0060630FF67D -:040BAD0047D224D631 -:040BAE00C0079BE3FE -:040BAF0000234705D3 -:040BB000461100E406 -:040BB10006B7AA31A8 -:040BB2000D630004CB -:040BB30006B722D788 -:040BB40018E300083A -:040BB5000713C8D783 -:040BB60010233030A8 -:040BB700470D00E402 -:040BB80000E4012331 -:040BB900459146110B -:040BBA0006B7A8656D -:040BBB000363008050 -:040BBC00E36324D7F4 -:040BBD0006B702E68F -:040BBE0008630020A8 -:040BBF0006B722D77C -:040BC00010E30040FE -:040BC1000713C6D779 -:040BC200102340209C -:040BC300470900E4FA -:040BC40000E4012325 -:040BC500AABD46156A -:040BC600010006B76D -:040BC70022D70563C9 -:040BC800020006B76A -:040BC900C2D71FE38D -:040BCA00405007137D -:040BCB0000E410230F -:040BCC0001234715A5 -:040BCD00461500E4E5 -:040BCE00A8C14599DC -:040BCF00871367E938 -:040BD0008693A3471E -:040BD100D683A347DD -:040BD2000523016690 -:040BD300570300576D -:040BD40087930107FB -:040BD500C503A3476A -:040BD600073301E7F9 -:040BD700069302D7A8 -:040BD8008637064016 -:040BD9004281017DD7 -:040BDA00061343813A -:040BDB00473383F623 -:040BDC00468102D775 -:040BDD000267033375 -:040BDE000016871363 -:040BDF00026705B3F1 -:040BE00040A5D5B3A4 -:040BE10004B6706383 -:040BE2000003866323 -:040BE30000D4012316 -:040BE4000054002396 -:040BE5000004458340 -:040BE6000585460536 -:040BE7000FF5F5937E -:040BE800A34485138A -:040BE90085DFD0EFE5 -:040BEA0000040703F9 -:040BEB000014068369 -:040BEC00068507056E -:040BED0002D70733F1 -:040BEE000024468316 -:040BEF0047330685FD -:040BF000B96502D70A -:040BF100177D07065F -:040BF20001871293D2 -:040BF3000FF77693EF -:040BF4004182D293D5 -:040BF500B74D438530 -:040BF600002347058C -:040BF700460900E4C7 -:040BF800BF7D4589EF -:040BF90045854609DF -:040BFA000713BF65B9 -:040BFB0010231010A3 -:040BFC00012300E4ED -:040BFD00B7E500C494 -:040BFE0010200713A9 -:040BFF0000E41023DB -:040C0000012347097C -:040C0100460900E4BC -:040C02008513458D84 -:040C0300D0EFA34447 -:040C04000683FF2F35 -:040C050007030004DD -:040C060047920014FD -:040C0700001686133A -:040C080007330705A2 -:040C0900460302C7D5 -:040C0A0006050024B7 -:040C0B0002C74733A2 -:040C0C0000E408A355 -:040C0D0002A7C70370 -:040C0E0000238E9998 -:040C0F00B16900D4F3 -:040C10001040071376 -:040C110000E41023C8 -:040C12000123471162 -:040C1300460900E4AA -:040C1400B7B9459592 -:040C150016F1470984 -:040C160000E400A353 -:040C17000FF6F6934B -:040C180000D6696336 -:040C190094E347D247 -:040C1A000023A60706 -:040C1B00460D00C4BE -:040C1C00460DBF853D -:040C1D000713BF8D6D -:040C1E00102320106F -:040C1F00012300E4C9 -:040C2000B7F500C460 -:040C21002020071375 -:040C220000E41023B7 -:040C23000123470959 -:040C2400460D00E495 -:040C2500B729458D19 -:040C26002040071350 -:040C270000E41023B2 -:040C28004711460D1D -:040C29008513459555 -:040C2A000123A344BB -:040C2B00D0EF00E422 -:040C2C000703F52F96 -:040C2D000683000436 -:040C2E0047920014D5 -:040C2F00068507052A -:040C300002D70733AD -:040C310000244683D2 -:040C320047330685B9 -:040C330008A302D739 -:040C3400C70300E40E -:040C3500371302A7C8 -:040C36000709001793 -:040C37000713B6E504 -:040C38001023206005 -:040C3900471900E473 -:040C3A0000E40123AE -:040C3B00459D460D80 -:040C3C004611BD455B -:040C3D000713BDCD0F -:040C3E00102330103F -:040C3F00012300E4A9 -:040C4000B3C100C478 -:040C41003020071345 -:040C420000E4102397 -:040C43000123470939 -:040C4400461100E471 -:040C45000713B74199 -:040C46001023304007 -:040C4700471100E46D -:040C480000E40123A0 -:040C49004595461176 -:040C4A004711B5CDCC -:040C4B0000E400A31E -:040C4C00BD55461537 -:040C4D004030071319 -:040C4E0000E410238B -:040C4F000123470D29 -:040C5000461500E461 -:040C51000713B34D85 -:040C520010234040EB -:040C5300471100E461 -:040C540000E4012394 -:040C5500BDED461596 -:040C5600471167E9F2 -:040C5700A347869396 -:040C580002E680A38D -:040C5900B96D470D1D -:040C5A000015F393FB -:040C5B00040391639A -:040C5C000255C5B3C5 -:040C5D0000169613D4 -:040C5E007613167D76 -:040C5F0043050FF644 -:040C600000160693E1 -:040C610087334395FD -:040C6200073302F65C -:040C6300039302777E -:040C6400071306402C -:040C650047330327E7 -:040C660043D20277FC -:040C6700027683B3DB -:040C680043C2971ECE -:040C690002E383B36C -:040C6A00FFE3C61EC0 -:040C6B000663FA70B2 -:040C6C00012300035D -:040C6D0008A300C414 -:040C6E00E50900B4E0 -:040C6F004792C601E1 -:040C700000C78A230C -:040C71000114060361 -:040C720002C05F63FA -:040C730006B347B2CB -:040C7400479202F6AB -:040C75000693CF947F -:040C760047E202C08F -:040C770002D484B36C -:040C7800C68394BEDD -:040C790064E90234F4 -:040C7A00AA74849341 -:040C7B000046F61326 -:040C7C0066E9CA015A -:040C7D00AA66C6039A -:040C7E00F56346894B -:040C7F0046A106C6BE -:040C800000D48023F9 -:040C8100C619A88D5B -:040C8200468547B2AA -:040C8300D6B38E91C5 -:040C8400B7C102D71B -:040C8500C83A67E919 -:040C8600A3478713E6 -:040C870001675503A9 -:040C88000127568367 -:040C8900A347879363 -:040C8A0001E7C603B5 -:040C8B0002A686B384 -:040C8C000107550304 -:040C8D0002A6B5B353 -:040C8E0002A6853302 -:040C8F00BEEFD0EFF5 -:040C9000810D05F6D7 -:040C91008D4D668996 -:040C920086A6869319 -:040C9300650D96AAAB -:040C94000D450513F2 -:040C950002A6D6B32A -:040C96003E80061383 -:040C970086B3474297 -:040C9800BF8502C64C -:040C9900469147A297 -:040C9A0000D48023DF -:040C9B0001C7C68344 -:040C9C008E23068617 -:040C9D00479200D7A3 -:040C9E0015FD65C11A -:040C9F00179347D090 -:040CA00083C1010704 -:040CA10077B3C83E1F -:040CA200C43E00B795 -:040CA30000B677B36D -:040CA40047A2CA3E5B -:040CA500555945F95F -:040CA60002B785B359 -:040CA700C68347D2E7 -:040CA800C5B30004CC -:040CA90047F202F517 -:040CAA00C5B3059534 -:040CAB00F51302A596 -:040CAC0047920FF765 -:040CAD0002E5073322 -:040CAE0002C75733EF -:040CAF00C70395BA28 -:040CB000F59302C7EF -:040CB10007130FF521 -:040CB2000762F807D6 -:040CB30095BA876106 -:040CB400471105C21D -:040CB500946381C102 -:040CB600859334E608 -:040CB70005C203254A -:040CB800452185C18C -:040CB900020006131C -:040CBA0000C503333B -:040CBB003205CF63CC -:040CBC0000C58733B5 -:040CBD000513972A5A -:040CBE0056630FF07A -:040CBF00059300E5B4 -:040CC00085B30FF0F9 -:040CC100F713406580 -:040CC20085BA0FF5EB -:040CC300D0364515CD -:040CC400CE3AD41A36 -:040CC500D0EFD23268 -:040CC6005612C19F62 -:040CC70085B2451994 -:040CC800C0FFD0EFAA -:040CC9000800059387 -:040CCA0002600513AC -:040CCB00C03FD0EF67 -:040CCC0053224772F6 -:040CCD0003100513F8 -:040CCE00006705B303 -:040CCF000FF5F59395 -:040CD000BEFFD0EFA4 -:040CD10047215682DF -:040CD200836345B53E -:040CD30045A500E64D -:040CD40002200513E2 -:040CD500BDBFD0EFE0 -:040CD600D0EF451105 -:040CD7007593B8BF9A -:040CD80045110F852E -:040CD900BCBFD0EFDD -:040CDA00450547C2C3 -:040CDB000047D59366 -:040CDC000FF5F59388 -:040CDD00BBBFD0EFDA -:040CDE00450947A2DB -:040CDF0000479593A2 -:040CE0000F05F59374 -:040CE100BABFD0EFD7 -:040CE200073747D2B7 -:040CE3000713019C56 -:040CE4005733CC07AF -:040CE50047A202F729 -:040CE600022556B7D6 -:040CE7000FF68693EB -:040CE8000733458108 -:040CE900F06302F7BB -:040CEA0026B702E641 -:040CEB008693042CBC -:040CEC004585D7F66D -:040CED0000E6F963C1 -:040CEE00080BF5B743 -:040CEF00FBF58593F9 -:040CF00000E5B5B3B3 -:040CF10067610589A9 -:040CF2005847071345 -:040CF3004703972EEE -:040CF400069300075C -:040CF50047C2028070 -:040CF60002D70733E7 -:040CF700D693461D2D -:040CF80047A20017F8 -:040CF90047339736B0 -:040CFA00771302F773 -:040CFB0076930FF7E6 -:040CFC0073630FF718 -:040CFD00469D00E62A -:040CFE00059A068EBF -:040CFF00F5938DD507 -:040D0000450D0F8509 -:040D0100B2BFD0EFBE -:040D0200C50347924C -:040D0300D0EF04A782 -:040D04004792DA9F99 -:040D0500C5034705D6 -:040D06007763049774 -:040D0700C50300A779 -:040D0800157100045D -:040D09000015351389 -:040D0A000533476105 -:040D0B00676102E535 -:040D0C00BFC7071343 -:040D0D00849364E97E -:040D0E00953AAA0464 -:040D0F00BF5FD0EF03 -:040D1000069347926D -:040D1100870302C092 -:040D120047E201179C -:040D130002D70733C9 -:040D14004503973EBE -:040D1500D0EF020712 -:040D16000703B1BF5F -:040D17008637011406 -:040D18004785000209 -:040D190000272693F6 -:040D1A009B79421867 -:040D1B00C2188F5516 -:040D1C0001140503B6 -:040D1D001CA7D163DB -:040D1E001A63478984 -:040D1F0047321AF548 -:040D200002FAF7B725 -:040D210008078793A5 -:040D22001AE7F36376 -:040D2300E0EF4515A3 -:040D2400409CCCCF54 -:040D2500DFF7F7936A -:040D26004098C09C95 -:040D270017B764692D -:040D2800A023000202 -:040D290005130EE7B9 -:040D2A000793A34444 -:040D2B00D583A34485 -:040D2C00C60300E713 -:040D2D00450301E792 -:040D2E00D783022540 -:040D2F00041300C7E2 -:040D30004303A34492 -:040D310087B302146E -:040D3200071302A7FA -:040D330005132BC0B9 -:040D3400D73306406B -:040D350095B302E58B -:040D360087B300C5BA -:040D3700769302A706 -:040D380085B30FF779 -:040D3900C7B30265D5 -:040D3A00458102B736 -:040D3B000327879370 -:040D3C0002A7C7B390 -:040D3D00F7934505DE -:040D3E0062630FF7E6 -:040D3F0096B214F55F -:040D400046B795B667 -:040D41008513000214 -:040D4200413C3806F2 -:040D4300898D7679A7 -:040D44007FF606131D -:040D450005AE8FF177 -:040D4600C12C8DDD52 -:040D470075E9413CCD -:040D480015FD8B0DFD -:040D490007368FEDED -:040D4A00C1388F5DC0 -:040D4B00C703479201 -:040D4C00CF19014773 -:040D4D003C06A78336 -:040D4E008705070509 -:040D4F0097BA83AD1F -:040D50003C06A703B3 -:040D510007AE8B8DD1 -:040D52008FD18E7936 -:040D53003CF6A023A7 -:040D5400C7034792F8 -:040D5500C715013786 -:040D5600070546093E -:040D570002C7473355 -:040D5800000246B798 -:040D59003C06A7832A -:040D5A00061376798D -:040D5B0083AD7FF6EF -:040D5C00A7038F99C1 -:040D5D008B8D3C0638 -:040D5E008F7107AEDC -:040D5F00A0238FD965 -:040D6000D0EF3CF69E -:040D61004792B90FED -:040D62004481470978 -:040D630005A7C68397 -:040D64000137C4038C -:040D650000E68763BA -:040D66000147C483FA -:040D6700B4938C8134 -:040D680045810014AD -:040D6900D0EF453D45 -:040D6A000513FEEF80 -:040D6B00D0EF059030 -:040D6C007593F9CFB3 -:040D6D00E88102F522 -:040D6E000064179373 -:040D6F00F5938DDD8E -:040D7000E5930FF503 -:040D71000513010560 -:040D7200D0EF059029 -:040D73004792FCAFF8 -:040D74000511073727 -:040D7500F407071365 -:040D760047854F94CA -:040D770000D76C63D2 -:040D7800047877374D -:040D79008BF70713DA -:040D7A0075634781D5 -:040D7B00479200D7C4 -:040D7C000157C783D1 -:040D7D004583471251 -:040D7E00470305A77B -:040D7F00E71105C7AC -:040D800047034712CC -:040D810001630157B2 -:040D8200471204F719 -:040D83000AA3852E0C -:040D8400446A00F7C6 -:040D850044DA40FA12 -:040D8600D06F610DBC -:040D87000599FD5F6E -:040D880085C105C25A -:040D89004641450991 -:040D8A004581B1C12D -:040D8B00157DB9E930 -:040D8C000FF57513D7 -:040D8D00409CBDA920 -:040D8E002007E793C0 -:040D8F000585BDB168 -:040D9000F5938385CF -:040D9100BD550FF548 -:040D92008F6347899B -:040D930067E9D0F547 -:040D9400A347879357 -:040D950000A7C503EB -:040D96004589C19139 -:040D9700446A67E55E -:040D980016878793A0 -:040D990044DA40FAFE -:040D9A000147C683C4 -:040D9B0005B7C603CF -:040D9C00D06F610DA6 -:040D9D0067E9E53FDE -:040D9E00A907A703F7 -:040D9F00879367E5EA -:040DA00046AD1FC776 -:040DA100D58346456B -:040DA2009F630167E3 -:040DA300F69304E5DA -:040DA400E1190FF64C -:040DA50028070A63AE -:040DA600C62611212B -:040DA700C50364E933 -:040DA800C822A8A411 -:040DA90007936465E3 -:040DAA001613FA44DE -:040DAB0097B20035C6 -:040DAC00438CCA06A4 -:040DAD000047C783B1 -:040DAE00A303475103 -:040DAF008733004541 -:040DB000849302E73F -:040DB1000413A8A4DB -:040DB200971AFA444E -:040DB30063634339FA -:040DB400433102D3F2 -:040DB50004D363639D -:040DB600806347AD62 -:040DB70086630CF64D -:040DB8004781086601 -:040DB900A0F147015D -:040DBA00078906851A -:040DBB00F8C69DE3F6 -:040DBC00B745468170 -:040DBD000047478321 -:040DBE009B63460DE0 -:040DBF00475016C7BC -:040DC000421845BDD3 -:040DC100000747835D -:040DC2001EB69C635A -:040DC30017FDC781D0 -:040DC4000FF7F7939B -:040DC50000F7002310 -:040DC6004503B7E941 -:040DC7004705004795 -:040DC8007513157515 -:040DC9006E630FF551 -:040DCA00453700A702 -:040DCB0023030002FC -:040DCC0017333C8518 -:040DCD00471300F7D1 -:040DCE007733FFF781 -:040DCF002423006772 -:040DD00047353CE582 -:040DD10000E69C6339 -:040DD200C783E39957 -:040DD30017FD000503 -:040DD4000FF7F7938B -:040DD500022396223D -:040DD600B76100F60B -:040DD7000005C70349 -:040DD80096220785D3 -:040DD90002E7E7B393 -:040DDA00C519B7FD83 -:040DDB008023157DDF -:040DDC00E0EF00A4A0 -:040DDD00B7B5CE4F89 -:040DDE0084A367E99A -:040DDF004737A807E3 -:040DE0002783000263 -:040DE10045013C0785 -:040DE20020239BED42 -:040DE30044423CF753 -:040DE40044B240D203 -:040DE500E06F016159 -:040DE6004783F6FF4A -:040DE700468D0047EE -:040DE8000AD7816342 -:040DE9009EE34691AE -:040DEA00471CF2D7D9 -:040DEB0087AA9782BA -:040DEC00C68347056E -:040DED0045D10004E8 -:040DEE00068E6565A3 -:040DEF00429096A2F6 -:040DF0000046C68370 -:040DF1001D45051384 -:040DF20086B3425032 -:040DF300C43E02B642 -:040DF40096B2C23AB7 -:040DF5004645428CA1 -:040DF60030EFC036E4 -:040DF7004712270078 -:040DF800468247A246 -:040DF900863E85BAF3 -:040DFA00E0EF85366B -:040DFB00C783BF0FDC -:040DFC0065E50004A5 -:040DFD00000244B7F5 -:040DFE00943E078E8A -:040DFF000044450364 -:040E0000859346414F -:040E010005161E852F -:040E020095260541EB -:040E030023E030EFC9 -:040E0400004446035D -:040E050097B34785D3 -:040E0600A62300C758 -:040E070047123CF45E -:040E08004682EB092A -:040E0900C7034611C4 -:040E0A001563004626 -:040E0B0046D80EC7F0 -:040E0C004737C76D30 -:040E0D002683000236 -:040E0E008FD53C87B9 -:040E0F003CF7242365 -:040E10004B1CA8E9E6 -:040E1100C03AC7819B -:040E1200470297827A -:040E13000004C7838D -:040E140007854714F3 -:040E150000379713F8 -:040E160000E40633BB -:040E17008463420CA2 -:040E1800022300D5DC -:040E19009722000616 -:040E1A008023C3145A -:040E1B00B71100F417 -:040E1C0002F669630E -:040E1D008863460997 -:040E1E00470C04C7B2 -:040E1F004503433D07 -:040E2000460300C7BE -:040E2100C78300D7AC -:040E2200470300057D -:040E2300916300E7F0 -:040E24007C63026683 -:040E250017FD00F6BF -:040E26000FF7F713B8 -:040E270000E580233F -:040E28004611B58931 -:040E2900E4C78DE3AA -:040E2A00F96DBD2D74 -:040E2B00B7FD873256 -:040E2C0000E7F86380 -:040E2D00F61307852C -:040E2E0080230FF717 -:040E2F00B51500C530 -:040E3000863AFD6D94 -:040E3100470CBFDDCE -:040E32005603453DE1 -:040E3300D78300C79A -:040E3400570300055B -:040E35009E6300E7D1 -:040E3600776300A638 -:040E370017FD00F6AD -:040E38000107971304 -:040E3900A019834138 -:040E3A008732C21128 -:040E3B0000E590231B -:040E3C00F463BBCDD3 -:040E3D00078500E73E -:040E3E00FA6DB7E5AD -:040E3F00B7FD4701B3 -:040E4000004646839F -:040E410000D7F5637E -:040E4200F693078597 -:040E430000230FF782 -:040E4400BBC100D757 -:040E45000CE3460D67 -:040E46004442F0C76B -:040E470044B240D29F -:040E480001614501FE -:040E4900D35FE06F24 -:040E4A00862E8082EE -:040E4B00153785AA28 -:040E4C000513000288 -:040E4D00C06F02056B -:040E4E001111BE7F41 -:040E4F00018517936F -:040E5000C826CA22C4 -:040E510087E1CC0663 -:040E520084AE842ABC -:040E53000007DD6354 -:040E540005134581BC -:040E550037D5077016 -:040E560000A101A353 -:040E5700E363478585 -:040E580074130AA75E -:040E5900C0EF07F4EB -:040E5A004591F95F66 -:040E5B003F75450199 -:040E5C0007136769A8 -:040E5D00431CAA0781 -:040E5E00F7F7F79318 -:040E5F001737C31C62 -:040E60002023000249 -:040E6100D7930EF71E -:040E620002A3018462 -:040E6300D79300F130 -:040E6400032301045F -:040E6500D79300F12E -:040E660003A300845E -:040E6700022300F171 -:040E680004230081DE -:040E690007130091DA -:040E6A0007930400E6 -:040E6B0009630950BE -:040E6C00071300E484 -:040E6D004785048031 -:040E6E0000E4146325 -:040E6F00087007936D -:040E70000002153730 -:040E7100004C4619D2 -:040E7200020505135D -:040E730000F104A3E3 -:040E7400B79FC0EF75 -:040E7500E0EF451550 -:040E7600842AD84FA3 -:040E77000513458595 -:040E7800F0EF003166 -:040E79000783F49F58 -:040E7A00D763003109 -:040E7B00E0EF00079D -:040E7C004785D96F5E -:040E7D00FEF504E397 -:040E7E0067E9E4013B -:040E7F00A807AC23F1 -:040E800000314503F5 -:040E8100445240E2B5 -:040E8200017144C2F4 -:040E830067E580821D -:040E840025C7879364 -:040E85001131479848 -:040E8600C806C622B2 -:040E8700440DC4262C -:040E88000CB76B63D5 -:040E89000047C783D4 -:040E8A008BA184AA0A -:040E8B0005A6E39144 -:040E8C0005800513C5 -:040E8D00F07FF0EF13 -:040E8E00ED4D842A78 -:040E8F000002153711 -:040E9000051357F9F6 -:040E9100460502050B -:040E92000071059353 -:040E930000F103A3C4 -:040E9400AF9FC0EF5D -:040E95000002173709 -:040E96000513478178 -:040E970086B3020715 -:040E9800C68300F419 -:040E99004605000604 -:040E9A00007105934B -:040E9B0003A3C03EAF -:040E9C00C0EF00D1D2 -:040E9D004782AD7F5C -:040E9E000002173700 -:040E9F002000069396 -:040EA00005130785AA -:040EA1009CE30207C5 -:040EA20054FDFCD728 -:040EA3000593460568 -:040EA40003A3007133 -:040EA500C0EF009109 -:040EA6001737AB3F10 -:040EA700051300022D -:040EA80046050207F2 -:040EA900007105933C -:040EAA00009103A30D -:040EAB00A9DFC0EF0C -:040EAC000513458560 -:040EAD00F0EF0071F1 -:040EAE004783E75F30 -:040EAF004715007172 -:040EB00090638BFDC3 -:040EB100051304E73A -:040EB200E0EF0FA0BE -:040EB3004585C90F99 -:040EB40000710513B1 -:040EB500E57FF0EFF6 -:040EB6000071448300 -:040EB700E0EFE491F3 -:040EB8004785CA6F31 -:040EB900FEF505E35A -:040EBA00AC2367E915 -:040EBB00E481A8071F -:040EBC00A01144112C -:040EBD0040C24409E2 -:040EBE004432852213 -:040EBF00015144A2F7 -:040EC00044158082D3 -:040EC10067E5BFCD55 -:040EC20025C7879326 -:040EC300113147980A -:040EC400C806C62274 -:040EC500C02AC42655 -:040EC6006F63440D05 -:040EC700C78300B726 -:040EC80084B20047A9 -:040EC900E3918BA185 -:040ECA00051305A661 -:040ECB00F0EF05102F -:040ECC00842AE0DFB5 -:040ECD004409C9010A -:040ECE00852240C277 -:040ECF0044A24432C3 -:040ED00080820151CA -:040ED10006400513BF -:040ED200C12FE0EF5D -:040ED3000513458539 -:040ED400F0EF0071CA -:040ED5004703DD9F53 -:040ED600079300710D -:040ED70017630FF09E -:040ED800E0EF00F750 -:040ED9004785C22F58 -:040EDA00FEF502E33C -:040EDB000071470358 -:040EDC00AC2367E9F3 -:040EDD000793A807C8 -:040EDE001EE30FE020 -:040EDF004502FAF7D7 -:040EE000F0EF85A604 -:040EE1000593DA9FFC -:040EE2008D852020BA -:040EE30081C105C202 -:040EE400F0EF4501E5 -:040EE500B74DD99F8D -:040EE60065DD71391C -:040EE7004629C42AAA -:040EE800CE458593DB -:040EE900DE060848D1 -:040EEA00DA26DC2206 -:040EEB00A1BFC0EFF4 -:040EEC00C03E478D30 -:040EED00000627B71D -:040EEE0000021437B3 -:040EEF00019C04B7A7 -:040EF000A8078613B6 -:040EF100CC04859315 -:040EF20002040513DE -:040EF3008CFFC0EFC1 -:040EF400D2BFC0EFBA -:040EF500000627B715 -:040EF600A8078613B0 -:040EF700CC0485930F -:040EF80002040513D8 -:040EF9008B7FC0EF3C -:040EFA0002040513D6 -:040EFB00084C462930 -:040EFC00959FC0EF0F -:040EFD00458164E5E2 -:040EFE0004000513D4 -:040EFF002404AE23F6 -:040F0000D3BFF0EF7C -:040F0100842A478572 -:040F020025C48493EB -:040F03001CF517635F -:040F04001AA0059397 -:040F0500048005134C -:040F0600D23FF0EFF7 -:040F07001D63C22A7A -:040F08004591148576 -:040F0900F0EF0808F5 -:040F0A004703D05F6A -:040F0B0047920121E7 -:040F0C001AF7156358 -:040F0D000131470364 -:040F0E000AA007939B -:040F0F0018F71F634D -:040F10003E80051307 -:040F1100B16FE0EFED -:040F1200B3CFE0EF8A -:040F13001963478592 -:040F140005B700F528 -:040F15000513400080 -:040F1600F0EF0E905A -:040F1700F56DCE1F87 -:040F1800B24FE0EF05 -:040F190005634785A0 -:040F1A0044010EF58B -:040F1B00AC2367E9B3 -:040F1C004782A80759 -:040F1D00F79317FD32 -:040F1E00C03E0FF7CB -:040F1F0016078163CD -:040F20004785D81514 -:040F210005134581EE -:040F2200C09C0490DB -:040F230000848223A1 -:040F2400CABFF0EF61 -:040F2500E5514781CA -:040F2600E0EF45159E -:040F2700842AAC0F5D -:040F280005134585E3 -:040F2900F0EF00F1F4 -:040F2A004703C85F52 -:040F2B00079300F137 -:040F2C0017630FF048 -:040F2D00E0EF00F7FA -:040F2E004785ACEF58 -:040F2F00FEF502E3E6 -:040F300067E9E40188 -:040F3100A807AC233E -:040F320000F1468301 -:040F33000FE00713B1 -:040F340098634781F6 -:040F350045C904E6C0 -:040F3600F0EF1008C0 -:040F3700C703C51F08 -:040F38007693004468 -:040F390086630027A4 -:040F3A004703100653 -:040F3B004783026185 -:040F3C0046030271F5 -:040F3D008B0D02A175 -:040F3E008F5D07229A -:040F3F000281478361 -:040F40004683070AD3 -:040F4100839902513D -:040F420047038FD9F9 -:040F4300821D029178 -:040F440007068ABD55 -:040F45008F518B1924 -:040F4600078596BACB -:040F470097B316E561 -:040F4800363700D761 -:040F490005B70029BF -:040F4A001537019CBA -:040F4B0017FD00028C -:040F4C002E06061354 -:040F4D00CC058593B7 -:040F4E000205051380 -:040F4F00C0EFC49C8F -:040F50004522F5CF72 -:040F51002000061363 -:040F5200F0EF4581F6 -:040F5300A859DBDFDF -:040F540005134581BB -:040F5500F0EF07A012 -:040F560018E3BE5F7F -:040F57004591F005CB -:040F5800F0EF0808A6 -:040F59004783BC9F6F -:040F5A00443101011C -:040F5B000407F793FD -:040F5C00EE079EE31B -:040F5D00BDDD4411A1 -:040F5E0005134581B1 -:040F5F00F0EF0E9011 -:040F60000793BBDF59 -:040F610065630410B0 -:040F6200440900A49A -:040F63000E90079352 -:040F64000FA00513C2 -:040F6500E0EFC23EB9 -:040F6600E0EF9C4FCD -:040F670047859EAF6D -:040F680000F5176316 -:040F69004581451267 -:040F6A00B93FF0EFAC -:040F6B00E0EFF57D41 -:040F6C00E1119D6F83 -:040F6D0067E94401EB -:040F6E0005134581A1 -:040F6F00AC2307B0F8 -:040F7000F0EFA807EF -:040F7100C111B79F54 -:040F7200059344019E -:040F73000513200042 -:040F7400F0EF050095 -:040F75000EE3B69F32 -:040F76004401E80545 -:040F77004505BD5916 -:040F7800EA0411E393 -:040F7900546250F27C -:040F7A00053354D215 -:040F7B00612140A010 -:040F7C008B118082D3 -:040F7D0001E34781C4 -:040F7E004783F207AC -:040F7F0047030281A1 -:040F800007A2029131 -:040F810047458FD978 -:040F82000113BF0197 -:040F83000793DD41B2 -:040F8400CE3E20102D -:040F850017B7100882 -:040F86002023301FD5 -:040F8700242322916C -:040F880022232211ED -:040F8900CC3E2281B7 -:040F8A00D71FF0EF8E -:040F8B00C0EF84AA85 -:040F8C00C4B1ACDF61 -:040F8D00409004B3D9 -:040F8E00AC3FC0EFC5 -:040F8F008C63470523 -:040F9000456336E49B -:040F9100572936970F -:040F920036E48B6353 -:040F930036048D6330 -:040F9400859365DDFF -:040F950067E5CFC578 -:040F96008513464534 -:040F970020EF1E87A2 -:040F980045053ED0FD -:040F99006539C48171 -:040F9A00EAD505137C -:040F9B00228120830C -:040F9C0022412403C7 -:040F9D002201248386 -:040F9E0022C1011358 -:040F9F006561808286 -:040FA0006A050513C6 -:040FA100A7AFC0EF47 -:040FA20067DD448142 -:040FA30006B3141865 -:040FA400C58300976A -:040FA5008613DF864A -:040FA60095B2B087C9 -:040FA7008063460914 -:040FA800460D06C428 -:040FA90006C4826395 -:040FAA00000F4437B9 -:040FAB002404041303 -:040FAC00851367E55D -:040FAD0046451E8710 -:040FAE00DFC6C48353 -:040FAF0038F020EF07 -:040FB000E0EF450920 -:040FB100084CF96F80 -:040FB200D0EF8522D5 -:040FB30067DD9A9FBD -:040FB4006465DD553E -:040FB5001FC40693BC -:040FB600D6034752C5 -:040FB7000413000619 -:040FB8000E631FC4E1 -:040FB900D60302E673 -:040FBA001463002696 -:040FBB00C0EF02C7BA -:040FBC000493A0DF1B -:040FBD0065DD069058 -:040FBE00CF05859343 -:040FBF008437BFA90B -:040FC0000413001EF8 -:040FC100B76D4804BC -:040FC200000494375C -:040FC3003E040413D1 -:040FC400D683B745D4 -:040FC5000CE30186B2 -:040FC600448DFCD783 -:040FC7006561BF851C -:040FC8006A0505139E -:040FC9009DAFC0EF29 -:040FCA00656565DD17 -:040FCB00859346457F -:040FCC000513D345F1 -:040FCD0020EF1D45AF -:040FCE0067E5315052 -:040FCF00859365DDC4 -:040FD0004645D405B9 -:040FD1001E878513DF -:040FD200303020EFAC -:040FD300E0EF4509FD -:040FD4005537F0AFEE -:040FD500084C004C78 -:040FD600B405051346 -:040FD700917FD0EF47 -:040FD80047D2D559CE -:040FD90000045703B6 -:040FDA000CF70063AD -:040FDB000024570394 -:040FDC00F6E79FE3B2 -:040FDD0067E5C00202 -:040FDE00851365DD35 -:040FDF0046451E87DE -:040FE000D50585931B -:040FE1002C7020EF61 -:040FE200E0EF4509EE -:040FE3005703ECEFD5 -:040FE40047D20024CC -:040FE50008F71E6388 -:040FE60020000613CE -:040FE7001008458128 -:040FE800E62FC0EF41 -:040FE9001008458225 -:040FEA00A67FF0EFFF -:040FEB0015E384AADC -:040FEC004782E8054B -:040FED0000100437B5 -:040FEE00C03E078575 -:040FEF00C23E678512 -:040FF0002000079343 -:040FF100F46386A27D -:040FF20006930087DB -:040FF3004792200001 -:040FF400C4361010DF -:040FF5000087959349 -:040FF600A50367E9FF -:040FF700C0EFA84758 -:040FF80046A2BC4F02 -:040FF90084AA10189E -:040FFA0000D70633E3 -:040FFB0018E616637B -:040FFC00E40494E392 -:040FFD001FF00713C7 -:040FFE0000876D6398 -:040FFF000613101CA9 -:041000008E15200029 -:0410010000D785335C -:04100200C43645812A -:04100300DF6FC0EFEC -:04100400458246A239 -:04100500C4361008D5 -:041006009F7FF0EFE9 -:041007000B6346A28F -:0410080004B3160512 -:04100900BD0940A03D -:04100A001400079334 -:04100B00B7A1C03E8B -:04100C0020000613A7 -:04100D001008458101 -:04100E00DCAFC0EFA4 -:04100F0085A2440171 -:04101000F0EF1008E5 -:0410110084AA9CDF32 -:04101200DE0518E3FC -:041013000793040536 -:0410140016E31400CB -:04101500645DFEF424 -:04101600B084079308 -:0410170004078593B2 -:041018001008466D09 -:04101900D62FC0EF1F -:04101A00B084079304 -:04101B0005C78593ED -:04101C0000C8466959 -:04101D00D52FC0EF1C -:04101E00879377ED50 -:04101F004581A5570B -:041020001F23100872 -:04102100F0EF20F1DB -:0410220084AA989F65 -:04102300DA0516E3F1 -:0410240046814401BC -:04102500200006138E -:0410260010084581E8 -:04102700C0EFC2361E -:041028004692D64FC7 -:04102900201006137A -:04102A00100005931A -:04102B0087938E1504 -:04102C000642003642 -:04102D00824107C233 -:04102E000016B513E0 -:04102F00050683C16E -:04103000F4638732AC -:04103100071300C5DC -:04103200973E1000D5 -:0410330015938F0979 -:0410340081C101076E -:0410350057E1E68118 -:04103600478DD03ED4 -:041037008D1D66C1E4 -:04103800203003134E -:04103900873316FDE6 -:04103A00074200A7C2 -:04103B00E8638341A2 -:04103C00869306B7DA -:04103D0006C2FFD513 -:04103E00059382C1D3 -:04103F001008080489 -:04104000F0EFC236D5 -:0410410084AA90DF0E -:04104200D20518E3D8 -:041043000A04059303 -:04104400F0EF1008B1 -:0410450084AA8FDF0B -:04104600D20510E3DC -:0410470004054692C4 -:0410480007930442C4 -:0410490080411FF0D3 -:04104A00F6D7F6E3FC -:04104B002000061368 -:04104C0010084581C2 -:04104D00CCEFC0EF35 -:04104E00879367DD40 -:04104F008593B0874E -:0410500006130787F5 -:041051001008020081 -:04105200C7EFC0EF35 -:041053000C000593F5 -:04105400F0EF1008A1 -:0410550084AA8BDFFF -:04105600E40500E3CA -:041057001010B9F1CB -:0410580097320706BE -:041059009363863ED9 -:04105A00863600676F -:04105B0007C207853C -:04105C0000C7102396 -:04105D00BF8583C107 -:04105E00000745033F -:04105F00C636C83297 -:04106000C0EFC43ADF -:041061004722DAEF59 -:0410620046B246420A -:0410630000A70023BF -:04106400BDA9070516 -:04106500D7134782D4 -:041066008C1500865F -:04106700C03E0785FB -:0410680097BA47925A -:041069001DE3C23E83 -:04106A00B179E00474 -:04106B0006900713D1 -:04106C00D4E483E362 -:04106D0065DDB97113 -:04106E00D1C58593D0 -:04106F0065DDB96919 -:04107000D0C58593CF -:0410710065DDB94937 -:04107200D2C58593CB -:041073000113B1694B -:04107400CC26FDC1C8 -:04107500CE22D006B1 -:0410760084AEC42A56 -:04107700C236C0328B -:04107800E39947822F -:04107900A015450178 -:04107A000793440292 -:04107B00F4632000FA -:04107C0004130087D2 -:04107D0045A2200068 -:04107E0016134512EE -:04107F0082410104A5 -:04108000907FF0EF7E -:041081000533C90961 -:04108200508240A0B8 -:0410830044E244728D -:041084000241011311 -:04108500F7938082DB -:0410860096130FF4BA -:04108700CB9D008479 -:0410880086B3479252 -:0410890091630087E8 -:04108A0067E904D737 -:04108B00A5034692E1 -:04108C00D593A84709 -:04108D008722008432 -:04108E00B0EF05C2F8 -:04108F00F571FE7F7A -:04109000078547A2E7 -:041091005793C43E6F -:0410920094BE008484 -:041093008F81478280 -:04109400B779C03E2A -:04109500A50367E95F -:0410960085B2A84730 -:04109700B0EFC632BE -:041098004632F6BF27 -:04109900B755DD5515 -:04109A000007C50383 -:04109B00C832CA3657 -:04109C00C0EFC63E9D -:04109D0047B2CBEF9C -:04109E00464246D2AE -:04109F0000A7802303 -:0410A000B7550785B4 -:0410A100DB0101135B -:0410A200262300C839 -:0410A30024232411CD -:0410A400222324815E -:0410A500F0EF2491B3 -:0410A600842A903FC9 -:0410A700E5EFC0EFC2 -:0410A800408007B3CA -:0410A9001C041A63A6 -:0410AA0000CC46111F -:0410AB0020EF1048DA -:0410AC0065DD79C0C5 -:0410AD0085934611D0 -:0410AE001048DCC545 -:0410AF00760020EFB8 -:0410B000440DC91D05 -:0410B100064007935B -:0410B200C0EFC23E8B -:0410B3004792E30F6E -:0410B4000680071398 -:0410B50000F74D6390 -:0410B60006300713E6 -:0410B70030F7426369 -:0410B800F3400713E7 -:0410B90036E789632A -:0410BA008A634705F9 -:0410BB0065DD36E7D2 -:0410BC00CFC5859384 -:0410BD005783A62986 -:0410BE000593048111 -:0410BF00461D04A125 -:0410C00002A1051371 -:0410C10002F1142301 -:0410C200742020EF87 -:0410C3000521578329 -:0410C400020108A37A -:0410C50002F1102301 -:0410C6000541578306 -:0410C70002F11123FE -:0410C800C0EF55021E -:0410C9005783C4AFD6 -:0410CA00DA2A0561B8 -:0410CB001023C22A02 -:0410CC00578302F153 -:0410CD001123058165 -:0410CE00550202F1D4 -:0410CF00C30FC0EF9C -:0410D00005A157839C -:0410D1001023DC2AE2 -:0410D200578302F14D -:0410D300112305C11F -:0410D400550202F1CE -:0410D500C18FC0EF18 -:0410D6002503DE2AE6 -:0410D700C0EF240141 -:0410D8004592C0EF8E -:0410D9000793C0AA0F -:0410DA0087131E203A -:0410DB00E763FE6564 -:0410DC0046050CE7D2 -:0410DD00C0EF00C898 -:0410DE004786C1AFD1 -:0410DF0000F50663AF -:0410E0000793440D21 -:0410E100B789066065 -:0410E200665D64657E -:0410E300DD460613CD -:0410E400051345C5E6 -:0410E50010EF1D44A7 -:0410E60056E24B1073 -:0410E700665D67E5F6 -:0410E8001E878513C7 -:0410E900DE460613C6 -:0410EA0010EF45C5F9 -:0410EB00450549D09E -:0410EC00AA8FE0EFF8 -:0410ED00470157E27E -:0410EE00C23E4501B8 -:0410EF00C43E57F2B2 -:0410F000616347925F -:0410F10047A208F713 -:0410F20020A794633C -:0410F30002A147838C -:0410F40002814683AC -:0410F500029147031A -:0410F60067E1CBD50E -:0410F700B90787931B -:0410F80002A1061338 -:0410F900665DC0323E -:0410FA00DF060613F4 -:0410FB00051345C5CF -:0410FC0010EF1D4490 -:0410FD0067E545500E -:0410FE00464565DD21 -:0410FF00E48585936C -:041100001E878513AE -:041101009C2FC0EF70 -:0411020064E5450556 -:04110300A4CFE0EFA6 -:041104001FC48493ED -:04110500000216B717 -:041106000D06A783A8 -:041107000004D70306 -:0411080083C107C2D6 -:0411090006F708637A -:04110A000024D703E3 -:04110B001AF7066366 -:04110C000513650959 -:04110D00B0EF7105C9 -:04110E00BFE9CC9FCA -:04110F000793440DF1 -:04111000B559065077 -:0411110086B34792C8 -:04111200079340E718 -:04111300F463200061 -:04111400069300D767 -:04111500049320001F -:041116009613200705 -:04111700824101060A -:041118000094D593D7 -:04111900C83A00C808 -:04111A00F0EFC636F6 -:04111B0046B2E9CF20 -:04111C00C509474278 -:04111D0040A007B334 -:04111E00B5B9440D0E -:04111F00001736136C -:0411200000C885B6C8 -:04112100B0CFC0EF9C -:04112200BF1D872640 -:04112300879367DD6A -:04112400B7B9C1474F -:04112500C70FD0EF31 -:0411260007136769DB -:04112700431CAA07B4 -:04112800000216B7F4 -:04112900E7936509DA -:04112A00C31C0027BB -:04112B000EF6A023F9 -:04112C007105051331 -:04112D00C4BFB0EF9C -:04112E00051365DD63 -:04112F0046451D44D0 -:04113000DFC58593FF -:04113100586020EFF3 -:0411320067E5440D1C -:04113300851364DDDF -:0411340046451E8787 -:04113500E08485933A -:04113600572020EF2F -:04113700E0EF45059B -:04113800566297AFB5 -:04113900458100D418 -:04113A00F0EF450588 -:04113B0087AACE5F52 -:04113C00DC051CE3CF -:04113D0065DD67E520 -:04113E00859346450A -:04113F008513E185AE -:0411400020EF1D4738 -:0411410067E554808A -:04114200E08485932D -:041143001E8785136B -:0411440020EF46450D -:041145004505538089 -:04114600940FE0EF33 -:04114700448157E2A6 -:04114800C23E45015D -:04114900C43E57F257 -:04114A00C63E67E551 -:04114B00EF63479275 -:04114C00472202F440 -:04114D00F3400793D1 -:04114E00D8A718E323 -:04114F00BBEFC0EF43 -:0411500065DD47B260 -:0411510085934645F7 -:041152008513E5C557 -:04115300C0EF1D4785 -:0411540067E5878F35 -:04115500464565DDC9 -:04115600E285859316 -:041157001E87851357 -:041158004EA020EF96 -:04115900E0EF450579 -:04115A00A0018F2F32 -:04115B0086B347927E -:04115C00079340971E -:04115D00F463100027 -:04115E00069300D71D -:04115F0067E910002C -:04116000A847A503F4 -:0411610085A600D08F -:04116200B0EFC836EC -:0411630046C2E19F00 -:0411640087AA00D086 -:0411650000D605B3F8 -:041166000006450337 -:04116700CC2ECE3686 -:04116800C832CA3E81 -:0411690098CFC0EF6C -:04116A0045E24642D2 -:04116B00002347D244 -:04116C00060500A6CE -:04116D0091E346F2D2 -:04116E0097E3FEC540 -:04116F00B613D007DC -:0411700085B600142C -:04117100C0EF00C803 -:0411720084939CAF17 -:04117300BFB91004EC -:041174000793440D8C -:04117500B9CD06707A -:041176000793440D8A -:04117700B1ED068050 -:04117800F9B7871329 -:04117900E063468D5C -:04117A0066DD08E640 -:04117B008693070A46 -:04117C009736E706B5 -:04117D00870243188A -:04117E00859365DD13 -:04117F00C23EDBC5CC -:04118000851367E587 -:0411810046451E873A -:04118200442020EFF6 -:04118300E0EF45054F -:04118400453784AFB8 -:041185000513000F3F -:04118600B0EF24059D -:041187004792AE5F7E -:041188000407D7631E -:041189000480556326 -:04118A006565665DD4 -:04118B00E3860613DE -:04118C00051345C53D -:04118D0010EF1D45FD -:04118E00147D21109B -:04118F0065DDB579EC -:04119000D745859327 -:0411910065DDBF6DEC -:04119200D845859324 -:0411930065DDBF4D0A -:04119400D9858593E1 -:0411950065DDB76DF0 -:04119600D605859362 -:0411970065DDB74D0E -:04119800D1C58593A5 -:0411990065DDBF69E8 -:04119A00DAC585939A -:04119B00D0EFBF4989 -:04119C002083DE8F3F -:04119D00240324C142 -:04119E002483248101 -:04119F00557D244115 -:0411A0002501011311 -:0411A1000113808234 -:0411A2000828DDC17B -:0411A30022112023D2 -:0411A40020812E2355 -:0411A50020912C2346 -:0411A600D00FF0EF87 -:0411A700C0EFC02AAB -:0411A8004502A5CF88 -:0411A9006465E9315F -:0411AA00464565DD74 -:0411AB00E9C585937A -:0411AC001E84051385 -:0411AD00F13FB0EF6F -:0411AE00D0EF450930 -:0411AF00C222F9FF60 -:0411B000041364655B -:0411B10017B71FC489 -:0411B200A78300020D -:0411B30057030D07CA -:0411B40007C200046A -:0411B500046383C18B -:0411B600570304F7E0 -:0411B7001A63002493 -:0411B800479202F761 -:0411B900464565DD65 -:0411BA00CF05859345 -:0411BB001E878513F3 -:0411BC0035A020EF4B -:0411BD000680051390 -:0411BE00C0EFC02A94 -:0411BF002083A00FDA -:0411C00024032201E1 -:0411C100450221C101 -:0411C20021812483E0 -:0411C30022410113B1 -:0411C40065098082B7 -:0411C5007105051398 -:0411C6009E7FB0EF69 -:0411C7004792B76D27 -:0411C800464565DD56 -:0411C9001E878513E5 -:0411CA00E80585931C -:0411CB0031E020EF00 -:0411CC00D0EF450912 -:0411CD000737F27F6F -:0411CE000793FFF094 -:0411CF00C002200733 -:0411D000C43E648530 -:0411D100941347A28A -:0411D200462D008422 -:0411D3008425943E9D -:0411D400006885A288 -:0411D500BB2FF0EF4D -:0411D6000533C50117 -:0411D700BF6940A00C -:0411D800859365DDB9 -:0411D9000068C8855D -:0411DA00EABFB0EFC9 -:0411DB004703E10DD8 -:0411DC0046850161E2 -:0411DD0006D71563B9 -:0411DE000141468302 -:0411DF0000E699632A -:0411E00001514683F0 -:0411E1000613470D9D -:0411E2008463796049 -:0411E300849306E605 -:0411E4006709100483 -:0411E500FAE498E3AD -:0411E6003E8005132F -:0411E700963FB0EF90 -:0411E800453D4581BB -:0411E900A97FD0EF1B -:0411EA00C70367E9E7 -:0411EB0067E5AA47C3 -:0411EC001C87879342 -:0411ED00C50397BAE5 -:0411EE004581000730 -:0411EF00872367E902 -:0411F000D0EFA8A7ED -:0411F1004792A79FDB -:0411F200665D46826E -:0411F300E8C6061331 -:0411F400851345C555 -:0411F50010EF1E8752 -:0411F6004505071094 -:0411F700FB45BF31C4 -:0411F8000141470367 -:0411F900FAD715E329 -:0411FA000151470355 -:0411FB000613F34D97 -:0411FC00083405802E -:0411FD00852285A61C -:0411FE009D7FF0EFF2 -:0411FF00EE051EE3F8 -:041200000785478295 -:04120100B761C03ED3 -:04120200F5C101131E -:04120300CF22D1061F -:041204001437CD26A8 -:04120500B0EF000244 -:04120600C22A957FE4 -:041207000804049340 -:04120800D0BC47C54A -:041209000E0420238C -:04120A00000227B700 -:04120B000007A6230F -:04120C000007A8230C -:04120D000007AA2309 -:04120E008513678954 -:04120F00B0EF7107C4 -:0412100063698C1F63 -:04121100AA03079392 -:041212001D10069312 -:04121300D0B4C394FC -:04121400D0EF4501D1 -:041215001537908F6A -:0412160005130003B9 -:04121700B0EFD4055B -:0412180026378A1FCC -:0412190005B700060F -:04121A000613019C1A -:04121B008593A80609 -:04121C000513CC05E5 -:04121D00B0EF040426 -:04121E0067E9C25F5B -:04121F00AA07871380 -:0412200045514314DD -:04122100F9F6F69351 -:04122200D0B4C3146D -:04122300873FB0EF62 -:04122400051345D198 -:04122500D0EF038083 -:0412260045D1842FFB -:041227000390051318 -:04122800838FD0EFF1 -:04122900455145D115 -:04122A00830FD0EF6F -:04122B00051345D191 -:04122C00D0EF0710E8 -:04122D0045D1826FB6 -:04122E0005E00513BF -:04122F0081CFD0EFAC -:04123000051345D18C -:04123100D0EF06D024 -:0412320045D1812FF2 -:04123300D0EF453182 -:04123400059380AFEF -:041235004505320039 -:04123600800FD0EF66 -:04123700451945D13F -:04123800FF9FC0EF65 -:0412390032000593E7 -:04123A00C0EF4509B3 -:04123B0067E9FEFF62 -:04123C00AA078793E3 -:04123D00460143948F -:04123E00E69345816D -:04123F00C39404064A -:041240000513D0B40E -:04124100B0EF040402 -:041242004529BF9FDC -:04124300FF2FB0EFDA -:04124400450545E136 -:04124500D90FC0EF0E -:04124600450945E130 -:04124700D88FC0EF8D -:04124800450D45E12A -:04124900D80FC0EF0B -:04124A0081FFC0EF71 -:04124B0007136369B9 -:04124C0047E1AA03C9 -:04124D001863C43A24 -:04124E0045015AF507 -:04124F00DA8FC0EF83 -:041250000FF0079301 -:041251005CF50863DD -:041252001A8087B7C0 -:04125300080787936E -:041254006789D6BE12 -:04125500A1A78413B6 -:0412560047A14569FE -:0412570006F1092370 -:0412580006811823D0 -:04125900D80FC0EFFB -:04125A000F55759324 -:04125B0000A5E59372 -:04125C00C0EF456931 -:04125D004585DBCF19 -:04125E000350051321 -:04125F00DB2FC0EFD2 -:04126000051345A588 -:04126100C0EF0220B8 -:041262006561DA8F59 -:04126300BFC50513EB -:04126400EA0FC0EFDE -:04126500C0EF450190 -:041266004599F8EFBF -:0412670003D0051398 -:04126800D8EFC0EF0C -:0412690004400593A5 -:04126A00C0EF454547 -:04126B004585D84F8E -:04126C00C0EF454941 -:04126D004581D7CF11 -:04126E00C0EF454D3B -:04126F0010E8D74F5D -:04127000E18FC0EF5B -:04127100455D458111 -:04127200D66FC0EF84 -:04127300F39FC0EF36 -:04127400C0EF450979 -:041275007513B78FA7 -:0412760047CD0FF55C -:0412770052F51E63AB -:041278000413646592 -:041279004583158410 -:04127A0045150024F2 -:04127B00BA8FC0EF77 -:04127C000024478380 -:04127D0003D0059302 -:04127E00839D4511F6 -:04127F00002797139A -:0412800000C44783DC -:041281008FD99BED79 -:0412820000F406234B -:04128300B88FC0EF71 -:041284003E80051390 -:04128500EEAFB0EF29 -:04128600451145F5D4 -:04128700B78FC0EF6E -:0412880003000593C7 -:041289000610051333 -:04128A00B6CFC0EF2C -:04128B000B2005939C -:04128C00C0EF452545 -:04128D000593B62FE0 -:04128E0045290F805F -:04128F00B58FC0EF68 -:04129000037005934F -:04129100C0EF452D38 -:041292004581B4EFEF -:04129300C0EF453D26 -:041294004581B46F6D -:041295000C900513A1 -:04129600B3CFC0EF23 -:041297000513458175 -:04129800C0EF0CA0F7 -:041299004581B32FA9 -:04129A000CB005137C -:04129B00B28FC0EF5F -:04129C000513458170 -:04129D00C0EF0CC0D2 -:04129E004581B1EFE6 -:04129F000CD0051357 -:0412A000B14FC0EF9B -:0412A100051345816B -:0412A200C0EF0CE0AD -:0412A3004581B0AF22 -:0412A4000CF0051332 -:0412A500B00FC0EFD7 -:0412A6000513458166 -:0412A700C0EF0D0087 -:0412A8004583AF6F5C -:0412A90005130044E5 -:0412AA00C0EF0E1073 -:0412AB004515AEAF88 -:0412AC00B6CFC0EF0A -:0412AD00086007933B -:0412AE0006F51263CC -:0412AF00075005934C -:0412B000070005131B -:0412B100BA0FC0EFC1 -:0412B2000900059397 -:0412B300020005131D -:0412B400B94FC0EF7F -:0412B5000513458157 -:0412B600C0EF021073 -:0412B7004581B8AF06 -:0412B80002200513F8 -:0412B900B80FC0EFBB -:0412BA000513458D46 -:0412BB00C0EF02304E -:0412BC004581B76F42 -:0412BD000280051393 -:0412BE00B6CFC0EFF8 -:0412BF000B00059388 -:0412C00007100513FB -:0412C100B60FC0EFB5 -:0412C2000700059389 -:0412C3000700051308 -:0412C400B54FC0EF73 -:0412C500470567E989 -:0412C600A8E785A36D -:0412C700A78367E5AD -:0412C80067690F47FC -:0412C900A8F722233D -:0412CA003E078A63EE -:0412CB00B29FB0EF2F -:0412CC00851362E53F -:0412CD0065E116823F -:0412CE0004200613DF -:0412CF00D5458593E9 -:0412D000B0EF057501 -:0412D1006365A85F4A -:0412D200859366E1B9 -:0412D3000513D1C668 -:0412D40006131FC31B -:0412D500B0EF036013 -:0412D60067E5A71F02 -:0412D7000290071367 -:0412D80008E7802380 -:0412D900000247B711 -:0412DA0038078793B7 -:0412DB00767943B825 -:0412DC007FF6061380 -:0412DD00C3B88F7192 -:0412DE00766943B832 -:0412DF008F71167D78 -:0412E00043B8C3B894 -:0412E100167D7621DF -:0412E200F1F7771396 -:0412E3000607671380 -:0412E40043B8C3B890 -:0412E500453D4581BD -:0412E6008FF77713F4 -:0412E7003007671352 -:0412E80043B8C3B88C -:0412E900646964E9E7 -:0412EA00001767136F -:0412EB0043B8C3B889 -:0412EC0067139B1DCC -:0412ED00C3B80087FB -:0412EE008F7143B801 -:0412EF008F51662194 -:0412F000D0EFC3B8C0 -:0412F1006769E78FB3 -:0412F200A8E7450321 -:0412F300D0EF458172 -:0412F4008793E6CF27 -:0412F50065699F4444 -:0412F6000007A0232A -:0412F7000007A22327 -:0412F8000007A42324 -:0412F9000007A62321 -:0412FA00000798232E -:0412FB0002C0061314 -:0412FC000513458110 -:0412FD00B0EFA08529 -:0412FE0065E1A0DF27 -:0412FF001B85859333 -:0413000002C006130E -:04130100A3440513E9 -:041302009BFFB0EFAE -:04130300A344079365 -:0413040080A346116B -:04130500460D02C7C8 -:0413060002C7812376 -:04130700CF7FB0EFF5 -:04130800C0EF4501EC -:0413090017B79CDF97 -:04130A00A603000234 -:04130B0062E50D0783 -:04130C00000207B71D -:04130D0016828713AA -:04130E0066658FF190 -:04130F000713C03AC6 -:04131000DE3A185653 -:0413110007136769EE -:04131200CE3AA8E740 -:041313009F44871359 -:041314006769C0BA8B -:04131500A90707130A -:041316006769C63A03 -:04131700A78707138A -:041318006769D03AF7 -:0413190066E16365C1 -:04131A00A7C7071347 -:04131B00CA36D81ADC -:04131C00D23AC2A25D -:04131D0014079263BC -:04131E00871366E1EA -:04131F004481CB0634 -:041320006465C83AFE -:04132100464565DDFB -:04132200EB8585933F -:041323001D4405134D -:0413240010EFCC3EBC -:0413250047C25B90D0 -:041326000024969376 -:0413270096BE6565A4 -:041328004645428C68 -:041329001E85051305 -:04132A005A3010EF36 -:04132B00000246373F -:04132C003C062683D2 -:04132D00E6934505F9 -:04132E002023004632 -:04132F00D0EF3CD6E9 -:0413300047E299BF38 -:0413310016374681A4 -:04133200250300028D -:0413330067410D06FB -:0413340075B3177DF9 -:04133500473200E556 -:041336000003033776 -:041337002603C30CBA -:0413380057020D0645 -:04133900FFF6461362 -:04133A0000667633A0 -:04133B00C985C3108D -:04133C0002F58763CC -:04133D00879367E546 -:04133E0096131FC71C -:04133F0097B200144D -:0413400065DDEAADD0 -:0413410000A790234E -:041342008593464504 -:041343000513EC059D -:0413440010EF1D4445 -:041345004505539077 -:04134600941FD0EF31 -:0413470057824685FE -:041348000007A303F4 -:04134900439C5792D8 -:04134A0067C1E39DF7 -:04134B0002F3116335 -:04134C0047D2E0BDE7 -:04134D000360061320 -:04134E008593CC1A9D -:04134F0057C2D1C7E9 -:04135000851344EDD0 -:04135100B0EF1FC713 -:041352004362881F4B -:04135300571246895E -:04135400460947B24D -:0413550000672023EA -:04135600439CD436AA -:0413570004C68063E5 -:04135800051365090B -:04135900CC3E710510 -:04135A00B96FB0EFC8 -:04135B0056A247E26D -:04135C00D783BF99DB -:04135D0046890007B6 -:04135E00FAF583E336 -:04135F00464565DDBD -:04136000EC85859300 -:041361001D4405130F -:041362004C3010EF0C -:04136300D0EF45057D -:0413640046818CBF73 -:0413650014F9B76957 -:04136600BF554689A0 -:0413670046E90485CA -:04136800EE96D1E349 -:04136900D0EF453D3F -:04136A0047379F6FF3 -:04136B0027830002D2 -:04136C009BED3C07B2 -:04136D003CF7202306 -:04136E0064E5479259 -:04136F00D513665DCF -:04137000079300A738 -:0413710007B312C0EC -:04137200471102F528 -:041373000613468592 -:0413740045C5ED86F8 -:041375002344851375 -:04137600DC3E646590 -:0413770026A010EFAD -:04137800464565DDA4 -:04137900FF05859354 -:04137A0024840513AF -:04137B00FDAFB0EF23 -:04137C00D0EF450564 -:04137D00A537913FC0 -:04137E00051300074C -:04137F00B0EF1205B4 -:04138000B0EFB00F0B -:041381004799B20FC7 -:04138200CA02CC3E91 -:04138300D402C802C6 -:04138400C6A2C4A693 -:04138500000217B794 -:041386000D07A78325 -:04138700674146B2C2 -:041388008F7D177DC1 -:04138900C693C298AD -:04138A000737FFF72B -:04138B008F75000357 -:04138C00D61356829C -:04138D0087B201879B -:04138E0066E9C298B2 -:04138F00A946869352 -:041390001679CA19E7 -:041391000FF67613CA -:04139200F663458D2C -:04139300C60300C5C8 -:0413940015630006D7 -:04139500463200F6E6 -:04139600000620230A -:0413970000F68023B9 -:04139800439C579289 -:041399005792EFD5A3 -:04139A006469C39827 -:04139B00A76404132C -:04139C00000447837F -:04139D000E0786634E -:04139E00C783478238 -:04139F00816301C79E -:0413A00047820E076B -:0413A100470367692E -:0413A200C783A897BE -:0413A3008FD90127B6 -:0413A4000FF7F793B5 -:0413A500B0EFE7F1CD -:0413A60057E2AB0F50 -:0413A7000CF562637C -:0413A800079347520E -:0413A900ED630630BA -:0413AA0046830AE785 -:0413AB00478500046E -:0413AC008763470507 -:0413AD0047E200F61D -:0413AE00FFA78713FB -:0413AF0000E03733F0 -:0413B00046A1478289 -:0413B10001C7C78326 -:0413B200F79317FD99 -:0413B300E7630FF7E6 -:0413B40066DD06F6F6 -:0413B5000106869314 -:0413B60097B6078A55 -:0413B70067E943940B -:0413B800AA47879326 -:0413B90056F98682D9 -:0413BA006565665DA2 -:0413BB00EEC6061361 -:0413BC00051345C50B -:0413BD0010EF2345C5 -:0413BE0065DD1500D4 -:0413BF0046456565D5 -:0413C000C14585930B -:0413C1002485051367 -:0413C200343010EFC4 -:0413C300D0EF45051D -:0413C400A001FF6F16 -:0413C500BFC956F551 -:0413C600B7F956F12C -:0413C700B7E956FD2F -:0413C800C3985792DD -:0413C900A023578284 -:0413CA00B7810007E0 -:0413CB004703676904 -:0413CC003733A747C5 -:0413CD00070900E02C -:0413CE0000E7802391 -:0413CF00476247D258 -:0413D000F793078503 -:0413D100CA3E0FF70A -:0413D20007634799CD -:0413D300079300F785 -:0413D400F793001774 -:0413D500CC3E0FF704 -:0413D6009CAFB0EF29 -:0413D700C83E478540 -:0413D800646508BC84 -:0413D9000793D6BEE2 -:0413DA0087132784CA -:0413DB00DCBA001761 -:0413DC00002787134C -:0413DD00C13ADEBA79 -:0413DE00871365DD2F -:0413DF00D8BE00373D -:0413E000C33ADABE74 -:0413E100C53A079171 -:0413E20008B8462DD4 -:0413E30000458593A9 -:0413E400C73A08E814 -:0413E500CB3AC93EF8 -:0413E60004010C23CF -:0413E700E2AFB0EFD2 -:0413E800468547826D -:0413E900C78347254A -:0413EA00C8A201C7CD -:0413EB008663C2361D -:0413EC00078500E78A -:0413ED000FF7F7936C -:0413EE0047B2C23E02 -:0413EF0045E9470184 -:0413F00057C243900D -:0413F1001FC78793F8 -:0413F2000007D68397 -:0413F30004D61A639F -:0413F400E56347E97D -:0413F50066DD62E768 -:0413F6000027179322 -:0413F7000346869390 -:0413F800439C97B6C5 -:0413F900468587821C -:0413FA006765E71923 -:0413FB00110746830D -:0413FC000016B6938E -:0413FD0080230691B2 -:0413FE00B78900D7D4 -:0413FF00DF654699C7 -:041400000007C68398 -:04140100471DBFCDF7 -:041402006769BF0552 -:04140300A75747039D -:0414040000E037339A -:04140500B70D0721F7 -:04140600FF71469993 -:04140700BFE1468576 -:0414080008E3078965 -:0414090007053CB7E0 -:04140A004785B74516 -:04140B0046A247228C -:04140C004713431827 -:04140D00C29810076A -:04140E0066C157025A -:04140F008EF94318F7 -:041410004792C291AC -:04141100000206B718 -:04141200C3158F75FA -:0414130007136765EF -:0414140047032787DC -:041415004605010780 -:041416006563468143 -:04141700070500E6DF -:041418000FF77693C1 -:0414190007136765E9 -:04141A0008232787F5 -:04141B00646900D729 -:04141C00C202472998 -:04141D00AA440413C6 -:04141E0000E78663FA -:04141F0000F40023B2 -:04142000C23E4785FC -:04142100439C47A2FF -:04142200FF37F71386 -:04142300C39847A281 -:04142400C68367E92B -:041425008793A8976A -:04142600D63EA8976F -:0414270067E9EA8106 -:04142800A887C78347 -:041429008FD9078AC6 -:04142A00C31C472276 -:04142B00439847A2F9 -:04142C00000217B7EC -:04142D000EE7A02303 -:04142E00C78357B267 -:04142F00C78100076A -:04143000E0EF4501A3 -:041431004782DB4FC4 -:041432000004470368 -:0414330001C7C783A3 -:0414340000E78463E6 -:04143500E79947925A -:04143600CF8547C255 -:04143700C78347829E -:04143800CB85012738 -:04143900C78367E915 -:04143A00C83EA8D729 -:04143B0067E5C39D01 -:04143C001C878793EF -:04143D00C50397BA92 -:04143E0047F200076A -:04143F00C783C80295 -:0414400088630007B6 -:0414410047F200A7C7 -:04144200802345813D -:04144300D0EF00A73F -:04144400470292CFFA -:0414450000044783D5 -:0414460001C7468311 -:04144700049367693A -:041448009163AA679B -:04144900C60302F6DE -:04144A0046890004CB -:04144B001EC6F8635E -:04144C0007136765B6 -:04144D0046032787A4 -:04144E004702040746 -:04144F0005D74683F4 -:041450001CD60E6335 -:0414510007136469B0 -:0414520002A3AA54F3 -:041453008023AA0444 -:04145400468D0004BD -:04145500F5E3DA3AA7 -:0414560067652CF6A4 -:0414570027870713C9 -:04145800040746033C -:0414590019E34585C9 -:04145A00859328B698 -:04145B00F593FFA75F -:04145C00440D0FF537 -:04145D0000B67463FE -:04145E006C10006F9F -:04145F00FFF785937B -:041460000FF5F5137C -:04146100E16345A15D -:0414620065E102A599 -:04146300BC4585936C -:04146400C70395AA7B -:0414650045990005A0 -:0414660083E3D43A0E -:04146700E5E32AB7D8 -:04146800458528F599 -:0414690028B78AE333 -:04146A00CAB24702B9 -:04146B0000F70E2355 -:04146C000007092349 -:04146D00F93FB0EFA4 -:04146E00478946560E -:04146F000D857593DF -:0414700028F614E363 -:041471000015E593EA -:04147200CAAE4505B4 -:04147300CD9FB0EF6A -:04147400450945D60B -:04147500CD1FB0EFE8 -:04147600450D45D605 -:04147700CC9FB0EF67 -:04147800F24FC0EF80 -:04147900BF3FB0EFD2 -:04147A00C78367E9D4 -:04147B00C385A8B7C6 -:04147C0095B345855A -:04147D00E59300856E -:04147E00F5930405D9 -:04147F0045190FF507 -:04148000B0EFCAAE51 -:0414810045D6C63F47 -:04148200B0EF451D65 -:0414830057D2C5BFB8 -:041484000004C40399 -:04148500C683458154 -:04148600478900078B -:041487000087E66391 -:041488000064159354 -:0414890085E105E212 -:04148A00002697138E -:04148B0000469793ED -:04148C008FD58FD990 -:04148D00F5938DDD69 -:04148E0045650FF5AC -:04148F00CF3FB0EFAC -:04149000B0EF454133 -:041491005722CA3FD5 -:041492007593478D7A -:0414930014630F854A -:04149400E59300F7E5 -:041495004541005578 -:04149600CD7FB0EF67 -:04149700FDE34789A1 -:0414980047911E87D3 -:041499000520059392 -:04149A000087F46370 -:04149B000530059380 -:04149C00B0EF45392F -:04149D006561CBDFDB -:04149E006A050513C3 -:04149F00E83FA0EF93 -:0414A000B0EF455113 -:0414A1005722C63FC9 -:0414A20045C1478D6C -:0414A30000F70363E8 -:0414A40045614581D8 -:0414A500C9BFB0EF1C -:0414A6000513458164 -:0414A700B0EF03603F -:0414A8004782C91F8F -:0414A900470556A2FB -:0414AA000007A6236E -:0414AB00439C47A275 -:0414AC00BFF7F793FC -:0414AD001AE68BE3CD -:0414AE004645472246 -:0414AF0047A2C31C71 -:0414B00017B743988F -:0414B100A023000272 -:0414B20047820EE778 -:0414B30001C7C78323 -:0414B4000027971363 -:0414B500879367E1D1 -:0414B60097BABD071D -:0414B70047A6438C75 -:0414B800234785132E -:0414B900766010EF5A -:0414BA0065DD47B6EF -:0414BB00851346450A -:0414BC008593248769 -:0414BD0010EFFE45E9 -:0414BE00450575402B -:0414BF00C08FD0EF1B -:0414C000CF894792F7 -:0414C100C70367E90D -:0414C20047A9A80787 -:0414C30000F71563B6 -:0414C400C0EF453DF3 -:0414C500A0EFC8BF0D -:0414C600CA02E0DF97 -:0414C70007936465BE -:0414C800C7032784AB -:0414C900478203D77C -:0414CA0005A7C78328 -:0414CB0002F70B63B6 -:0414CC00879367E9B2 -:0414CD00C503A34769 -:0414CE00468100A7AC -:0414CF00458146010C -:0414D000984FC0EF82 -:0414D10027840793D2 -:0414D20003D7C50374 -:0414D300AA2FC0EF8D -:0414D40027840793CF -:0414D500C783470280 -:0414D600262303D7EF -:0414D7000D230007DA -:0414D800676504F749 -:0414D90027870793C7 -:0414DA0003D7C583EC -:0414DB008F6347894B -:0414DC00079302F57B -:0414DD00C603278794 -:0414DE00478203E757 -:0414DF0005B7C78303 -:0414E00002C7866356 -:0414E100879367E99D -:0414E200C503A34754 -:0414E300C19100A70C -:0414E400478245896D -:0414E5000147C68372 -:0414E60092CFC0EFF2 -:0414E700879367E59B -:0414E800C783278708 -:0414E900470203E7CC -:0414EA0004F70DA353 -:0414EB0067E5470268 -:0414EC002787879334 -:0414ED000407C783A6 -:0414EE0005D74703D4 -:0414EF0000F705639A -:0414F0000EA34702FE -:0414F10067E504F7B0 -:0414F2001207C68394 -:0414F30047036765DF -:0414F4003633121762 -:0414F50046B700D026 -:0414F600A7830002C6 -:0414F7008B853C069F -:0414F80000F618637F -:0414F9003C06A78383 -:0414FA008B8D838DC6 -:0414FB0002E78C6315 -:0414FC00000247B7EC -:0414FD003807879392 -:0414FE008B0D43B45B -:0414FF009AF9070E41 -:04150000C3B48ED111 -:041501009A9D43B4B8 -:04150200C3B88F5586 -:04150300C78357B291 -:04150400CB89000788 -:04150500A02347B226 -:04150600C0EF00072B -:04150700450583DF34 -:04150800A56FE0EFFC -:04150900C7834782CB -:04150A0087E301C7AB -:04150B0054373A0710 -:04150C00A0EF000A42 -:04150D000413D15F93 -:04150E00942ACB84CC -:04150F00D0BFA0EFBA -:0415100000857A6375 -:04151100000217B706 -:041512000D07A78397 -:041513000010073786 -:04151400D7ED8FF987 -:04151500000227B7F2 -:041516004380439833 -:041517000007A30323 -:0415180043DC43C4A9 -:041519008B0583318A -:04151A0000B35313B4 -:04151B007413C23A49 -:04151C0073137FF4D2 -:04151D0004B2001301 -:04151E00471383D11B -:04151F0080B1001384 -:041520000FF7F79337 -:041521000C8436936D -:04152200000304635B -:0415230019043693DE -:04152400C693460222 -:041525004603001663 -:041526001C6301261B -:0415270046127C06E6 -:041528007E060263D6 -:041529008163C20216 -:04152A0046027E06F1 -:04152B0009234685C5 -:04152C00469100D60E -:04152D004682C236FA -:04152E001C63429464 -:04152F00468202D41A -:041530000106C68367 -:0415310002E69763D4 -:0415320042D44682D7 -:04153300FCE6861339 -:0415340002C4E163A9 -:041535000326869370 -:041536000096ED63CB -:04153700469446820E -:0415380040D786B35F -:0415390041F6D6138E -:04153A008E918EB14F -:04153B005C63462186 -:04153C00459200D6FE -:04153D004683460992 -:04153E00F363004112 -:04153F00468900C514 -:041540000FF6F69319 -:04154100CABEC23626 -:0415420055F247C651 -:041543008513464185 -:04154400DA3A2787E1 -:0415450010EFD61AB3 -:0415460053324E00CE -:0415470047D65752DA -:0415480066E9E51952 -:04154900ABE6C60344 -:04154A001C63468553 -:04154B00459200D6EF -:04154C004683460983 -:04154D00F363004103 -:04154E00468900C505 -:04154F000FF6F6930A -:041550004686C236D3 -:041551008683460542 -:041552005C630116BF -:0415530085B702D680 -:0415540041900002C0 -:04155500826D451549 -:0415560004638A3D63 -:04155700419002A617 -:0415580006E216FD94 -:041559008A3D826DD8 -:04155A008C6386E137 -:04155B00459200C6EF -:04155C004683460973 -:04155D00F3630041F3 -:04155E00468900C5F5 -:04155F000FF6F693FA -:041560004682C236C7 -:041561005433C280BD -:04156200D43300641A -:04156300C2C4028478 -:041564008823C69C76 -:04156500C6C000E616 -:041566006F00006FA3 -:04156700B479478983 -:04156800B469478D8E -:04156900B459479199 -:04156A00B4494795A4 -:04156B00BCBD479923 -:04156C00BCAD479D2E -:04156D00BC9D47A139 -:04156E00BC8D47A544 -:04156F00071367698E -:041570004683A8976F -:0415710046370007F2 -:04157200B793000229 -:04157300002300163B -:04157400971300F7D2 -:0415750027830027A1 -:041576009BED3C06A7 -:0415770020238FD9C5 -:0415780047F23CF604 -:041579000007C7039D -:04157A0087A367E9F3 -:04157B009263A8E7E8 -:04157C00C0EF3E0678 -:04157D004505E64FEB -:04157E0087EFE0EF24 -:04157F00B43D47A987 -:04158000C78367E9CD -:04158100FBFDA8972F -:041582003C00061310 -:041583004537458122 -:04158400A0EF0002D2 -:0415850047F2FF1F0B -:04158600443745851C -:04158700C503000296 -:0415880064E900070B -:04158900C17FC0EF6F -:04158A000613665D81 -:04158B0045C1EFC6A1 -:04158C0000024537DD -:04158D00213000EF1A -:04158E00665D4796B9 -:04158F00C9C60613B0 -:04159000A347869354 -:04159100051345C138 -:0415920000EF010461 -:04159300665D1FD0A2 -:04159400F0C6061384 -:04159500051345C134 -:0415960000EF02045C -:0415970087931ED048 -:04159800D683A3440F -:0415990007930107AC -:04159A00665D064044 -:04159B0002F6F7332A -:04159C00F1C606137B -:04159D00051345C12C -:04159E00D6B30304B9 -:04159F0000EF02F661 -:0415A000665D1C90D8 -:0415A100F2860613B5 -:0415A200051345C127 -:0415A30000EF04044D -:0415A40087931B907E -:0415A5008693A34442 -:0415A600C703A34490 -:0415A700C68301D71F -:0415A80064DD01C637 -:0415A900F38486132E -:0415AA00051345C11F -:0415AB0000EF050444 -:0415AC00665D1990CF -:0415AD00F4460613E7 -:0415AE00051345C11B -:0415AF0000EF06043F -:0415B00067E918903F -:0415B100A3478713B2 -:0415B200A347869332 -:0415B30001A7570332 -:0415B4000186D68353 -:0415B500F384861322 -:0415B600051345C113 -:0415B70000EF070436 -:0415B800665D1690C6 -:0415B900F5460613DA -:0415BA00051345C10F -:0415BB0000EF080431 -:0415BC0067E9159036 -:0415BD00A3478713A6 -:0415BE00A347869326 -:0415BF0000E75703E7 -:0415C00000C6D68308 -:0415C100F384861316 -:0415C200051345C107 -:0415C30000EF090428 -:0415C400665D1390BD -:0415C500F60606130D -:0415C600051345C103 -:0415C70000EF0A0423 -:0415C80067E912902D -:0415C900A34787139A -:0415CA00A347879319 -:0415CB000127D6839B -:0415CC000167570359 -:0415CD00F38486130A -:0415CE00051345C1FB -:0415CF0000EF0B041A -:0415D000665D1090B4 -:0415D100F6C6061341 -:0415D200051345C1F7 -:0415D30000EF0E0413 -:0415D40047F20F903B -:0415D500051367652E -:0415D600C6830F04B5 -:0415D700478300073F -:0415D8000713268748 -:0415D900E7812687F9 -:0415DA000713675D2F -:0415DB00665DC90779 -:0415DC00C9860613A3 -:0415DD0000EF45C115 -:0415DE0044370D1071 -:0415DF00665D000243 -:0415E000F786061371 -:0415E100051345C1E8 -:0415E20000EF100402 -:0415E300665D0BD066 -:0415E40046854711E0 -:0415E500F8460613AB -:0415E600051345C1E3 -:0415E70000EF1104FC -:0415E80007930A90CB -:0415E90043B83804C7 -:0415EA00002767135C -:0415EB002623C3B838 -:0415EC0007133C04A1 -:0415ED00C3F81FF030 -:0415EE00B589C7B83C -:0415EF00472247A2A6 -:0415F000C793439CBE -:0415F100C31C01070F -:0415F20067E5BD15D7 -:0415F300278787932C -:0415F4000107C783A1 -:0415F50047014685DF -:0415F60000F6E563B3 -:0415F700F71307855A -:0415F80064E50FF7A0 -:0415F9002784879329 -:0415FA0000E788235B -:0415FB00C78367E952 -:0415FC00E7B9A8970C -:0415FD00041364650A -:0415FE00400CC204D7 -:0415FF0045374641E5 -:0416000010EF0002E5 -:041601008793248027 -:04160200C70327846F -:04160300481C010777 -:0416040097BA070A80 -:041605004641438C8B -:041606000002443763 -:0416070002040513C1 -:0416080022A010EF1D -:041609003C042783F3 -:04160A000027E7933B -:04160B003CF4202368 -:04160C003C04262351 -:04160D002223478DC0 -:04160E0024233CF461 -:04160F00BB7D3C045F -:04161000C78367E93C -:041611009713A8A7DC -:0416120067E5003751 -:04161300FA47879378 -:04161400676197BAB9 -:041615005C870713D4 -:0416160091E3439C7D -:04161700C0EFDAE75F -:04161800BB69BF8F5C -:04161900879367E567 -:04161A00C7832787D4 -:04161B0046850117E8 -:04161C00E56347013A -:04161D00078500F647 -:04161E000FF7F713B8 -:04161F00879364E564 -:0416200088A32784F0 -:0416210067E900E78E -:04162200A897C7833B -:041623006465FBD52A -:04162400C2040413E5 -:041625004641506C7E -:041626000002453742 -:041627001AE010EFC6 -:0416280027848793F9 -:041629000117C703DB -:04162A00B79D587C94 -:04162B00879367E555 -:04162C0046D92787ED -:04162D000157C78317 -:04162E0004D7146366 -:04162F0017FDC7815B -:041630000FF7F79326 -:0416310007136765CF -:041632000AA3278759 -:0416330067E900F76C -:04163400A897C78329 -:041635006465F7B53C -:04163600C2040413D3 -:041637004641484C94 -:041638000002453730 -:04163900166010EF38 -:04163A0007136765C6 -:04163B00505C278751 -:04163C00015745030A -:04163D0065E5978246 -:04163E008593464109 -:04163F00BF291E851C -:04164000873E46B9E2 -:0416410000F6F36359 -:0416420067E54739D8 -:04164300879307057D -:041644008AA32787C7 -:04164500BF6500E796 -:04164600656565DD94 -:0416470085934645FC -:041648000513F9C5C8 -:0416490010EF1D453C -:04164A006465124081 -:04164B00464565DDCE -:04164C00FAC58593C3 -:04164D001E840513DF -:04164E00112010EF68 -:04164F000002473717 -:041650003C072783A9 -:0416510064E5450502 -:041652000047E793D3 -:041653003CF720231D -:04165400D09FC0EF74 -:041655002BC484938B -:04165600000217B7C0 -:041657000D07A703D1 -:0416580017FD67C152 -:0416590067E58F7D35 -:04165A001FC787938C -:04165B00466D468111 -:04165C000007D5832B -:04165D0000B7066369 -:04165E00078906856D -:04165F00FEC69AE346 -:0416600007134782A3 -:04166100878302C0B9 -:0416620087B3011732 -:04166300472902E72A -:04166400C78397A6FB -:041665006463024771 -:04166600471102F72F -:0416670006D74263FD -:04166800973E093868 -:04166900FC474703F0 -:04166A0040D75733DB -:04166B00C7158B050F -:04166C000938078AA8 -:04166D00A78397BAFE -:04166E008023FD4791 -:04166F0067E900D750 -:04167000A80784A3A0 -:0416710000024737F5 -:041672003C07278387 -:0416730020239BEDA8 -:0416740045013CF7F9 -:04167500D31FC0EFD0 -:04167600665DB115E7 -:0416770006130685CB -:0416780045C5FB86E3 -:041679001E840513B3 -:04167A0065E000EF38 -:04167B00C0EF450572 -:04167C00A537C6BF09 -:04167D00051300074A -:04167E00A0EF1205C2 -:04167F00B7C1F04FB0 -:041680008EE347B1FD -:041681006509FAF607 -:0416820071050513D6 -:04168300EF2FA0EFB6 -:0416840067E9B7A1BA -:04168500A8C7C783A8 -:04168600BE0782E336 -:04168700061347827D -:04168800646502C0D3 -:04168900011786833C -:04168A002BC40793D3 -:04168B002BC4041355 -:04168C0002C68633D9 -:04168D00466597B265 -:04168E000207C78305 -:04168F0008C71B630A -:04169000C781467D4B -:04169100F61317FD38 -:0416920007130FF734 -:04169300873302C0D7 -:04169400079302E6D0 -:0416950087B302C055 -:04169600972202F69F -:0416970002C7002363 -:04169800C50397A24D -:0416990067E90207F4 -:04169A00ABF7C78360 -:04169B0000D795637C -:04169C009A2367E93D -:04169D00B0EFAAA759 -:04169E0067E9CFAF7A -:04169F00A897C783BE -:0416A00067E5EFB952 -:0416A1008247A58354 -:0416A2004537464141 -:0416A30000EF000252 -:0416A40047827BD02E -:0416A50002C0071365 -:0416A6008783668D43 -:0416A700869301170E -:0416A800665DBF2696 -:0416A90002E787B31A -:0416AA00C706061356 -:0416AB00943E45C55F -:0416AC00020447836A -:0416AD000513646558 -:0416AE0087B31E845C -:0416AF00069302D7C5 -:0416B000C6B33E80FF -:0416B10000EF02D76D -:0416B2004641580055 -:0416B3001E840593F9 -:0416B4004779B3A11E -:0416B5006AE346019D -:0416B6000785F6F7B7 -:0416B70067E9B7AD7B -:0416B800A8A7C78395 -:0416B900003797134C -:0416BA00879367E5C6 -:0416BB0097BAFA4799 -:0416BC000713676148 -:0416BD00B38D58870A -:0416BE00430144851B -:0416BF0065DD67E599 -:0416C0001D4785132A -:0416C1008593464582 -:0416C200D61AFC85B3 -:0416C30073F000EFD1 -:0416C40046A95332AE -:0416C50006B347958C -:0416C600873602D38E -:0416C70000D7D36312 -:0416C80064654715F9 -:0416C9000725665D2E -:0416CA00FD86061380 -:0416CB00051345C5F9 -:0416CC00D61A1E8488 -:0416CD00512000EFB9 -:0416CE000002473798 -:0416CF003C0727832A -:0416D000E793450552 -:0416D100202300478B -:0416D200C0EF3CF732 -:0416D3001737B0FF16 -:0416D400228300026B -:0416D50067410D0755 -:0416D600177D87A64F -:0416D700F4B35332E3 -:0416D800EF9900E2A4 -:0416D90067E5CC9164 -:0416DA001FC787138C -:0416DB00478146ED10 -:0416DC0000075603AA -:0416DD0000C48D6355 -:0416DE00070907856C -:0416DF00FED79AE3B5 -:0416E0000513650980 -:0416E100D61A71059F -:0416E200D76FA0EF2F -:0416E3004725B7C917 -:0416E40000E7886330 -:0416E5001363471133 -:0416E6004725000391 -:0416E70002E7DA63D9 -:0416E8000785472902 -:0416E90002E7E7B37A -:0416EA0002E30333E1 -:0416EB00979A6769FA -:0416EC00A8F707A3B1 -:0416ED00909FC0EF1B -:0416EE0066DDC901EB -:0416EF00EB068693ED -:0416F0000613665D1A -:0416F100BD31C9C678 -:0416F200869366DD98 -:0416F300BFCDC4861D -:0416F400956347694A -:0416F500431300E7B4 -:0416F600B70D001319 -:0416F70091E3473103 -:0416F800BBF1FAE761 -:0416F900C70367E9D3 -:0416FA004792A897D4 -:0416FB00C4070063BD -:0416FC0047A9B43115 -:0416FD00C44FF06F77 -:0416FE00F2634695B8 -:0416FF00468944F6DE -:0417000000D6186394 -:04170100FFA7869325 -:041702000FF6F69355 -:0417030042D5F9636F -:041704004689575269 -:041705000023440574 -:04170600460900D7B9 -:04170700D60FF06F9A -:041708004605440D41 -:04170900D58FF06F19 -:04170A008D63459D09 -:04170B00458900B755 -:04170C0000B4802382 -:04170D00D74FF06F53 -:04170E0000F4802340 -:04170F00D6CFF06FD2 -:04171000B7FD458D4F -:04171100B7ED459556 -:041712008DD1061659 -:041713000FF5F59346 -:041714000045E59314 -:04171500D74FF06F4B -:0417160005934539B9 -:04171700B0EF05B07A -:041718000513AD0FF9 -:04171900F06F3E80AF -:04171A00E793E16F01 -:04171B00F06F400724 -:04171C004612E4AFDE -:04171D009263C219F8 -:04171E0046022C064D -:04171F000006092394 -:04172000C23246117A -:04172100820699E3C0 -:04172200465D478257 -:0417230002D78593D1 -:04172400879367E55B -:04172500851327877A -:0417260000EF0107C8 -:04172700C90955D0C7 -:04172800478347129A -:04172900E311004187 -:04172A00F793478565 -:04172B00C23E0FF7B4 -:04172C00879367E553 -:04172D00C403278743 -:04172E004782027775 -:04172F000447C78321 -:0417300000878D633E -:04173100B0EF45418F -:04173200891DA1EF7D -:041733008C49040ECB -:041734000FF47593A6 -:04173500B0EF45418B -:0417360067E5A58F2F -:0417370027878793E6 -:041738000287C583DC -:04173900C783478299 -:04173A008663045767 -:04173B00051300B7DB -:04173C00B0EF03D037 -:04173D0067E5A3CFEA -:04173E0027878793DF -:04173F000297C583C5 -:04174000C783478292 -:041741008563046751 -:04174200454500B762 -:04174300A22FB0EF32 -:04174400871367E5BB -:0417450087932787D8 -:04174600C40327872A -:04174700478202B71C -:0417480002A745832C -:041749000477C783D7 -:04174A0000B79763EA -:04174B00C783478287 -:04174C008963048722 -:04174D004549008783 -:04174E009F6FB0EFEA -:04174F00454D85A2DD -:041750009EEFB0EF69 -:04175100879367E52E -:04175200C50327871D -:04175300478202C700 -:041754000497C783AC -:0417550002A7846300 -:04175600F863478568 -:0417570067E900A797 -:04175800AA77C503A4 -:0417590035131571BE -:04175A0047E100154E -:04175B0002F505335B -:04175C00879367E127 -:04175D00953EBFC72F -:04175E00AB8FB0EFAE -:04175F00879367E520 -:04176000C50327870F -:04176100478202D7E2 -:0417620004A7C7838E -:0417630000A78463F4 -:04176400C26FB0EFB1 -:04176500879367E51A -:041766006465278708 -:0417670002E7C503CD -:041768001684079349 -:0417690004B7C78377 -:04176A0016840413CA -:04176B0000A78463EC -:04176C00B74FB0EFD4 -:04176D00879367E512 -:04176E00C483278782 -:04176F00478302F7B3 -:041770008E6304C4BC -:04177100456900972F -:0417720091CFB0EF74 -:04177300FCF57513F9 -:041774008CC9049286 -:041775000FF4F593E5 -:04177600B0EF456922 -:041777006765954FBE -:041778002787079325 -:0417790027870713A4 -:04177A000317458389 -:04177B000307C78316 -:04177C0004D4470347 -:04177D0000F71663F8 -:04177E0004E4470335 -:04177F0000B70A6342 -:041780008DDD058E68 -:041781000FF5F593D8 -:0417820002800513C9 -:04178300922FB0EF02 -:04178400879367E5FB -:04178500849327879B -:04178600461D0327D2 -:0417870004F40593CE -:0417880000EF8526C3 -:04178900C5013D5009 -:04178A00B0EF852611 -:04178B0066E59AEF86 -:04178C002786879392 -:04178D000397C703F4 -:04178E000564478324 -:04178F002786849392 -:0417900000F71A63E1 -:04179100278687938D -:0417920003A7C703DF -:04179300057447830F -:0417940000F70663F1 -:0417950003D4C503B1 -:04179600C58FB0EF5C -:04179700879367E9E4 -:04179800C703A8B724 -:0417990000630007E2 -:04179A00C5831007EC -:04179B00470303B449 -:04179C001763058446 -:04179D00C7030CB7BB -:04179E00478303C4B6 -:04179F000463059446 -:0417A000A0EF0EF7B1 -:0417A100C703F55F26 -:0417A20064DD03C43B -:0417A300B0848493F7 -:0417A400070607B776 -:0417A500100787930F -:0417A60085934641A0 -:0417A70008E80984C1 -:0417A800CCBEDA3A9F -:0417A900F22FA0EF8C -:0417AA00859346419C -:0417AB0010E80A84B4 -:0417AC00F16FA0EF4A -:0417AD0010FC575283 -:0417AE00E319D63E27 -:0417AF00D63E08FC1E -:0417B0004501458525 -:0417B100FA1FA0EF8C -:0417B20008BC4481AA -:0417B30000978733E1 -:0417B4000007458362 -:0417B500A0EF450953 -:0417B60057B2F8FF2F -:0417B7000024971360 -:0417B800973E451102 -:0417B90055934318E9 -:0417BA00F59301079B -:0417BB00DA3A0FF512 -:0417BC00F75FA0EF44 -:0417BD004515575225 -:0417BE0000875593B8 -:0417BF000FF5F5939A -:0417C000F65FA0EF41 -:0417C100451957521D -:0417C2000FF7759315 -:0417C300F59FA0EFFF -:0417C400450545850D -:0417C500F51FA0EF7D -:0417C600A0EF450546 -:0417C7008915F03F51 -:0417C8000485FD6532 -:0417C90092E347114F -:0417CA004581FAE477 -:0417CB00F39FA0EFF9 -:0417CC0005A4450328 -:0417CD00B7CFB0EFF3 -:0417CE00C202A03D76 -:0417CF00D7AFF06F31 -:0417D000058615D1A4 -:0417D1000FF5F59388 -:0417D200D62E4505C5 -:0417D300F19FA0EFF3 -:0417D400450955B2BC -:0417D500F11FA0EF71 -:0417D600879367E9A5 -:0417D700C783A8B765 -:0417D8009AE3000789 -:0417D90067E5F007C9 -:0417DA002787859345 -:0417DB0004200613CD -:0417DC0001D405131C -:0417DD00E52FA0EF65 -:0417DE0067E947125E -:0417DF00AA078F23A3 -:0417E00005634789CD -:0417E10047910AF72B -:0417E20000F70B639E -:0417E30015634785BE -:0417E400478304F73C -:0417E500C3A901246F -:0417E60097BFA0EF1A -:0417E7004783A83557 -:0417E800C3A901246C -:0417E9000713676912 -:0417EA00431CAA07EB -:0417EB00455945C552 -:0417EC000027E79358 -:0417ED001737C31CCB -:0417EE0020230002B2 -:0417EF00A0EF0EF762 -:0417F0000513F71FC7 -:0417F100458102B07C -:0417F200F67FA0EFEF -:0417F300FA4FC0EFFA -:0417F40005A4450300 -:0417F500ADCFB0EFD5 -:0417F60012C0051305 -:0417F700922FA0EF9E -:0417F800E35FE06F5C -:0417F900000426239F -:0417FA0091DFB0EFDC -:0417FB0001C447835B -:0417FC004645656594 -:0417FD000027971317 -:0417FE00879367E185 -:0417FF0097BABD07D1 -:041800000513438CFD -:0418010000EF23458C -:0418020065DD24502C -:04180300464565658C -:04180400FE45859385 -:04180500248505131E -:04180600233000EF9C -:04180700C0EF4505E4 -:04180800A0EFEE6FF0 -:04180900CC02900F6E -:04180A00B77DCA02DA -:04180B0001244783EA -:04180C00C0EFD7C58D -:04180D00B74DF3EFF1 -:04180E00EBE346952D -:04180F004409BCF6D6 -:04181000F06F46012E -:04181100011393AF7D -:04181200D6A6F7C19E -:04181300DA86C13E72 -:04181400D8A267E50A -:04181500DEBADCB6A5 -:041816001547A4834B -:041817000005DC6389 -:0418180008B007937A -:04181900557DC09C9D -:04181A00544650D60A -:04181B00011354B6AB -:04181C00808208417D -:04181D00208007938D -:04181E0000F118239A -:04181F00CA2AC22AE5 -:041820004781842E4A -:041821008793C1994F -:04182200C63EFFF5CA -:0418230018B4CC3EEB -:04182400004C57FD20 -:0418250019238526D8 -:04182600C03600F1D7 -:0418270057FD2C59E4 -:0418280000F555630F -:0418290008B0079369 -:04182A00DC5DC09C25 -:04182B00802347923D -:04182C00BF5D000795 -:04182D00A783C5C503 -:04182E001141FFC5A0 -:04182F00C606C42203 -:04183000FFC5841359 -:041831000007D36376 -:04183200C02A943EF6 -:041833000BB000EF07 -:041834002783676936 -:041835004502AC8735 -:04183600EB91863A72 -:041837000004222364 -:04183800AC87242332 -:0418390040B2442253 -:04183A00006F0141F9 -:04183B007F6309F0CE -:04183C00401400F460 -:04183D0000D4073399 -:04183E0000E79663C6 -:04183F0043DC4398AB -:04184000C0189736FF -:041841002423C05C40 -:04184200BFE9AC86C8 -:0418430043DC873EBD -:041844007DE3C399E4 -:041845004314FEF456 -:0418460000D706338E -:0418470000861F6395 -:0418480096B2401004 -:041849000633C3148B -:04184A009DE300D743 -:04184B004390FAC705 -:04184C0096B243DC31 -:04184D00C35CC314A1 -:04184E007563B77592 -:04184F0047B100C4D9 -:04185000B74DC11CB3 -:0418510006B340108A -:04185200966300C4D5 -:04185300439400D7E3 -:0418540096B243DC29 -:04185500C05CC0149F -:04185600B769C3406B -:041857001141808239 -:041858008493C2268D -:0418590098F10035CD -:04185A00C422C606D8 -:04185B00473104A16C -:04185C00FC6387AAF8 -:04185D0044B104E4AA -:04185E0004B4EB6380 -:04185F00C03E853EC4 -:04186000007000EF25 -:04186100268367690A -:041862004782AC8786 -:04186300AC87061335 -:04186400E4318436B1 -:04186500041364699B -:041866004018ACC4B6 -:04186700853EEB01CE -:04186800C03E4581B8 -:0418690079C000EF53 -:04186A00C0084782E9 -:04186B0085A6853E8B -:04186C0000EFC03E8B -:04186D00577D78E04B -:04186E001663478234 -:04186F00473106E512 -:04187000853EC39856 -:041871007C4000EFC8 -:04187200D7E3A029EF -:041873004731FA04FB -:041874004501C398CF -:04187500442240B217 -:041876000141449256 -:041877004018808213 -:041878004F638F0526 -:0418790045AD020770 -:04187A0000E5F6632C -:04187B00943AC018C3 -:04187C00A029C004DB -:04187D0093634058D9 -:04187E00C218028604 -:04187F0000EF853EB3 -:04188000051378A034 -:04188100079300B415 -:041882009961004424 -:0418830040F50733F2 -:04188400FCF502E38A -:041885008F89943A79 -:04188600BF6DC01C56 -:04188700BFF9C2D80B -:04188800404086A2B4 -:041889000413B7BDD0 -:04188A00987100351C -:04188B00FC8502E3F3 -:04188C0040A405B3BC -:04188D00C03E853E96 -:04188E00577D27213A -:04188F0019E3478290 -:04189000BFB5FAE501 -:04189100C23211113D -:04189200C82645908F -:04189300CA22CC0693 -:04189400E46384AED7 -:0418950082AA08C655 -:0418960000C5D503B1 -:041897004805771376 -:0418980048D0CB3D2C -:041899004080470D37 -:04189A0002C7073347 -:04189B004609498C25 -:04189C0040B407B39A -:04189D004433C03ED2 -:04189E00861302C7E4 -:04189F00963E00165B -:0418A00000C47363AA -:0418A1007513843205 -:0418A200C636400501 -:0418A3008516C535AC -:0418A400C41685A23F -:0418A500ECBFF0EFB5 -:0418A60046B242A262 -:0418A700CD254731D3 -:0418A800488C460220 -:0418A900C42AC63651 -:0418AA00B1EFA0EF0B -:0418AB0000C4D6039C -:0418AC0046B24322DB -:0418AD00B7F6761301 -:0418AE0008066613AF -:0418AF0000C49623B8 -:0418B000A8234782A0 -:0418B100C8C0006447 -:0418B2000733933E27 -:0418B300A02340F43A -:0418B4008636006410 -:0418B500F363C4987D -:0418B600863600C6AC -:0418B700408845928E -:0418B800A0EFC032AB -:0418B9004498AFAFF1 -:0418BA00450146029C -:0418BB00C4988F112D -:0418BC00963A409880 -:0418BD00A80DC09022 -:0418BE0086228516E3 -:0418BF002571C416B5 -:0418C00046B242A248 -:0418C100FD4D832A2C -:0418C2008516488CB3 -:0418C300F0EFC0166C -:0418C4004282DA7F03 -:0418C500A0234731E4 -:0418C600D70300E262 -:0418C700557D00C487 -:0418C8000407671397 -:0418C90000E496237E -:0418CA00445240E262 -:0418CB00017144C2A1 -:0418CC00D70380823C -:0418CD00011300C53E -:0418CE00C122F781BB -:0418CF000793DEA6F7 -:0418D000C30601F159 -:0418D100C02EC22A39 -:0418D2000807771379 -:0418D300F41384B2D4 -:0418D400CB1DFF87A2 -:0418D500EB0D499836 -:0418D6000400059372 -:0418D700F0EFC63632 -:0418D8004782E01F44 -:0418D900C38846B2C8 -:0418DA00E919CB88B5 -:0418DB0047B14712B8 -:0418DC00C31C557D57 -:0418DD00440A409ADF -:0418DE00011354F6A8 -:0418DF00808208817A -:0418E0000713478221 -:0418E100CBD804005C -:0418E20002000713E6 -:0418E30000E40CA36E -:0418E40003000713E3 -:0418E50000042A23AE -:0418E60000E40D23EA -:0418E7008726CA3650 -:0418E8000250061391 -:0418E900000746832B -:0418EA009663C299A6 -:0418EB0006B30AC670 -:0418EC0001634097BD -:0418ED004582029797 -:0418EE0086264512F3 -:0418EF00C636C83AF7 -:0418F000E85FF0EFCE -:0418F1000A63567DB3 -:0418F20048501AC57B -:0418F300474246B270 -:0418F400C85096360C -:0418F500000746831F -:0418F6001A068163EA -:0418F700001704933F -:0418F8002023577DD5 -:0418F900262300049E -:0418FA00C0580004CE -:0418FB00000424239E -:0418FC00040401A33C -:0418FD0004042C2390 -:0418FE000004C5839A -:0418FF00461567E142 -:041900004F078513F5 -:04190100401423A5C6 -:041902000014871333 -:04190300F613E539B9 -:04190400C609010609 -:0419050002000613C3 -:0419060004C401A371 -:041907000086F6134D -:041908000613C609F3 -:0419090001A302B084 -:04190A00C58304C4C9 -:04190B0006130004BB -:04190C008F6302A043 -:04190D00445402C577 -:04190E004581872662 -:04190F0042A945257F -:041910000007460383 -:0419110000170313A5 -:04191200FD060613B5 -:0419130006C577632B -:04191400C454C98569 -:041915000705A035ED -:0419160067E1B7B11D -:041917004F078613DD -:0419180046058D11E2 -:0419190000A61533DC -:04191A00C0148EC99E -:04191B00B76984BA6A -:04191C000593465297 -:04191D00421000462E -:04191E004963CA2E21 -:04191F00C4500206A8 -:041920000007460373 -:0419210002E0069347 -:0419220006D6116371 -:041923000017460360 -:0419240002A0069384 -:0419250002D61B6368 -:04192600070946D295 -:0419270000468613DD -:04192800CA324294E9 -:041929000206C1638E -:04192A00A081C05484 -:04192B0040C006337F -:04192C000026E69318 -:04192D00C014C450CE -:04192E0086B3B7E1E4 -:04192F00871A0256BB -:0419300096B24585A1 -:0419310056FDBFB5EB -:041932000705B7C529 -:041933000004222367 -:041934004681458122 -:0419350042A9452559 -:04193600000746035D -:04193700001703137F -:04193800FD0606138F -:0419390006C5736309 -:04193A004583F1E10F -:04193B0064E100075C -:04193C008513460DBC -:04193D00C63A4F84D3 -:04193E00473229956E -:04193F008493CD01BF -:041940008D054F843E -:041941000400069305 -:0419420000A696B3B2 -:04194300070540084C -:04194400C0088D55F5 -:0419450000074583CF -:041946004619656178 -:041947004FC5051370 -:0419480000170493ED -:0419490000B40C23B7 -:04194A00C1352191F1 -:04194B00000007137E -:04194C004014E70D4F -:04194D00F693475274 -:04194E00CE91100620 -:04194F00CA3A071178 -:0419500047A248580A -:04195100C858973E9D -:0419520086B3BD9902 -:04195300871A025697 -:0419540096B245857D -:041955000721B7515E -:041956004602B7DDB1 -:0419570066D94512F6 -:041958008693085812 -:0419590085A22446F9 -:04195A0000000097F2 -:04195B00000000E7A1 -:04195C0047A2C42AB0 -:04195D0095E3577D3A -:04195E004782FCE7D9 -:04195F00D703557DD8 -:04196000771300C732 -:0419610017E304077D -:041962004848DE070C -:041963004602B3E5A0 -:0419640066D94512E9 -:041965008693085805 -:0419660085A22446EC -:04196700BFC92215BD -:0419680087AE110134 -:0419690085B6CA264F -:04196A00479484BA60 -:04196B00CC224B98A7 -:04196C00842ACE06F5 -:04196D00536383320B -:04196E00873600D7E1 -:04196F0000E320234E -:041970000437C683EF -:041971000705C6811F -:0419720000E320234B -:04197300771343980B -:04197400C71102078E -:041975000003270341 -:04197600202307091A -:04197700439800E3AE -:04197800019786133A -:04197900C3358B19CE -:04197A00C683439845 -:04197B0077130437A3 -:04197C0036B3020775 -:04197D00E75100D05E -:04197E000437861391 -:04197F00C41A8522DF -:04198000C02EC23E75 -:04198100577D948278 -:0419820006E507630C -:041983004322479222 -:0419840043944582C1 -:041985000003260332 -:041986008A994311E6 -:04198700470147C805 -:0419880000669763FB -:0419890040C507331B -:04198A00000753639C -:04198B004794470135 -:04198C0054634B90C5 -:04198D008E9100D661 -:04198E004301973644 -:04198F0001A7861313 -:041990000667106373 -:04199100A80D450157 -:0419920047D407052A -:041993000003250325 -:041994005BE38E89FA -:041995004685F8D7B4 -:04199600C81A8522C4 -:04199700C43AC63E4A -:04199800C02EC23269 -:0419990056FD9482E1 -:04199A00461245822A -:04199B0047B24722E6 -:04199C001BE34342C4 -:04199D00557DFCD5A3 -:04199E00446240F26D -:04199F00610544D2C8 -:0419A0008633808288 -:0419A100051300D753 -:0419A20001A303009A -:0419A300C60304A6CD -:0419A400871304574A -:0419A500973E001653 -:0419A60001A306890A -:0419A700BFA904C709 -:0419A80085224685C9 -:0419A900C43AC61A5C -:0419AA00C02EC23257 -:0419AB0057FD9482CE -:0419AC00FCF503E360 -:0419AD004722433258 -:0419AE004582461216 -:0419AF00B74903052C -:0419B000CC22110133 -:0419B100CE06CA266E -:0419B200842EC02A95 -:0419B300043585135F -:0419B4000185C58361 -:0419B500C23284B600 -:0419B600078006930D -:0419B70000B6EC6327 -:0419B800062006936C -:0419B90000B6ED6324 -:0419BA001C058C6319 -:0419BB00058006930A -:0419BC0012D58D6350 -:0419BD0004240313E8 -:0419BE0004B4012349 -:0419BF008693A8055E -:0419C000F693F9D5CC -:0419C10046550FF682 -:0419C200FED666E304 -:0419C300068A6661C9 -:0419C40052C60613EE -:0419C500429496B200 -:0419C60043148682BE -:0419C70004240313DE -:0419C800004686133C -:0419C9004298C3106D -:0419CA0004E401230D -:0419CB00AA754705AD -:0419CC00230340149D -:0419CD00F613000706 -:0419CE00059308066F -:0419CF00C20D004302 -:0419D0000003268367 -:0419D1006661C30C7C -:0419D2000006D863D0 -:0419D30002D0071324 -:0419D40040D006B346 -:0419D50004E401A382 -:0419D600504606135E -:0419D700A0B9472943 -:0419D8000406F613F8 -:0419D900000326835E -:0419DA00DE71C30CEB -:0419DB0086C106C2F9 -:0419DC004010BFD91F -:0419DD0072934314AA -:0419DE008313080661 -:0419DF0086630046D5 -:0419E00020230002BE -:0419E10042940067C5 -:0419E2007613A801CF -:0419E30020230406B3 -:0419E400DA75006749 -:0419E5000006D6839F -:0419E600071366611C -:0419E700061306F0ED -:0419E800876350467B -:0419E90047290EE597 -:0419EA00040401A34D -:0419EB00C40C404C9C -:0419EC000005C863C7 -:0419ED0000042303CC -:0419EE00FFB37313BD -:0419EF00006420234D -:0419F000832AE299CB -:0419F100832ACD99DF -:0419F20002E6F5B361 -:0419F30095B2137D19 -:0419F4000005C583A2 -:0419F50000B3002318 -:0419F600D6B385B629 -:0419F700F5E302E62C -:0419F80046A1FEE521 -:0419F90000D71E6392 -:0419FA008B05401801 -:0419FB004054CB1178 -:0419FC0047634818DD -:0419FD00071300D7F5 -:0419FE000FA3030030 -:0419FF00137DFEE373 -:041A00004065053305 -:041A01004692C80839 -:041A020087264502EC -:041A030085A20810A0 -:041A0400F0EFC41A21 -:041A0500577DD8FF32 -:041A06001C634322F8 -:041A0700557D0CE518 -:041A0800446240F202 -:041A0900610544D25D -:041A0A00666180820F -:041A0B0004B402A37A -:041A0C005046061327 -:041A0D002303400C63 -:041A0E00F293000748 -:041A0F00268308051D -:041A100003110003BB -:041A110002028D63DD -:041A12000067202326 -:041A13000015F713B0 -:041A1400E593C7018E -:041A1500C00C0205FA -:041A1600F6B9474195 -:041A1700F593400CF7 -:041A1800C00CFDF50C -:041A19004014B7912D -:041A1A000206E69347 -:041A1B000693C0145A -:041A1C006661078078 -:041A1D0004D402A348 -:041A1E0051860613D4 -:041A1F00F293BF651A -:041A20002023040576 -:041A210083E30067F4 -:041A220006C2FC02FA -:041A2300BF7D82C140 -:041A2400BF1947217E -:041A25004314401016 -:041A26007293484C23 -:041A27008313080617 -:041A2800876300468A -:041A29002023000274 -:041A2A004298006777 -:041A2B00A809C30C37 -:041A2C00006720230C -:041A2D000406761322 -:041A2E00DA6D429893 -:041A2F0000B71023C9 -:041A30000004282363 -:041A3100B781832ACC -:041A32004581431493 -:041A330000468613D0 -:041A3400A303C31035 -:041A35004050000617 -:041A3600C41A851A2F -:041A370043222841DD -:041A38000533C501AC -:041A3900C0484065FC -:041A3A00C818405830 -:041A3B00040401A3FB -:041A3C004814BF1972 -:041A3D004502459287 -:041A3E009482861AEE -:041A3F0000E3577DEC -:041A40004018F2E573 -:041A4100EB0D8B0915 -:041A4200444847428B -:041A4300F0E55AE38D -:041A4400B739853AEF -:041A4500450245927F -:041A4600C63A4685D1 -:041A47009482C4328F -:041A48000EE356FD56 -:041A49004732EED55D -:041A4A000705462224 -:041A4B0045C24454F8 -:041A4C0041E38E8D57 -:041A4D00BFC9FED738 -:041A4E000613470133 -:041A4F00B7FD01944A -:041A5000C22211514C -:041A5100842AC026FD -:041A5200852E64E990 -:041A5300A223C40600 -:041A54002A15AC049F -:041A5500166357FDC0 -:041A5600A78300F56D -:041A5700C391AC4447 -:041A580040A2C01CCC -:041A5900448244126D -:041A5A008082013154 -:041A5B000FF5F593FB -:041A5C001463962A4F -:041A5D00450100C57A -:041A5E0047838082B8 -:041A5F008DE300050E -:041A60000505FEB7C3 -:041A61008082B7FDCB -:041A6200E581808218 -:041A6300F06F85B2E9 -:041A64001131FD0F30 -:041A6500C622C806C7 -:041A6600EA11C42697 -:041A6700F18FF0EF1C -:041A680040C24781B0 -:041A690044A244321D -:041A6A000151853E63 -:041A6B00842E8082C3 -:041A6C0084AAC03256 -:041A6D004602203DD0 -:041A6E0074E387A2F4 -:041A6F0085B2FEC579 -:041A7000C2328526D3 -:041A7100F9AFF0EFEA -:041A7200DD6187AA01 -:041A730085A24612F0 -:041A740090EFC02A05 -:041A750085A2BF5F28 -:041A7600F0EF8526E2 -:041A77004782EDAF06 -:041A7800A783B7C9C0 -:041A79008513FFC50D -:041A7A00D563FFC76A -:041A7B0095AA000721 -:041A7C00953E419CB6 -:041A7D00470180821B -:041A7E0000E6146307 -:041A7F00808245011B -:041A800000E507B3C3 -:041A810086B307051C -:041A8200C78300E531 -:041A8300C68300070F -:041A840083E3FFF603 -:041A85008533FED7D0 -:041A8600808240D743 -:041A8700167DC60DF5 -:041A880007B3470158 -:041A890086B300E53B -:041A8A00C78300E529 -:041A8B00C683000707 -:041A8C009463000659 -:041A8D00156300D706 -:041A8E00853300C7D5 -:041A8F00808240D73A -:041A9000F3E507056E -:041A91004501BFDD6F -:041A920087AA80821D -:041A9300C703CA09B2 -:041A940005850005BF -:041A95008FA307858F -:041A9600167DFEE7D4 -:041A9700963EFB6517 -:041A980000C793638D -:041A990007858082BB -:041A9A00FE078FA311 -:041A9B0095AABFD574 -:041A9C00856387AA2D -:041A9D00C70300B7C4 -:041A9E00E701000755 -:041A9F0040A78533A4 -:041AA00007858082B4 -:041AA10063E9B7FD41 -:041AA200AD03A78366 -:041AA300C2221151F9 -:041AA400842AC406C6 -:041AA500AD03839377 -:041AA6004501E79D72 -:041AA700460145812E -:041AA800470146812B -:041AA9000D60029337 -:041AAA0000000073C5 -:041AAB001A6357FD66 -:041AAC00283500F5E4 -:041AAD00C11C47B160 -:041AAE0040A2557D80 -:041AAF0001314412AB -:041AB000A02380826D -:041AB100A30300A3E8 -:041AB2004581000367 -:041AB30005334601B0 -:041AB4004681006403 -:041AB500478147011D -:041AB6000D6002932A -:041AB70000000073B8 -:041AB80018E3941A81 -:041AB900A023FC85E5 -:041ABA00851A00A3E6 -:041ABB0067E5B7F92B -:041ABC001547A50322 -:041ABD000000808223 -:041ABE000000000024 -:041ABF000000000023 -:041AC0000000000022 -:041AC1000000000021 -:041AC2004320445326 -:041AC3002044524128 -:041AC4004C4C4957E6 -:041AC5000045422076 -:041AC6005245564FE0 -:041AC70054495257D5 -:041AC800214E455412 -:041AC9004500212192 -:041ACA00726F70784F -:041ACB0031203F7413 -:041ACC00202C593D34 -:041ACD00004E3D3258 -:041ACE00736572507A -:041ACF00203120732F -:041AD0003220726FDF -:041AD1000000000011 -:041AD2004D9000EB48 -:041AD3004E495753CE -:041AD40000312E347B -:041AD5000080040287 -:041AD6000008000202 -:041AD7000020F88073 -:041AD80000FF003FCC -:041AD900F42900806C -:041ADA004F04C6CF20 -:041ADB0050435353CE -:041ADC0049464F52D6 -:041ADD004146534CDF -:041ADE002036315429 -:041ADF0000002020C3 -:041AE0004353534FCA -:041AE100464F5250CA -:041AE200204E494207 -:041AE300B5048E00B8 -:041AE400516F516F7E -:041AE500891700005D -:041AE6000002516F3A -:041AE70000100200E9 -:041AE80000100000EA -:041AE90000000000F9 -:041AEA0000000000F8 -:041AEB0000100000E7 -:041AEC00000804DC0E -:041AED00000804DC0D -:041AEE00000804DC0C -:041AEF00000804DC0B -:041AF0000001045499 -:041AF1000001045A92 -:041AF200000104608B -:041AF3000001046684 -:041AF40000010408E1 -:041AF50000010428C0 -:041AF60000010428BF -:041AF70000010428BE -:041AF80000010428BD -:041AF90000010428BC -:041AFA0000010428BB -:041AFB000001042CB6 -:041AFC0000010432AF -:041AFD006C206F4E9C -:041AFE00006B6E69A2 -:041AFF006D206425CD -:041B0000000000568B -:041B0100252E7525F3 -:041B02002075322EEA -:041B030000007375F6 -:041B040025257525F9 -:041B050000000000DC -:041B06006F74754142 -:041B07000000282092 -:041B080075257325A7 -:041B09000000732540 -:041B0A006C207525B1 -:041B0B0073656E6927 -:041B0C0000000000D5 -:041B0D0064206425C7 -:041B0E000000004291 -:041B0F0070207525A8 -:041B10006C6578691F -:041B1100000000735D -:041B1200656E6F4449 -:041B130000000000CE -:041B14006C69614651 -:041B150028206465BB -:041B16000029642519 -:041B170000011BF4BA -:041B180000011C0E9E -:041B190000011C1893 -:041B1A0000011C4A60 -:041B1B0000011C2683 -:041B1C0064206425B8 -:041B1D0000006765F8 -:041B1E00252E7525D6 -:041B1F000075322EED -:041B20006573753C38 -:041B210000003E641E -:041B22004452535581 -:041B230000415441E8 -:041B2400706D653C3F -:041B2500003E797491 -:041B2600203A7525C7 -:041B27000000732522 -:041B280025207325DC -:041B290063252D758E -:041B2A0000000000B7 -:041B2B00252E7525C9 -:041B2C006B75322E75 -:041B2D0025207A48AD -:041B2E002E252E75BD -:041B2F007A48753249 -:041B300000000000B1 -:041B3100783032379F -:041B32005F303034BC -:041B33000000303747 -:041B3400783034369B -:041B35005F303034B9 -:041B36000000303744 -:041B3700782073257A -:041B3800000075250F -:041B3900FFFFFFFFAC -:041B3A00FFFFFFFFAB -:041B3B000000FFFFA8 -:041B3C00636E614330 -:041B3D00656C6C6502 -:041B3E00000000643F -:041B3F00462F445396 -:041B40006873616CF9 -:041B41007272652037 -:041B42000000726FBE -:041B430061766E4910 -:041B44002064696C44 -:041B450061726170F8 -:041B4600002E736D8D -:041B470053206F4E6A -:041B48006163204471 -:041B4900642064723E -:041B4A00002E746590 -:041B4B006363755308 -:041B4C00007373654A -:041B4D004620445397 -:041B4E00616D726FE4 -:041B4F00000000741E -:041B500041463D319C -:041B51002C363154A9 -:041B5200523D3220AE -:041B530000005741F6 -:041B54006F707845F1 -:041B55006E697472CF -:041B56002E2E2E679A -:041B5700000000008A -:041B580073616C4603 -:041B59006576206825 -:041B5A002066697226 -:041B5B006C696166EA -:041B5C000000000085 -:041B5D0061766E49F6 -:041B5E002064696C2A -:041B5F002072646824 -:041B600000435243A9 -:041B610061766E49F2 -:041B62002064696C26 -:041B630061746164E4 -:041B64004352432085 -:041B6500000000007C -:041B660061647055F1 -:041B6700632065741E -:041B680065636E61E2 -:041B690064656C6CD7 -:041B6A000000000077 -:041B6B0061766E49E8 -:041B6C002064696C1C -:041B6D0067616D69D6 -:041B6E00000000650E -:041B6F0061766E49E4 -:041B70002064696C18 -:041B710064616568DE -:041B72000000726598 -:041B73004353534F36 -:041B7400000000006D -:041B7500696C6156E0 -:041B760069746164C9 -:041B77006420676E11 -:041B78000061746133 -:041B7900622075254C -:041B7A0073657479A2 +:040A33000267C7830C +:040A3400DCBA0769B8 +:040A3500CE3E471258 +:040A360005310793EC +:040A3700DEBA076DAF +:040A38004712C13A66 +:040A39000771D6BEAD +:040A3A00C53AC33ABC +:040A3B00CB3EC73EA9 +:040A3C004712479284 +:040A3D00C283C802A6 +:040A3E0047B2024772 +:040A3F00C93A077534 +:040A400001C7C783A0 +:040A410047B2D63EA4 +:040A42000127D7832E +:040A43004792D23EC6 +:040A44000257C7830B +:040A450047B2C43EB2 +:040A460001E7C7837A +:040A470047B2D83E9C +:040A48000167D783E8 +:040A490047B2DA3E98 +:040A4A000107D78346 +:040A4B0067E5DC3E41 +:040A4C0041078413C7 +:040A4D004107879343 +:040A4E00F793CA3E12 +:040A4F00DE3E0FD2A6 +:040A50000244470312 +:040A5100EA63479578 +:040A5200478D1EE7C7 +:040A53002AE7E36348 +:040A540020F7036321 +:040A550017930930BA +:040A560097B200272C +:040A5700FD47A7832D +:040A58000007C78349 +:040A590097B2078ABF +:040A5A00FBC7A583AE +:040A5B008FED541CAB +:040A5C002207876383 +:040A5D0001E44783E6 +:040A5E009263564207 +:040A5F00565222C702 +:040A60000164578353 +:040A610007B3556220 +:040A6200D61340F671 +:040A63008FB141F717 +:040A640056038F9115 +:040A6500063301044F +:040A6600551340C51F +:040A67008E2941F69D +:040A6800CA638E09C6 +:040A6900451D26976A +:040A6A0000E57663CA +:040A6B0000979463F9 +:040A6C00266648634F +:040A6D00446347098E +:040A6E00D2631E979A +:040A6F0047C21EF468 +:040A700002C00713A6 +:040A710087336569F9 +:040A720047D202E77E +:040A730064E94629C3 +:040A7400B5C484136E +:040A75005783973ECE +:040A7600475400C71A +:040A770002474303EC +:040A78000793D23ED0 +:040A7900C7D4B30526 +:040A7A0001C74683E7 +:040A7B000127538379 +:040A7C0000A742830A +:040A7D005683D63690 +:040A7E0085BA0187AD +:040A7F00B3050513A3 +:040A80004F14D83601 +:040A8100007799233E +:040A8200CF94DE1A15 +:040A830001C75683CE +:040A8400005785236F +:040A85009E23C43AAE +:040A8600468300D7CC +:040A8700C09E0147C5 +:040A88008A23DC16CB +:040A8900468300D7C9 +:040A8A00DA3602173F +:040A8B00822353145B +:040A8C00D394026796 +:040A8D0015C040EF61 +:040A8E0005936569FE +:040A8F000613B30592 +:040A9000851302C008 +:040A9100D0EFB5C429 +:040A920067E9B81F39 +:040A93008513464D34 +:040A94004581B1C720 +:040A95000004052331 +:040A9600B1C784134D +:040A9700BA7FD0EF63 +:040A98004685472226 +:040A990009A357A2B4 +:040A9A00570000D42D +:040A9B006641C426C6 +:040A9C0067E98C7DFD +:040A9D008493537279 +:040A9E000063B1C779 +:040A9F006B636CC455 +:040AA000071326868C +:040AA10004632000CA +:040AA200438640E463 +:040AA3001C876163E8 +:040AA4000200071332 +:040AA5001AE40563E7 +:040AA600666352E24F +:040AA7004721188744 +:040AA80032E40763CA +:040AA9000E63474150 +:040AAA00471136E4D6 +:040AAB0010E41463DC +:040AAC00871367E95C +:040AAD008693B5C7B0 +:040AAE00D683B5C76F +:040AAF0005230166B4 +:040AB0005703005791 +:040AB100879301071F +:040AB200C503B5C7FC +:040AB300073301E71D +:040AB400069302D7CC +:040AB500863706403A +:040AB6004301017D7A +:040AB700061342815F +:040AB800473383F647 +:040AB900468102D799 +:040ABA00027703B309 +:040ABB000016871387 +:040ABC00027705B305 +:040ABD0040A5D5B3C8 +:040ABE002CB671637E +:040ABF000002866348 +:040AC00000D48123BA +:040AC100006480232A +:040AC2000004C583E4 +:040AC300058546055A +:040AC4000FF5F593A2 +:040AC500851347A2AC +:040AC600D0EFB5C7F1 +:040AC7008703CE7F54 +:040AC800868300041D +:040AC9000705001409 +:040ACA000733068563 +:040ACB00C68302D705 +:040ACC000685002477 +:040ACD0002D74733D2 +:040ACE004799AA5941 +:040ACF00E0F71CE34D +:040AD00000A44783B4 +:040AD10092634609DD +:040AD2008A630CC760 +:040AD30047850A0247 +:040AD400E0F282E3E7 +:040AD5000793A0A93A +:040AD600CEBE200070 +:040AD7001000079371 +:040AD80067A1D0BE84 +:040AD9005792D2BEA0 +:040ADA00DE0796E3BA +:040ADB000164560359 +:040ADC001C10079350 +:040ADD00DEF610E34E +:040ADE00462967DD61 +:040ADF00E087859394 +:040AE000C69A85220B +:040AE100C296C4B63F +:040AE20030EFC0BA77 +:040AE30047067D90B5 +:040AE40046A642964A +:040AE500E9054336A6 +:040AE6009DE347A2A3 +:040AE7000685DA079F +:040AE80002900793DE +:040AE90002C404132C +:040AEA00D8F69CE3BB +:040AEB0006D0079397 +:040AEC00E097D7E3D5 +:040AED0087A367E98B +:040AEE00508AB20771 +:040AEF0044EA447A17 +:040AF0000A410113A3 +:040AF10067DD8082BB +:040AF2008593462979 +:040AF3008522E14730 +:040AF400C4B6C69A24 +:040AF500C0BAC2962B +:040AF60078B030EFB5 +:040AF70042964706D6 +:040AF800433646A695 +:040AF900D60518E323 +:040AFA0047854622C4 +:040AFB00D6F614E334 +:040AFC000793B77D28 +:040AFD00CEBE200049 +:040AFE00D0BE67C13E +:040AFF005632BBA10F +:040B00000520079332 +:040B0100D4C7F8E37A +:040B02004605BF598C +:040B0300D4C794E3DC +:040B0400F7D157F2DC +:040B0500D42EB381B6 +:040B060084BE8332F4 +:040B0700B741C836F4 +:040B08008332D42E32 +:040B09000713BFE52A +:040B0A000363080079 +:040B0B00071320E4C8 +:040B0C00046310006E +:040B0D00071324E4C2 +:040B0E001DE30400DF +:040B0F000713F6E4EE +:040B1000902310100E +:040B1100470500E4B0 +:040B120000E4812357 +:040B13006709A2E9E3 +:040B140028E400636E +:040B1500088760638A +:040B1600800407133D +:040B17002407096343 +:040B18000D636705FD +:040B1900071324E4B6 +:040B1A0015E340009F +:040B1B0057D2F4E4D5 +:040B1C0080A3470962 +:040B1D00971300E446 +:040B1E0057920017D3 +:040B1F008733459142 +:040B200057B240E7A1 +:040B210000E4942335 +:040B2200D6B3470DF2 +:040B230067E902E795 +:040B2400B5C78613B8 +:040B250000D60E23C5 +:040B2600D3B356C22D +:040B2700D6B302E35C +:040B28001C2302E6A2 +:040B2900569200D60A +:040B2A0002E6D6B356 +:040B2B00B5C78713B0 +:040B2C00B5C787932F +:040B2D0000078A2310 +:040B2E00192347A29E +:040B2F0085130077B3 +:040B30001623B5C70C +:040B3100460D00D697 +:040B3200B39FD0EFAE +:040B330089A347113A +:040B3400AC3D00E4F0 +:040B35000E636711D3 +:040B3600672140E40F +:040B3700ECE41CE3EB +:040B3800206007131F +:040B390000E4902321 +:040B3A0081234719B3 +:040B3B00460D00E47F +:040B3C00B50D459D11 +:040B3D0000800637F7 +:040B3E004EC403633B +:040B3F0004866C6359 +:040B40000010063764 +:040B410046C40F6334 +:040B4200028665635F +:040B4300000407376C +:040B440044E406631C +:040B45000008073766 +:040B460044E40B6315 +:040B4700000207376A +:040B4800E8E41AE3E0 +:040B4900301007134E +:040B4A0000E4902310 +:040B4B0000D481232E +:040B4C000637A115B2 +:040B4D000063002021 +:040B4E00073746C45B +:040B4F001BE3004064 +:040B50000713E6E4BD +:040B5100902340208D +:040B5200470900E46B +:040B530000E4812316 +:040B5400AAAD4615EB +:040B55000800073756 +:040B56004EE4016305 +:040B57000287676347 +:040B58000200073759 +:040B590048E4066303 +:040B5A000400073755 +:040B5B0048E40C63FB +:040B5C000100073756 +:040B5D00E4E410E3D9 +:040B5E0040400713F9 +:040B5F0000E49023FB +:040B60008123471195 +:040B6100461500E451 +:040B62000737A209A6 +:040B63000F634000DC +:040B640061E34AE41B +:040B65000737E287E5 +:040B66000633F00062 +:040B6700177D00E412 +:040B680019E38F718D +:040B69000713E00787 +:040B6A009023502064 +:040B6B00470900E452 +:040B6C0000E48123FD +:040B6D00458D461953 +:040B6E000706A99934 +:040B6F001313177DC8 +:040B700076930187F0 +:040B710053130FF714 +:040B720042854183F4 +:040B730080A3B305A3 +:040B7400470D00D455 +:040B750000E30F6327 +:040B7600FFA30713BF +:040B77000FF77713EA +:040B780000E6F96337 +:040B79001B6347258E +:040B7A00071302E378 +:040B7B0067634AF072 +:040B7C0047F20277C3 +:040B7D0067E9CF91C4 +:040B7E00458946055A +:040B7F00B307851320 +:040B8000A01FD0EFF3 +:040B81000014C60393 +:040B820076130605DB +:040B8300A0290FF6A0 +:040B8400802347057E +:040B8500460900E439 +:040B8600B9ED4589F7 +:040B87004585460951 +:040B88000713B9D5C1 +:040B89009023101095 +:040B8A00812300E4DF +:040B8B00B7E500D4F6 +:040B8C00102007131B +:040B8D0000E49023CD +:040B8E00812347096F +:040B8F00460900E42F +:040B900047A2458DA6 +:040B9100B5C785134C +:040B92009B9FD0EF66 +:040B93000004868351 +:040B940000148703BF +:040B950086134792EA +:040B96000705001639 +:040B970002C7073357 +:040B98000024C6036C +:040B990047330605D3 +:040B9A0089A302C762 +:040B9B00C70300E4A8 +:040B9C008E990277B5 +:040B9D0000D48023DD +:040B9E000713A86130 +:040B9F00902310404F +:040BA000471100E415 +:040BA10000E48123C8 +:040BA2004595460926 +:040BA3004709B161EC +:040BA40080A31371A6 +:040BA500731300E4E2 +:040BA600E8630FF3FE +:040BA70047F20066AB +:040BA8008023FBB9F2 +:040BA900460D00D421 +:040BAA00460DBF85B0 +:040BAB000713BF8DE0 +:040BAC009023201062 +:040BAD00812300E4BC +:040BAE00B7F500D4C3 +:040BAF0020200713E8 +:040BB00000E49023AA +:040BB100812347094C +:040BB200460D00E408 +:040BB300B199458D22 +:040BB400071347A23A +:040BB5009023203039 +:040BB600460D00E404 +:040BB7004591470D10 +:040BB800B5C7851325 +:040BB90000E48123B0 +:040BBA00919FD0EF48 +:040BBB0000048703A8 +:040BBC000014868318 +:040BBD00068507059D +:040BBE0002D7073320 +:040BBF000024C683C5 +:040BC000473306852C +:040BC10089A302D72B +:040BC200470900E4FB +:040BC30000E48023A7 +:040BC400870367E953 +:040BC50086930014FF +:040BC60047A2B30788 +:040BC7000705665D5B +:040BC800E206061328 +:040BC900851345A9A2 +:040BCA0030EFB5C78C +:040BCB00D703262006 +:040BCC00EF19008499 +:040BCD00C68347B2E2 +:040BCE00D703021730 +:040BCF00068600C7CF +:040BD00086838F1574 +:040BD1000685000491 +:040BD20002D707330C +:040BD30000E4942383 +:040BD40000A4D68320 +:040BD500879367E9B2 +:040BD600D703B5C7C5 +:040BD700878300E729 +:040BD800EE8900148E +:040BD900C60346B257 +:040BDA0086930226D6 +:040BDB0086B30017C6 +:040BDC00068602C6C1 +:040BDD0040D706B344 +:040BDE0000D4952387 +:040BDF00061366692A +:040BE000D683B5C63D +:040BE1005603008433 +:040BE200458900C67B +:040BE30046338E15F2 +:040BE400922302B6A0 +:040BE500463200C4D0 +:040BE60002164603AA +:040BE70000C487239C +:040BE80000A4D6038C +:040BE90040C70633C8 +:040BEA0002B64633D6 +:040BEB0000C493238C +:040BEC009D634611AE +:040BED0006370AC7F6 +:040BEE001D63002063 +:040BEF00454200C4B7 +:040BF00002C0061326 +:040BF10002C5063300 +:040BF200962A4552A8 +:040BF300024646036D +:040BF40008B60E63CE +:040BF50045834612DC +:040BF6004605023678 +:040BF70008C5886342 +:040BF8007800051369 +:040BF90040D50633AA +:040BFA004633430932 +:040BFB0064690266C1 +:040BFC00B5C40693E3 +:040BFD000126D68374 +:040BFE00B5C40293E5 +:040BFF00880686934B +:040C000000C4922377 +:040C0100C63346119F +:040C0200C6B302C6AD +:040C03008E230266D4 +:040C0400061300C211 +:040C05001C23B5C433 +:040C0600069300D67B +:040C07009623B5C4B7 +:040C0800E5A900A6B4 +:040C0900071346A9DE +:040C0A004733BC8729 +:040C0B00469502D731 +:040C0C008761076293 +:040C0D0000E487A3D5 +:040C0E0002D70733CF +:040C0F00B5C40693CF +:040C100001A6D683E0 +:040C11000693973679 +:040C12009D23B5C4A5 +:040C1300071300E6DD +:040C1400972343805F +:040C1500473200E67C +:040C1600470346A9A1 +:040C170006B30227F7 +:040C1800071302D7E5 +:040C19008F15438070 +:040C1A0000E495233A +:040C1B00871366E9EC +:040C1C004703B5C60F +:040C1D008B110237FE +:040C1E002207006346 +:040C1F0086134741B0 +:040C200000A3B5C6B2 +:040C2100472502E67B +:040C2200B5C686933A +:040C230002E6812341 +:040C240066E9470531 +:040C250000E488233C +:040C2600B5C68713B5 +:040C270000A74703D8 +:040C2800000496230B +:040C29004712EB0182 +:040C2A00B5C6869332 +:040C2B0002874703F2 +:040C2C0000E6852336 +:040C2D0001010403BA +:040C2E0046C2471261 +:040C2F00017D853787 +:040C3000008708A38E +:040C310004236769C8 +:040C32004732BED7B0 +:040C33000024C603D0 +:040C3400013485837F +:040C35000127570339 +:040C360040954301A1 +:040C37004732C83A3E +:040C380006400393DC +:040C39000147470325 +:040C3A000713CE3A94 +:040C3B0082BA83F501 +:040C3C0047A2AAC160 +:040C3D002040071339 +:040C3E0000E490231B +:040C3F00B5C785139D +:040C4000460D471105 +:040C41008123459531 +:040C4200D0EF00E40B +:040C43008703EF6FC5 +:040C4400868300049F +:040C450047920014BE +:040C46000685070513 +:040C470002D7073396 +:040C48000024C6833B +:040C490047330685A2 +:040C4A0089A302D7A1 +:040C4B00C70300E4F7 +:040C4C0037130277E1 +:040C4D00070900177C +:040C4E00470DBBD1C2 +:040C4F0080A31371FA +:040C5000731300E436 +:040C5100E9630FF351 +:040C520047F20066FF +:040C5300CA0795E354 +:040C540000D4802325 +:040C5500B1C94611CA +:040C5600B1D14611C1 +:040C5700302007132F +:040C580000E4902301 +:040C590081234709A3 +:040C5A00461100E45B +:040C5B000713B38543 +:040C5C009023303081 +:040C5D00470D00E45B +:040C5E0000E481230A +:040C5F004591461164 +:040C60000713BA516B +:040C6100902330406C +:040C6200471100E452 +:040C630000E4812305 +:040C6400459546115B +:040C650047A2B17D74 +:040C660080A3461110 +:040C6700458500C4FB +:040C68008513461595 +:040C6900CE3AB5C703 +:040C6A00E58FD0EF53 +:040C6B0000048603F8 +:040C6C000014858368 +:040C6D0006054772BF +:040C6E0006330585BF +:040C6F00C58302B681 +:040C70004685002491 +:040C7100463305857C +:040C720089A302B69A +:040C7300460300C470 +:040C740047090247E3 +:040C7500D2E61EE3C2 +:040C760000D488A37B +:040C77000713BB158F +:040C78009023403055 +:040C7900470D00E43F +:040C7A0000E48123EE +:040C7B00BF4146151A +:040C7C0040500713CA +:040C7D0000E49023DC +:040C7E008123471572 +:040C7F00461500E432 +:040C8000B181459960 +:040C810080A34715F0 +:040C8200461900E42B +:040C830047A24585BA +:040C8400B5C7851358 +:040C8500DECFD0EFFF +:040C860000048703DC +:040C870000148603CC +:040C88000605070551 +:040C890002C7073364 +:040C8A000024C60379 +:040C8B0047330605E0 +:040C8C0089A302C76F +:040C8D00468500E4B4 +:040C8E000713B7454C +:040C8F00902350104E +:040C9000812300E4D8 +:040C9100461900D42C +:040C9200B7D1458908 +:040C9300071347A25A +:040C94009023503029 +:040C9500461900E418 +:040C96008513470D6E +:040C97004591B5C707 +:040C980000E48123D0 +:040C9900D9CFD0EFF0 +:040C9A0000048703C8 +:040C9B0000148603B8 +:040C9C00070547926F +:040C9D00073306050E +:040C9E00C60302C7C0 +:040C9F000605002422 +:040CA00002C747330D +:040CA10000E489A33F +:040CA2000277C7030B +:040CA30000173713EC +:040CA4008023070999 +:040CA500B74500E46B +:040CA600471166E9A3 +:040CA700B5C6861335 +:040CA80002E600A3BD +:040CA900B3CD470D73 +:040CAA000015F51329 +:040CAB004709ED1DEB +:040CAC0002E5C5B3E5 +:040CAD000016961384 +:040CAE007613167D26 +:040CAF0043050FF6F4 +:040CB00006934772EE +:040CB10045420016A2 +:040CB20002E687339C +:040CB30002170733EA +:040CB40003270713F8 +:040CB5000277473348 +:040CB60002A68533DA +:040CB7004562972AD1 +:040CB80002E5053319 +:040CB900F1E3C42A75 +:040CBA000663FCA22F +:040CBB00812300038E +:040CBC0089A300C444 +:040CBD00E78100B417 +:040CBE008923C219AB +:040CBF00860300C4E4 +:040CC0005463013444 +:040CC10047A204C082 +:040CC20002F606B37D +:040CC3000114C6034F +:040CC4000605479248 +:040CC50002D606B39A +:040CC6000693CBD4F2 +:040CC700043302C030 +:040CC80047D202D439 +:040CC9004683943E8C +:040CCA006469023423 +:040CCB00BCF404135E +:040CCC000046F613D5 +:040CCD0066E9CA0109 +:040CCE00BCE6C603B7 +:040CCF00F5634689FA +:040CD00046A106C66D +:040CD10000D4002328 +:040CD200C619A88D0A +:040CD300468547A269 +:040CD400D6B38E9174 +:040CD500BF5D02D726 +:040CD600C83A67E9C8 +:040CD700B5C7871303 +:040CD8000167550358 +:040CD9000127568316 +:040CDA00B5C7879380 +:040CDB0001E7C60364 +:040CDC0002A686B333 +:040CDD0001075503B3 +:040CDE0002A6B5B302 +:040CDF0002A68533B1 +:040CE000AAAFD0EFF8 +:040CE100810D05F686 +:040CE2008D4D668945 +:040CE30086A68693C8 +:040CE400650D96AA5A +:040CE5000D450513A1 +:040CE60002A6D6B3D9 +:040CE7003E80061332 +:040CE80086B3474246 +:040CE900B79D02C6EB +:040CEA00469147B236 +:040CEB0000D400230E +:040CEC0001C7C683F3 +:040CED008E230686C6 +:040CEE00479200D752 +:040CEF0015FD65C1C9 +:040CF000179347D03F +:040CF10083C10107B3 +:040CF20077B3C83ECE +:040CF300C63E00B742 +:040CF40000B677B31C +:040CF50047B2CC3EF8 +:040CF600555945F90E +:040CF70002B785B308 +:040CF800468347E206 +:040CF900C5B300047B +:040CFA00578202F526 +:040CFB00C5B30595E3 +:040CFC00F51302A545 +:040CFD0047920FF714 +:040CFE0002E50733D1 +:040CFF0002C757339E +:040D0000C70395BAD6 +:040D0100F5930297CD +:040D020007130FF5CF +:040D03000762F80784 +:040D040095BA8761B4 +:040D0500471105C2CB +:040D0600946381C1B0 +:040D0700859334E6B6 +:040D080005C20325F8 +:040D0900452185C13A +:040D0A0002000613CA +:040D0B0000C50333E9 +:040D0C003205CF637A +:040D0D0000C5873363 +:040D0E000513972A08 +:040D0F0056630FF028 +:040D1000059300E562 +:040D110085B30FF0A7 +:040D1200F71340652E +:040D130085BA0FF599 +:040D1400D03645157B +:040D1500CE3AD41AE4 +:040D1600D0EFD23216 +:040D17005612AD5F64 +:040D180085B2451942 +:040D1900ACBFD0EFAC +:040D1A000800059335 +:040D1B00026005135A +:040D1C00ABFFD0EF6A +:040D1D0053224772A4 +:040D1E0003100513A6 +:040D1F00006705B3B1 +:040D20000FF5F59343 +:040D2100AABFD0EFA6 +:040D2200472156828D +:040D2300836345B5EC +:040D240045A500E6FB +:040D25000220051390 +:040D2600A97FD0EFE2 +:040D2700D0EF4511B3 +:040D28007593A47F9C +:040D290045110F85DC +:040D2A00A87FD0EFDF +:040D2B00450547C271 +:040D2C000047D59314 +:040D2D000FF5F59336 +:040D2E00A77FD0EFDC +:040D2F00450947B279 +:040D30000047959350 +:040D31000F05F59322 +:040D3200A67FD0EFD9 +:040D3300073747E255 +:040D34000713019C04 +:040D35005733CC075D +:040D360047B202F7C7 +:040D3700022556B784 +:040D38000FF6869399 +:040D390007334581B6 +:040D3A00F06302F769 +:040D3B0026B702E6EF +:040D3C008693042C6A +:040D3D004585D7F61B +:040D3E0000E6F9636F +:040D3F00080BF5B7F1 +:040D4000FBF58593A7 +:040D410000E5B5B361 +:040D42006761058957 +:040D43006C470713DF +:040D44004703972E9C +:040D4500069300070A +:040D460047C202801E +:040D470002D7073395 +:040D48000017D69327 +:040D4900973647B2E0 +:040D4A0002F747B3B2 +:040D4B00F793469D37 +:040D4C00F7130FF793 +:040D4D00F3630FF746 +:040D4E00471D00F647 +:040D4F00059A070EEC +:040D5000F5938DD9B1 +:040D5100450D0F85B8 +:040D52009E7FD0EFC1 +:040D5300C5034792FB +:040D5400D0EF047761 +:040D55004792C65F9C +:040D5600C503470585 +:040D57007763046753 +:040D5800450300A7A8 +:040D5900157100040C +:040D5A000015351338 +:040D5B0005334761B4 +:040D5C00676102E5E4 +:040D5D00D54707135C +:040D5E0004136469AD +:040D5F00953ABC8481 +:040D6000AB1FD0EF06 +:040D6100069347921C +:040D6200870302C041 +:040D630047D201175B +:040D640002D7073378 +:040D65004503973E6D +:040D6600D0EF0207C1 +:040D670087039D7FE2 +:040D68008637013495 +:040D690026930002CB +:040D6A004218002704 +:040D6B008F559B798C +:040D6C008503C21821 +:040D6D004705013401 +:040D6E001CA751630A +:040D6F001A634709B3 +:040D700047A21AE597 +:040D710002FAF73754 +:040D72000807071354 +:040D73001AF7736395 +:040D7400E0EF45194E +:040D75004018B88FDB +:040D7600DFF7771319 +:040D77004014C0184C +:040D7800173767E9D9 +:040D79002023000231 +:040D7A0084130ED7F9 +:040D7B008713B5C75E +:040D7C005503B5C79F +:040D7D00458300E7C3 +:040D7E00430301E743 +:040D7F0057030224F0 +:040D8000879300C78E +:040D8100C283B5C7AD +:040D8200073302171A +:040D8300069302676A +:040D840003132BC06A +:040D850056B306401B +:040D8600153302D54A +:040D8700073300B579 +:040D8800F6130267F5 +:040D890005330FF629 +:040D8A004733025594 +:040D8B00450102A775 +:040D8C00032707131F +:040D8D00026747337F +:040D8E00771343058F +:040D8F0062630FF795 +:040D9000962E14E3A4 +:040D9100463795321A +:040D92002703000231 +:040D930075F93C06AC +:040D94008593890DAD +:040D95008F6D7FF5EA +:040D96008D59052E40 +:040D97003CA6202333 +:040D98003C062703EB +:040D99008A8D756961 +:040D9A0006B6157D07 +:040D9B008ED98F69F5 +:040D9C003CD62023FE +:040D9D000124C683E4 +:040D9E002703CE99C0 +:040D9F0006853C0683 +:040DA000832D868594 +:040DA10026839736D8 +:040DA2008B0D3C0673 +:040DA3008DF5072E95 +:040DA40020238F4D2C +:040DA500C6833CE6DF +:040DA600C6950114D9 +:040DA70006854589EF +:040DA80002B6C6B316 +:040DA90000024637C7 +:040DAA003C062703D9 +:040DAB00859375F9BE +:040DAC00832D7FF51F +:040DAD0026838F15F5 +:040DAE008B0D3C0667 +:040DAF008EED072E90 +:040DB00020238F5518 +:040DB100D0EF3CE65D +:040DB2004712A4CF71 +:040DB3000114C783DD +:040DB400468344012D +:040DB500470905776E +:040DB60000E6876369 +:040DB7000124C4034C +:040DB80034138C1D47 +:040DB900458100145C +:040DBA00C43E453DB1 +:040DBB00EA8FD0EFFC +:040DBC000590051386 +:040DBD00E56FD0EF1F +:040DBE0002F5759332 +:040DBF0047A2E8015E +:040DC0008DDD079A24 +:040DC1000FF5F593A2 +:040DC2000105E593AF +:040DC300059005137F +:040DC400E84FD0EF35 +:040DC50006B7479294 +:040DC60086930511FA +:040DC7004BD0F40613 +:040DC800EB6347058D +:040DC90076B700C633 +:040DCA008693047890 +:040DCB0047018BF65B +:040DCC0000C6F46306 +:040DCD000137C70320 +:040DCE00C6834792FF +:040DCF00C58305973C +:040DD000E689057734 +:040DD1000137C6839D +:040DD20004E682634E +:040DD300852E479290 +:040DD40000E789A308 +:040DD500508A447A82 +:040DD600011344EAD7 +:040DD700D06F0A418E +:040DD8000599E91F71 +:040DD90085C105C209 +:040DDA004641450940 +:040DDB004581B1C1DC +:040DDC00157DB9E9DF +:040DDD000FF5751386 +:040DDE004018BDA953 +:040DDF00200767136F +:040DE0000505BDB197 +:040DE10075138305FE +:040DE200BD550FF5F7 +:040DE30086634709D3 +:040DE40067E9C2E514 +:040DE500B5C7879374 +:040DE60000A7C5039A +:040DE7004589C191E8 +:040DE800447A67E5FD +:040DE9002C078793B9 +:040DEA000124C68397 +:040DEB0044EA508AFC +:040DEC000587C603AE +:040DED000A410113A3 +:040DEE00D0DFD06F13 +:040DEF00A70367E906 +:040DF00067E5BB8771 +:040DF10035078793A8 +:040DF200464546AD7F +:040DF3000167D5833C +:040DF40004E59F6310 +:040DF5000FF6F6936C +:040DF6000A63E11992 +:040DF7001121280797 +:040DF80064E9C626BE +:040DF900BB24C5034F +:040DFA006465C82242 +:040DFB000F840793C7 +:040DFC000035161395 +:040DFD00CA0697B2D9 +:040DFE00C783438CD8 +:040DFF004751004711 +:040E00000045A30303 +:040E010002E787334A +:040E0200BB248493F6 +:040E03000F84041341 +:040E04004339971ABD +:040E050002D363634E +:040E060063634331AE +:040E070047AD04D31C +:040E08000CF6806301 +:040E0900086686638E +:040E0A0047014781D4 +:040E0B000685A0F1C7 +:040E0C009DE30789D2 +:040E0D004681F8C65C +:040E0E004783B7451A +:040E0F00460D004745 +:040E100016C79B6303 +:040E110045BD475044 +:040E120047834218B8 +:040E13009C630007D5 +:040E1400C7811EB6BE +:040E1500F79317FD3B +:040E160000230FF7AF +:040E1700B7E900F740 +:040E18000047450347 +:040E190015754705FF +:040E1A000FF5751348 +:040E1B0000A76E635B +:040E1C000002453754 +:040E1D003C852303EA +:040E1E0000F717338F +:040E1F00FFF747137F +:040E200000677733BD +:040E21003CE5242365 +:040E22009C63473551 +:040E2300E39900E669 +:040E24000005C7837B +:040E2500F79317FD2B +:040E260096220FF70A +:040E270000F60223AC +:040E2800C703B761E4 +:040E29000785000534 +:040E2A00E7B3962272 +:040E2B00B7FD02E726 +:040E2C00157DC51952 +:040E2D0000A480237A +:040E2E00B9EFE0EF49 +:040E2F0067E9B7B503 +:040E3000BA0788A3D2 +:040E3100000247373D +:040E32003C072783CF +:040E33009BED4501ED +:040E34003CF7202344 +:040E350040D2444221 +:040E3600016144B260 +:040E3700E29FE06FE7 +:040E380000474783A5 +:040E39008163468DFE +:040E3A0046910AD7FC +:040E3B00F2D79EE369 +:040E3C009782471C36 +:040E3D00470587AA34 +:040E3E000004C68363 +:040E3F00656545D1CF +:040E400096A2068EE2 +:040E4100C683429092 +:040E4200051300464E +:040E43004250328562 +:040E440002B686B3B9 +:040E4500C23AC43EAB +:040E4600428C96B292 +:040E4700C036464526 +:040E4800270030EF60 +:040E490047A2471263 +:040E4A0085BA46829D +:040E4B008536863E24 +:040E4C00AAAFE0EF7A +:040E4D000004C78353 +:040E4E0044B765E55B +:040E4F00078E000208 +:040E50004503943E84 +:040E510046410044D2 +:040E520033C585938C +:040E5300054105163A +:040E540030EF9526C0 +:040E5500460323E04D +:040E56004785004488 +:040E570000C797B386 +:040E58003CF4A6239D +:040E5900EB09471248 +:040E5A004611468275 +:040E5B000046C70383 +:040E5C000EC7156345 +:040E5D00C76D46D83F +:040E5E000002473710 +:040E5F003C87268323 +:040E600024238FD5E3 +:040E6100A8E93CF7C9 +:040E6200C7814B1CDD +:040E63009782C03A78 +:040E6400C7834702F7 +:040E6500471400042A +:040E66009713078552 +:040E67000633003717 +:040E6800420C00E454 +:040E690000D58463C9 +:040E6A000006022359 +:040E6B00C3149722F3 +:040E6C0000F48023EB +:040E6D006963B711ED +:040E6E00460902F639 +:040E6F0004C78863C9 +:040E7000433D470CAB +:040E710000C745036E +:040E720000D746035C +:040E73000005C7832C +:040E740000E7470349 +:040E7500026691631D +:040E760000F67C63A3 +:040E7700F71317FD59 +:040E780080230FF7CD +:040E7900B58900E552 +:040E7A008DE34611AD +:040E7B00BD2DE4C7DE +:040E7C008732F96D53 +:040E7D00F863B7FD62 +:040E7E00078500E7FD +:040E7F000FF7F61360 +:040E800000C5802306 +:040E8100FD6DB51539 +:040E8200BFDD863A10 +:040E8300453D470C96 +:040E840000C756034A +:040E85000005D7830A +:040E860000E7570327 +:040E870000A69E63C0 +:040E880000F6776396 +:040E8900971317FDA7 +:040E8A008341010798 +:040E8B00C211A019D7 +:040E8C0090238732F6 +:040E8D00BBCD00E5F4 +:040E8E0000E7F46322 +:040E8F00B7E5078537 +:040E90004701FA6DAF +:040E91004683B7FDE0 +:040E9200F5630046BE +:040E9300078500D7F8 +:040E94000FF7F693CB +:040E950000D700235F +:040E9600460DBBC189 +:040E9700F0C70CE3B1 +:040E980040D24442BE +:040E9900450144B219 +:040E9A00E06F0161A3 +:040E9B008082BEFF94 +:040E9C0085AA862E6F +:040E9D000002153703 +:040E9E000205051331 +:040E9F00AA1FC06F57 +:040EA0001793111182 +:040EA100CA220185DB +:040EA200CC06C8268C +:040EA300842A87E135 +:040EA400DD6384AED8 +:040EA500458100077C +:040EA60007700513B9 +:040EA70001A337D597 +:040EA800478500A1D9 +:040EA9000AA7E3634E +:040EAA0007F47413C2 +:040EAB00E4FFC0EFB1 +:040EAC004501459126 +:040EAD0067693F75BD +:040EAE00BC870713E3 +:040EAF00F793431C56 +:040EB000C31CF7F771 +:040EB10000021737ED +:040EB2000EF72023F4 +:040EB3000184D7934C +:040EB40000F102A3A4 +:040EB5000104D793CA +:040EB60000F1032321 +:040EB7000084D79349 +:040EB80000F103A39F +:040EB900008102238F +:040EBA00009104237C +:040EBB000400071315 +:040EBC00095007933F +:040EBD0000E40963E1 +:040EBE000480071392 +:040EBF0014634785EC +:040EC000079300E4B0 +:040EC1001537087069 +:040EC20046190002CB +:040EC3000513004CC7 +:040EC40004A302057C +:040EC500C0EF00F189 +:040EC6004515A33FEC +:040EC700C3EFE0EFA6 +:040EC8004585842AAE +:040EC90000310513DC +:040ECA00F49FF0EFB2 +:040ECB000031078368 +:040ECC000007D763E1 +:040ECD00C50FE0EF7E +:040ECE0004E347856D +:040ECF00E401FEF547 +:040ED000A02367E90B +:040ED1004503BC0712 +:040ED20040E20031C9 +:040ED30044C244527F +:040ED40080820171A6 +:040ED500879367E5B3 +:040ED60047983B07F7 +:040ED700C6221131ED +:040ED800C426C8065E +:040ED9006B63440DF6 +:040EDA00C7830CB707 +:040EDB0084AA00479E +:040EDC00E3918BA172 +:040EDD00051305A64E +:040EDE00F0EF0580AC +:040EDF00842AF07FF2 +:040EE0001537ED4D88 +:040EE10057F90002BB +:040EE20002050513ED +:040EE3000593460528 +:040EE40003A30071F3 +:040EE500C0EF00F169 +:040EE60017379B3FE0 +:040EE700478100023D +:040EE80002070513E5 +:040EE90000F486B3D8 +:040EEA000006C683B5 +:040EEB000593460520 +:040EEC00C03E007193 +:040EED0000D103A38A +:040EEE00991FC0EF99 +:040EEF0017374782E8 +:040EF0000693000263 +:040EF1000785200051 +:040EF20002070513DB +:040EF300FCD79CE3A9 +:040EF400460554FD5E +:040EF50000710593F0 +:040EF600009103A3C1 +:040EF70096DFC0EFD3 +:040EF80000021737A6 +:040EF90002070513D4 +:040EFA000593460511 +:040EFB0003A30071DC +:040EFC00C0EF0091B2 +:040EFD004585957F13 +:040EFE000071051367 +:040EFF00E75FF0EFCA +:040F000000714783B2 +:040F01008BFD471508 +:040F020004E790630D +:040F03000FA0051323 +:040F0400B4AFE0EFB7 +:040F05000513458506 +:040F0600F0EF007197 +:040F07004483E57FBB +:040F0800E4910071FF +:040F0900B60FE0EF50 +:040F0A0005E347852F +:040F0B0067E9FEF59F +:040F0C00BC07A0235B +:040F0D004411E48126 +:040F0E004409A011E1 +:040F0F00852240C235 +:040F100044A2443281 +:040F11008082015188 +:040F1200BFCD4415F6 +:040F1300879367E574 +:040F140047983B07B8 +:040F1500C6221131AE +:040F1600C426C8061F +:040F1700440DC02A9B +:040F180000B76F634C +:040F19000047C78343 +:040F1A008BA184B271 +:040F1B0005A6E391B3 +:040F1C0005100513A4 +:040F1D00E0DFF0EF32 +:040F1E00C901842A57 +:040F1F0040C244097F +:040F200044328522B0 +:040F2100015144A294 +:040F220005138082B1 +:040F2300E0EF0640B5 +:040F24004585ACCF84 +:040F2500007105133F +:040F2600DD9FF0EF6C +:040F2700007147030B +:040F28000FF007932C +:040F290000F7176353 +:040F2A00ADCFE0EF78 +:040F2B0002E3478511 +:040F2C004703FEF584 +:040F2D0067E90071FF +:040F2E00BC07A02339 +:040F2F000FE0079335 +:040F3000FAF71EE3CB +:040F310085A645024A +:040F3200DA9FF0EF63 +:040F330020200593E2 +:040F340005C28D85E0 +:040F3500450181C130 +:040F3600D99FF0EF60 +:040F37007139B74D08 +:040F3800C42A65DD85 +:040F3900859346292D +:040F3A000848E285FC +:040F3B00DC22DE06D0 +:040F3C00C0EFDA2602 +:040F3D00478D8D5FF0 +:040F3E0027B7C03ED3 +:040F3F00143700065D +:040F400004B70002F0 +:040F41008613019C76 +:040F42008593A807E4 +:040F43000513CC04C2 +:040F4400C0EF0204F4 +:040F4500C0EFF88F72 +:040F460027B7BE5FAC +:040F47008613000607 +:040F48008593A807DE +:040F49000513CC04BC +:040F4A00C0EF0204EE +:040F4B000513F70F84 +:040F4C00462902042C +:040F4D00C0EF084C9D +:040F4E0064E5813F96 +:040F4F0005134581C0 +:040F5000A8230400CE +:040F5100F0EF3A047F +:040F52004785D3BF3D +:040F53008493842AD5 +:040F540017633B04E0 +:040F550005931CF5EF +:040F560005131AA0C5 +:040F5700F0EF048033 +:040F5800C22AD23F98 +:040F590014851D637B +:040F5A0008084591AD +:040F5B00D05FF0EF84 +:040F5C000121470325 +:040F5D00156347923F +:040F5E0047031AF734 +:040F5F0007930131C2 +:040F60001F630AA061 +:040F6100051318F765 +:040F6200E0EF3E80FE +:040F6300E0EF9D0F0F +:040F640047859F6FAF +:040F650000F5196317 +:040F6600400005B78B +:040F67000E900513D0 +:040F6800CE1FF0EFB9 +:040F6900E0EFF56D53 +:040F6A0047859DEF2B +:040F6B000EF5056317 +:040F6C0067E94401EC +:040F6D00BC07A023FA +:040F6E0017FD4782A2 +:040F6F000FF7F793EE +:040F70008163C03E9B +:040F7100D815160772 +:040F720045814785E9 +:040F730004900513CE +:040F74008223C09C78 +:040F7500F0EF008415 +:040F76004781CABF26 +:040F77004515E551E6 +:040F780097AFE0EF60 +:040F79004585842AFC +:040F7A0000F105136A +:040F7B00C85FF0EF6C +:040F7C0000F1470336 +:040F7D000FF00793D7 +:040F7E0000F71763FE +:040F7F00988FE0EF78 +:040F800002E34785BC +:040F8100E401FEF594 +:040F8200A02367E958 +:040F83004683BC07DE +:040F8400071300F15E +:040F850047810FE0B1 +:040F860004E6986382 +:040F8700100845C940 +:040F8800C51FF0EFA2 +:040F89000044C70356 +:040F8A000027769333 +:040F8B001006866363 +:040F8C0002614703B4 +:040F8D000271478323 +:040F8E0002A1460373 +:040F8F0007228B0D9D +:040F900047838F5DA7 +:040F9100070A0281C8 +:040F9200025146833F +:040F93008FD98399D6 +:040F9400029147037C +:040F95008ABD821D72 +:040F96008B190706A6 +:040F970096BA8F5126 +:040F980016E50785CE +:040F990000D797B333 +:040F9A0000293637BD +:040F9B00019C05B7F9 +:040F9C000002153703 +:040F9D00061317FD23 +:040F9E0085932E0603 +:040F9F000513CC0565 +:040FA000C49C0205E6 +:040FA100E16FC0EF4D +:040FA20006134522CB +:040FA3004581200064 +:040FA400DBDFF0EFB0 +:040FA5004581A85981 +:040FA60007A0051388 +:040FA700BE5FF0EF4A +:040FA800F00518E355 +:040FA900080845915E +:040FAA00BC9FF0EF09 +:040FAB000101478376 +:040FAC00F793443142 +:040FAD009EE30407B4 +:040FAE004411EE07F5 +:040FAF004581BDDDDE +:040FB0000E90051387 +:040FB100BBDFF0EFC3 +:040FB200041007938D +:040FB30000A46563CE +:040FB4000793440952 +:040FB50005130E9082 +:040FB600C23E0FA088 +:040FB70087EFE0EFF1 +:040FB8008A4FE0EF8D +:040FB90017634785EE +:040FBA00451200F5E7 +:040FBB00F0EF45818D +:040FBC00F57DB93FC7 +:040FBD00890FE0EFC9 +:040FBE004401E111F8 +:040FBF00458167E918 +:040FC00007B005135E +:040FC100BC07A023A6 +:040FC200B79FF0EFF6 +:040FC3004401C11113 +:040FC4002000059371 +:040FC500050005130B +:040FC600B69FF0EFF3 +:040FC700E8050EE348 +:040FC800BD594401CA +:040FC90011E34505E6 +:040FCA0050F2EA04F3 +:040FCB0054D2546246 +:040FCC0040A0053309 +:040FCD00808261219C +:040FCE0047818B11BB +:040FCF00F20701E341 +:040FD00002814783D0 +:040FD100029147033F +:040FD2008FD907A20A +:040FD300BF014745CE +:040FD400DD410113E7 +:040FD500201007934E +:040FD6001008CE3EF3 +:040FD700301F17B7F9 +:040FD800229120231F +:040FD900221124239A +:040FDA00228122232B +:040FDB00F0EFCC3E29 +:040FDC0084AAD71FED +:040FDD00987FC0EF4A +:040FDE0004B3C4B1E3 +:040FDF00C0EF40908F +:040FE000470597DF4B +:040FE10036E48C6303 +:040FE2003697456396 +:040FE3008B6357299C +:040FE4008D6336E4FF +:040FE50065DD36048C +:040FE600E405859306 +:040FE700464567E52F +:040FE80033C7851373 +:040FE9003ED020EFE7 +:040FEA00C481450574 +:040FEB00051365394C +:040FEC002083EAD59F +:040FED002403228136 +:040FEE0024832241F5 +:040FEF0001132201C7 +:040FF000808222C118 +:040FF100051365611E +:040FF200C0EF6A05DD +:040FF3004481934F53 +:040FF400141867DD89 +:040FF500009706B3A8 +:040FF600DF86C5834A +:040FF700C4C78613D2 +:040FF800460995B25F +:040FF90006C4806347 +:040FFA008263460DBB +:040FFB00443706C4AD +:040FFC000413000FCB +:040FFD0067E524047C +:040FFE0033C785135D +:040FFF00C48346451C +:0410000020EFDFC638 +:04100100450938F075 +:04100200E50FE0EF27 +:041003008522084CEE +:04100400863FD0EF64 +:04100500DD5567DD71 +:041006000693646584 +:041007004752350413 +:041008000006D60305 +:041009003504041393 +:04100A0002E60E6389 +:04100B000026D603E2 +:04100C0002C71463A0 +:04100D008C7FC0EF25 +:04100E0006900493B1 +:04100F00859365DD83 +:04101000BFA9E3454C +:04101100001E843702 +:041012004804041377 +:041013009437B76DEA +:0410140004130004BD +:04101500B7453E0499 +:041016000186D683F6 +:04101700FCD70CE313 +:04101800BF85448DBF +:0410190005136561F5 +:04101A00C0EF6A05B4 +:04101B0065DD894FB7 +:04101C00464565657B +:04101D00E78585934B +:04101E0032850513FF +:04101F00315020EF3D +:0410200065DD67E53E +:04102100E845859386 +:0410220085134645A7 +:0410230020EF33C7C0 +:04102400450930301A +:04102500DC4FE0EFCD +:04102600004C5537EE +:041027000513084C59 +:04102800D0EFB4054C +:04102900D559FD0F89 +:04102A00570347D24F +:04102B00006300045A +:04102C0057030CF763 +:04102D009FE3002419 +:04102E00C002F6E71F +:04102F0065DD67E52F +:0410300033C785132A +:041031008593464518 +:0410320020EFE9457D +:0410330045092C70CF +:04103400D88FE0EF82 +:041035000024570339 +:041036001E6347D21C +:04103700061308F79D +:0410380045812000CE +:04103900C0EF1008EC +:04103A004582D1CF4B +:04103B00F0EF1008BA +:04103C0084AAA67F5D +:04103D00E80515E3CA +:04103E0004374782AA +:04103F000785001011 +:041040006785C03EC2 +:041041000793C23E11 +:0410420086A2200062 +:041043000087F463CB +:0410440020000693EF +:0410450010104792AE +:041046009593C43684 +:0410470067E90087CE +:04104800BAC7A5037B +:04104900A7EFC0EF5E +:04104A00101846A292 +:04104B00063384AA3A +:04104C00166300D750 +:04104D0094E318E62A +:04104E000713E4049C +:04104F006D631FF0BE +:04105000101C0087E9 +:041051002000061362 +:0410520085338E153F +:04105300458100D7FC +:04105400C0EFC436EF +:0410550046A2CB0FD5 +:0410560010084582B7 +:04105700F0EFC436BC +:0410580046A29F7F8E +:0410590016050B630A +:04105A0040A004B3FB +:04105B000793BD0931 +:04105C00C03E14007E +:04105D000613B7A11E +:04105E0045812000A8 +:04105F00C0EF1008C6 +:041060004401C84F30 +:04106100100885A24C +:041062009CDFF0EF30 +:0410630018E384AA60 +:041064000405DE059C +:0410650014000793D9 +:04106600FEF416E39B +:041067000793645D2A +:041068008593C4C4E4 +:04106900466D0407C5 +:04106A00C0EF1008BB +:04106B000793C1CF57 +:04106C008593C4C4E0 +:04106D00466905C704 +:04106E00C0EF00C807 +:04106F0077EDC0CF8A +:04107000A557879366 +:04107100100845819D +:0410720020F11F2327 +:04107300989FF0EF63 +:0410740016E384AA51 +:041075004401DA0553 +:041076000613468196 +:04107700458120008F +:04107800C236100864 +:04107900C1EFC0EF14 +:04107A000613469281 +:04107B0005932010A9 +:04107C008E151000BD +:04107D00003687931F +:04107E0007C206425D +:04107F00B5138241E2 +:0410800083C1001612 +:0410810087320506A7 +:0410820000C5F4634E +:04108300100007133F +:041084008F09973EFB +:0410850001071593B7 +:04108600E68181C1BD +:04108700D03E57E11F +:0410880066C1478D69 +:0410890003138D1DA3 +:04108A0016FD2030FF +:04108B0000A7873300 +:04108C008341074253 +:04108D0006B7E86357 +:04108E00FFD5869371 +:04108F0082C106C252 +:0410900008040593B8 +:04109100C23610084B +:0410920090DFF0EF0C +:0410930018E384AA30 +:041094000593D205E9 +:0410950010080A0431 +:041096008FDFF0EF09 +:0410970010E384AA34 +:041098004692D205A5 +:041099000442040504 +:04109A001FF00793A9 +:04109B00F6E38041B7 +:04109C000613F6D76A +:04109D004581200069 +:04109E00C0EF100887 +:04109F0067DDB88FC2 +:0410A000C4C78793A7 +:0410A10007878593A5 +:0410A200020006132F +:0410A300C0EF100882 +:0410A4000593B38F6E +:0410A50010080C0023 +:0410A6008BDFF0EFFD +:0410A70000E384AA34 +:0410A800B9F1E405B1 +:0410A9000706101016 +:0410AA00863E9732B5 +:0410AB0000679363E4 +:0410AC0007858636F8 +:0410AD00102307C243 +:0410AE0083C100C733 +:0410AF004503BF85B1 +:0410B000C83200073B +:0410B100C43AC63641 +:0410B200C68FC0EF36 +:0410B3004642472248 +:0410B400002346B21D +:0410B500070500A784 +:0410B6004782BDA907 +:0410B7000086D713C5 +:0410B80007858C1507 +:0410B9004792C03E5C +:0410BA00C23E97BAE1 +:0410BB00E0041DE34D +:0410BC000713B179EC +:0410BD0083E3069033 +:0410BE00B971D4E44C +:0410BF00859365DDD3 +:0410C000B969E6051F +:0410C100859365DDD1 +:0410C200B949E5053E +:0410C300859365DDCF +:0410C400B169E70522 +:0410C500FDC1011355 +:0410C600D006CC265E +:0410C700C42ACE2247 +:0410C800C03284AE00 +:0410C9004782C23662 +:0410CA004501E39960 +:0410CB004402A01526 +:0410CC002000079366 +:0410CD000087F46341 +:0410CE0020000413E7 +:0410CF00451245A2DF +:0410D00001041613EE +:0410D100F0EF824179 +:0410D200C909907F39 +:0410D30040A0053301 +:0410D4004472508290 +:0410D500011344E2DD +:0410D60080820241D1 +:0410D7000FF4F79388 +:0410D80000849613E7 +:0410D9004792CB9DD2 +:0410DA00008786B352 +:0410DB0004D7916342 +:0410DC00469267E9E8 +:0410DD00BAC7A503E6 +:0410DE000084D59322 +:0410DF0005C287229D +:0410E000EA1FB0EF64 +:0410E10047A2F571BC +:0410E200C43E07857C +:0410E300008457939B +:0410E400478294BEED +:0410E500C03E8F81F9 +:0410E60067E9B77986 +:0410E700BAC7A503DC +:0410E800C63285B2D5 +:0410E900E25FB0EF23 +:0410EA00DD55463258 +:0410EB00C503B7552D +:0410EC00CA360007F9 +:0410ED00C63EC83201 +:0410EE00B78FC0EF09 +:0410EF0046D247B2EC +:0410F00080234642D1 +:0410F100078500A7C8 +:0410F2000113B755DA +:0410F30000C8DB0155 +:0410F400241126237A +:0410F500248124230B +:0410F60024912223FC +:0410F700903FF0EF47 +:0410F800C0EF842A97 +:0410F90007B3D18FD9 +:0410FA001A634080B5 +:0410FB0046111C047A +:0410FC00104800CCCC +:0410FD0079C020EFA7 +:0410FE00461165DD55 +:0410FF00F1058593DF +:0411000020EF104884 +:04110100C91D76008E +:041102000793440DFE +:04110300C23E0640A2 +:04110400CEAFC0EFBB +:0411050007134792F3 +:041106004D630680AF +:04110700071300F7D3 +:041108004263063008 +:04110900071330F7A1 +:04110A008963F340C2 +:04110B00470536E777 +:04110C0036E78A63D5 +:04110D00859365DD84 +:04110E00A629E40525 +:04110F00048157837D +:0411100004A105939E +:041111000513461D5F +:04111200142302A1FF +:0411130020EF02F1D6 +:041114005783742069 +:0411150008A3052105 +:04111600102302019F +:04111700578302F107 +:041118001123054159 +:04111900550202F188 +:04111A00B04FC0EF23 +:04111B000561578390 +:04111C00C22ADA2ADF +:04111D0002F11023A8 +:04111E00058157836D +:04111F0002F11123A5 +:04112000C0EF5502C5 +:041121005783AEAF93 +:04112200DC2A05A11D +:0411230002F11023A2 +:0411240005C1578327 +:0411250002F111239F +:04112600C0EF5502BF +:04112700DE2AAD2FE0 +:041128002401250376 +:04112900AC8FC0EFD8 +:04112A00C0AA459280 +:04112B001E200793E8 +:04112C00FE658713C2 +:04112D000CE7E76381 +:04112E0000C84605AA +:04112F00AD4FC0EF11 +:041130000663478685 +:04113100440D00F574 +:0411320006600793B9 +:041133006465B789AF +:041134000613665DDB +:0411350045C5F18635 +:0411360032840513E7 +:041137004B1010EF5A +:0411380067E556E22F +:041139008513665D57 +:04113A00061333C79E +:04113B0045C5F2862E +:04113C0049D010EF97 +:04113D00E0EF450595 +:04113E0057E2962FAF +:04113F00450147011E +:0411400057F2C23E62 +:041141004792C43ECF +:0411420008F76163E6 +:04114300946347A2C8 +:04114400478320A716 +:04114500468302A13A +:0411460047030281D8 +:04114700CBD5029171 +:04114800879367E141 +:041149000613CE8734 +:04114A00C03202A10C +:04114B000613665DC4 +:04114C0045C5F3465C +:04114D0032840513D0 +:04114E00455010EF09 +:04114F0065DD67E50E +:0411500085934645F8 +:041151008513F8C545 +:04115200C0EF33C7F0 +:04115300450587CFF8 +:04115400E0EF64E57F +:041155008493906F80 +:0411560016B735048F +:04115700A783000268 +:04115800D7030D06A6 +:0411590007C20004C5 +:04115A00086383C1E2 +:04115B00D70306F7B9 +:04115C000663002402 +:04115D0065091AF70F +:04115E0071050513FF +:04115F00B83FB0EFF6 +:04116000440DBFE992 +:04116100065007939A +:041162004792B559A2 +:0411630040E786B328 +:0411640020000793CD +:0411650000D7F46358 +:0411660020000693CC +:0411670020070493C6 +:0411680001069613D3 +:04116900D593824157 +:04116A0000C8009425 +:04116B00C636C83A82 +:04116C00E9CFF0EFE8 +:04116D00474246B2FD +:04116E0007B3C509F5 +:04116F00440D40A04B +:041170003613B5B9C4 +:0411710085B6001728 +:04117200C0EF00C802 +:0411730087269C6FC0 +:0411740067DDBF1D57 +:04117500D587879300 +:04117600D0EFB7B946 +:041177006769B2AF43 +:04117800BC87071316 +:0411790016B7431C46 +:04117A006509000201 +:04117B000027E793CF +:04117C00A023C31CCD +:04117D0005130EF652 +:04117E00B0EF710558 +:04117F0065DDB05F1B +:04118000328405139D +:0411810085934645C7 +:0411820020EFF40561 +:04118300440D58605F +:0411840064DD67E5DA +:0411850033C78513D4 +:0411860085934645C2 +:0411870020EFF4C49D +:0411880045055720A2 +:04118900834FE0EFC1 +:04118A0000D45662D5 +:04118B004505458150 +:04118C00CE5FF0EF53 +:04118D001CE387AA2E +:04118E0067E5DC0530 +:04118F00464565DD8F +:04119000F5C5859389 +:041191003287851309 +:04119200548020EF76 +:04119300859367E5F4 +:041194008513F4C407 +:04119500464533C7D1 +:04119600538020EF73 +:04119700D0EF45054B +:0411980057E2FFBF5C +:041199004501448147 +:04119A0057F2C23E08 +:04119B0067E5C43E02 +:04119C004792C63E72 +:04119D0002F4EF6306 +:04119E00079347224A +:04119F0018E3F3401E +:0411A000C0EFD8A71D +:0411A10047B2A78F1B +:0411A200464565DD7C +:0411A300FA05859331 +:0411A40032878513F6 +:0411A500F33FB0EF75 +:0411A60065DD67E5B7 +:0411A70085934645A1 +:0411A8008513F6C5F0 +:0411A90020EF33C739 +:0411AA0045054EA009 +:0411AB00FADFD0EFA8 +:0411AC004792A001C5 +:0411AD00409786B32E +:0411AE001000079393 +:0411AF0000D7F4630E +:0411B0001000069392 +:0411B100A50367E942 +:0411B20000D0BAC7E8 +:0411B300C83685A60F +:0411B400CD3FB0EF8C +:0411B50000D046C25E +:0411B60005B387AA4C +:0411B700450300D616 +:0411B800CE36000629 +:0411B900CA3ECC2E30 +:0411BA00C0EFC83288 +:0411BB004642846FB5 +:0411BC0047D245E2EF +:0411BD0000A6002365 +:0411BE0046F20605EA +:0411BF00FEC591E3F5 +:0411C000D00797E3DA +:0411C1000014B6134D +:0411C20000C885B626 +:0411C300884FC0EFA2 +:0411C40010048493FC +:0411C500440DBFB95D +:0411C6000670079315 +:0411C700440DB9CD4D +:0411C8000680079303 +:0411C9008713B1EDEA +:0411CA00468DF9B79E +:0411CB0008E6E063EF +:0411CC00070A66DDCB +:0411CD00FB468693C4 +:0411CE0043189736F5 +:0411CF0065DD870251 +:0411D000F00585930E +:0411D10067E5C23ECE +:0411D20033C7851387 +:0411D30020EF46457E +:0411D4004505442069 +:0411D500F05FD0EF08 +:0411D600000F45378A +:0411D70024050513D3 +:0411D80099FFB0EFDC +:0411D900D7634792FF +:0411DA00556304074E +:0411DB00665D0480C9 +:0411DC00061365652C +:0411DD0045C5F7C647 +:0411DE00328505133E +:0411DF00211010EFDC +:0411E000B579147D4C +:0411E100859365DDB0 +:0411E200BF6DEB856D +:0411E300859365DDAE +:0411E400BF4DEC858A +:0411E500859365DDAC +:0411E600B76DEDC52F +:0411E700859365DDAA +:0411E800B74DEA45D0 +:0411E900859365DDA8 +:0411EA00BF69E605EE +:0411EB00859365DDA6 +:0411EC00BF49EF0503 +:0411ED00CA2FD0EF46 +:0411EE0024C1208375 +:0411EF002481240330 +:0411F00024412483EF +:0411F1000113557D14 +:0411F20080822501D1 +:0411F300DDC1011346 +:0411F4002023082884 +:0411F5002E23221172 +:0411F6002C23208105 +:0411F700F0EF209164 +:0411F800C02AD00F2A +:0411F900916FC0EF43 +:0411FA00E931450290 +:0411FB0065DD6465E5 +:0411FC00859346454C +:0411FD000513FE05D3 +:0411FE00B0EF33C457 +:0411FF004509DCDFE3 +:04120000E59FD0EFA7 +:041201006465C2223C +:041202003504041398 +:04120300000217B717 +:041204000D07A783A8 +:041205000004570387 +:0412060083C107C2D7 +:0412070004F7046381 +:041208000024570364 +:0412090002F71A636B +:04120A0065DD4792C5 +:04120B00859346453C +:04120C008513E3451E +:04120D0020EF33C7D4 +:04120E00051335A0EF +:04120F00C02A06806B +:041210008BAFC0EFF1 +:041211002201208313 +:0412120021C12403CF +:0412130024834502E9 +:041214000113218120 +:041215008082224170 +:04121600051365094E +:04121700B0EF7105BE +:04121800B76D8A1F05 +:0412190065DD4792B6 +:04121A0085134645AD +:04121B00859333C7BD +:04121C0020EFFC457E +:04121D00450931E06E +:04121E00DE1FD0EF10 +:04121F00FFF007379E +:041220002007079309 +:041221006485C0021E +:0412220047A2C43EDD +:04122300008494139C +:04122400943E462D81 +:0412250085A28425F5 +:04122600F0EF00687D +:04122700C501BB2F13 +:0412280040A00533AA +:0412290065DDBF6957 +:04122A00DCC5859307 +:04122B00B0EF0068B8 +:04122C00E10DD65F9B +:04122D000161470311 +:04122E001563468579 +:04122F00468306D715 +:04123000996301417C +:04123100468300E60A +:04123200471501510A +:0412330076B0061378 +:0412340006E68463E3 +:04123500100484938A +:0412360098E36709C9 +:041237000513FAE4BD +:04123800B0EF3E8055 +:04123900458181DF8B +:04123A00D0EF453D6F +:04123B0067E9951FAB +:04123C00BCC7C70361 +:04123D00879367E547 +:04123E0097BA31C763 +:04123F000007C503DC +:0412400067E9458194 +:04124100BAA78B239A +:04124200933FD0EF17 +:041243004682479206 +:041244000613665DCA +:0412450045C5FD0698 +:0412460033C7851312 +:04124700071010EF8D +:04124800BF31450568 +:041249004703FB4517 +:04124A0015E3014166 +:04124B004703FAD784 +:04124C00F34D01510C +:04124D0005800613FF +:04124E0085A6083435 +:04124F00F0EF852215 +:041250001EE39D7F7D +:041251004782EE05DD +:04125200C03E07850E +:041253000113B7616B +:04125400D106F5C109 +:04125500CD26CF22B1 +:041256000002143747 +:04125700811FB0EF54 +:041258000493C22A0F +:0412590047C5080479 +:04125A002023D0BCC1 +:04125B0027B70E049F +:04125C00A6230002C3 +:04125D00A8230007BB +:04125E00AA230007B8 +:04125F006789000794 +:04126000710785137A +:04126100F7AFB0EF44 +:041262000793636922 +:041263000693BC83AF +:04126400C3941D1002 +:041265004501D0B4BB +:04126600FC3FC0EF9A +:041267000003153734 +:04126800D405051391 +:04126900F5AFB0EF3E +:04126A00000626371D +:04126B00019C05B726 +:04126C00A8060613B7 +:04126D00CC05859394 +:04126E00040405135C +:04126F00ADFFB0EF30 +:04127000871367E990 +:041271004314BC87DF +:04127200F693455159 +:04127300C314F9F6B1 +:04127400B0EFD0B453 +:0412750045D1F2CF9E +:0412760003800513D9 +:04127700EFDFC0EFF6 +:04127800051345D144 +:04127900C0EF03902F +:04127A0045D1EF3F2C +:04127B00C0EF45512A +:04127C0045D1EEBFAB +:04127D00071005133E +:04127E00EE1FC0EFB0 +:04127F00051345D13D +:04128000C0EF05E0D6 +:0412810045D1ED7FE7 +:0412820006D005137A +:04128300ECDFC0EFED +:04128400453145D1DA +:04128500EC5FC0EF6B +:04128600320005939A +:04128700C0EF45056A +:0412880045D1EBBFA2 +:04128900C0EF451954 +:04128A000593EB3F9E +:04128B0045093200DF +:04128C00EA9FC0EF26 +:04128D00879367E9F3 +:04128E004394BC8742 +:04128F00458146014E +:041290000406E693D7 +:04129100D0B4C3947E +:041292000404051338 +:04129300AB3FB0EFCE +:04129400B0EF452949 +:0412950045E1EACF76 +:04129600C0EF45055B +:0412970045E1C4AFBA +:04129800C0EF450955 +:0412990045E1C42F38 +:04129A00C0EF450D4F +:04129B00C0EFC3AF2E +:04129C006369ED8F06 +:04129D00BC830713F4 +:04129E00C43A47E126 +:04129F005AF5186381 +:0412A000C0EF450155 +:0412A1000793C62FBA +:0412A20008630FF0DE +:0412A30087B75CF5B8 +:0412A40087931A8092 +:0412A500D6BE0807A2 +:0412A60084136789BD +:0412A7004569A1A74D +:0412A800092347A12E +:0412A900182306F10F +:0412AA00C0EF06810A +:0412AB007593C3AFC5 +:0412AC00E5930F5562 +:0412AD00456900A5EA +:0412AE00C76FC0EF57 +:0412AF000513458559 +:0412B000C0EF035038 +:0412B10045A5C6CFBA +:0412B20002200513FE +:0412B300C62FC0EF93 +:0412B4000513656158 +:0412B500C0EFD5456C +:0412B6004501D5AF6A +:0412B700E48FC0EF11 +:0412B800051345993C +:0412B900C0EF03D0AF +:0412BA000593C48F45 +:0412BB004545044061 +:0412BC00C3EFC0EFCD +:0412BD0045494585D5 +:0412BE00C36FC0EF4B +:0412BF00454D4581D3 +:0412C000C2EFC0EFCA +:0412C100C0EF10E882 +:0412C2004581CD2F66 +:0412C300C0EF455DD6 +:0412C400C0EFC20FA6 +:0412C5004509DF3FB9 +:0412C600A32FC0EFA3 +:0412C7000FF5751397 +:0412C8001E6347CD8D +:0412C900646552F511 +:0412CA002B040413DA +:0412CB000024458333 +:0412CC00C0EF451515 +:0412CD004783A62F7E +:0412CE000593002460 +:0412CF00451103D0F2 +:0412D0009713839D50 +:0412D1004783002728 +:0412D2009BED00C4CC +:0412D30006238FD986 +:0412D400C0EF00F473 +:0412D5000513A42F2A +:0412D600B0EF3E80B7 +:0412D70045F5DA4FB0 +:0412D800C0EF45110D +:0412D9000593A32FA7 +:0412DA0005130300F5 +:0412DB00C0EF06104A +:0412DC000593A26F65 +:0412DD0045250B2078 +:0412DE00A1CFC0EFED +:0412DF000F800593E4 +:0412E000C0EF4529ED +:0412E1000593A12FA1 +:0412E200452D037023 +:0412E300A08FC0EF29 +:0412E400453D4581BE +:0412E500A00FC0EFA7 +:0412E6000513458126 +:0412E700C0EF0C90B8 +:0412E80045819F6F2E +:0412E9000CA005133D +:0412EA009ECFC0EFE4 +:0412EB000513458121 +:0412EC00C0EF0CB093 +:0412ED0045819E2F6A +:0412EE000CC0051318 +:0412EF009D8FC0EF20 +:0412F000051345811C +:0412F100C0EF0CD06E +:0412F20045819CEFA7 +:0412F3000CE00513F3 +:0412F4009C4FC0EF5C +:0412F5000513458117 +:0412F600C0EF0CF049 +:0412F70045819BAFE3 +:0412F8000D000513CD +:0412F9009B0FC0EF98 +:0412FA0000444583E4 +:0412FB000E100513B9 +:0412FC009A4FC0EF56 +:0412FD00C0EF4515E4 +:0412FE000793A26F41 +:0412FF00126308600E +:04130000059306F556 +:041301000513075079 +:04130200C0EF070031 +:041303000593A5AFFA +:0413040005130900C4 +:04130500C0EF020033 +:041306004581A4EF8A +:0413070002100513B8 +:04130800A44FC0EF3F +:041309000513458102 +:04130A00C0EF02200E +:04130B00458DA3AFBA +:04130C000230051393 +:04130D00A30FC0EF7B +:04130E0005134581FD +:04130F00C0EF0280A9 +:041310000593A26F30 +:0413110005130B00B5 +:04131200C0EF071011 +:041313000593A1AFEE +:0413140005130700B6 +:04131500C0EF07001E +:0413160067E9A0EFF4 +:0413170089A347055A +:0413180067E5BAE7E4 +:0413190024C7A783BB +:04131A0026236769B6 +:04131B008A63BAF730 +:04131C00B0EF3E07E9 +:04131D0062E59E3FA8 +:04131E002C02851305 +:04131F00061365E16B +:04132000859304307D +:041321000565EC056D +:0413220093FFB0EF96 +:0413230066E16365B7 +:04132400E88685933F +:041325003503051374 +:041326000360061347 +:0413270092BFB0EFD2 +:04132800071367E55B +:041329008C2302808F +:04132A0047B71CE7BE +:04132B0087930002A2 +:04132C0043B8380783 +:04132D0006137679B4 +:04132E008F717FF646 +:04132F0043B8C3B844 +:04133000167D766947 +:04133100C3B88F713D +:04133200762143B825 +:041333007713167D99 +:041334006713F1F753 +:04133500C3B806072C +:04133600458143B8F2 +:041337007713453DA6 +:0413380067138FF7B1 +:04133900C3B83007FE +:04133A0064E943B867 +:04133B006713646967 +:04133C00C3B800171B +:04133D009B1D43B8F9 +:04133E0000876713AA +:04133F0043B8C3B834 +:0413400066218F7122 +:04134100C3B88F514D +:04134200D32FD0EFE6 +:04134300450367698E +:041344004581BB67BD +:04134500D26FD0EFA4 +:04134600B1C4879314 +:04134700A023656911 +:04134800A2230007D5 +:04134900A4230007D2 +:04134A00A6230007CF +:04134B00A8230007CC +:04134C00061300077D +:04134D00458102C014 +:04134E00B3050513CB +:04134F008C7FB0EFF0 +:04135000859365E13B +:0413510006132F85CB +:04135200051302C0BD +:04135300B0EFB5C47E +:041354000793879FD5 +:041355004611B5C4C4 +:0413560002C780A3A7 +:041357008123460D9B +:04135800B0EF02C729 +:041359004501BB1F70 +:04135A00887FC0EFD9 +:04135B00000217B7BE +:04135C000D07A603D0 +:04135D0007B762E587 +:04135E0087130002EF +:04135F008FF12C02DC +:04136000C03A6665C4 +:041361002D960713AB +:041362006769C0BA3D +:04136300BB6707134A +:041364008713CE3AE3 +:04136500DA3AB1C4FB +:041366000713676999 +:04136700C63ABB8740 +:041368000713676997 +:04136900D03ABA07B5 +:04136A0063656769E7 +:04136B00071366E11D +:04136C00D81ABA478A +:04136D00C2A2CA3618 +:04136E009263D23A7A +:04136F0066E1140718 +:04137000E1C6871338 +:04137100C83A4481B1 +:0413720065DD64656C +:0413730085934645D3 +:041374000513FFC599 +:04137500CC3E3284B4 +:041376005B9010EF89 +:04137700969347C240 +:041378006565002483 +:04137900428C96BE4E +:04137A0005134645CC +:04137B0010EF33C577 +:04137C0046375A3066 +:04137D0026830002C1 +:04137E0045053C06DF +:04137F000046E693AB +:041380003CD6202314 +:04138100855FD0EFC5 +:04138200468147E277 +:041383000002163717 +:041384000D0625032A +:04138500177D674128 +:0413860000E575B356 +:0413870003374732AF +:04138800C30C00038F +:041389000D06260324 +:04138A0046135702AD +:04138B007633FFF6C0 +:04138C00C310006624 +:04138D008763C98524 +:04138E0067E502F518 +:04138F003507879304 +:04139000001496139C +:04139100EAAD97B278 +:04139200902365DD62 +:04139300464500A724 +:0413940000458593F8 +:041395003284051386 +:04139600539010EF71 +:04139700D0EF450549 +:041398004685FFAFD8 +:04139900A3035782D1 +:04139A00579200075F +:04139B00E39D439CEF +:04139C00116367C1B1 +:04139D00E0BD02F3BA +:04139E00061347D219 +:04139F00CC1A036001 +:0413A000E8878593C2 +:0413A10044ED57C2FE +:0413A2003507851373 +:0413A300F3AFB0EF05 +:0413A40046894362D1 +:0413A50047B25712E2 +:0413A60020234609B1 +:0413A700D4360067D1 +:0413A8008063439C7F +:0413A900650904C608 +:0413AA0071050513B1 +:0413AB00B0EFCC3E95 +:0413AC0047E2A50F60 +:0413AD00BF9956A2EC +:0413AE000007D783DA +:0413AF0083E3468905 +:0413B00065DDFAF508 +:0413B1008593464595 +:0413B200051300C55A +:0413B30010EF328481 +:0413B40045054C306F +:0413B500F84FD0EF2E +:0413B600B76946814C +:0413B700468914F956 +:0413B8000485BF5594 +:0413B900D1E346E94D +:0413BA00453DEE9629 +:0413BB008B0FD0EFD5 +:0413BC0000024737AD +:0413BD003C0727833F +:0413BE0020239BED60 +:0413BF0047923CF71E +:0413C000665D64E51D +:0413C10000A7D51399 +:0413C20012C00793BB +:0413C30002F507B375 +:0413C40046854715FE +:0413C50001C6061344 +:0413C600851345C581 +:0413C700646538849D +:0413C80010EFDE3E06 +:0413C90065DD26A018 +:0413CA00859346457C +:0413CB0005131345AE +:0413CC00B0EF39C481 +:0413CD004505E94F9A +:0413CE00FCCFD0EF91 +:0413CF000007A53737 +:0413D00012050513EA +:0413D1009BAFB0EF2F +:0413D2009DAFB0EF2C +:0413D300CC3E47992C +:0413D400C802CA027F +:0413D500C4A6D402D4 +:0413D60017B7C6A2DD +:0413D700A7830002E6 +:0413D80046B20D0705 +:0413D900177D6741D4 +:0413DA00C2988F7DA9 +:0413DB00FFF7C693BF +:0413DC0000030737CC +:0413DD0056828F7530 +:0413DE000187D6139A +:0413DF00C29887B277 +:0413E000869366E9A1 +:0413E100CA19BBC6A4 +:0413E20076131679EF +:0413E300458D0FF62F +:0413E40000C5F663E7 +:0413E5000006C60335 +:0413E60000F6156395 +:0413E7002023463247 +:0413E8008023000658 +:0413E900579200F621 +:0413EA00EFD5439C5C +:0413EB00C3985792BA +:0413EC000413646919 +:0413ED004783B9E495 +:0413EE00866300040E +:0413EF0047820E071C +:0413F0000187C78327 +:0413F1000E078163FF +:0413F200676947825E +:0413F300BB174703DA +:0413F4000127C78383 +:0413F500F7938FD902 +:0413F600E7F10FF715 +:0413F70096AFB0EF0E +:0413F800626357F2E3 +:0413F90047520CF556 +:0413FA00063007931F +:0413FB000AE7ED63AD +:0413FC000004468320 +:0413FD0047054785D4 +:0413FE0000F687630B +:0413FF00871347E227 +:041400003733FFA7D8 +:04140100478200E03E +:04140200C78346A1B5 +:0414030017FD018749 +:041404000FF7F79354 +:0414050006F6E7639D +:04140600869366DD86 +:04140700078A1546F5 +:04140800439497B6BC +:04140900879367E975 +:04140A008682BCC753 +:04140B00665D56F9CB +:04140C0006136565F9 +:04140D0045C50306C8 +:04140E003885051305 +:04140F00150010EFC5 +:04141000656565DDCC +:041411008593464534 +:041412000513D58564 +:0414130010EF39C5D8 +:041414004505343026 +:04141500EB0FD0EF1A +:0414160056F5A001E6 +:0414170056F1BFC902 +:0414180056FDB7F9CD +:041419005792B7E946 +:04141A005782C3989A +:04141B000007A02303 +:04141C006769B781C4 +:04141D00B9C7470301 +:04141E0000E0373380 +:04141F008023070916 +:0414200047D200E7C8 +:041421000785476292 +:041422000FF7F79336 +:041423004799CA3EDD +:0414240000F7076363 +:041425000017079312 +:041426000FF7F79332 +:04142700B0EFCC3E18 +:041428004785884F1D +:0414290008BCC83EF5 +:04142A00D6BE646561 +:04142B003CC4079323 +:04142C00001787130B +:04142D008713DCBA8B +:04142E00DEBA0027FB +:04142F0065DDC13A7C +:0414300000378713E7 +:04143100DABED8BE89 +:041432000791C33A21 +:04143300462DC53A43 +:04143400859308B8DC +:0414350008E814852A +:04143600C93EC73AAA +:041437000C23CB3A7D +:04143800B0EF04010C +:041439004782CE4FC9 +:04143A004725468577 +:04143B000187C783DB +:04143C00C236C8A24A +:04143D0000E78663DB +:04143E00F793078594 +:04143F00C23E0FF7A3 +:04144000470147B267 +:04144100439045E9A6 +:04144200879357C273 +:04144300D683350710 +:041444001A63000720 +:0414450047E904D699 +:0414460062E7E56311 +:04144700179366DDB4 +:041448008693002760 +:0414490097B61786B5 +:04144A008782439CB6 +:04144B00E7194685D2 +:04144C004683676507 +:04144D00B6932687A5 +:04144E0006910016ED +:04144F0000D780231F +:041450004699B78979 +:04145100C683DF650A +:04145200BFCD000703 +:04145300BF05471D6D +:04145400470367697A +:041455003733B9D799 +:04145600072100E08A +:041457004699B70DEE +:041458004685FF7155 +:041459000789BFE15F +:04145A003CB708E3B0 +:04145B00B745070585 +:04145C004722478557 +:04145D00431846A248 +:04145E001007471319 +:04145F005702C298D6 +:04146000431866C106 +:04146100C2918EF9AD +:0414620006B74792F0 +:041463008F7500027F +:041464006765C315E0 +:041465003CC7071366 +:041466000117470320 +:04146700468146056F +:0414680000E66563D2 +:04146900769307056A +:04146A0067650FF7AC +:04146B003CC7071360 +:04146C0000D708A3FA +:04146D00472964693E +:04146E000413C2029F +:04146F008663BCC410 +:04147000002300E76E +:04147100478500F4B7 +:0414720047A2C23E8D +:04147300F713439C8C +:0414740047A2FF3755 +:0414750067E9C398C8 +:04147600BB17C68357 +:04147700BB17879385 +:04147800EA81D63EF1 +:04147900C78367E9D5 +:04147A00078ABB071B +:04147B0047228FD99C +:04147C0047A2C31CA4 +:04147D0017B74398C2 +:04147E00A0230002A5 +:04147F0057B20EE76B +:041480000007C78317 +:041481004501C781D9 +:04148200DB4FE0EF6D +:041483004703478252 +:04148400C783000416 +:0414850084630187F4 +:04148600479200E7A2 +:0414870047C2E799D8 +:041488004782CF8543 +:041489000127C783ED +:04148A0067E9CB85BE +:04148B00BB57C78301 +:04148C00C39DC83EF6 +:04148D00879367E5F5 +:04148E0097BA31C711 +:04148F000007C5038A +:04149000C80247F255 +:041491000007C78306 +:0414920000A78863C4 +:04149300458147F256 +:0414940000A780230A +:04149500FE7FC0EF27 +:04149600478347023F +:041497004683000484 +:0414980067690187F8 +:04149900BCE7049315 +:04149A0002F6916362 +:04149B000004C60380 +:04149C00F863468922 +:04149D0067651EC69B +:04149E003CC707132D +:04149F0004174603E5 +:0414A0004683470236 +:0414A1000E6305A72A +:0414A20064691CD687 +:0414A300BCD407139B +:0414A400BC0406A3DB +:0414A500000480239C +:0414A600DC3A468D59 +:0414A7002CF6F5E347 +:0414A800071367655A +:0414A90046033CC7F3 +:0414AA004585041759 +:0414AB0028B619E363 +:0414AC00FFA785937E +:0414AD000FF5F593AF +:0414AE007463440D12 +:0414AF00006F00B614 +:0414B00085936C10A4 +:0414B100F513FFF739 +:0414B20045A10FF54C +:0414B30002A5E1634A +:0414B400859365E1D6 +:0414B50095AAD1C55E +:0414B6000005C70363 +:0414B700D43A459945 +:0414B8002AB783E3E9 +:0414B90028F5E5E34A +:0414BA008AE34585F7 +:0414BB00470228B705 +:0414BC000C23CAB281 +:0414BD00092300F708 +:0414BE00B0EF000784 +:0414BF004656E4DFCA +:0414C0007593478950 +:0414C10014E30D859E +:0414C200E59328F690 +:0414C30045050015C6 +:0414C400B0EFCAAE0D +:0414C50045D6B93F10 +:0414C600B0EF450935 +:0414C70045D6B8BF8F +:0414C800B0EF450D2F +:0414C900C0EFB83F79 +:0414CA00B0EFDDEFB3 +:0414CB0067E9AADF44 +:0414CC00BB37C783E0 +:0414CD004585C38509 +:0414CE00008595B34D +:0414CF000405E59398 +:0414D0000FF5F5938C +:0414D100CAAE451941 +:0414D200B1DFB0EFE7 +:0414D300451D45D698 +:0414D400B15FB0EF65 +:0414D500C40357E213 +:0414D6004581000448 +:0414D7000007C683C1 +:0414D800E6634789F7 +:0414D90015930087E0 +:0414DA0005E20064C3 +:0414DB00971385E1FD +:0414DC0097930026BC +:0414DD008FD900465D +:0414DE008DDD8FD53C +:0414DF000FF5F5937D +:0414E000B0EF4565BF +:0414E1004541BADFE8 +:0414E200B5DFB0EFD3 +:0414E300478D5722B8 +:0414E4000F85759368 +:0414E50000F7146395 +:0414E6000055E59335 +:0414E700B0EF4541DC +:0414E8004789B91F58 +:0414E9001E87FDE37A +:0414EA00059347918E +:0414EB00F463052081 +:0414EC0005930087DD +:0414ED004539053048 +:0414EE00B77FB0EF25 +:0414EF00051365611B +:0414F000A0EF6A05FA +:0414F1004551D3DFAF +:0414F200B1DFB0EFC7 +:0414F300478D5722A8 +:0414F400036345C188 +:0414F500458100F736 +:0414F600B0EF4561AD +:0414F7004581B55F17 +:0414F8000360051375 +:0414F900B4BFB0EFDD +:0414FA0056A247822D +:0414FB00A6234705D8 +:0414FC0047A20007FC +:0414FD00F793439C82 +:0414FE008BE3BFF7C6 +:0414FF0047221AE680 +:04150000C31C46457D +:04150100439847A222 +:04150200000217B715 +:041503000EE7A0232C +:04150400C7834782D0 +:0415050097130187B0 +:0415060067E1002772 +:04150700D28787936D +:04150800438C97BABF +:04150900851347A659 +:04150A0010EF38871F +:04150B0047B6766009 +:04150C00464565DD0E +:04150D0039C7851342 +:04150E00128585932A +:04150F00754010EF24 +:04151000D0EF4505CE +:041511004792AC2F22 +:0415120067E9CF892D +:04151300BA87C703C9 +:04151400156347A96B +:04151500453D00F759 +:04151600B45FC0EF0F +:04151700CC7FA0EFF6 +:041518006465CA023A +:041519003CC4079334 +:04151A0003E7C70319 +:04151B00C7834782B9 +:04151C000B630577E1 +:04151D0067E902F781 +:04151E00B5C7879333 +:04151F0000A7C50359 +:0415200046014681B9 +:04152100C0EF458151 +:04152200079383EFB9 +:04152300C5033CC4FC +:04152400C0EF03E72A +:04152500079395CFC4 +:0415260047023CC478 +:0415270003E7C7838C +:04152800000726236F +:0415290004F70BA315 +:04152A000793676557 +:04152B00C5833CC771 +:04152C00478903E701 +:04152D0002F58F63D1 +:04152E003CC707931C +:04152F0003F7C603F5 +:04153000C7834782A4 +:041531008663058741 +:0415320067E902C79C +:04153300B5C787931E +:0415340000A7C50344 +:041535004589C19192 +:04153600C68357D23F +:04153700B0EF0127E9 +:0415380067E5FE7FE6 +:041539003CC7879391 +:04153A0003F7C78369 +:04153B000C23470234 +:04153C00470204F767 +:04153D00879367E544 +:04153E00C7833CC75C +:04153F004703041743 +:04154000056305A793 +:04154100470200F766 +:0415420004F70D237A +:04154300C68367E50F +:041544006765278729 +:04154500279747039A +:0415460000D0363368 +:04154700000246B7A1 +:041548003C06A78333 +:0415490018638B8513 +:04154A00A78300F67D +:04154B00838D3C064A +:04154C008C638B8D94 +:04154D0047B702E7B3 +:04154E00879300027D +:04154F0043B4380762 +:04155000070E8B0DEA +:041551008ED19AF9A4 +:0415520043B4C3B427 +:041553008F559A9D79 +:0415540057B2C3B80F +:041555000007C78341 +:0415560047B2CB8944 +:041557000007A023C6 +:04155800EF6FC0EF82 +:04155900E0EF450575 +:04155A004782A56FB0 +:04155B000187C783BA +:04155C003A0787E3E0 +:04155D00000A5437F5 +:04155E00BCFFA0EF3F +:04155F00CB84041322 +:04156000A0EF942A3A +:041561007A63BC5F8E +:0415620017B7008532 +:04156300A783000258 +:0415640007370D0731 +:041565008FF90010EA +:0415660027B7D7EDDF +:0415670043980002A3 +:04156800A303438016 +:0415690043C4000770 +:04156A00833143DCAA +:04156B0053138B0586 +:04156C00C23A00B3CC +:04156D007FF4741380 +:04156E0000137313E0 +:04156F0083D104B26E +:04157000001347130A +:04157100F79380B1BB +:0415720036930FF7A6 +:0415730004630C847D +:0415740036930003A7 +:04157500460219040D +:041576000016C69302 +:041577000126460300 +:041578007C061C636E +:0415790002634612B1 +:04157A00C2027E0625 +:04157B007E06816304 +:04157C004685460258 +:04157D0000D6092368 +:04157E00C23646919A +:04157F0042944682CA +:0415800002D41C6312 +:04158100C683468255 +:041582009763010664 +:04158300468202E6B4 +:04158400861342D4B4 +:04158500E163FCE63C +:04158600869302C482 +:04158700ED630326E7 +:041588004682009601 +:0415890086B346944B +:04158A00D61340D75D +:04158B008EB141F6E6 +:04158C0046218E91D5 +:04158D0000D65C63C5 +:04158E004609459233 +:04158F00004146834E +:0415900000C5F3633C +:04159100F6934689FE +:04159200C2360FF658 +:0415930047C6CABEBF +:0415940046454586FD +:041595003CC78513B7 +:04159600D61ADC3A4B +:041597004E0010EF03 +:041598005762533211 +:04159900E51947D633 +:04159A00C60366E935 +:04159B004685BE665D +:04159C0000D61C63F6 +:04159D004609459224 +:04159E00004146833F +:04159F0000C5F3632D +:0415A000F6934689EF +:0415A100C2360FF649 +:0415A200460556D2D2 +:0415A3000136868304 +:0415A40002D65C63AC +:0415A500000285B704 +:0415A6004515419016 +:0415A7008A3D826D8A +:0415A80002A6046330 +:0415A90016FD41905A +:0415AA00826D06E266 +:0415AB0086E18A3D0E +:0415AC0000C68C6386 +:0415AD004609459214 +:0415AE00004146832F +:0415AF0000C5F3631D +:0415B000F6934689DF +:0415B100C2360FF639 +:0415B200C28046822B +:0415B3000064543349 +:0415B4000284D433A6 +:0415B500C69CC2C44A +:0415B60000E68823A0 +:0415B700006FC6C03B +:0415B80047896F00F0 +:0415B900478DB4792D +:0415BA004791B46938 +:0415BB004795B45943 +:0415BC004799B4494E +:0415BD00479DBCBDCD +:0415BE0047A1BCADD8 +:0415BF0047A5BC9DE3 +:0415C0006769BC8D0E +:0415C100BB1707133A +:0415C2000007468355 +:0415C30000024637A5 +:0415C4000016B793C3 +:0415C50000F7002308 +:0415C6000027971350 +:0415C7003C06278334 +:0415C8008FD99BED2F +:0415C9003CF62023A9 +:0415CA00C70347F21A +:0415CB0067E90007C5 +:0415CC00BAE78BA34C +:0415CD003E069263E1 +:0415CE00D1EFC0EFAA +:0415CF00E0EF4505FF +:0415D00047A987EFB1 +:0415D10067E9B43DD5 +:0415D200BB17C783F9 +:0415D3000613FBFD03 +:0415D40045813C0011 +:0415D5000002453794 +:0415D600EABFA0EFD9 +:0415D700458547F20D +:0415D8000002443792 +:0415D9000007C5033F +:0415DA00C0EF64E911 +:0415DB00665DAD1F7D +:0415DC0004060613E8 +:0415DD00453745C188 +:0415DE0000EF000218 +:0415DF0047962130DA +:0415E0000613665D2B +:0415E1008693DE0609 +:0415E20045C1B5C783 +:0415E30001040513E7 +:0415E4001FD000EF25 +:0415E5000613665D26 +:0415E60045C10506F0 +:0415E70002040513E2 +:0415E8001ED000EF22 +:0415E900B5C487936B +:0415EA000107D6839C +:0415EB00064007931C +:0415EC00F733665D0E +:0415ED00061302F6E9 +:0415EE0045C10606E7 +:0415EF0003040513D9 +:0415F00002F6D6B376 +:0415F1001C9000EF5B +:0415F2000613665D19 +:0415F30045C106C622 +:0415F40004040513D3 +:0415F5001B9000EF58 +:0415F600B5C487935E +:0415F700B5C486935E +:0415F80001D7C7034D +:0415F90001C6C683DE +:0415FA00861364DD13 +:0415FB0045C107C41B +:0415FC0005040513CA +:0415FD00199000EF52 +:0415FE000613665D0D +:0415FF0045C1088654 +:0416000006040513C4 +:04160100189000EF4E +:04160200871367E9FA +:041603008693B5C74E +:041604005703B5C70C +:04160500D68301A7E0 +:0416060086130186C0 +:0416070045C107C40E +:0416080007040513BB +:04160900169000EF48 +:04160A000613665D00 +:04160B0045C1098646 +:04160C0008040513B6 +:04160D00159000EF45 +:04160E00871367E9EE +:04160F008693B5C742 +:041610005703B5C700 +:04161100D68300E795 +:04161200861300C675 +:0416130045C107C402 +:0416140009040513AD +:04161500139000EF3F +:041616000613665DF4 +:0416170045C10A4679 +:041618000A040513A8 +:04161900129000EF3C +:04161A00871367E9E2 +:04161B008793B5C735 +:04161C00D683B5C7F5 +:04161D005703012747 +:04161E0086130167C7 +:04161F0045C107C4F6 +:041620000B0405139F +:04162100109000EF36 +:041622000613665DE8 +:0416230045C10B06AC +:041624000E04051398 +:041625000F9000EF33 +:04162600676547F2BB +:041627000F04051394 +:041628000007C6836E +:041629003BC74783F1 +:04162A003BC70713A0 +:04162B00675DE7818F +:04162C00DD4707137C +:04162D000613665DDD +:04162E0045C1DDC60F +:04162F000D1000EFAB +:041630000002443739 +:041631000613665DD9 +:0416320045C10BC6DD +:041633001004051387 +:041634000BD000EFE8 +:041635004715665D92 +:0416360006134685CC +:0416370045C10C8617 +:041638001104051381 +:041639000A9000EF24 +:04163A0038040793D6 +:04163B00671343B836 +:04163C00C3B8002708 +:04163D003C04262320 +:04163E001FF007137F +:04163F00C7B8C3F86D +:0416400047A2B5897F +:04164100439C47225D +:041642000107C79342 +:04164300BD15C31CF2 +:04164400879367E53C +:04164500C7833CC754 +:0416460046850117BD +:04164700E56347010F +:04164800078500F61C +:041649000FF7F7138D +:04164A00879364E539 +:04164B0088A33CC470 +:04164C0067E900E763 +:04164D00BB17C7837D +:04164E006465E7B92F +:04164F00D744041365 +:041650004641400CC3 +:041651000002453717 +:04165200248010EFF1 +:041653003CC4879379 +:041654000117C703B0 +:04165500070A481C1C +:04165600438C97BA70 +:04165700443746418D +:041658000513000274 +:0416590010EF020488 +:04165A00278322A020 +:04165B00E7933C04D1 +:04165C002023002720 +:04165D0026233CF410 +:04165E00478D3C0474 +:04165F003CF4222312 +:041660003C042423FF +:0416610067E9BB7DFD +:04166200BB27C78358 +:0416630000379713A2 +:04166400879367E51C +:0416650097BA0F879A +:04166600071367619E +:04166700439C7087A9 +:04166800DAE791E349 +:04166900AB2FC0EFF4 +:04166A0067E5BB690C +:04166B003CC787935E +:04166C000127C78308 +:04166D004701468566 +:04166E0000F6E5633A +:04166F00F7130785E1 +:0416700064E50FF727 +:041671003CC487935B +:0416720000E78923E1 +:04167300C78367E9D9 +:04167400FBD5BB17D0 +:041675000413646591 +:04167600506CD74499 +:04167700453746416C +:0416780010EF00026D +:0416790087931AE059 +:04167A00C7033CC4A2 +:04167B00587C01276F +:04167C0067E5B79DCA +:04167D003CC787934C +:04167E00C78346D9FF +:04167F001463016788 +:04168000C78104D743 +:04168100F79317FDC7 +:0416820067650FF792 +:041683003CC7071346 +:0416840000F70B233D +:04168500C78367E9C7 +:04168600F7B5BB17E2 +:04168700041364657F +:04168800484CD744AF +:04168900453746415A +:04168A0010EF00025B +:04168B006765166019 +:04168C003CC707133D +:04168D004503505C65 +:04168E0097820167D7 +:04168F00464165E586 +:0416900033C5859346 +:0416910046B9BF296E +:04169200F363873E39 +:04169300473900F6DD +:04169400070567E5FA +:041695003CC7879334 +:0416960000E78B23BB +:0416970065DDBF65E9 +:0416980046456565F9 +:041699000E05859322 +:04169A00328505137D +:04169B00124010EFFA +:04169C0065DD64653F +:04169D0085934645A6 +:04169E0005130F051C +:04169F0010EF33C451 +:0416A0004737112097 +:0416A1002783000299 +:0416A20045053C07B7 +:0416A300E79364E580 +:0416A40020230047B8 +:0416A500C0EF3CF75F +:0416A6008493BC3F2E +:0416A70017B741042C +:0416A800A703000292 +:0416A90067C10D0701 +:0416AA008F7D17FD1C +:0416AB00879367E5D5 +:0416AC004681350737 +:0416AD00D583466D2E +:0416AE0006630007C8 +:0416AF00068500B7F5 +:0416B0009AE3078929 +:0416B1004782FEC6A8 +:0416B20002C0071358 +:0416B3000117878311 +:0416B40002E787B30F +:0416B50097A6472984 +:0416B6000247C7839D +:0416B70002F764636F +:0416B8004263471131 +:0416B900093806D70F +:0416BA004703973E0D +:0416BB005733FC475E +:0416BC008B0540D783 +:0416BD00078AC715BC +:0416BE0097BA093896 +:0416BF00FD47A783B9 +:0416C00000D78023AC +:0416C10088A367E9AA +:0416C2004737BA07E5 +:0416C3002783000277 +:0416C4009BED3C0757 +:0416C5003CF72023AB +:0416C600C0EF45012B +:0416C700B115BEBFDC +:0416C8000685665DD0 +:0416C9000FC606132F +:0416CA00051345C5FA +:0416CB0000EF33C435 +:0416CC00450565E08B +:0416CD00B25FC0EF59 +:0416CE000007A53735 +:0416CF0012050513E8 +:0416D000DBEFA0EFBD +:0416D10047B1B7C1A5 +:0416D200FAF68EE3B3 +:0416D300051365098D +:0416D400A0EF71050D +:0416D500B7A1DACF10 +:0416D600C78367E976 +:0416D70082E3BB47A8 +:0416D8004782BE0780 +:0416D90002C0061332 +:0416DA00868364653A +:0416DB000793011759 +:0416DC0004134104AE +:0416DD00863341040B +:0416DE0097B202C6F7 +:0416DF00C783466512 +:0416E0001B6302077F +:0416E100467D08C773 +:0416E20017FDC781A8 +:0416E3000FF7F613F4 +:0416E40002C0071326 +:0416E50002E687335F +:0416E60002C00793A4 +:0416E70002F687B3CD +:0416E8000023972222 +:0416E90097A202C7FB +:0416EA000207C5032B +:0416EB00C78367E961 +:0416EC009563BE77CD +:0416ED0067E900D7D2 +:0416EE00BCA79E23D4 +:0416EF00BB4FB0EF4E +:0416F000C78367E95C +:0416F100EFB9BB177B +:0416F200A58367E580 +:0416F300464196478F +:0416F4000002453774 +:0416F5007BD000EFB7 +:0416F600071347820D +:0416F700668D02C03A +:0416F80001178783CC +:0416F900BF268693EF +:0416FA0087B3665DEF +:0416FB00061302E7E9 +:0416FC0045C5DB46BF +:0416FD004783943E4D +:0416FE006465020419 +:0416FF0033C40513D8 +:0417000002D787B3D2 +:041701003E8006938D +:0417020002D7C6B391 +:04170300580000EF9B +:0417040005934641C2 +:04170500B3A133C495 +:0417060046014779D8 +:04170700F6F76AE3A4 +:04170800B7AD0785ED +:04170900C78367E942 +:04170A009713BB274F +:04170B0067E5003757 +:04170C000F87879329 +:04170D00676197BABF +:04170E006C870713CA +:04170F004485B38DCD +:0417100067E5430145 +:04171100851365DDFA +:04171200464532878F +:0417130010C58593E5 +:0417140000EFD61AF2 +:04171500533273F0E8 +:04171600479546A904 +:0417170002D306B340 +:04171800D3638736DA +:04171900471500D799 +:04171A00665D64653F +:04171B000613072585 +:04171C0045C511C6E8 +:04171D0033C40513B9 +:04171E0000EFD61AE8 +:04171F0047375120D7 +:041720002783000219 +:0417210045053C0737 +:041722000047E79302 +:041723003CF720234C +:041724009C9FC0EFD7 +:041725000002173770 +:041726000D07228306 +:0417270087A66741E9 +:041728005332177DA4 +:0417290000E2F4B333 +:04172A00CC91EF99D6 +:04172B00871367E5D4 +:04172C0046ED35074A +:04172D005603478197 +:04172E008D630007C0 +:04172F00078500C466 +:041730009AE3070928 +:041731006509FED771 +:041732007105051325 +:04173300A0EFD61A33 +:04173400B7C9C30F5F +:041735008863472559 +:04173600471100E770 +:041737000003136335 +:04173800DA63472504 +:04173900472902E753 +:04173A00E7B3078585 +:04173B00033302E78B +:04173C00676902E3F4 +:04173D000BA3979AC9 +:04173E00C0EFBAF747 +:04173F00C901FC2FB1 +:04174000869366DD49 +:04174100665DFF469C +:04174200DE060613A6 +:0417430066DDBD3171 +:04174400D8C68693EA +:041745004769BFCD64 +:0417460000E79563C0 +:041747000013431335 +:041748004731B70D61 +:04174900FAE791E347 +:04174A0067E9BBF19F +:04174B00BB17C703FE +:04174C00006347925D +:04174D00B431C407E8 +:04174E00F06F47A948 +:04174F004695C44FA8 +:0417500044F6F26306 +:04175100186346894A +:04175200869300D6A4 +:04175300F693FFA763 +:04175400F9630FF630 +:04175500576242D5C0 +:041756004405468977 +:0417570000D7002394 +:04175800F06F4609DF +:04175900440DD60F56 +:04175A00F06F4605E1 +:04175B00459DD58F44 +:04175C0000B78D63E2 +:04175D008023458917 +:04175E00F06F00B474 +:04175F008023D74FBD +:04176000F06F00F432 +:04176100458DD6CF0D +:041762004595B7FDF5 +:041763000616B7EDC2 +:04176400F5938DD19B +:04176500E5930FF504 +:04176600F06F0045DB +:041767004539D74FDA +:0417680005B0059330 +:0417690098AFB0EF96 +:04176A003E800513A5 +:04176B00E16FF06FCB +:04176C004007E793B8 +:04176D00E4AFF06F86 +:04176E00C219461244 +:04176F002C0692634F +:041770000923460201 +:041771004611000617 +:0417720099E3C23203 +:041773004782820621 +:041774008593465DB6 +:0417750067E502A77B +:041776003CC7879352 +:0417770001178513BE +:0417780055D000EF59 +:041779004712C90941 +:04177A000041478360 +:04177B004785E311AA +:04177C000FF7F793D9 +:04177D0067E5C23E1C +:04177E003CC787934A +:04177F000287C40316 +:04178000C783478252 +:041781008D63041759 +:041782004541008756 +:041783008D8FB0EFA7 +:04178400040E891DA9 +:0417850075938C4983 +:0417860045410FF4D6 +:04178700912FB0EFFF +:04178800879367E5F7 +:04178900C5833CC711 +:04178A0047820297F9 +:04178B000427C783E5 +:04178C0000B78663B9 +:04178D0003D005136D +:04178E008F6FB0EFBA +:04178F00879367E5F0 +:04179000C5833CC70A +:04179100478202A7E2 +:041792000437C783CE +:0417930000B78563B3 +:04179400B0EF454528 +:0417950067E58DCFA8 +:041796003CC78713B2 +:041797003CC7879331 +:0417980002C7C403BD +:0417990045834782BB +:04179A00C78302B748 +:04179B009763044705 +:04179C00478200B7C9 +:04179D000457C783A3 +:04179E0000878963D4 +:04179F00B0EF454919 +:0417A00085A28B0F84 +:0417A100B0EF454D13 +:0417A20067E58A8FDE +:0417A3003CC7879325 +:0417A40002D7C503A0 +:0417A500C78347822D +:0417A60084630467ED +:0417A700478502A7C9 +:0417A80000A7F8633B +:0417A900C50367E924 +:0417AA001571BCF702 +:0417AB0000153513DD +:0417AC00053347E1D9 +:0417AD0067E102F5F9 +:0417AE00D547879301 +:0417AF00B0EF953EC4 +:0417B00067E5972F23 +:0417B1003CC7879317 +:0417B20002E7C50382 +:0417B300C78347821F +:0417B40084630477CF +:0417B500B0EF00A7EA +:0417B60067E5AE0F26 +:0417B7003CC7879311 +:0417B800C50364659C +:0417B900079302F799 +:0417BA00C7832C04B1 +:0417BB000413048788 +:0417BC0084632C0412 +:0417BD00B0EF00A7E2 +:0417BE0067E5A2EF4A +:0417BF003CC7879309 +:0417C0000307C483D4 +:0417C10004944783C2 +:0417C20000978E639B +:0417C300A0EF4569E5 +:0417C4007513FD7F1D +:0417C5000492FCF599 +:0417C600F5938CC942 +:0417C70045690FF46D +:0417C80080EFB0EF0F +:0417C90007936765B6 +:0417CA0007133CC7FE +:0417CB0045833CC74F +:0417CC00C7830327A5 +:0417CD0047030317B4 +:0417CE00166304A4F6 +:0417CF00470300F7D5 +:0417D0000A6304B4F0 +:0417D100058E00B7CA +:0417D200F5938DDD21 +:0417D30005130FF5F6 +:0417D400A0EF028000 +:0417D50067E5FDDFE8 +:0417D6003CC78793F2 +:0417D70003378493BD +:0417D8000593461D12 +:0417D900852604C499 +:0417DA003D5000EF8F +:0417DB008526C50199 +:0417DC00868FB0EF55 +:0417DD00879366E5A3 +:0417DE00C7033CC63B +:0417DF00478303A792 +:0417E00084930534B5 +:0417E1001A633CC685 +:0417E200879300F7F2 +:0417E300C7033CC636 +:0417E400478303B77D +:0417E500066305444E +:0417E600C50300F740 +:0417E700B0EF03E478 +:0417E80067E9B12FCD +:0417E900BB378793F0 +:0417EA000007C7032A +:0417EB001007006380 +:0417EC0003C4C583EA +:0417ED000554470355 +:0417EE000CB71763BA +:0417EF0003D4C70355 +:0417F00005644783C2 +:0417F1000EF7046388 +:0417F200E0FFA0EF85 +:0417F30003D4C70351 +:0417F400849364DD99 +:0417F50007B7C4C4AA +:0417F60087930706C8 +:0417F7004641100750 +:0417F8000984859348 +:0417F900DC3A08E8E6 +:0417FA00A0EFCCBED2 +:0417FB004641DDCFB7 +:0417FC000A84859343 +:0417FD00A0EF10E861 +:0417FE005762DD0F42 +:0417FF00D63E10FCC6 +:0418000008FCE319E4 +:041801004585D63E05 +:04180200A0EF45010D +:041803004481E5BF78 +:04180400873308BC62 +:041805004583009780 +:041806004509000789 +:04180700E49FA0EFCB +:04180800971357B229 +:041809004511002461 +:04180A004318973EAA +:04180B0001075593E9 +:04180C000FF5F5934C +:04180D00A0EFDC3A32 +:04180E005762E2FF3C +:04180F005593451593 +:04181000F5930087C5 +:04181100A0EF0FF540 +:041812005762E1FF39 +:04181300759345196B +:04181400A0EF0FF73B +:041815004585E13FE5 +:04181600A0EF4505F5 +:041817004505E0BFE4 +:04181800DBDFA0EF83 +:04181900FD658915CB +:04181A0047110485E9 +:04181B00FAE492E376 +:04181C00A0EF458173 +:04181D004503DF3F61 +:04181E00B0EF0574AE +:04181F00A03DA36FD6 +:04182000F06FC202A1 +:0418210015D1D7AF57 +:04182200F5930586AF +:0418230045050FF573 +:04182400A0EFD62E2D +:0418250055B2DD3F9C +:04182600A0EF4509E1 +:0418270067E9DCBFD2 +:04182800BB378793B0 +:041829000007C7836A +:04182A00F0079AE346 +:04182B00859367E555 +:04182C0006133CC79C +:04182D00051304306B +:04182E00A0EF019492 +:04182F004712D0CFBD +:04183000832367E9BE +:041831004789BE071E +:041832000AF7056349 +:041833000B6347916B +:04183400478500F7ED +:0418350004F715633C +:0418360001244783BF +:04183700A0EFC3A9B2 +:04183800A835835FED +:0418390001244783BC +:04183A006769C3A96E +:04183B00BC8707134C +:04183C0045C5431C3F +:04183D00E79345598F +:04183E00C31C0027A0 +:04183F000002173755 +:041840000EF720235C +:04184100E2BFA0EF73 +:0418420002B00513D8 +:04184300A0EF45814C +:04184400C0EFE21FF0 +:041845004503E5EF83 +:04184600B0EF057486 +:041847000513996F7D +:0418480090EF12C04B +:04184900E06FFDDF70 +:04184A002623E35F0F +:04184B00B0EF0004F6 +:04184C004783FD6F62 +:04184D006565018448 +:04184E009713464561 +:04184F0067E1002726 +:04185000D287879321 +:04185100438C97BA73 +:0418520038850513BD +:04185300245000EF2E +:04185400656565DD84 +:0418550085934645EC +:0418560005131285DF +:0418570000EF39C5A0 +:0418580045052330EF +:04185900DA0FC0EFF3 +:04185A00FBBF90EF51 +:04185B00CA02CC02EF +:04185C004783B77D8A +:04185D00D7C50124C6 +:04185E00DF8FC0EF69 +:04185F004695B74DA6 +:04186000BCF6EBE304 +:0418610046014409EF +:0418620093AFF06FE1 +:04186300F7C10113B5 +:04186400C13ED6A605 +:0418650067E5DA86D3 +:04186600DCB6D8A272 +:04186700A483DEBABE +:04186800DC632AC74C +:0418690007930005DC +:04186A00C09C08B066 +:04186B0050D6557D81 +:04186C0054B65446D4 +:04186D00084101131A +:04186E0007938082DA +:04186F00182320809A +:04187000C22A00F197 +:04187100842ECA2ACD +:04187200C199478150 +:04187300FFF5879363 +:04187400CC3EC63E62 +:0418750057FD18B44F +:041876008526004C77 +:0418770000F1192340 +:041878002C59C036F1 +:04187900556357FD5F +:04187A00079300F5DB +:04187B00C09C08B055 +:04187C004792DC5D56 +:04187D0000078023BD +:04187E00C5C5BF5DC0 +:04187F00FFC5A78377 +:04188000C42211412C +:041881008413C60600 +:04188200D363FFC568 +:04188300943E000788 +:0418840000EFC02A87 +:0418850067690BB0D4 +:04188600BF072783EE +:04188700863A450256 +:041888002223EB919B +:04188900282300040C +:04188A004422BE87AF +:04188B00014140B225 +:04188C0009F0006FF0 +:04188D0000F47F6381 +:04188E0007334014C8 +:04188F00966300D488 +:04189000439800E792 +:04189100973643DC67 +:04189200C05CC0185E +:04189300BE862823C2 +:04189400873EBFE9E3 +:04189500C39943DCD4 +:04189600FEF47DE3FC +:0418970006334314BD +:041898001F6300D7F3 +:041899004010008675 +:04189A00C31496B22B +:04189B0000D7063339 +:04189C00FAC79DE307 +:04189D0043DC439055 +:04189E00C31496B227 +:04189F00B775C35CFA +:0418A00000C47563A8 +:0418A100C11C47B16E +:0418A2004010B74DEE +:0418A30000C406B3C4 +:0418A40000D7966370 +:0418A50043DC439449 +:0418A600C01496B222 +:0418A700C340C05C1E +:0418A8008082B7691A +:0418A900C226114101 +:0418AA0000358493EE +:0418AB00C60698F1E4 +:0418AC0004A1C422AD +:0418AD0087AA47318E +:0418AE0004E4FC63EF +:0418AF00EB6344B1F2 +:0418B000853E04B4B9 +:0418B10000EFC03E46 +:0418B20067690070F2 +:0418B300BF072683C2 +:0418B400061347824E +:0418B5008436BF07AF +:0418B6006469E4314C +:0418B700BF44041313 +:0418B800EB014018E8 +:0418B9004581853EA2 +:0418BA0000EFC03E3D +:0418BB00478279C027 +:0418BC00853EC0089D +:0418BD00C03E85A6FE +:0418BE0078E000EFDF +:0418BF004782577D88 +:0418C00006E51663C0 +:0418C100C398473150 +:0418C20000EF853E70 +:0418C300A0297C409C +:0418C400FA04D7E368 +:0418C500C39847314C +:0418C60040B24501E6 +:0418C70044924422E1 +:0418C80080820141D8 +:0418C9008F0540182F +:0418CA0002074F635F +:0418CB00F66345ADCE +:0418CC00C01800E55B +:0418CD00C004943A85 +:0418CE004058A029B5 +:0418CF000286936397 +:0418D000853EC21877 +:0418D10078A000EF0C +:0418D20000B4051346 +:0418D3000044079333 +:0418D40007339961DC +:0418D50002E340F5F5 +:0418D600943AFCF54F +:0418D700C01C8F8919 +:0418D800C2D8BF6D46 +:0418D90086A2BFF92B +:0418DA00B7BD404016 +:0418DB0000350413BD +:0418DC0002E398711A +:0418DD0005B3FC85CE +:0418DE00853E40A45F +:0418DF002721C03EBF +:0418E0004782577D67 +:0418E100FAE519E328 +:0418E2001111BFB56C +:0418E3004590C23238 +:0418E400CC06C82640 +:0418E50084AECA22E1 +:0418E60008C6E463E9 +:0418E700D50382AAF9 +:0418E800771300C5AD +:0418E900CB3D4805A6 +:0418EA00470D48D08E +:0418EB0007334080FF +:0418EC00498C02C75A +:0418ED0007B34609EE +:0418EE00C03E40B404 +:0418EF0002C74433B5 +:0418F0000016861345 +:0418F1007363963E49 +:0418F200843200C478 +:0418F3004005751324 +:0418F400C535C636FA +:0418F50085A285162D +:0418F600F0EFC41635 +:0418F70042A2ECBF5E +:0418F800473146B27C +:0418F9004602CD25B1 +:0418FA00C636488C1A +:0418FB00A0EFC42A6C +:0418FC00D6039D8FE3 +:0418FD00432200C4BE +:0418FE00761346B265 +:0418FF006613B7F6BF +:04190000962308061C +:04190100478200C455 +:041902000064A823B2 +:04190300933EC8C087 +:0419040040F4073371 +:041905000064A023B7 +:04190600C4988636C5 +:0419070000C6F363C0 +:041908004592863648 +:04190900C032408820 +:04190A009B4FA0EF60 +:04190B0046024498B4 +:04190C008F114501F1 +:04190D004098C498A2 +:04190E00C090963AB5 +:04190F008516A80D84 +:04191000C416862251 +:0419110042A2257158 +:04191200832A46B22C +:04191300488CFD4DB2 +:04191400C01685165E +:04191500DA7FF0EF96 +:041916004731428291 +:0419170000E2A02327 +:0419180000C4D7032D +:041919006713557D7E +:04191A009623040705 +:04191B0040E200E4C2 +:04191C0044C244522B +:04191D008082017152 +:04191E0000C5D70326 +:04191F00F781011338 +:04192000DEA6C1225C +:0419210001F1079336 +:04192200C22AC3060C +:041923007713C02E48 +:0419240084B208077A +:04192500FF87F41331 +:041926004998CB1DF4 +:041927000593EB0D2C +:04192800C6360400BB +:04192900E01FF0EFDC +:04192A0046B24782F8 +:04192B00CB88C3881A +:04192C004712E9195C +:04192D00557D47B1EC +:04192E00409AC31CFC +:04192F0054F6440A1C +:041930000881011316 +:0419310047828082E7 +:041932000400071393 +:041933000713CBD8F3 +:041934000CA30200FE +:04193500071300E4B0 +:041936002A2303005D +:041937000D23000478 +:04193800CA3600E4C7 +:0419390006138726E4 +:04193A00468302508E +:04193B00C299000746 +:04193C000AC69663DE +:04193D00409706B316 +:04193E0002970163A8 +:04193F004512458286 +:04194000C83A8626F5 +:04194100F0EFC636C7 +:04194200567DE85F87 +:041943001AC50A6354 +:0419440046B248500F +:041945009636474249 +:041946004683C850BC +:0419470081630007B1 +:0419480004931A06E4 +:04194900577D0017AF +:04194A000004202352 +:04194B00000426234B +:04194C002423C05838 +:04194D0001A30004EE +:04194E002C2304043E +:04194F00C583040444 +:0419500067E1000447 +:04195100851346159F +:0419520023A563075F +:0419530087134014A2 +:04195400E53900145D +:041955000106F6137E +:041956000613C609A5 +:0419570001A30200E6 +:04195800F61304C4BA +:04195900C609008635 +:04195A0002B00613BE +:04195B0004C401A31C +:04195C000004C5833B +:04195D0002A00613CB +:04195E0002C58F63CC +:04195F00872644543F +:041960004525458153 +:04196100460342A94E +:041962000313000764 +:041963000613001750 +:041964007763FD06A2 +:04196500C98506C565 +:04196600A035C45490 +:04196700B7B1070508 +:04196800861367E19A +:041969008D11630772 +:04196A0015334605E6 +:04196B008EC900A67B +:04196C0084BAC01465 +:04196D004652B769BE +:04196E000046059397 +:04196F00CA2E42102A +:0419700002064963BF +:041971004603C45015 +:0419720006930007D1 +:04197300116302E01A +:04197400460306D64A +:0419750006930017BE +:041976001B6302A04D +:0419770046D202D67C +:0419780086130709C2 +:04197900429400464E +:04197A00C163CA3249 +:04197B00C05402064C +:04197C000633A0810D +:04197D00E69340C0ED +:04197E00C45000262B +:04197F00B7E1C014F8 +:04198000025686B3D2 +:041981004585871AF7 +:04198200BFB596B2A5 +:04198300B7C556FD91 +:04198400222307050E +:041985004581000494 +:04198600452546812C +:04198700460342A928 +:04198800031300073E +:04198900061300172A +:04198A007363FD0680 +:04198B00F1E106C5BB +:04198C000007458388 +:04198D00460D64E1BE +:04198E0063848513D6 +:04198F002995C63A96 +:04199000CD0147320C +:041991006384849354 +:0419920006938D0526 +:0419930096B3040003 +:04199400400800A661 +:041995008D55070560 +:041996004583C008BD +:04199700656100077F +:0419980005134619D4 +:04199900049363C58B +:04199A000C23001703 +:04199B00219100B4E2 +:04199C000713C13537 +:04199D00E70D000052 +:04199E004752401458 +:04199F001006F693A5 +:0419A0000711CE91CC +:0419A1004858CA3A9E +:0419A200973E47A283 +:0419A300BD99C858CA +:0419A400025686B3AE +:0419A5004585871AD3 +:0419A600B75196B2ED +:0419A700B7DD072180 +:0419A800451246029C +:0419A900085866D99B +:0419AA0038A6869342 +:0419AB00009785A27A +:0419AC0000E7000050 +:0419AD00C42A000048 +:0419AE00577D47A278 +:0419AF00FCE795E3D9 +:0419B000557D478298 +:0419B10000C7D70391 +:0419B200040777139C +:0419B300DE0717E351 +:0419B400B3E5484807 +:0419B500451246028F +:0419B600085866D98E +:0419B70038A6869335 +:0419B800221585A2CD +:0419B9001101BFC990 +:0419BA00CA2687AE04 +:0419BB0084BA85B6AF +:0419BC004B98479469 +:0419BD00CE06CC2264 +:0419BE008332842AC2 +:0419BF0000D7536397 +:0419C0002023873623 +:0419C100C68300E3F6 +:0419C200C68104379F +:0419C30020230705D1 +:0419C400439800E361 +:0419C500020777138B +:0419C6002703C7111B +:0419C7000709000309 +:0419C80000E32023F5 +:0419C90086134398A6 +:0419CA008B190197DD +:0419CB004398C33545 +:0419CC000437C68393 +:0419CD000207771383 +:0419CE0000D036B35C +:0419CF008613E75143 +:0419D0008522043731 +:0419D100C23EC41A34 +:0419D2009482C02E0D +:0419D3000763577DD2 +:0419D400479206E54B +:0419D50045824322E2 +:0419D600260343940D +:0419D70043110003B5 +:0419D80047C88A99D9 +:0419D90097634701C8 +:0419DA000733006669 +:0419DB00536340C54D +:0419DC0047010007B8 +:0419DD004B90479450 +:0419DE0000D6546378 +:0419DF0097368E9118 +:0419E0008613430126 +:0419E100106301A7E7 +:0419E200450106674E +:0419E3000705A80D3F +:0419E400250347D4BC +:0419E5008E890003E4 +:0419E600F8D75BE3F0 +:0419E700852246858A +:0419E800C63EC81A15 +:0419E900C232C43A08 +:0419EA009482C02EF5 +:0419EB00458256FDDE +:0419EC004722461236 +:0419ED00434247B278 +:0419EE00FCD51BE326 +:0419EF0040F2557DF0 +:0419F00044D2446237 +:0419F100808261058A +:0419F20000D7863361 +:0419F30003000513D5 +:0419F40004A601A3A1 +:0419F5000457C603CA +:0419F600001687133D +:0419F7000689973E88 +:0419F80004C701A37C +:0419F9004685BFA9B7 +:0419FA00C61A852262 +:0419FB00C232C43AF6 +:0419FC009482C02EE3 +:0419FD0003E357FDAC +:0419FE004332FCF57F +:0419FF004612472223 +:041A00000305458213 +:041A01001101B749CF +:041A0200CA26CC2202 +:041A0300C02ACE0621 +:041A04008513842E94 +:041A0500C58304355C +:041A060084B601851C +:041A07000693C2324E +:041A0800EC63078004 +:041A0900069300B68A +:041A0A00ED63062062 +:041A0B008C6300B632 +:041A0C0006931C051C +:041A0D008D63058060 +:041A0E00031312D5D7 +:041A0F000123042487 +:041A1000A80504B46D +:041A1100F9D58693EA +:041A12000FF6F69342 +:041A130066E34655EB +:041A14006661FED633 +:041A15000613068A24 +:041A160096B266C658 +:041A170086824294ED +:041A1800031343145D +:041A19008613042408 +:041A1A00C3100046AF +:041A1B0001234298C9 +:041A1C00470504E492 +:041A1D004014AA7552 +:041A1E000007230397 +:041A1F000806F613AC +:041A200000430593E7 +:041A21002683C20D49 +:041A2200C30C0003EE +:041A2300D8636661BD +:041A2400071300069E +:041A250006B302D032 +:041A260001A340D008 +:041A2700061304E4BA +:041A280047296446A0 +:041A2900F613A0B957 +:041A2A002683040605 +:041A2B00C30C0003E5 +:041A2C0006C2DE719F +:041A2D00BFD986C1D6 +:041A2E00431440100D +:041A2F0008067293A0 +:041A300000468313D6 +:041A310000028663C6 +:041A32000067202306 +:041A3300A801429430 +:041A3400040676131B +:041A35000067202303 +:041A3600D683DA7504 +:041A370066610006DE +:041A380006F007139A +:041A390064460613E6 +:041A3A000EE58763CB +:041A3B0001A3472993 +:041A3C00404C040412 +:041A3D00C863C40CAA +:041A3E002303000579 +:041A3F007313000419 +:041A40002023FFB3AD +:041A4100E2990064C2 +:041A4200CD99832A8D +:041A4300F5B3832A4A +:041A4400137D02E626 +:041A4500C58395B20E +:041A46000023000574 +:041A470085B600B3AD +:041A480002E6D6B329 +:041A4900FEE5F5E3DE +:041A4A001E6346A130 +:041A4B00401800D768 +:041A4C00CB118B052A +:041A4D0048184054A1 +:041A4E0000D7476313 +:041A4F000300071376 +:041A5000FEE30FA3FF +:041A51000533137DC9 +:041A5200C80840651B +:041A53004502469270 +:041A540008108726C9 +:041A5500C41A85A288 +:041A5600D8FFF0EFD6 +:041A57004322577D52 +:041A58000CE51C631A +:041A590040F2557D85 +:041A5A0044D24462CC +:041A5B00808261051F +:041A5C0002A366611A +:041A5D00061304B4B4 +:041A5E00400C64468E +:041A5F000007230356 +:041A60000805F293F0 +:041A610000032683D5 +:041A62008D6303117C +:041A63002023020238 +:041A6400F71300670D +:041A6500C7010015A0 +:041A66000205E593FD +:041A67004741C00C27 +:041A6800400CF6B97F +:041A6900FDF5F593FF +:041A6A00B791C00C64 +:041A6B00E6934014AA +:041A6C00C01402069A +:041A6D000780069355 +:041A6E0002A3666108 +:041A6F00061304D482 +:041A7000BF65658663 +:041A71000405F293E3 +:041A720000672023C6 +:041A7300FC0283E30B +:041A740082C106C263 +:041A75004721BF7DC9 +:041A76004010BF1944 +:041A7700484C431480 +:041A78000806729357 +:041A7900004683138D +:041A7A00000287637C +:041A7B0000672023BD +:041A7C00C30C4298BD +:041A7D002023A80971 +:041A7E007613006774 +:041A7F00429804067F +:041A80001023DA6DE8 +:041A8100282300B75F +:041A8200832A0004AF +:041A83004314B781D0 +:041A840086134581FF +:041A8500C310004644 +:041A86000006A303B0 +:041A8700851A40502C +:041A88002841C41A13 +:041A8900C50143222E +:041A8A00406505337B +:041A8B004058C048B7 +:041A8C0001A3C818D2 +:041A8D00BF19040475 +:041A8E004592481421 +:041A8F00861A45026C +:041A9000577D948268 +:041A9100F2E500E397 +:041A92008B09401864 +:041A93004742EB0DCE +:041A94005AE3444885 +:041A9500853AF0E5B9 +:041A96004592B73985 +:041A97004685450239 +:041A9800C432C63A54 +:041A990056FD9482E0 +:041A9A00EED50EE394 +:041A9B004622473266 +:041A9C0044540705A2 +:041A9D008E8D45C223 +:041A9E00FED741E34B +:041A9F004701BFC973 +:041AA0000194061394 +:041AA1001151B7FD2B +:041AA200C026C22276 +:041AA30064E9842A44 +:041AA400C406852EC1 +:041AA500BE04A623B2 +:041AA60057FD2A15A9 +:041AA70000F51663CD +:041AA800BEC4A7838E +:041AA900C01CC39109 +:041AAA00441240A200 +:041AAB00013144823F +:041AAC00F5938082AC +:041AAD00962A0FF571 +:041AAE0000C51463F8 +:041AAF0080824501EB +:041AB0000005478363 +:041AB100FEB78DE30C +:041AB200B7FD050572 +:041AB300808280822B +:041AB40085B2E58191 +:041AB500FD0FF06FC2 +:041AB600C80611311C +:041AB700C426C62259 +:041AB800F0EFEA1150 +:041AB9004781F18FE1 +:041ABA00443240C2B0 +:041ABB00853E44A27E +:041ABC0080820151D2 +:041ABD00C032842E81 +:041ABE00203D84AA99 +:041ABF0087A24602B2 +:041AC000FEC574E308 +:041AC100852685B23F +:041AC200F0EFC2324D +:041AC30087AAF9AF46 +:041AC4004612DD6188 +:041AC500C02A85A20C +:041AC600AAFF90EFF4 +:041AC700852685A249 +:041AC800EDAFF0EF9F +:041AC900B7C94782D0 +:041ACA00FFC5A7832A +:041ACB00FFC78513B9 +:041ACC000007D563D7 +:041ACD00419C95AAF9 +:041ACE008082953E3F +:041ACF001463470154 +:041AD000450100E6E6 +:041AD10007B3808255 +:041AD200070500E51F +:041AD30000E586B3F1 +:041AD4000007C783BD +:041AD500FFF6C683CF +:041AD600FED783E3D1 +:041AD70040D785333C +:041AD800C60D808235 +:041AD9004701167D2E +:041ADA0000E507B369 +:041ADB0000E586B3E9 +:041ADC000007C783B5 +:041ADD000006C683B6 +:041ADE0000D7946336 +:041ADF0000C71563C4 +:041AE00040D7853333 +:041AE10007058082F3 +:041AE200BFDDF3E58C +:041AE30080824501B7 +:041AE400CA0987AAFA +:041AE5000005C7032E +:041AE60007850585E6 +:041AE700FEE78FA3E4 +:041AE800FB65167D07 +:041AE9009363963E2F +:041AEA00808200C72F +:041AEB008FA3078539 +:041AEC00BFD5FE075D +:041AED0087AA95AA85 +:041AEE0000B7856355 +:041AEF000007C70322 +:041AF0008533E70152 +:041AF100808240A708 +:041AF200B7FD0785B0 +:041AF300A78363E979 +:041AF4001151BF834A +:041AF500C406C2223F +:041AF6008393842A28 +:041AF700E79DBF8325 +:041AF80045814501DE +:041AF90046814601DB +:041AFA00029347010B +:041AFB0000730D6007 +:041AFC0057FD000092 +:041AFD0000F51A6373 +:041AFE0047B128358F +:041AFF00557DC11C34 +:041B0000441240A2A9 +:041B010080820131AC +:041B020000A3A02379 +:041B03000003A30335 +:041B040046014581D0 +:041B05000064053340 +:041B060047014681CC +:041B0700029347817D +:041B080000730D60F9 +:041B0900941A00002A +:041B0A00FC8518E35B +:041B0B0000A3A02370 +:041B0C00B7F9851A86 +:041B0D00A50367E5E0 +:041B0E0080822AC7E0 +:041B0F0000000000D2 +:041B100000000000D1 +:041B110000000000D0 +:041B120000000000CF +:041B130043204453D4 +:041B140020445241D6 +:041B15004C4C495794 +:041B16000045422024 +:041B17005245564F8E +:041B18005449525783 +:041B1900214E4554C0 +:041B1A004500212140 +:041B1B00726F7078FD +:041B1C0031203F74C1 +:041B1D00202C593DE2 +:041B1E00004E3D3206 +:041B1F007365725028 +:041B200020312073DD +:041B21003220726F8D +:041B220000000000BF +:041B23004D9000EBF6 +:041B24004E4957537C +:041B250000312E3429 +:041B26000080040235 +:041B270000080002B0 +:041B28000020F88021 +:041B290000FF003F7A +:041B2A00F42900801A +:041B2B004F04C6CFCE +:041B2C00504353537C +:041B2D0049464F5284 +:041B2E004146534C8D +:041B2F0020363154D7 +:041B30000000202071 +:041B31004353534F78 +:041B3200464F525078 +:041B3300204E4942B5 +:041B3400B5048E0066 +:041B3500516F516F2C +:041B3600891700000B +:041B37000002516FE8 +:041B38000010020097 +:041B39000010000098 +:041B3A0000000000A7 +:041B3B0000000000A6 +:041B3C000010000095 +:041B3D00000804DCBC +:041B3E00000804DCBB +:041B3F00000804DCBA +:041B4000000804DCB9 +:041B41000001045447 +:041B42000001045A40 +:041B43000001046039 +:041B44000001046632 +:041B4500000104088F +:041B4600000104286E +:041B4700000104286D +:041B4800000104286C +:041B4900000104286B +:041B4A00000104286A +:041B4B000001042869 +:041B4C000001042C64 +:041B4D00000104325D +:041B4E006C206F4E4A +:041B4F00006B6E6950 +:041B50006D2064257B +:041B5100000000563A +:041B5200252E7525A2 +:041B53002075322E99 +:041B540000007375A5 +:041B550025257525A8 +:041B5600000000008B +:041B57006F747541F1 +:041B58000000282041 +:041B59007525732556 +:041B5A0000007325EF +:041B5B006C20752560 +:041B5C0073656E69D6 +:041B5D000000000084 +:041B5E006420642576 +:041B5F000000004240 +:041B60007020752557 +:041B61006C657869CE +:041B6200000000730C +:041B6300656E6F44F8 +:041B6400000000007D +:041B65006C69614600 +:041B6600282064656A +:041B670000296425C8 +:041B680000011BF469 +:041B690000011C0E4D +:041B6A0000011C1842 +:041B6B0000011C4A0F +:041B6C0000011C2632 +:041B6D006420642567 +:041B6E0000006765A7 +:041B6F00252E752585 +:041B70000075322E9C +:041B71006573753CE7 +:041B720000003E64CD +:041B73004452535530 +:041B74000041544197 +:041B7500706D653CEE +:041B7600003E797440 +:041B7700203A752576 +:041B780000007325D1 +:041B7900252073258B +:041B7A0063252D753D :041B7B000000000066 :041B7C00252E752578 -:041B7D002575322E6A -:041B7E000073257358 -:041B7F0061647055D8 -:041B8000676E6974AF -:041B810000574620A3 -:041B820061656C70BD -:041B830077206573EF -:041B84002E746961F1 -:041B850000002E2E00 -:041B860069726556C5 -:041B87006E697966A4 -:041B88006C66206700 -:041B8900006873611C -:041B8A0061656C70B5 -:041B8B0072206573EC -:041B8C0061747365A8 -:041B8D00000074726E -:041B8E0072746552B6 -:041B8F00676E69799B -:041B900064707520E8 -:041B91000065746116 -:041B920061647055C5 -:041B9300203F657416 -:041B94002C593D315A -:041B95004E3D32206F -:041B9600000000004B -:041B97006D726946BC -:041B9800657261779A -:041B990064707520DF -:041B9A0064657461A9 -:041B9B000000000046 -:041B9C00000145F807 -:041B9D000001463EBF -:041B9E0000014646B6 -:041B9F000001464EAD -:041BA00064616F4CC1 -:041BA1002E676E69D4 -:041BA20000002E2EE3 -:041BA3007320642522 -:041BA40073746F6C7B -:041BA500616F6C20E0 -:041BA600006465640E -:041BA7006F706D49A5 -:041BA800203F7472F4 -:041BA9002C593D3145 -:041BAA004E3D32205A -:041BAB000000000036 -:041BAC006C696146B9 -:041BAD00000064656B -:041BAE007365725099 -:041BAF0000000073BF -:041BB000666E6F43AB -:041BB100006D7269E8 -:041BB2006D73694D99 -:041BB300686374618E -:041BB4006572202C0A -:041BB50000797274CD -:041BB6004353534FF3 -:041BB700776620200D -:041BB8007525202E41 -:041BB900322E252E75 -:041BBA000000617551 -:041BBB0074696E4992 -:041BBC0072726520BC -:041BBD002020726F03 -:041BBE00000064259A -:041BBF0065646F4D9D -:041BC00065727020BA -:041BC1003A7465739A -:041BC200000000001F -:041BC300726665528F -:041BC40020687365BD -:041BC5006574617270 -:041BC6000000003AE1 -:041BC700252E75252D -:041BC8004875322EFC -:041BC9000000007A9E -:041BCA0020562F482A -:041BCB00636E797359 -:041BCC003A6E656C9C -:041BCD000000000014 -:041BCE0075352D2517 -:041BCF00352D25206B -:041BD000000000759C -:041BD10020562F4823 -:041BD2006B6361627E -:041BD30063726F705A -:041BD40000003A686B -:041BD50020562F481F -:041BD600697463616A -:041BD700003A6576F5 -:041BD80020562F481C -:041BD90061746F7450 -:041BDA0000003A6C61 -:041BDB00666F72506F -:041BDC003A656C6991 -:041BDD000000000004 -:041BDE006D72694675 -:041BDF006572617753 -:041BE0000000003AC7 -:041BE100252E752513 -:041BE2006175322EC9 -:041BE300412040203D -:041BE40020206775E1 -:041BE5003032203644 -:041BE6000000333296 -:041BE700656E694C72 -:041BE800746C756D37 -:041BE900646F6D2098 -:041BEA0000003A6558 -:041BEB00736572703C -:041BEC002D31207304 -:041BED0000000035BF -:041BEE0020787525C1 -:041BEF0075736E7527 -:041BF000726F707030 -:041BF10000646574B3 -:041BF200666F725058 -:041BF30020656C6994 -:041BF40064616F6C4D -:041BF5000000003AB2 -:041BF6007365727031 -:041BF70075252073BD -:041BF8000075252D22 -:041BF9002020202068 -:041BFA0053204F4ED7 -:041BFB0000434E59FC -:041BFC00343130321E -:041BFD003230322D23 -:041BFE006D20203303 -:041BFF00737172612B -:041C000000000000E0 -:041C0100071F1F0199 -:041C020003030F0FBA -:041C030000010301D8 -:041C040000014F2C60 -:041C050000014FE6A5 -:041C060000014FE6A4 -:041C070000014FFC8D -:041C080000014FFC8C -:041C09000001500680 -:041C0A000001500A7B -:041C0B00000150186C -:041C0C00000150186B -:041C0D000001502A58 -:041C0E00000155A4D8 -:041C0F00000155ACCF -:041C10000001559CDE -:041C1100000155A8D1 -:041C1200000155B0C8 -:041C1300000155A0D7 -:041C1400000155FC7A -:041C1500000155B4C1 -:041C1600000155B8BC -:041C1700000155BCB7 -:041C1800000155FC76 -:041C1900000155FC75 -:041C1A00000155FC74 -:041C1B00000155FC73 -:041C1C00000155FC72 -:041C1D0000015BE483 -:041C1E00000156006B -:041C1F00000157BCAD -:041C2000000157CA9E -:041C21000001586402 -:041C2200000158ACB9 -:041C2300000158ACB8 -:041C2400000159184A -:041C250000015A124E -:041C260000015A124D -:041C270000015AF866 -:041C28006E69204879 -:041C290076726574F6 -:041C2A0000006C61E9 -:041C2B006E69205668 -:041C2C0076726574F3 -:041C2D0000006C61E6 -:041C2E002D6275535B -:041C2F00656E696C09 -:041C300073203120CC -:041C310000007274C9 -:041C32002D62755357 -:041C3300656E696C05 -:041C340073203220C7 -:041C350000007274C5 -:041C36002D62755353 -:041C3700656E696C01 -:041C380073203320C2 -:041C390000007274C1 -:041C3A002D6275534F -:041C3B00656E696CFD -:041C3C0073203420BD -:041C3D0000007274BD -:041C3E002D6275534B -:041C3F00656E696CF9 -:041C400073203520B8 -:041C410000007274B9 -:041C42002D62755347 -:041C4300756C6F63EA -:041C440031206E6D70 -:041C45007274732022 -:041C4600000000009A -:041C47002D62755342 -:041C4800756C6F63E5 -:041C490032206E6D6A -:041C4A00727473201D -:041C4B000000000095 -:041C4C002D6275533D -:041C4D00756C6F63E0 -:041C4E0033206E6D64 -:041C4F007274732018 -:041C50000000000090 -:041C51002D62755338 -:041C5200756C6F63DB -:041C530034206E6D5E -:041C54007274732013 -:041C5500000000008B -:041C56002D62755333 -:041C5700756C6F63D6 -:041C580035206E6D58 -:041C5900727473200E -:041C5A000000000086 -:041C5B002D6275532E -:041C5C00756C6F63D1 -:041C5D0036206E6D52 -:041C5E007274732009 -:041C5F000000000081 -:041C600073202E4877 -:041C61006C706D61D5 -:041C620074617265D2 -:041C63000000006518 -:041C640073202E4873 -:041C65007461722E06 -:041C6600726620651D -:041C670000006361B5 -:041C680073202E486F -:041C69006C636E79C1 -:041C6A0000006E65A3 -:041C6B0062202E487D -:041C6C00706B6361D5 -:041C6D006863726FC7 -:041C6E000000000072 -:041C6F0061202E487A -:041C700076697463BA -:041C7100000000650A -:041C720073202E5657 -:041C73006C636E79B7 -:041C740000006E6599 -:041C750062202E5665 -:041C7600706B6361CB -:041C77006863726FBD -:041C78000000000068 -:041C790061202E5662 -:041C7A0076697463B0 -:041C7B000000006500 -:041C7C006D202E4861 -:041C7D00006B736124 -:041C7E006D202E5651 -:041C7F00006B736122 -:041C8000706D6153CF -:041C8100676E696CB5 -:041C82006168702005 -:041C83000000657385 -:041C840000007332B7 -:041C850000007335B3 -:041C86000073303186 -:041C87000066664F3E -:041C88006C6C7546C5 -:041C89000000000057 -:041C8A00706D6953BD -:041C8B000000656C84 -:041C8C0000007333AE -:041C8D00007330337D -:041C8E0072727543B6 -:041C8F0020746E65EA -:041C900075706E6994 -:041C910000000074DB -:041C9200206C6C4115 -:041C930075706E6991 -:041C94000000737465 -:041C95002066664F10 -:041C96002073662829 -:041C97003639203D7D -:041C9800297A486BF2 -:041C99000000000047 -:041C9A00202078325C -:041C9B002073662824 -:041C9C003834203D7B -:041C9D00297A486BED -:041C9E000000000042 -:041C9F000031564179 -:041CA0000032564177 -:041CA10063616C42CD -:041CA2000000006BD3 -:041CA30065756C42B5 -:041CA400000000003C -:041CA50065657247B8 -:041CA6000000006ECC -:041CA7006E617943AE -:041CA8000000000038 -:041CA900006465521C -:041CAA006567614DBC -:041CAB000061746EF2 -:041CAC006C6C65599E -:041CAD000000776F4D -:041CAE007469685796 -:041CAF0000000065CC -:041CB00069726F489E -:041CB100746E6F7A64 -:041CB20000006C6161 -:041CB300747265568C -:041CB4006C61636993 -:041CB500000000002B -:041CB60069726F4898 -:041CB7002B202E7A36 -:041CB80072655620DB -:041CB90000002E7485 -:041CBA007473754387 -:041CBB0000006D6F49 -:041CBC0000706F54F1 -:041CBD0074746F428A -:041CBE0000006D6F46 -:041CBF00746C754D7F -:041CC000696C706972 -:041CC100697461637E -:041CC20000006E6F41 -:041CC300746275537F -:041CC4007463617272 -:041CC500006E6F69D5 -:041CC6006F74754181 -:041CC7000000000019 -:041CC80000006E4F5B -:041CC900494D4448F5 -:041CCA004752282035 -:041CCB0000002942AA -:041CCC00494D4448F2 -:041CCD00435928202F -:041CCE0034724362C7 -:041CCF000029343480 -:041CD000004956442D -:041CD1007565735072 -:041CD20034206F64E7 -:041CD3004420333A3C -:041CD4000000524179 -:041CD50020313A314F -:041CD6000052415027 -:041CD700303239313D -:041CD80038303178F7 -:041CD90000000030D7 -:041CDA00303036313F -:041CDB0030323178FA -:041CDC0000000030D4 -:041CDD003032393137 -:041CDE0030323178F7 -:041CDF0000000030D1 -:041CE000656E654781 -:041CE10020636972A1 -:041CE200393A363124 -:041CE30000000000FD -:041CE400656E65477D -:041CE500206369729D -:041CE60000333A3459 -:041CE70078323135E9 -:041CE8002030343242 -:041CE9006974706F3B -:041CEA0000002E6D5B -:041CEB0078343833DE -:041CEC00203034323E -:041CED006974706F37 -:041CEE0000002E6D57 -:041CEF0078303233E4 -:041CF000203034323A -:041CF1006974706F33 -:041CF20000002E6D53 -:041CF30078363532D8 -:041CF4002030343236 -:041CF5006974706F2F -:041CF60000002E6D4F -:041CF7007373615052 -:041CF8007572687425 +:041B7D006B75322E24 +:041B7E0025207A485C +:041B7F002E252E756C +:041B80007A487532F8 +:041B81000000000060 +:041B8200783032374E +:041B83005F3030346B +:041B840000003037F6 +:041B8500783034364A +:041B86005F30303468 +:041B870000003037F3 +:041B88007820732529 +:041B890000007525BE +:041B8A00FFFFFFFF5B +:041B8B00FFFFFFFF5A +:041B8C000000FFFF57 +:041B8D00636E6143DF +:041B8E00656C6C65B1 +:041B8F0000000064EE +:041B9000462F445345 +:041B91006873616CA8 +:041B920072726520E6 +:041B93000000726F6D +:041B940061766E49BF +:041B95002064696CF3 +:041B960061726170A7 +:041B9700002E736D3C +:041B980053206F4E19 +:041B99006163204420 +:041B9A0064206472ED +:041B9B00002E74653F +:041B9C0063637553B7 +:041B9D0000737365F9 +:041B9E004620445346 +:041B9F00616D726F93 +:041BA00000000074CD +:041BA10041463D314B +:041BA2002C36315458 +:041BA300523D32205D +:041BA40000005741A5 +:041BA5006F707845A0 +:041BA6006E6974727E +:041BA7002E2E2E6749 +:041BA8000000000039 +:041BA90073616C46B2 +:041BAA0065762068D4 +:041BAB0020666972D5 +:041BAC006C69616699 +:041BAD000000000034 +:041BAE0061766E49A5 +:041BAF002064696CD9 +:041BB00020726468D3 +:041BB1000043524358 +:041BB20061766E49A1 +:041BB3002064696CD5 +:041BB4006174616493 +:041BB5004352432034 +:041BB600000000002B +:041BB70061647055A0 +:041BB80063206574CD +:041BB90065636E6191 +:041BBA0064656C6C86 +:041BBB000000000026 +:041BBC0061766E4997 +:041BBD002064696CCB +:041BBE0067616D6985 +:041BBF0000000065BD +:041BC00061766E4993 +:041BC1002064696CC7 +:041BC200646165688D +:041BC3000000726547 +:041BC4004353534FE5 +:041BC500000000001C +:041BC600696C61568F +:041BC7006974616478 +:041BC8006420676EC0 +:041BC90000617461E2 +:041BCA0062207525FB +:041BCB007365747951 +:041BCC000000000015 +:041BCD00252E752527 +:041BCE002575322E19 +:041BCF000073257307 +:041BD0006164705587 +:041BD100676E69745E +:041BD2000057462052 +:041BD30061656C706C +:041BD400772065739E +:041BD5002E746961A0 +:041BD60000002E2EAF +:041BD7006972655674 +:041BD8006E69796653 +:041BD9006C662067AF +:041BDA0000687361CB +:041BDB0061656C7064 +:041BDC00722065739B +:041BDD006174736557 +:041BDE00000074721D +:041BDF007274655265 +:041BE000676E69794A +:041BE1006470752097 +:041BE20000657461C5 +:041BE3006164705574 +:041BE400203F6574C5 +:041BE5002C593D3109 +:041BE6004E3D32201E +:041BE70000000000FA +:041BE8006D7269466B +:041BE9006572617749 +:041BEA00647075208E +:041BEB006465746158 +:041BEC0000000000F5 +:041BED000001473E6E +:041BEE000001478427 +:041BEF000001478C1E +:041BF0000001479415 +:041BF10064616F4C70 +:041BF2002E676E6983 +:041BF30000002E2E92 +:041BF40073206425D1 +:041BF50073746F6C2A +:041BF600616F6C208F +:041BF70000646564BD +:041BF8006F706D4954 +:041BF900203F7472A3 +:041BFA002C593D31F4 +:041BFB004E3D322009 +:041BFC0000000000E5 +:041BFD006C69614668 +:041BFE00000064651A +:041BFF007365725048 +:041C0000000000736D +:041C0100666E6F4359 +:041C0200006D726996 +:041C03006D73694D47 +:041C0400686374613C +:041C05006572202CB8 +:041C0600007972747B +:041C07004353534FA1 +:041C080077662020BB +:041C09007525202EEF +:041C0A00322E252E23 +:041C0B0000006175FF +:041C0C0074696E4940 +:041C0D00727265206A +:041C0E002020726FB1 +:041C0F000000642548 +:041C100065646F4D4B +:041C11006572702068 +:041C12003A74657348 +:041C130000000000CD +:041C1400726665523D +:041C1500206873656B +:041C1600657461721E +:041C17000000003A8F +:041C1800252E7525DB +:041C19004875322EAA +:041C1A000000007A4C +:041C1B0020562F48D8 +:041C1C00636E797307 +:041C1D003A6E656C4A +:041C1E0000000000C2 +:041C1F0075352D25C5 +:041C2000352D252019 +:041C2100000000754A +:041C220020562F48D1 +:041C23006B6361622C +:041C240063726F7008 +:041C250000003A6819 +:041C260020562F48CD +:041C27006974636118 +:041C2800003A6576A3 +:041C290020562F48CA +:041C2A0061746F74FE +:041C2B0000003A6C0F +:041C2C00666F72501D +:041C2D003A656C693F +:041C2E0000000000B2 +:041C2F006D72694623 +:041C30006572617701 +:041C31000000003A75 +:041C3200252E7525C1 +:041C33006175322E77 +:041C340041204020EB +:041C3500322067757D +:041C360030322031F7 +:041C37000000333244 +:041C3800656E694C20 +:041C3900746C756DE5 +:041C3A00646F6D2046 +:041C3B0000003A6506 +:041C3C0073657270EA +:041C3D002D312073B2 +:041C3E00000000356D +:041C3F00207875256F +:041C400075736E75D5 +:041C4100726F7070DE +:041C42000064657461 +:041C4300666F725006 +:041C440020656C6942 +:041C450064616F6CFB +:041C46000000003A60 +:041C470073657270DF +:041C4800752520736B +:041C49000075252DD0 +:041C4A002020202016 +:041C4B0053204F4E85 +:041C4C0000434E59AA +:041C4D0034313032CC +:041C4E003230322DD1 +:041C4F006D202033B1 +:041C500073717261D9 +:041C5100000000008F +:041C5200071F1F0148 +:041C530003030F0F69 +:041C54000001030187 +:041C550000015072C8 +:041C56000001512C0C +:041C57000001512C0B +:041C580000015142F4 +:041C590000015142F3 +:041C5A000001514CE8 +:041C5B0000015150E3 +:041C5C000001515ED4 +:041C5D000001515ED3 +:041C5E0000015170C0 +:041C5F00000156EA40 +:041C6000000156F237 +:041C6100000156E246 +:041C6200000156EE39 +:041C6300000156F630 +:041C6400000156E63F +:041C650000015742E1 +:041C6600000156FA29 +:041C6700000156FE24 +:041C6800000157021E +:041C690000015742DD +:041C6A0000015742DC +:041C6B0000015742DB +:041C6C0000015742DA +:041C6D0000015742D9 +:041C6E0000015D2AEA +:041C6F0000015746D3 +:041C70000001590214 +:041C71000001591005 +:041C7200000159AA6A +:041C7300000159F221 +:041C7400000159F220 +:041C750000015A5EB2 +:041C760000015B58B6 +:041C770000015B58B5 +:041C780000015C3ECD +:041C79006E69204828 +:041C7A0076726574A5 +:041C7B0000006C6198 +:041C7C006E69205617 +:041C7D0076726574A2 +:041C7E0000006C6195 +:041C7F002D6275530A +:041C8000656E696CB8 +:041C8100732031207B +:041C82000000727478 +:041C83002D62755306 +:041C8400656E696CB4 +:041C85007320322076 +:041C86000000727474 +:041C87002D62755302 +:041C8800656E696CB0 +:041C89007320332071 +:041C8A000000727470 +:041C8B002D627553FE +:041C8C00656E696CAC +:041C8D00732034206C +:041C8E00000072746C +:041C8F002D627553FA +:041C9000656E696CA8 +:041C91007320352067 +:041C92000000727468 +:041C93002D627553F6 +:041C9400756C6F6399 +:041C950031206E6D1F +:041C960072747320D1 +:041C97000000000049 +:041C98002D627553F1 +:041C9900756C6F6394 +:041C9A0032206E6D19 +:041C9B0072747320CC +:041C9C000000000044 +:041C9D002D627553EC +:041C9E00756C6F638F +:041C9F0033206E6D13 +:041CA00072747320C7 +:041CA100000000003F +:041CA2002D627553E7 +:041CA300756C6F638A +:041CA40034206E6D0D +:041CA50072747320C2 +:041CA600000000003A +:041CA7002D627553E2 +:041CA800756C6F6385 +:041CA90035206E6D07 +:041CAA0072747320BD +:041CAB000000000035 +:041CAC002D627553DD +:041CAD00756C6F6380 +:041CAE0036206E6D01 +:041CAF0072747320B8 +:041CB0000000000030 +:041CB10073202E4826 +:041CB2006C706D6184 +:041CB3007461726581 +:041CB40000000065C7 +:041CB50073202E4822 +:041CB6007461722EB5 +:041CB70072662065CC +:041CB8000000636164 +:041CB90073202E481E +:041CBA006C636E7970 +:041CBB0000006E6552 +:041CBC0062202E482C +:041CBD00706B636184 +:041CBE006863726F76 +:041CBF000000000021 +:041CC00061202E4829 +:041CC1007669746369 +:041CC20000000065B9 +:041CC30073202E5606 +:041CC4006C636E7966 +:041CC50000006E6548 +:041CC60062202E5614 +:041CC700706B63617A +:041CC8006863726F6C +:041CC9000000000017 +:041CCA0061202E5611 +:041CCB00766974635F +:041CCC0000000065AF +:041CCD006D202E4810 +:041CCE00006B7361D3 +:041CCF006D202E5600 +:041CD000006B7361D1 +:041CD100706D61537E +:041CD200676E696C64 +:041CD30061687020B4 +:041CD4000000657334 +:041CD5000000733266 +:041CD6000000733562 +:041CD7000073303135 +:041CD8000066664FED +:041CD9006C6C754674 +:041CDA000000000006 +:041CDB00706D69536C +:041CDC000000656C33 +:041CDD00000073335D +:041CDE00007330332C +:041CDF007272754365 +:041CE00020746E6599 +:041CE10075706E6943 +:041CE200000000748A +:041CE300206C6C41C4 +:041CE40075706E6940 +:041CE5000000737414 +:041CE6002066664FBF +:041CE70020736628D8 +:041CE8003639203D2C +:041CE900297A486BA1 +:041CEA0000000000F6 +:041CEB00202078320B +:041CEC0020736628D3 +:041CED003834203D2A +:041CEE00297A486B9C +:041CEF0000000000F1 +:041CF0000031564128 +:041CF1000032564126 +:041CF20063616C427C +:041CF3000000006B82 +:041CF40065756C4264 +:041CF50000000000EB +:041CF6006565724767 +:041CF7000000006E7B +:041CF8006E6179435D :041CF90000000000E7 -:041CFA00656E694C5E -:041CFB0028207832F3 -:041CFC0029626F6288 -:041CFD0000000000E3 -:041CFE00656E694C5A -:041CFF000000783237 -:041D0000656E694C57 -:041D010028207833EB -:041D02006563616C48 -:041D0300000029644F -:041D0400656E694C53 -:041D050028207834E6 -:041D060029626F627D -:041D070000000000D8 -:041D0800656E694C4F -:041D090047207833C4 -:041D0A0072656E652B -:041D0B000000636908 -:041D0C00656E694C4B -:041D0D0032207832D6 -:041D0E0033783034C2 -:041D0F00000030366A -:041D1000656E694C47 -:041D110032207833D1 -:041D120033783034BE -:041D13000000303666 -:041D1400656E694C43 -:041D1500000078331F -:041D1600656E694C41 -:041D1700000078341C -:041D1800656E694C3F -:041D19000000783519 -:041D1A004D382E34DE -:041D1B0028207A48BA -:041D1C00565444488D -:041D1D002943502FD7 -:041D1E0000000000C1 -:041D1F004D352E30E0 -:041D200028207A48B5 -:041D2100565444537D -:041D22000000002994 -:041D23004D372E31D9 -:041D240028207A48B1 -:041D25005654444587 -:041D26000000002990 -:041D27004D352E32D6 -:041D280028207A48AD -:041D29002978616D47 -:041D2A0000000000B5 -:041D2B00484D3031BE -:041D2C006D28207A84 -:041D2D0000296465C0 -:041D2E00484D3333B6 -:041D2F006D28207A81 -:041D300000296E69AF -:041D310020414756B0 -:041D3200783034369B -:041D330040303034D8 -:041D34000000303744 -:041D350020414756AC -:041D36007830323798 -:041D370040303034D4 -:041D38000000303740 -:041D39002056544498 -:041D3A007030383499 -:041D3B0000000000A4 -:041D3C004153455674 -:041D3D0030343620E8 -:041D3E00303834788D -:041D3F0000303640FA -:041D4000205053508C -:041D4100783038348A -:041D42000032373202 -:041D43002E63655254 -:041D440031303620E4 -:041D4500000000009A -:041D46002E63655251 -:041D470039303720D8 -:041D48000000000097 -:041D4900484D353993 -:041D4A004828207A8B -:041D4B002056544486 -:041D4C0000294949D8 -:041D4D00484D353395 -:041D4E004828207A87 -:041D4F002056544482 -:041D5000000029491D -:041D5100484D363192 -:041D52004528207A86 -:041D53002956544475 -:041D5400000000008B -:041D55007A484D3942 -:041D560044532820AA -:041D570000295654B5 -:041D58006B6E694CF9 -:041D59006F72702015 -:041D5A00693E2D664B -:041D5B007475706EBD -:041D5C000000000083 -:041D5D006B6E694CF4 -:041D5E00706E69201A -:041D5F003E2D74752C -:041D6000666F7270C8 -:041D6100000000007E -:041D620074696E49E9 -:041D6300206C616926 -:041D640075706E69BF -:041D65000000007406 -:041D66006F747541E0 -:041D670065746564D6 -:041D68006920746317 -:041D69007475706EAF -:041D6A000000000075 -:041D6B006F747541DB -:041D6C00315641208B -:041D6D00472F592083 -:041D6E0000000073FE -:041D6F006F747541D7 -:041D70003256412086 -:041D7100472F59207F -:041D720000000073FA -:041D73006F747541D3 -:041D74003356412081 -:041D7500472F59207B -:041D760000000073F6 -:041D77002044434C75 -:041D780074204C4245 -:041D79006F656D69BC -:041D7A00000074757C -:041D7B000044534F7E -:041D7C002044534F5D -:041D7D0074617473A6 -:041D7E0064207375F5 -:041D7F002E707369E6 -:041D8000000000005F -:041D810073616850D2 -:041D82006F68206501 -:041D830079656B749F -:041D8400000000005B -:041D8500616F4C3C02 -:041D860072702064F3 -:041D87006C69666FAE -:041D8800003E206594 -:041D89007661533CF0 -:041D8A0072702065EE -:041D8B006C69666FAA -:041D8C00003E206590 -:041D8D007365523CEC -:041D8E0073207465E5 -:041D8F00697474659A -:041D90003E73676EC9 -:041D9100000000004E -:041D9200706D493CEB -:041D93002074726FD7 -:041D9400747465738B -:041D95003E20202E9E -:041D96000000000049 -:041D97007078453CDF -:041D98002074726FD2 -:041D99007474657386 -:041D9A003E20202E99 +:041CFA0000646552CB +:041CFB006567614D6B +:041CFC000061746EA1 +:041CFD006C6C65594D +:041CFE000000776FFC +:041CFF007469685745 +:041D0000000000657A +:041D010069726F484C +:041D0200746E6F7A12 +:041D030000006C610F +:041D0400747265563A +:041D05006C61636941 +:041D060000000000D9 +:041D070069726F4846 +:041D08002B202E7AE4 +:041D09007265562089 +:041D0A0000002E7433 +:041D0B007473754335 +:041D0C0000006D6FF7 +:041D0D0000706F549F +:041D0E0074746F4238 +:041D0F0000006D6FF4 +:041D1000746C754D2D +:041D1100696C706920 +:041D1200697461632C +:041D130000006E6FEF +:041D1400746275532D +:041D15007463617220 +:041D1600006E6F6983 +:041D17006F7475412F +:041D180000000000C7 +:041D190000006E4F09 +:041D1A00494D4448A3 +:041D1B0047522820E3 +:041D1C000000294258 +:041D1D00494D4448A0 +:041D1E0043592820DD +:041D1F003472436275 +:041D2000002934342E +:041D210000495644DB +:041D22007565735020 +:041D230034206F6495 +:041D24004420333AEA +:041D25000000524127 +:041D260020313A31FD +:041D270000524150D5 +:041D280030323931EB +:041D290038303178A5 +:041D2A000000003085 +:041D2B0030303631ED +:041D2C0030323178A8 +:041D2D000000003082 +:041D2E0030323931E5 +:041D2F0030323178A5 +:041D3000000000307F +:041D3100656E65472F +:041D3200206369724F +:041D3300393A3631D2 +:041D340000000000AB +:041D3500656E65472B +:041D3600206369724B +:041D370000333A3407 +:041D38007832313597 +:041D390020303432F0 +:041D3A006974706FE9 +:041D3B0000002E6D09 +:041D3C00783438338C +:041D3D0020303432EC +:041D3E006974706FE5 +:041D3F0000002E6D05 +:041D40007830323392 +:041D410020303432E8 +:041D42006974706FE1 +:041D430000002E6D01 +:041D44007836353286 +:041D450020303432E4 +:041D46006974706FDD +:041D470000002E6DFD +:041D48007373615000 +:041D490075726874D3 +:041D4A000000000095 +:041D4B00656E694C0C +:041D4C0028207832A1 +:041D4D0029626F6236 +:041D4E000000000091 +:041D4F00656E694C08 +:041D500000007832E5 +:041D5100656E694C06 +:041D5200282078339A +:041D53006563616CF7 +:041D540000002964FE +:041D5500656E694C02 +:041D56002820783495 +:041D570029626F622C +:041D58000000000087 +:041D5900656E694CFE +:041D5A004720783373 +:041D5B0072656E65DA +:041D5C0000006369B7 +:041D5D00656E694CFA +:041D5E003220783285 +:041D5F003378303471 +:041D60000000303619 +:041D6100656E694CF6 +:041D62003220783380 +:041D6300337830346D +:041D64000000303615 +:041D6500656E694CF2 +:041D660000007833CE +:041D6700656E694CF0 +:041D680000007834CB +:041D6900656E694CEE +:041D6A0000007835C8 +:041D6B00656E694CEC +:041D6C0000007836C5 +:041D6D004D382E348B +:041D6E0028207A4867 +:041D6F00565444483A +:041D70002943502F84 +:041D7100000000006E +:041D72004D352E308D +:041D730028207A4862 +:041D7400565444532A +:041D75000000002941 +:041D76004D372E3186 +:041D770028207A485E +:041D78005654444534 +:041D7900000000293D +:041D7A004D352E3283 +:041D7B0028207A485A +:041D7C002978616DF4 +:041D7D000000000062 +:041D7E00484D30316B +:041D7F006D28207A31 +:041D8000002964656D +:041D8100484D333363 +:041D82006D28207A2E +:041D830000296E695C +:041D8400204147565D +:041D85007830343648 +:041D86004030303485 +:041D870000003037F1 +:041D88002041475659 +:041D89007830323745 +:041D8A004030303481 +:041D8B0000003037ED +:041D8C002056544445 +:041D8D007030383446 +:041D8E000000000051 +:041D8F004153455621 +:041D90003034362095 +:041D9100303834783A +:041D920000303640A7 +:041D93002050535039 +:041D94007830383437 +:041D950000323732AF +:041D96002E63655201 +:041D97003130362091 +:041D98000000000047 +:041D99002E636552FE +:041D9A003930372085 :041D9B000000000044 -:041D9C002E77463C1C -:041D9D0064707520D9 -:041D9E0020657461E7 -:041D9F003E202020A2 -:041DA000000000003F -:041DA1006E776F44A6 -:041DA2006D61732DCF -:041DA3006E696C7089 -:041DA40000000067D4 -:041DA500706177539F -:041DA60066656C20E2 -:041DA70069722F74BA -:041DA80000746867F4 -:041DA9006F6E6F4D9D -:041DAA00646F6D20D5 -:041DAB0000000065CF -:041DAC002D657250DF -:041DAD00204344414A -:041DAE006E69616792 +:041D9C00484D353940 +:041D9D004828207A38 +:041D9E002056544433 +:041D9F000029494985 +:041DA000484D353342 +:041DA1004828207A34 +:041DA200205654442F +:041DA30000002949CA +:041DA400484D36313F +:041DA5004528207A33 +:041DA6002956544422 +:041DA7000000000038 +:041DA8007A484D39EF +:041DA9004453282057 +:041DAA000029565462 +:041DAB006B6E694CA6 +:041DAC006F727020C2 +:041DAD00693E2D66F8 +:041DAE007475706E6A :041DAF000000000030 -:041DB0006C6C75469C -:041DB1002058542042 -:041DB200757465736C -:041DB30000000070BC -:041DB4002033564141 -:041DB50020657375BD -:041DB6002E746C61BA -:041DB700424752202D -:041DB8000000000027 -:041DB90061666544B6 -:041DBA0020746C75B0 -:041DBB00494D444802 -:041DBC004349562021 +:041DB0006B6E694CA1 +:041DB100706E6920C7 +:041DB2003E2D7475D9 +:041DB300666F727075 +:041DB400000000002B +:041DB50074696E4996 +:041DB600206C6169D3 +:041DB70075706E696C +:041DB80000000074B3 +:041DB9006F7475418D +:041DBA006574656483 +:041DBB0069207463C4 +:041DBC007475706E5C :041DBD000000000022 -:041DBE006B73614D95 -:041DBF006C6F6320C2 -:041DC0000000726F3E -:041DC1006B73614D92 -:041DC20069726220C0 -:041DC3006E7468676B -:041DC40000737365D0 -:041DC5006E61635395 -:041DC600656E696C71 -:041DC70000000073A5 -:041DC800202E6C530A -:041DC9006572747358 -:041DCA006874676E64 -:041DCB000000000014 -:041DCC00202E6C5306 -:041DCD00726279685D -:041DCE0073206469B1 -:041DCF00002E7274FC -:041DD000202E6C5302 -:041DD1006874656D60 -:041DD2000000646F3A -:041DD300202E6C53FF -:041DD40065746C6165 -:041DD50074616E7255 -:041DD60000676E69CB -:041DD700202E6C53FB -:041DD80067696C616A -:041DD9006E656D6E58 -:041DDA000000007491 -:041DDB00202E6C53F7 -:041DDC006570797441 -:041DDD000000000002 -:041DDE004320203C42 -:041DDF006F74737535 -:041DE0006C53206DB3 -:041DE1003E20202E52 -:041DE20000000000FD -:041DE30070303432F6 -:041DE4003838322F2A -:041DE5007270207088 -:041DE6000000636F27 -:041DE70070343833E9 -:041DE8003030342F34 -:041DE9007270207084 -:041DEA000000636F23 -:041DEB0069303834EF -:041DEC003637352F22 -:041DED007270206987 -:041DEE000000636F1F -:041DEF0070303834E4 -:041DF0003637352F1E -:041DF100727020707C -:041DF2000000636F1B -:041DF30069303639E4 -:041DF4003830312F23 -:041DF50070206930C1 -:041DF60000636F72A5 -:041DF700656E694C60 -:041DF8006D207832B0 -:041DF9000065646FAE -:041DFA00656E694C5D -:041DFB006D207833AC -:041DFC000065646FAB -:041DFD00656E694C5A -:041DFE006D207834A8 -:041DFF000065646FA8 -:041E0000656E694C56 -:041E01006D207835A3 -:041E02000065646FA4 -:041E0300656E694C53 -:041E040066207835A7 -:041E0500616D726F2A -:041E06000000007464 -:041E070078363532C2 -:041E08002030343220 -:041E0900657073612C -:041E0A0000007463FD -:041E0B006D2058549A -:041E0C000065646F9A -:041E0D00494D4448AF -:041E0E0043544920D0 -:041E0F0000000000CF -:041E10006C616E4152 -:041E11007320676F64 -:041E120020636E7962 -:041E13000046504CE9 -:041E14006C616E414E -:041E15005320676F80 -:041E16004C204354C5 -:041E17000000465031 -:041E18006C616E414A -:041E19007320676F5C -:041E1A0020636E795A -:041E1B000068745691 -:041E1C006E79734820 -:041E1D006F7420635B -:041E1E006172656C1C -:041E1F000065636E89 -:041E20006E7973560E -:041E2100687420635E -:041E2200687365720A -:041E230000646C6F7C -:041E24004C502D48A9 -:041E25007250204C8B -:041E26006F432D6574 -:041E2700007473616F -:041E28004C502D48A5 -:041E29006F50204C8A -:041E2A00432D74735D -:041E2B007473616FFC -:041E2C0000000000B2 -:041E2D0070303834A5 -:041E2E00206E692099 -:041E2F00706D6173FE -:041E30000072656C6B -:041E310070303034A9 -:041E3200206E692095 -:041E3300706D6173FA -:041E34000072656C67 -:041E35006F6C6C4121 -:041E3600707520772C -:041E3700706D6173F6 -:041E38007832656C2B -:041E390000000000A5 -:041E3A007664413C4D -:041E3B006974202E78 -:041E3C00676E696DF7 -:041E3D003E20202003 -:041E3E0000000000A0 -:041E3F006564695617 -:041E4000504C206F73 -:041E41000000004657 -:041E4200657665520A -:041E43002065737231 -:041E44000046504CB8 -:041E4500506250593E -:041E46006E6920722F -:041E47006C6F432059 -:041E48000061705372 -:041E490072502F5252 -:041E4A0066666F2039 -:041E4B000074657347 -:041E4C0020592F47A3 -:041E4D007366666FE3 -:041E4E0000007465B7 -:041E4F0062502F426C -:041E500066666F2033 -:041E51000074657341 -:041E520072502F5249 -:041E5300696167203A -:041E54000000006E1C -:041E550020592F479A -:041E56006E696167E9 -:041E57000000000087 -:041E580062502F4263 -:041E59006961672034 -:041E5A000000006E16 -:041E5B002D6572502F -:041E5C00204344419A -:041E5D006E69614702 -:041E5E000000000080 -:041E5F006D616C4302 -:041E60004C412F7052 -:041E6100666F204345 -:041E620074657366CA -:041E6300000000007B -:041E640020434C418A -:041E65006966205634 -:041E66007265746CC1 -:041E67000000000077 -:041E680020434C4186 -:041E6900696620483E -:041E6A007265746CBD -:041E6B000000000073 -:041E6C0065646956EA -:041E6D006E69206F0B -:041E6E006F727020FF -:041E6F003E2020638E -:041E7000000000006E -:041E7100706D6153DC -:041E7200676E696CC2 -:041E730074706F20F8 -:041E74003E20202EBE -:041E75000000000069 -:041E7600636E7953CB -:041E770074706F20F4 -:041E78002020202ED8 -:041E79003E202020C7 -:041E7A000000000064 -:041E7B007074754FBB -:041E7C006F207475EA -:041E7D00202E74702F -:041E7E003E202020C2 -:041E7F00000000005F -:041E80006E616353D9 -:041E8100656E696CB5 -:041E820074706F20E9 -:041E83003E20202EAF -:041E8400000000005A -:041E850074736F50B3 -:041E86006F72702DDA -:041E870020202E6386 -:041E88003E202020B8 -:041E89000000000055 -:041E8A00706D6F43C5 -:041E8B0062697461B3 -:041E8C0074696C69A0 -:041E8D003E2020795A -:041E8E000000000050 -:041E8F0069647541CC -:041E9000706F206FE0 -:041E91006E6F697493 -:041E92003E2020735B -:041E9300000000004B -:041E940074746553AA -:041E950073676E6998 -:041E960074706F20D5 -:041E97003E202020A9 -:041E98000000000046 -:041E990074736554A5 -:041E9A0074617020DF -:041E9B006E7265748A -:041E9C000000000042 -:041E9D005F3156411A -:041E9E005342475212 -:041E9F00000000003F -:041EA0005F31564117 -:041EA10042734752EF -:041EA200000000003C -:041EA3005F31564114 -:041EA40050625059DF -:041EA50000000072C7 -:041EA6005F32564110 -:041EA70050625059DC -:041EA80000000072C4 -:041EA9005F3256410D -:041EAA0042734752E6 -:041EAB000000000033 -:041EAC005F33564109 -:041EAD00484247520E -:041EAE0000000056DA -:041EAF005F33564106 -:041EB0005342475200 -:041EB100000000002D -:041EB2005F33564103 -:041EB30042734752DD +:041DBE006F74754188 +:041DBF003156412038 +:041DC000472F592030 +:041DC10000000073AB +:041DC2006F74754184 +:041DC3003256412033 +:041DC400472F59202C +:041DC50000000073A7 +:041DC6006F74754180 +:041DC700335641202E +:041DC800472F592028 +:041DC90000000073A3 +:041DCA002044434C22 +:041DCB0074204C42F2 +:041DCC006F656D6969 +:041DCD000000747529 +:041DCE000044534F2B +:041DCF002044534F0A +:041DD0007461747353 +:041DD10064207375A2 +:041DD2002E70736993 +:041DD300000000000C +:041DD400736168507F +:041DD5006F682065AE +:041DD60079656B744C +:041DD7000000000008 +:041DD800616F4C3CAF +:041DD90072702064A0 +:041DDA006C69666F5B +:041DDB00003E206541 +:041DDC007661533C9D +:041DDD00727020659B +:041DDE006C69666F57 +:041DDF00003E20653D +:041DE0007365523C99 +:041DE1007320746592 +:041DE2006974746547 +:041DE3003E73676E76 +:041DE40000000000FB +:041DE500706D493C98 +:041DE6002074726F84 +:041DE7007474657338 +:041DE8003E20202E4B +:041DE90000000000F6 +:041DEA007078453C8C +:041DEB002074726F7F +:041DEC007474657333 +:041DED003E20202E46 +:041DEE0000000000F1 +:041DEF002E77463CC9 +:041DF0006470752086 +:041DF1002065746194 +:041DF2003E2020204F +:041DF30000000000EC +:041DF4006E776F4453 +:041DF5006D61732D7C +:041DF6006E696C7036 +:041DF7000000006781 +:041DF800706177534C +:041DF90066656C208F +:041DFA0069722F7467 +:041DFB0000746867A1 +:041DFC006F6E6F4D4A +:041DFD00646F6D2082 +:041DFE00000000657C +:041DFF002D6572508C +:041E000020434441F6 +:041E01006E6961673E +:041E020000000000DC +:041E03006C6C754648 +:041E040020585420EE +:041E05007574657318 +:041E06000000007068 +:041E070020335641ED +:041E08002065737569 +:041E09002E746C6166 +:041E0A0042475220D9 +:041E0B0000000000D3 +:041E0C006166654462 +:041E0D0020746C755C +:041E0E00494D4448AE +:041E0F0043495620CD +:041E100000000000CE +:041E11006B73614D41 +:041E12006C6F63206E +:041E13000000726FEA +:041E14006B73614D3E +:041E1500697262206C +:041E16006E74686717 +:041E1700007373657C +:041E18006E61635341 +:041E1900656E696C1D +:041E1A000000007351 +:041E1B00202E6C53B6 +:041E1C006572747304 +:041E1D006874676E10 +:041E1E0000000000C0 +:041E1F00202E6C53B2 +:041E20007262796809 +:041E2100732064695D +:041E2200002E7274A8 +:041E2300202E6C53AE +:041E24006874656D0C +:041E25000000646FE6 +:041E2600202E6C53AB +:041E270065746C6111 +:041E280074616E7201 +:041E290000676E6977 +:041E2A00202E6C53A7 +:041E2B0067696C6116 +:041E2C006E656D6E04 +:041E2D00000000743D +:041E2E00202E6C53A3 +:041E2F0065707974ED +:041E300000000000AE +:041E31004320203CEE +:041E32006F747375E1 +:041E33006C53206D5F +:041E34003E20202EFE +:041E350000000000A9 +:041E360070303432A2 +:041E37003838322FD6 +:041E38007270207034 +:041E39000000636FD3 +:041E3A007034383395 +:041E3B003030342FE0 +:041E3C007270207030 +:041E3D000000636FCF +:041E3E00693038349B +:041E3F003637352FCE +:041E40007270206933 +:041E41000000636FCB +:041E42007030383490 +:041E43003637352FCA +:041E44007270207028 +:041E45000000636FC7 +:041E46006930363990 +:041E47003830312FCF +:041E4800702069306D +:041E490000636F7251 +:041E4A00656E694C0C +:041E4B006D2078325C +:041E4C000065646F5A +:041E4D00656E694C09 +:041E4E006D20783358 +:041E4F000065646F57 +:041E5000656E694C06 +:041E51006D20783454 +:041E52000065646F54 +:041E5300656E694C03 +:041E54006D20783550 +:041E55000065646F51 +:041E5600656E694C00 +:041E57006D2078364C +:041E58000065646F4E +:041E5900656E694CFD +:041E5A006620783551 +:041E5B00616D726FD4 +:041E5C00000000740E +:041E5D00783635326C +:041E5E0020303432CA +:041E5F0065707361D6 +:041E600000007463A7 +:041E61006D20585444 +:041E62000065646F44 +:041E6300494D444859 +:041E6400435449207A +:041E65000000000079 +:041E66006C616E41FC +:041E67007320676F0E +:041E680020636E790C +:041E69000046504C93 +:041E6A006C616E41F8 +:041E6B005320676F2A +:041E6C004C2043546F +:041E6D0000004650DB +:041E6E006C616E41F4 +:041E6F007320676F06 +:041E700020636E7904 +:041E7100006874563B +:041E72006E797348CA +:041E73006F74206305 +:041E74006172656CC6 +:041E75000065636E33 +:041E76006E797356B8 +:041E77006874206308 +:041E780068736572B4 +:041E790000646C6F26 +:041E7A004C502D4853 +:041E7B007250204C35 +:041E7C006F432D651E +:041E7D000074736119 +:041E7E004C502D484F +:041E7F006F50204C34 +:041E8000432D747307 +:041E81007473616FA6 +:041E8200000000005C +:041E8300703038344F +:041E8400206E692043 +:041E8500706D6173A8 +:041E86000072656C15 +:041E87007030303453 +:041E8800206E69203F +:041E8900706D6173A4 +:041E8A000072656C11 +:041E8B006F6C6C41CB +:041E8C0070752077D6 +:041E8D00706D6173A0 +:041E8E007832656CD5 +:041E8F00000000004F +:041E90007664413CF7 +:041E91006974202E22 +:041E9200676E696DA1 +:041E93003E202020AD +:041E9400000000004A +:041E950065646956C1 +:041E9600504C206F1D +:041E97000000004601 +:041E980065766552B4 +:041E990020657372DB +:041E9A000046504C62 +:041E9B0050625059E8 +:041E9C006E692072D9 +:041E9D006C6F432003 +:041E9E00006170531C +:041E9F0072502F52FC +:041EA00066666F20E3 +:041EA10000746573F1 +:041EA20020592F474D +:041EA3007366666F8D +:041EA4000000746561 +:041EA50062502F4216 +:041EA60066666F20DD +:041EA70000746573EB +:041EA80072502F52F3 +:041EA90069616720E4 +:041EAA000000006EC6 +:041EAB0020592F4744 +:041EAC006E69616793 +:041EAD000000000031 +:041EAE0062502F420D +:041EAF0069616720DE +:041EB0000000006EC0 +:041EB1002D657250D9 +:041EB2002043444144 +:041EB3006E696147AC :041EB400000000002A -:041EB5005F33564100 -:041EB60050625059CD -:041EB70000000072B5 -:041EB8007473614C92 -:041EB90065737520B8 -:041EBA0000000064C0 -:041EBB0000000031F2 -:041EBC0000000032F0 -:041EBD0000000033EE -:041EBE0000000034EC -:041EBF0000000036E9 -:041EC00000000037E7 -:041EC10000000038E5 -:041EC20000000039E3 -:041EC300554E454DE6 -:041EC400000000001A -:041EC50000004B4F7F -:041EC6004B43414207 -:041EC7000000000017 -:041EC8000000505571 -:041EC9004E574F44DD -:041ECA000000000014 -:041ECB005446454CE8 -:041ECC000000000012 -:041ECD0048474952E7 -:041ECE0000000054BC -:041ECF004F464E49E3 +:041EB5006D616C43AC +:041EB6004C412F70FC +:041EB700666F2043EF +:041EB8007465736674 +:041EB9000000000025 +:041EBA0020434C4134 +:041EBB0069662056DE +:041EBC007265746C6B +:041EBD000000000021 +:041EBE0020434C4130 +:041EBF0069662048E8 +:041EC0007265746C67 +:041EC100000000001D +:041EC2006564695694 +:041EC3006E69206FB5 +:041EC4006F727020A9 +:041EC5003E20206338 +:041EC6000000000018 +:041EC700706D615386 +:041EC800676E696C6C +:041EC90074706F20A2 +:041ECA003E20202E68 +:041ECB000000000013 +:041ECC00636E795375 +:041ECD0074706F209E +:041ECE002020202E82 +:041ECF003E20202071 :041ED000000000000E -:041ED1005F44434CDB -:041ED2004B434142FB -:041ED3004847494CE7 -:041ED40000000054B6 -:041ED5004E414353E4 -:041ED600454E494CE0 -:041ED700444F4D5FC8 -:041ED80000000045C1 -:041ED9004E414353E0 -:041EDA00454E494CDC -:041EDB005059545FA7 -:041EDC0000000045BD -:041EDD004E414353DC -:041EDE00454E494CD8 -:041EDF00544E495FB5 -:041EE0000000002BD3 -:041EE1004E414353D8 -:041EE200454E494CD4 -:041EE300544E495FB1 -:041EE4000000002DCD -:041EE500454E494CD1 -:041EE600544C554DB6 -:041EE700444F4D5FB8 -:041EE80000000045B1 -:041EE90053414850C9 -:041EEA0000002B4584 -:041EEB0053414850C7 -:041EEC0000002D4580 -:041EED00464F5250BA -:041EEE005F454C49B7 -:041EEF004B544F48B9 -:041EF0000000594550 -:041EF10003030200E5 -:041EF20002000102E7 -:041EF30000000003E8 -:041EF40000017A640B -:041EF50000017A74FA -:041EF60000017A80ED -:041EF70000017A8CE0 -:041EF80000017A98D3 -:041EF90000017AA4C6 -:041EFA0000017AB0B9 -:041EFB0000017ABCAC -:041EFC0000017AC89F -:041EFD0000017AD492 -:041EFE0000017AE085 -:041EFF000001750C5D -:041F000000002000BD -:041F010020002CE5AB -:041F0200E926F4FDDB -:041F030038BC2000C6 -:041F040000000000D9 -:041F0500000175184A -:041F060000002000B7 -:041F07002000323E46 -:041F0800F113FA04D3 -:041F09003B61200018 +:041ED1007074754F65 +:041ED2006F20747594 +:041ED300202E7470D9 +:041ED4003E2020206C +:041ED5000000000009 +:041ED6006E61635383 +:041ED700656E696C5F +:041ED80074706F2093 +:041ED9003E20202E59 +:041EDA000000000004 +:041EDB0074736F505D +:041EDC006F72702D84 +:041EDD0020202E6330 +:041EDE003E20202062 +:041EDF0000000000FF +:041EE000706D6F436F +:041EE100626974615D +:041EE20074696C694A +:041EE3003E20207904 +:041EE40000000000FA +:041EE5006964754176 +:041EE600706F206F8A +:041EE7006E6F69743D +:041EE8003E20207305 +:041EE90000000000F5 +:041EEA007474655354 +:041EEB0073676E6942 +:041EEC0074706F207F +:041EED003E20202053 +:041EEE0000000000F0 +:041EEF00747365544F +:041EF0007461702089 +:041EF1006E72657434 +:041EF20000000000EC +:041EF3005F315641C4 +:041EF40053424752BC +:041EF50000000000E9 +:041EF6005F315641C1 +:041EF7004273475299 +:041EF80000000000E6 +:041EF9005F315641BE +:041EFA005062505989 +:041EFB000000007271 +:041EFC005F325641BA +:041EFD005062505986 +:041EFE00000000726E +:041EFF005F325641B7 +:041F0000427347528F +:041F010000000000DC +:041F02005F335641B2 +:041F030048424752B7 +:041F04000000005683 +:041F05005F335641AF +:041F060053424752A9 +:041F070000000000D6 +:041F08005F335641AC +:041F09004273475286 :041F0A0000000000D3 -:041F0B000D806000E5 -:041F0C000040201061 -:041F0D000880002028 -:041F0E0000080002C5 -:041F0F0000000000CE -:041F10000D806000E0 -:041F11000040200864 -:041F12000480002027 -:041F130000080002C0 -:041F140000000000C9 -:041F15000D806000DB -:041F160000441C0760 -:041F17000280002024 -:041F180000080002BB -:041F190000000000C4 -:041F1A000D806000D6 -:041F1B00004020045E -:041F1C00028000201F -:041F1D0000080002B6 -:041F1E0000000000BF -:041F1F000D806000D1 -:041F200000441C0558 -:041F2100018000201B -:041F220000080002B1 -:041F230000000000BA -:041F24000E4060000B -:041F25000028140775 -:041F26000280002015 -:041F270000080002AC -:041F280000000000B5 -:041F290000019A8F8A -:041F2A000000000EA5 -:041F2B00000125226A -:041F2C0000017AEC4A -:041F2D0000017AF045 -:041F2E0000017AF440 -:041F2F0000017AF83B -:041F300000016FB489 -:041F310000017AFC35 -:041F320000017B002F -:041F330000017B042A -:041F340000017B0825 -:041F350000017370C4 -:041F360000017B0C1F -:041F370000017B1416 -:041F380000017B1811 -:041F390000017B2008 -:041F3A0000017B2403 -:041F3B0000017B2CFA -:041F3C0000017B34F1 -:041F3D0000017B3CE8 -:041F3E0000017B44DF -:041F3F0000017B54CE -:041F400000017B64BD -:041F410000017B74AC -:041F420000017B849B -:041F430000017B948A -:041F440000017BA479 -:041F450000017BAC70 -:041F460000017BB467 -:041F47003EA93E2948 -:041F48003EE93E69C7 -:041F49003E993E1966 -:041F4A003ED93E59E5 -:041F4B003EC93E3914 -:041F4C003E1D3E4DAB -:041F4D003E2D3EEDFA -:041F4E003EAD3ECD99 -:041F4F003E653E6D40 -:041F50001C483E01EA -:041F51001C501C18EC -:041F52001CC81CD0BB -:041F53005ED85E589E -:041F540000003EB992 -:041F55000001010185 -:041F56000001000185 -:041F57000000000086 -:041F58008000000005 -:041F59000000000084 -:041F5A000000000182 -:041F5B000000000082 -:041F5C000000000081 -:041F5D000000000080 -:041F5E000B0000086C -:041F5F000001440633 +:041F0B005F335641A9 +:041F0C005062505976 +:041F0D00000000725E +:041F0E007473614C3B +:041F0F006573752061 +:041F10000000006469 +:041F1100000000319B +:041F12000000003299 +:041F13000000003397 +:041F14000000003495 +:041F15000000003692 +:041F16000000003790 +:041F1700000000388E +:041F1800000000398C +:041F1900554E454D8F +:041F1A0000000000C3 +:041F1B0000004B4F28 +:041F1C004B434142B0 +:041F1D0000000000C0 +:041F1E00000050551A +:041F1F004E574F4486 +:041F200000000000BD +:041F21005446454C91 +:041F220000000000BB +:041F23004847495290 +:041F24000000005465 +:041F25004F464E498C +:041F260000000000B7 +:041F27005F44434C84 +:041F28004B434142A4 +:041F29004847494C90 +:041F2A00000000545F +:041F2B004E4143538D +:041F2C00454E494C89 +:041F2D00444F4D5F71 +:041F2E00000000456A +:041F2F004E41435389 +:041F3000454E494C85 +:041F31005059545F50 +:041F32000000004566 +:041F33004E41435385 +:041F3400454E494C81 +:041F3500544E495F5E +:041F36000000002B7C +:041F37004E41435381 +:041F3800454E494C7D +:041F3900544E495F5A +:041F3A000000002D76 +:041F3B00454E494C7A +:041F3C00544C554D5F +:041F3D00444F4D5F61 +:041F3E00000000455A +:041F3F005341485072 +:041F400000002B452D +:041F41005341485070 +:041F420000002D4529 +:041F4300464F525063 +:041F44005F454C4960 +:041F45004B544F4862 +:041F460000005945F9 +:041F4700030302008E +:041F48000200010290 +:041F49000000000391 +:041F4A0000017BBC5B +:041F4B0000017BCC4A +:041F4C0000017BD83D +:041F4D0000017BE430 +:041F4E0000017BF023 +:041F4F0000017BFC16 +:041F500000017C0808 +:041F510000017C14FB +:041F520000017C20EE +:041F530000017C2CE1 +:041F540000017C38D4 +:041F550000017658B9 +:041F56000000200067 +:041F570020002CE555 +:041F5800E926F4FD85 +:041F590038BC200070 +:041F5A000000000083 +:041F5B0000017664A7 +:041F5C000000200061 +:041F5D002000323EF0 +:041F5E00F113FA047D +:041F5F003B612000C2 :041F6000000000007D -:041F61008080090370 -:041F62001A1A1A80AD -:041F63000C00010865 -:041F64000000000079 -:041F650000000A006E -:041F660030303631B0 -:041F67003034327868 -:041F68000000000075 -:041F690000F006403E -:041F6A0007FE1770E7 -:041F6B00010600006B -:041F6C00000F00CA98 -:041F6D0000000396D7 -:041F6E00010000105E -:041F6F00000000016D -:041F7000002000004D -:041F710030383231A1 -:041F7200303432785D -:041F7300000000006A -:041F740000F0050074 -:041F750006181770C3 -:041F76000106000060 -:041F7700000F00AAAD -:041F7800000003481A -:041F79000100001053 -:041F7A000000000162 -:041F7B00000102005F -:041F7C00783036394A -:041F7D0000303432CA +:041F61000D8060008F +:041F6200004020100B +:041F630008800020D2 +:041F6400000800026F +:041F65000000000078 +:041F66000D8060008A +:041F6700004020080E +:041F680004800020D1 +:041F6900000800026A +:041F6A000000000073 +:041F6B000D80600085 +:041F6C0000441C070A +:041F6D0002800020CE +:041F6E000008000265 +:041F6F00000000006E +:041F70000D80600080 +:041F71000040200408 +:041F720002800020C9 +:041F73000008000260 +:041F74000000000069 +:041F75000D8060007B +:041F760000441C0502 +:041F770001800020C5 +:041F7800000800025B +:041F79000000000064 +:041F7A000D80600076 +:041F7B000030180218 +:041F7C0001800020C0 +:041F7D000008000256 :041F7E00000000005F -:041F7F0000F003C0AB -:041F80000492177040 -:041F81000106000055 -:041F8200000F0080CC -:041F83000000033621 -:041F84000100001048 -:041F85000000000157 -:041F86000000040053 -:041F87007832313546 -:041F880000303432BF -:041F89000000000054 -:041F8A0000F0020061 -:041F8B0002AA17701F -:041F8C00010600004A -:041F8D00000E004DF5 -:041F8E00000003321A -:041F8F00010000103D -:041F9000000000014C -:041F910000420810F2 -:041F92007834383334 -:041F930000303432B4 -:041F94000000000049 -:041F950000F00180D7 -:041F960002001770BE -:041F9700010600003F -:041F9800000E003BFC -:041F9900000003251C -:041F9A000100001032 -:041F9B000000000141 -:041F9C00008410208D -:041F9D007830323333 -:041F9E0000303432A9 -:041F9F00000000003E -:041FA00000F001400C -:041FA10001AA17700A -:041FA2000106000034 -:041FA300000E0031FB -:041FA4000000031F17 -:041FA5000100001027 -:041FA6000000000136 -:041FA70001082040CD -:041FA8007836353220 -:041FA900003034329E -:041FAA000000000033 -:041FAB0000F0010041 -:041FAC000155177054 -:041FAD000106000029 -:041FAE00000E0027FA -:041FAF000000031912 -:041FB000010000101C -:041FB100000000012B -:041FB2000210408059 -:041FB3007030343224 -:041FB4000000000029 -:041FB5000008000020 -:041FB60000F002D065 -:041FB700035A17753D -:041FB800010600001E -:041FB900000F0039DC -:041FBA000000033EE2 -:041FBB000100001011 -:041FBC000000000120 -:041FBD000000000C14 -:041FBE003030363158 -:041FBF003034327810 -:041FC0000000004CD1 -:041FC10000F00640E6 -:041FC20007FE13887B -:041FC30001380000E1 -:041FC400002B00CA24 -:041FC500000003967F -:041FC6000100001006 -:041FC7000000000214 -:041FC80000200000F5 -:041FC9003038323149 -:041FCA0038383278F9 -:041FCB000000000012 -:041FCC0001200500EB -:041FCD000618138857 -:041FCE0001380000D6 -:041FCF00001300AA51 -:041FD00000000348C2 -:041FD10001000010FB -:041FD2000000000209 -:041FD3000001020007 -:041FD40078303639F2 -:041FD5000038383266 -:041FD6000000000007 -:041FD700012003C022 -:041FD80004921388D4 -:041FD90001380000CB -:041FDA000013008070 -:041FDB0000000336C9 -:041FDC0001000010F0 -:041FDD0000000002FE -:041FDE0000000400FB -:041FDF0078323135EE -:041FE0004C3034321B -:041FE10000000042BA -:041FE20000F0020009 -:041FE30002AA1388B3 -:041FE40001380000C0 -:041FE5000029004D82 -:041FE60000000332C2 -:041FE70001000010E5 -:041FE80000000002F3 -:041FE900004208109A -:041FEA0078343833DC -:041FEB004C30343210 -:041FEC0000000042AF -:041FED0000F001807F -:041FEE000200138852 -:041FEF0001380000B5 -:041FF0000029003B89 -:041FF10000000325C4 -:041FF20001000010DA -:041FF30000000002E8 -:041FF4000084102035 -:041FF50078303233DB -:041FF6004C30343205 -:041FF70000000042A4 -:041FF80000F00140B4 -:041FF90001AA13889E -:041FFA0001380000AA -:041FFB000029003188 -:041FFC000000031FBF -:041FFD0001000010CF -:041FFE0000000002DD -:041FFF000108204075 -:0420000078363532C7 -:042001004C303432F9 -:042002000000004298 -:0420030000F00100E8 -:0420040001551388E7 -:04200500013800009E -:042006000029002786 -:0420070000000319B9 -:0420080001000010C3 -:0420090000000002D1 -:04200A000210408000 -:04200B0070383832BF -:04200C0000000000D0 -:04200D0000170000B8 -:04200E00012002D0DB -:04200F0003601390C7 -:042010000138000093 -:042011000013004573 -:042012000000033F88 -:0420130001000010B8 -:0420140000000002C6 -:042015000000000CBB -:0420160078303834B2 -:04201700003036332C -:0420180000000000C4 -:04201900016801E079 -:04201A0002581770E1 -:04201B000177000049 -:04201C00000A003F77 -:04201D000000032696 -:04201E0002000010AC -:04201F0000000003BA -:042020000000000CB0 -:0420210078303432AD -:042022000030363321 -:0420230000000000B9 -:04202400016801004E -:04202500012C177003 -:04202600017700003E -:04202700000A001893 -:04202800000003129F -:0420290002000010A1 -:04202A0000000003AF -:04202B000000810030 -:04202C0070343833A1 -:04202D0000000000AF -:04202E0000000000AE -:04202F00018001F03B -:042030000280157C99 -:0420310001A7000003 -:04203200001D00325B -:042033000000033E68 -:042034000200001096 -:0420350000000003A4 -:042036000000000C9A -:0420370030303631DE -:042038003030347898 -:0420390000000000A3 -:04203A0001900640CB -:04203B0007D01B5857 -:04203C0001C10000DE -:04203D000022007805 -:04203E00000002F0AC -:04203F000800001085 -:042040000000000399 -:042041000000020099 -:042042007830323789 -:042043005F303034A6 -:042044000000303731 -:04204500019002D034 -:0420460003841B589C -:0420470001C10000D3 -:042048000022004032 -:042049000000026031 -:04204A00080000107A -:04204B00000000038E -:04204C000000000C84 -:04204D00783034367D -:04204E005F3030349B -:04204F000000303726 -:042050000190028079 -:0420510003201B58F5 -:0420520001C10000C8 -:042053000022003037 -:042054000000026026 -:04205500080000106F -:042056000000000383 -:042057000000000C79 -:042058007830343672 -:0420590000343833E4 -:04205A000000000082 -:04205B00018002807E -:04205C000320157CCC -:04205D0001EC000092 -:04205E00003F00300F -:04205F00000002601B -:042060000800001064 -:042061000000000378 -:042062000000000C6E -:042063006930383474 -:042064000000000078 -:042065000006000071 -:0420660000F002D0B4 -:04206700035A176A97 -:04206800020D000065 -:04206900000F00392B -:04206A000001033E30 -:04206B000100001060 -:04206C00000000046C -:04206D000001020C60 -:04206E007030383462 -:04206F00000000006D -:04207000000200006A -:0420710001E002D0B8 -:04207200035A176A8C -:04207300020D00005A -:04207400001E003C0E -:042075000000063E23 -:042076000200001054 -:04207700000000065F -:042078000000000C58 -:042079007830343651 -:04207A005F30383467 -:04207B0000013036FA -:04207C0001E00280FD -:04207D0003201770B5 -:04207E00020D00004F -:04207F00002100300C -:0420800000000260FA -:042081000800001043 -:042082000000000654 -:042083000000000C4D -:042084007830383444 -:0420850000323732BC -:042086000003000053 -:04208700011001E063 -:04208800035A177070 -:04208900020D000044 -:04208A00008600B11B -:04208B000000063E0D -:04208C00020000103E -:04208D000000000649 -:04208E000000000C42 -:04208F00783034363B -:0420900000323135B4 -:04209100000000004B -:0420920002000280C6 -:04209300032017709F -:04209400023800000E -:0420950000220030F5 -:0420960000000660E0 -:04209700080000102D -:04209800000000063E -:042099000000000C37 -:04209A006936373537 -:04209B000000000041 -:04209C00001500002B -:04209D00012002D04C -:04209E000360138840 -:04209F0002710000CA -:0420A00000130045E4 -:0420A1000001033FF8 -:0420A2000100001029 -:0420A3000000000534 -:0420A4000001020C29 -:0420A5007036373525 -:0420A6000000000036 -:0420A7000011000024 -:0420A800024002D020 -:0420A9000360138835 -:0420AA0002710000BF -:0420AB0000270044C6 -:0420AC0000000540EB -:0420AD00020000101D -:0420AE000000000727 -:0420AF000000000C21 -:0420B000783030381C -:0420B1005F30303636 -:0420B20000003036C4 -:0420B30002580320AC -:0420B400042017707D -:0420B50002740000B1 -:0420B60000170058B7 -:0420B70000000480A1 -:0420B800080000100C -:0420B9000000000023 -:0420BA00000000041E -:0420BB007030323718 -:0420BC000030355F5C -:0420BD00001300000C -:0420BE0002D0050047 -:0420BF0007BC1388BF -:0420C00002EE00002C -:0420C100001400DC2B -:0420C20000000528ED -:0420C3000C000010FD -:0420C4000000000810 -:0420C5000000000413 -:0420C600703032370D -:0420C7000030365F50 -:0420C8000004000010 -:0420C90002D005003C -:0420CA000672177013 -:0420CB0002EE000021 -:0420CC00001400DC20 -:0420CD0000000528E2 -:0420CE000C000010F2 -:0420CF000000000805 -:0420D0000000000408 -:0420D1003432303144 -:0420D20038363778ED -:0420D3000000000009 -:0420D4000300040001 -:0420D500054017703B -:0420D60003260000DD -:0420D700001D00A048 -:0420D8000000068876 -:0420D90008000010EB -:0420DA000000000002 -:0420DB0000000004FD -:0420DC003038323135 -:0420DD0030363978E8 -:0420DE0000000000FE -:0420DF0003C0050035 -:0420E0000708177066 -:0420E10003E8000010 -:0420E200002401389D -:0420E3000000037086 -:0420E40008000010E0 -:0420E50000000000F7 -:0420E60000000004F2 -:0420E700303832312A -:0420E80032303178E9 -:0420E90000000034BF -:0420EA0004000500E9 -:0420EB0006981770CC -:0420EC00042A0000C2 -:0420ED00002600F8D1 -:0420EE00000003707B -:0420EF0008000010D5 -:0420F00000000000EC -:0420F10000000004E7 -:0420F20078303436D8 -:0420F30069303639E1 -:0420F40000000000E8 -:0420F50001E0028084 -:0420F600032017703C -:0420F700041A0000C7 -:0420F8000021003093 -:0420F9000001026080 -:0420FA0002000010D0 -:0420FB0000000009D8 -:0420FC000000000CD4 -:0420FD003038303116 -:0420FE0030355F69B1 -:0420FF0000140000C9 -:04210000021C078036 -:042101000A501388E5 -:042102000465000070 -:04210300000F009435 -:042104000001052CA5 -:042105000C000010BA -:0421060000000009CC -:042107000000000CC8 -:04210800303830310A -:0421090030365F69A4 -:04210A0000050000CC -:04210B00021C07802B -:04210C0008981770A8 -:04210D000465000065 -:04210E00000F00942A -:04210F000001052C9A -:042110000C000010AF -:0421110000000009C1 -:042112000000000CBD -:0421130030383031FF -:0421140030355F7093 -:04211500001F0000A7 -:042116000438078002 -:042117000A501388CF -:04211800046500005A -:04211900002400940A -:04211A000000052C90 -:04211B000C000010A4 -:04211C000000000AB5 -:04211D0000000004BA -:04211E0030383031F4 -:04211F0030365F7087 -:0421200000100000AB -:0421210004380780F7 -:042122000898177092 -:04212300046500004F -:0421240000240094FF -:042125000000052C85 -:042126000C00001099 -:042127000000000AAA -:0421280000000004AF -:0421290030303631EB -:04212A0030323178A6 -:04212B000000003080 -:04212C0004B00640B5 -:04212D0008701770AF -:04212E0004E20000C7 -:04212F00002E01304D -:04213000000003C0E8 -:042131000800001092 -:0421320000000000A9 -:0421330000000004A4 -:042134007665642F39 -:042135006370652F3F -:042136006F635F7103 -:042137006F72746EE1 -:0421380072656C6CF4 -:042139005F305F3282 -:04213A005F6C7661FF -:04213B00006D656D61 -:04213C002B302D23F4 -:04213D00000000207E -:04213E00004C6C687D -:04213F004567666525 -:04214000000047460E -:0421410033323130D4 -:0421420037363534C3 -:0421430042413938A4 -:042144004645444385 -:042145000000000096 -:0421460033323130CF -:0421470037363534BE -:04214800626139385F -:042149006665646300 -:04214A000000000091 -:04214B000001671A0E -:04214C0000016730F7 -:04214D00000166F433 -:04214E00000166F432 -:04214F00000166F431 -:04215000000166F430 -:0421510000016730F2 -:04215200000166F42E -:04215300000166F42D -:04215400000166F42C -:04215500000166F42B -:042156000001689488 -:0421570000016772AA -:0421580000016866B4 -:04215900000166F427 -:04215A00000166F426 -:04215B00000168C84F -:04215C00000166F424 -:04215D0000016772A4 -:04215E00000166F422 -:04215F00000166F421 -:042160000001686EA4 -:04216100C896554B7C -:042162000000000B6E -:04216300000187846C -:042164000000000473 -:04216500000188608D -:042166000000000372 -:04216700000188B03B -:042168000000000D66 -:04216900000188ECFD -:04216A000000000968 -:04216B00000189F0F6 -:04216C000000000D62 -:04216D0000018AA43F -:04216E00000000026B -:04216F0000018BA838 -:042170000000000467 -:0421710000018BD00E -:042172000000000861 -:0421730000018C20BB -:042174000000001156 -:0421750000018CC019 -:04217600000000075E -:0421770000018E14C1 -:042178000000000D56 -:0421790000018EA033 -:04217A000000000061 -:04217B000000000060 -:04217C00000184D00A -:04217D00000000005E -:04217E00000000005D -:04217F00000102EA6F -:04218000000103A2B5 -:04218100000101AAAE -:04218200000101C88F -:042183000001022035 -:0421840000800000D7 -:042185000020000036 -:042186000000000055 -:042187000000000054 -:042188000000000053 -:042189000000000052 -:04218A000000000051 -:04218B000000000050 -:04218C00000000004F -:04218D00000000004E -:04218E00000000004D -:04218F00000000004C -:04219000000000004B -:04219100000000004A -:042192000000000049 -:042193000000000048 -:042194000000000047 +:041F7F000E406000B0 +:041F8000002814071A +:041F810002800020BA +:041F82000008000251 +:041F8300000000005A +:041F840000019BB706 +:041F85000000000E4A +:041F8600000125220F +:041F870000017C4495 +:041F880000017C4890 +:041F890000017C4C8B +:041F8A0000017C5086 +:041F8B00000170F8E9 +:041F8C0000017C5480 +:041F8D0000017C587B +:041F8E0000017C5C76 +:041F8F0000017C6071 +:041F9000000174B424 +:041F910000017C646B +:041F920000017C6C62 +:041F930000017C705D +:041F940000017C7854 +:041F950000017C7C4F +:041F960000017C8446 +:041F970000017C8C3D +:041F980000017C9434 +:041F990000017C9C2B +:041F9A0000017CAC1A +:041F9B0000017CBC09 +:041F9C0000017CCCF8 +:041F9D0000017CDCE7 +:041F9E0000017CECD6 +:041F9F0000017CFCC5 +:041FA00000017D04BB +:041FA10000017D0CB2 +:041FA2003EA93E29ED +:041FA3003EE93E696C +:041FA4003E993E190B +:041FA5003ED93E598A +:041FA6003EC93E39B9 +:041FA7003E1D3E4D50 +:041FA8003E2D3EED9F +:041FA9003EAD3ECD3E +:041FAA003E653E6DE5 +:041FAB001C483E018F +:041FAC001C501C1891 +:041FAD001CC81CD060 +:041FAE005ED85E5843 +:041FAF0000003EB937 +:041FB000000101012A +:041FB100000100012A +:041FB200000000002B +:041FB300000000002A +:041FB40000000080A9 +:041FB5000000010027 +:041FB6000000000027 +:041FB7000000000026 +:041FB8000000000025 +:041FB900000008001C +:041FBA000144060BCD +:041FBB000000000022 +:041FBC008009030095 +:041FBD001A1A8080EC +:041FBE000001081AFC +:041FBF000000000C12 +:041FC000000A000013 +:041FC1003030363155 +:041FC200303432780D +:041FC300000000001A +:041FC40000F00640E3 +:041FC50007FE17708C +:041FC6000106000010 +:041FC700000F00CA3D +:041FC800000003967C +:041FC9000100001003 +:041FCA000000000112 +:041FCB0000200000F2 +:041FCC003038323146 +:041FCD003034327802 +:041FCE00000000000F +:041FCF0000F0050019 +:041FD0000618177068 +:041FD1000106000005 +:041FD200000F00AA52 +:041FD30000000348BF +:041FD40001000010F8 +:041FD5000000000107 +:041FD6000001020004 +:041FD70078303639EF +:041FD800003034326F +:041FD9000000000004 +:041FDA0000F003C050 +:041FDB0004921770E5 +:041FDC0001060000FA +:041FDD00000F008071 +:041FDE0000000336C6 +:041FDF0001000010ED +:041FE00000000001FC +:041FE10004000400F4 +:041FE20078323135EB +:041FE3000030343264 +:041FE40000000000F9 +:041FE50000F0020006 +:041FE60002AA1770C4 +:041FE70001060000EF +:041FE800000E004D9A +:041FE90000000332BF +:041FEA0001000010E2 +:041FEB0000000001F1 +:041FEC00084208108F +:041FED0078343833D9 +:041FEE000030343259 +:041FEF0000000000EE +:041FF00000F001807C +:041FF1000200177063 +:041FF20001060000E4 +:041FF300000E003BA1 +:041FF40000000325C1 +:041FF50001000010D7 +:041FF60000000001E6 +:041FF7001084102022 +:041FF80078303233D8 +:041FF900003034324E +:041FFA0000000000E3 +:041FFB0000F00140B1 +:041FFC0001AA1770AF +:041FFD0001060000D9 +:041FFE00000E0031A0 +:041FFF000000031FBC +:0420000001000010CB +:0420010000000001DA +:042002002108204051 +:0420030078363532C4 +:042004000030343242 +:0420050000000000D7 +:0420060000F00100E5 +:0420070001551770F8 +:0420080001060000CD +:04200900000E00279E +:04200A0000000319B6 +:04200B0001000010C0 +:04200C0000000001CF +:04200D0042104080BD +:04200E0070303432C8 +:04200F0000000000CD +:0420100000080000C4 +:0420110000F002D009 +:04201200035A1775E1 +:0420130001060000C2 +:04201400000F003980 +:042015000000033E86 +:0420160001000010B5 +:0420170000000001C4 +:042018000000000CB8 +:0420190030383231F8 +:04201A0038383278A8 +:04201B0000000000C1 +:04201C00012005009A +:04201D000618138806 +:04201E000138000085 +:04201F00001300AA00 +:042020000000034871 +:0420210001000010AA +:0420220000000002B8 +:0420230004010200B2 +:0420240078303639A1 +:042025000038383215 +:0420260000000000B6 +:04202700012003C0D1 +:042028000492138883 +:04202900013800007A +:04202A00001300801F +:04202B000000033678 +:04202C00010000109F +:04202D0000000002AD +:04202E00002004008A +:04202F00783231359D +:042030004C303432CA +:042031000000004269 +:0420320000F00200B8 +:0420330002AA138862 +:04203400013800006F +:042035000029004D31 +:042036000000033271 +:042037000100001094 +:0420380000000002A2 +:042039000842081041 +:04203A00783438338B +:04203B004C303432BF +:04203C00000000425E +:04203D0000F001802E +:04203E000200138801 +:04203F000138000064 +:042040000029003B38 +:042041000000032573 +:042042000100001089 +:042043000000000297 +:0420440010841020D4 +:04204500783032338A +:042046004C303432B4 +:042047000000004253 +:0420480000F0014063 +:0420490001AA13884D +:04204A000138000059 +:04204B000029003137 +:04204C000000031F6E +:04204D00010000107E +:04204E00000000028C +:04204F002108204004 +:042050007836353277 +:042051004C303432A9 +:042052000000004248 +:0420530000F0010098 +:042054000155138897 +:04205500013800004E +:042056000029002736 +:042057000000031969 +:042058000100001073 +:042059000000000281 +:04205A004210408070 +:04205B00703838326F +:04205C000000000080 +:04205D000017000068 +:04205E00012002D08B +:04205F000360139077 +:042060000138000043 +:042061000013004523 +:042062000000033F38 +:042063000100001068 +:042064000000000276 +:042065000000000C6B +:042066007830383462 +:0420670000303633DC +:042068000000000074 +:04206900016801E029 +:04206A000258177091 +:04206B0001770000F9 +:04206C00000A003F27 +:04206D000000032646 +:04206E00020000105C +:04206F00000000036A +:042070000000000C60 +:04207100783034325D +:0420720000303633D1 +:042073000000000069 +:0420740001680100FE +:04207500012C1770B3 +:0420760001770000EE +:04207700000A001843 +:04207800000003124F +:042079000200001051 +:04207A00000000035F +:04207B0000008100E0 +:04207C007034383351 +:04207D00000000005F +:04207E00000000005E +:04207F00018001F0EB +:042080000280157C49 +:0420810001A70000B3 +:04208200001D00320B +:042083000000033E18 +:042084000200001046 +:042085000000000354 +:042086000000000C4A +:04208700303036318E +:042088003030347848 +:042089000000000053 +:04208A00019006407B +:04208B0007D01B5807 +:04208C0001C100008E +:04208D0000220078B5 +:04208E00000002F05C +:04208F000800001035 +:042090000000000349 +:042091000000020049 +:042092007830323739 +:042093005F30303456 +:0420940000003037E1 +:04209500019002D0E4 +:0420960003841B584C +:0420970001C1000083 +:0420980000220040E2 +:0420990000000260E1 +:04209A00080000102A +:04209B00000000033E +:04209C000000000C34 +:04209D00783034362D +:04209E005F3030344B +:04209F0000003037D6 +:0420A0000190028029 +:0420A10003201B58A5 +:0420A20001C1000078 +:0420A30000220030E7 +:0420A40000000260D6 +:0420A500080000101F +:0420A6000000000333 +:0420A7000000000C29 +:0420A8007830343622 +:0420A9000034383394 +:0420AA000000000032 +:0420AB00018002802E +:0420AC000320157C7C +:0420AD0001EC000042 +:0420AE00003F0030BF +:0420AF0000000260CB +:0420B0000800001014 +:0420B1000000000328 +:0420B2000000000C1E +:0420B3006930383424 +:0420B4000000000028 +:0420B5000006000021 +:0420B60000F002D064 +:0420B700035A176A47 +:0420B800020D000015 +:0420B900000F0039DB +:0420BA000001033EE0 +:0420BB000100001010 +:0420BC00000000041C +:0420BD000001020C10 +:0420BE007030383412 +:0420BF00000000001D +:0420C000000200001A +:0420C10001E002D068 +:0420C200035A176A3C +:0420C300020D00000A +:0420C400001E003CBE +:0420C5000000063ED3 +:0420C6000200001004 +:0420C700000000060F +:0420C8000000000C08 +:0420C9007830343601 +:0420CA005F30383417 +:0420CB0000013036AA +:0420CC0001E00280AD +:0420CD000320177065 +:0420CE00020D0000FF +:0420CF0000210030BC +:0420D00000000260AA +:0420D10008000010F3 +:0420D2000000000604 +:0420D3000000000CFD +:0420D40078303834F4 +:0420D500003237326C +:0420D6000003000003 +:0420D700011001E013 +:0420D800035A177020 +:0420D900020D0000F4 +:0420DA00008600B1CB +:0420DB000000063EBD +:0420DC0002000010EE +:0420DD0000000006F9 +:0420DE000000000CF2 +:0420DF0078303436EB +:0420E0000032313564 +:0420E10000000000FB +:0420E2000200028076 +:0420E300032017704F +:0420E40002380000BE +:0420E50000220030A5 +:0420E6000000066090 +:0420E70008000010DD +:0420E80000000006EE +:0420E9000000000CE7 +:0420EA0069363735E7 +:0420EB0000000000F1 +:0420EC0000150000DB +:0420ED00012002D0FC +:0420EE0003601388F0 +:0420EF00027100007A +:0420F0000013004594 +:0420F1000001033FA8 +:0420F20001000010D9 +:0420F30000000005E4 +:0420F4000001020CD9 +:0420F50070363735D5 +:0420F60000000000E6 +:0420F70000110000D4 +:0420F800024002D0D0 +:0420F90003601388E5 +:0420FA00027100006F +:0420FB000027004476 +:0420FC00000005409B +:0420FD0002000010CD +:0420FE0000000007D7 +:0420FF000000000CD1 +:0421000078303038CB +:042101005F303036E5 +:042102000000303673 +:04210300025803205B +:04210400042017702C +:042105000274000060 +:042106000017005866 +:042107000000048050 +:0421080008000010BB +:0421090000000000D2 +:04210A0000000004CD +:04210B0070303237C7 +:04210C000030355F0B +:04210D0000130000BB +:04210E0002D00500F6 +:04210F0007BC13886E +:0421100002EE0000DB +:04211100001400DCDA +:04211200000005289C +:042113000C000010AC +:0421140000000008BF +:0421150000000004C2 +:0421160070303237BC +:042117000030365FFF +:0421180000040000BF +:0421190002D00500EB +:04211A0006721770C2 +:04211B0002EE0000D0 +:04211C00001400DCCF +:04211D000000052891 +:04211E000C000010A1 +:04211F0000000008B4 +:0421200000000004B7 +:0421210034323031F3 +:04212200383637789C +:0421230000000000B8 +:0421240003000400B0 +:0421250005401770EA +:04212600032600008C +:04212700001D00A0F7 +:042128000000068825 +:04212900080000109A +:04212A0000000000B1 +:04212B0000000004AC +:04212C0030383231E4 +:04212D003036397897 +:04212E0000000000AD +:04212F0003C00500E4 +:042130000708177015 +:0421310003E80000BF +:04213200002401384C +:042133000000037035 +:04213400080000108F +:0421350000000000A6 +:0421360000000004A1 +:0421370030383231D9 +:042138003230317898 +:04213900000000346E +:04213A000400050098 +:04213B00069817707B +:04213C00042A000071 +:04213D00002600F880 +:04213E00000003702A +:04213F000800001084 +:04214000000000009B +:042141000000000496 +:042142007830343687 +:042143006930363990 +:042144000000000097 +:0421450001E0028033 +:0421460003201770EB +:04214700041A000076 +:042148000021003042 +:04214900000102602F +:04214A00020000107F +:04214B000000000987 +:04214C000000000C83 +:04214D0030383031C5 +:04214E0030355F6960 +:04214F000014000078 +:04215000021C0780E6 +:042151000A50138895 +:042152000465000020 +:04215300000F0094E5 +:042154000001052C55 +:042155000C0000106A +:04215600000000097C +:042157000000000C78 +:0421580030383031BA +:0421590030365F6954 +:04215A00000500007C +:04215B00021C0780DB +:04215C000898177058 +:04215D000465000015 +:04215E00000F0094DA +:04215F000001052C4A +:042160000C0000105F +:042161000000000971 +:042162000000000C6D +:0421630030383031AF +:0421640030355F7043 +:04216500001F000057 +:0421660004380780B2 +:042167000A5013887F +:04216800046500000A +:0421690000240094BA +:04216A000000052C40 +:04216B000C00001054 +:04216C000000000A65 +:04216D00000000046A +:04216E0030383031A4 +:04216F0030365F7037 +:04217000001000005B +:0421710004380780A7 +:042172000898177042 +:0421730004650000FF +:0421740000240094AF +:042175000000052C35 +:042176000C00001049 +:042177000000000A5A +:04217800000000045F +:04217900303036319B +:04217A003032317856 +:04217B000000003030 +:04217C0004B0064065 +:04217D00087017705F +:04217E0004E2000077 +:04217F00002E0130FD +:04218000000003C098 +:042181000800001042 +:042182000000000059 +:042183000000000454 +:042184007665642FE9 +:042185006370652FEF +:042186006F635F71B3 +:042187006F72746E91 +:0421880072656C6CA4 +:042189005F305F3232 +:04218A005F6C7661AF +:04218B00006D656D11 +:04218C002B302D23A4 +:04218D00000000202E +:04218E00004C6C682D +:04218F0045676665D5 +:0421900000004746BE +:042191003332313084 +:042192003736353473 +:042193004241393854 +:042194004645444335 :042195000000000046 -:042196000000000045 -:042197000000000044 -:042198000000000043 -:042199000000000042 +:04219600333231307F +:04219700373635346E +:04219800626139380F +:0421990066656463B0 :04219A000000000041 -:04219B000000000040 -:04219C00000000003F -:04219D00000000003E -:04219E00000000003D -:04219F00000000003C -:0421A000000000003B -:0421A100000000003A -:0421A2000000000039 -:0421A3000000000038 -:0421A4000000000037 -:0421A5000000000036 -:0421A6000000000035 -:0421A70000010174BE -:0421A80000800000B3 -:0421A90000A0000092 -:0421AA00000201002E -:0421AB000020000010 -:0421AC00000000002F -:0421AD00000000200E -:0421AE00000100002C -:0421AF00000001002B -:0421B000000000002B -:0421B1000001721C9B -:0421B200000172387E -:0421B300000172486D -:0421B4000001721C98 -:0421B5000001727C37 -:0421B6000001728032 -:0421B70000017A6445 -:0421B80000017A7434 -:0421B90000017A8027 -:0421BA0000017A8C1A -:0421BB0000017A980D -:0421BC0000017AA400 -:0421BD0000017AB0F3 -:0421BE0000017ABCE6 -:0421BF0000017AC8D9 -:0421C00000017AD4CC -:0421C10000017AE0BF -:0421C200020E0409FC -:0421C3003D0E00C805 -:0421C4003F6E0383E4 -:0421C5003ED03DAC1F -:0421C600000003838F -:0421C7000001739010 -:0421C8000001739C03 -:0421C900000173ACF2 -:0421CA00000173BCE1 -:0421CB00000173CCD0 -:0421CC00000173801B -:0421CD00000173900A -:0421CE000001739CFD -:0421CF00000173ACEC -:0421D000000173BCDB -:0421D100000173CCCA -:0421D2000001735C39 -:0421D300000173682C -:0421D400000173741F -:0421D5000001721C77 -:0421D6000001723062 -:0421D7000001721879 -:0421D800000172345C -:0421D900000172840B -:0421DA000001728C02 -:0421DB0000017294F9 -:0421DC000001729CF0 -:0421DD00000172A4E7 -:0421DE00000172A8E2 -:0421DF00000172B0D9 -:0421E000000172B8D0 -:0421E1000001718008 -:0421E20000000002F7 -:0421E30000019AAEAF -:0421E4000AF0012CD0 -:0421E50000011D1EBA -:0421E60000017190F3 -:0421E70000000002F2 -:0421E80000019AB0A8 -:0421E90000130000DF -:0421EA0000011D1EB5 -:0421EB00000171A0DE -:0421EC0000000002ED -:0421ED0000019AB2A1 -:0421EE0000FF000AE4 -:0421EF0000011D1EB0 -:0421F000000171ACCD -:0421F10000000002E8 -:0421F20000019AAAA4 -:0421F30001FF0000E8 -:0421F40000011D1EAB -:0421F500000171BCB8 -:0421F60000000002E3 -:0421F70000019AA8A1 -:0421F800080000C813 -:0421F90000011D1EA6 -:0421FA00000171C8A7 -:0421FB0000000002DE -:0421FC0000019ABC88 -:0421FD00000F0001CE -:0421FE0000011D1EA1 -:0421FF00000171D496 -:0422000000000002D8 -:0422010000019AB886 -:0422020001FF0000D8 -:0422030000011D1E9B -:04220400000171E480 -:0422050000000002D3 -:0422060000019AB683 -:0422070005A000A08E -:0422080000011D1E96 -:04220900000171F06F -:04220A0000000002CE -:04220B0000019AAC88 -:04220C0000FF0000CF -:04220D0000011D1E91 -:04220E00000171F862 -:04220F0000000002C9 -:0422100000019ABA75 -:04221100003F00008A -:0422120000011D1E8C -:042213000001720054 -:0422140000000002C4 -:0422150000019AB476 -:04221600001F0000A5 -:0422170000011D1E87 -:0422180000017684C7 -:0422190000000000C1 -:04221A00000192B17C -:04221B0000010001BD -:04221C000001910824 -:04221D0000017694B2 -:04221E0000000000BC -:04221F00000192B276 -:0422200000010001B8 -:04222100000191180F -:04222200000176A49D -:0422230000000000B7 -:04222400000192B46F -:0422250000010001B3 -:04222600000191180A -:04222700000176B08C -:0422280000000001B1 -:04222900000192B36B -:04222A000018000098 -:04222B0000011B8E05 -:04222C00000176C077 -:04222D0000000000AD -:04222E00000192B762 -:04222F0000010001A9 -:042230000001911800 -:04223100000176D062 -:0422320000000000A8 -:04223300000192B85C -:0422340000020001A3 -:04223500000186D04E -:04223600000176E449 -:0422370000000001A2 -:042238000001928689 -:04223900001F000082 -:04223A0000011B6024 -:04223B00000170A08E -:04223C00000000019D -:04223D000001929A70 -:04223E000004000098 -:04223F0000011ADCA4 -:04224000000170AC7D -:042241000000000198 -:042242000001929B6A -:042243000005000092 -:0422440000011B1466 -:04224500000170B86C -:042246000000000193 -:042247000001928F71 -:042248000010000082 -:0422490000011AB8BE -:04224A00000170C857 -:04224B00000000018E -:04224C00000192906B -:04224D00001000007D -:04224E0000011AB8B9 -:04224F00000170D842 -:042250000000000189 -:042251000001929165 -:042252000010000078 -:0422530000011AB8B4 -:04225400000170E82D -:042255000000000184 -:04225600000192925F -:042257000010000073 -:0422580000011AB8AF -:04225900000170F818 -:04225A00000000017F -:04225B000001929359 -:04225C00001000006E -:04225D0000011AB8AA -:04225E000001710802 -:04225F00000000017A -:042260000001929453 -:042261000010000069 -:0422620000011AB8A5 -:042263000001711CE9 -:042264000000000175 -:04226500000192954D -:042266000010000064 -:0422670000011AB8A0 -:0422680000017130D0 -:042269000000000170 -:04226A000001929647 -:04226B00001000005F -:04226C0000011AB89B -:04226D0000017144B7 -:04226E00000000016B -:04226F000001929741 -:04227000001000005A -:0422710000011AB896 -:04227200000171589E -:042273000000000166 -:04227400000192983B -:042275000010000055 -:0422760000011AB891 -:042277000001716C85 +:04219B000001686077 +:04219C000001687660 +:04219D000001683A9B +:04219E000001683A9A +:04219F000001683A99 +:0421A0000001683A98 +:0421A100000168765B +:0421A2000001683A96 +:0421A3000001683A95 +:0421A4000001683A94 +:0421A5000001683A93 +:0421A600000169DAF1 +:0421A700000168B813 +:0421A800000169AC1D +:0421A9000001683A8F +:0421AA000001683A8E +:0421AB0000016A0EB7 +:0421AC000001683A8C +:0421AD00000168B80D +:0421AE000001683A8A +:0421AF000001683A89 +:0421B000000169B40D +:0421B100C896554B2C +:0421B2000000000B1E +:0421B300000188C4DB +:0421B4000000000423 +:0421B500000189A0FC +:0421B6000000000322 +:0421B700000189F0AA +:0421B8000000000D16 +:0421B90000018A2C6B +:0421BA000000000918 +:0421BB0000018B3064 +:0421BC000000000E11 +:0421BD0000018BE4AE +:0421BE00000000021B +:0421BF0000018CFC93 +:0421C0000000000417 +:0421C10000018D2468 +:0421C2000000000811 +:0421C30000018D7416 +:0421C4000000001106 +:0421C50000018E1473 +:0421C600000000070E +:0421C70000018F681C +:0421C8000000000D06 +:0421C90000018FF48E +:0421CA000000000011 +:0421CB000000000010 +:0421CC000001861078 +:0421CD00000000000E +:0421CE00000000000D +:0421CF00000102EA1F +:0421D000000103A265 +:0421D100000101AA5E +:0421D200000101C83F +:0421D30000010220E5 +:0421D4000080000087 +:0421D50000200000E6 +:0421D6000000000005 +:0421D7000000000004 +:0421D8000000000003 +:0421D9000000000002 +:0421DA000000000001 +:0421DB000000000000 +:0421DC0000000000FF +:0421DD0000000000FE +:0421DE0000000000FD +:0421DF0000000000FC +:0421E00000000000FB +:0421E10000000000FA +:0421E20000000000F9 +:0421E30000000000F8 +:0421E40000000000F7 +:0421E50000000000F6 +:0421E60000000000F5 +:0421E70000000000F4 +:0421E80000000000F3 +:0421E90000000000F2 +:0421EA0000000000F1 +:0421EB0000000000F0 +:0421EC0000000000EF +:0421ED0000000000EE +:0421EE0000000000ED +:0421EF0000000000EC +:0421F00000000000EB +:0421F10000000000EA +:0421F20000000000E9 +:0421F30000000000E8 +:0421F40000000000E7 +:0421F50000000000E6 +:0421F60000000000E5 +:0421F700000101746E +:0421F8000080000063 +:0421F90000A0000042 +:0421FA0000020100DE +:0421FB0000200000C0 +:0421FC0000000000DF +:0421FD0000000020BE +:0421FE0000010000DC +:0421FF0000000100DB +:0422000000000000DA +:042201000001736005 +:042202000001737CE8 +:042203000001738CD7 +:042204000001736002 +:04220500000173C0A1 +:04220600000173C49C +:0422070000017BBC9B +:0422080000017BCC8A +:0422090000017BD87D +:04220A0000017BE470 +:04220B0000017BF063 +:04220C0000017BFC56 +:04220D0000017C0848 +:04220E0000017C143B +:04220F0000017C202E +:0422100000017C2C21 +:0422110000017C3814 +:04221200020E0409AB +:042213003D0E00C8B4 +:042214003F6E038393 +:042215003ED03DACCE +:04221600000003833E +:04221700000174D47A +:04221800000174E06D +:04221900000174F05C +:04221A00000175004A +:04221B000001751039 +:04221C00000174C485 +:04221D00000174D474 +:04221E00000174E067 +:04221F00000174F056 +:042220000001750044 +:042221000001751033 +:04222200000174A0A3 +:04222300000174AC96 +:04222400000174B889 +:0422250000017360E1 +:0422260000017374CC +:042227000001735CE3 +:0422280000017378C6 +:04222900000173C875 +:04222A00000173D06C +:04222B00000173D863 +:04222C00000173E05A +:04222D00000173E851 +:04222E00000173EC4C +:04222F00000173F443 +:04223000000173FC3A +:04223100000172C472 +:0422320000000002A6 +:0422330000019BD635 +:042234000AF0012C7F +:0422350000011D1E69 +:04223600000172D45D +:0422370000000002A1 +:0422380000019BD82E +:04223900001300008E +:04223A0000011D1E64 +:04223B00000172E448 +:04223C00000000029C +:04223D0000019BDA27 +:04223E0000FF000A93 +:04223F0000011D1E5F +:04224000000172F037 +:042241000000000297 +:0422420000019BD22A +:0422430001FF000097 +:0422440000011D1E5A +:042245000001730021 +:042246000000000292 +:0422470000019BD027 +:04224800080000C8C2 +:0422490000011D1E55 +:04224A000001730C10 +:04224B00000000028D +:04224C0000019BE40E +:04224D00000F00017D +:04224E0000011D1E50 +:04224F0000017318FF +:042250000000000288 +:0422510000019BE00D +:0422520001FF000088 +:0422530000011D1E4B +:0422540000017328EA +:042255000000000283 +:0422560000019BDE0A +:0422570006C000A01D +:0422580000011D1E46 +:0422590000017334D9 +:04225A00000000027E +:04225B0000019BD40F +:04225C0000FF00007F +:04225D0000011D1E41 +:04225E000001733CCC +:04225F000000000279 +:0422600000019BE2FC +:04226100003F00003A +:0422620000011D1E3C +:0422630000017344BF +:042264000000000274 +:0422650000019BDCFD +:04226600001F000055 +:0422670000011D1E37 +:04226800000177D02A +:042269000000000071 +:04226A0000019406D5 +:04226B00000100016D +:04226C00000192607B +:04226D00000177E015 +:04226E00000000006C +:04226F0000019407CF +:042270000001000168 +:042271000001927066 +:04227200000177F000 +:042273000000000067 +:0422740000019409C8 +:042275000001000163 +:042276000001927061 +:04227700000177FCEF :042278000000000161 -:042279000001929935 -:04227A000010000050 -:04227B0000011AB88C -:04227C00000179B034 -:04227D00000000035A -:04227E00000185E0F6 -:04227F00000000005B -:04228000000000005A -:04228100000179C41B -:042282000000000355 -:04228300000185C011 -:042284000000000056 -:042285000000000055 -:04228600000179D802 -:042287000000000350 -:04228800000185D8F4 -:042289000000000051 -:04228A000000000050 -:04228B00000179ECE9 -:04228C00000000034B -:04228D00000185B017 -:04228E00000000004C -:04228F00000000004B -:0422900000017A00CF -:042291000000000346 -:04229200000185C8FA -:042293000000000047 -:042294000000000046 -:0422950000017A14B6 -:042296000000000341 -:04229700000185B805 -:042298000000000042 -:042299000000000041 -:04229A0000017A289D -:04229B00000000033C -:04229C000001859820 -:04229D00000000003D -:04229E00000000003C -:04229F0000017A3C84 -:0422A0000000000337 -:0422A1000001859023 -:0422A2000000000038 -:0422A3000000000037 -:0422A40000017A506B -:0422A5000000000332 -:0422A600000185D0DE -:0422A7000000000033 -:0422A8000000000032 -:0422A9000001778C2D -:0422AA000000000030 -:0422AB000001927824 -:0422AC000004000129 -:0422AD0000018FD8C5 -:0422AE000001779C18 -:0422AF00000000002B -:0422B000000192791E -:0422B1000004000124 -:0422B20000018FECAC -:0422B300000177AC03 -:0422B4000000000026 -:0422B5000001927A18 -:0422B6000003000120 -:0422B7000001900092 -:0422B800000177BCEE -:0422B9000000000021 -:0422BA000001927B12 -:0422BB00000100011D -:0422BC000001912C60 -:0422BD00000177CCD9 -:0422BE00000000001C -:0422BF000001927C0C -:0422C0000001000118 -:0422C1000001912463 -:0422C200000177DCC4 -:0422C3000000000017 -:0422C4000001927D06 -:0422C5000004000110 -:0422C6000001871C70 -:0422C700000177E8B3 -:0422C8000000000012 -:0422C9000001927E00 -:0422CA00000500010A -:0422CB000001873057 -:0422CC00000177F4A2 -:0422CD00000000000D -:0422CE000001927FFA -:0422CF000004000106 -:0422D0000001871C66 -:0422D1000001780090 -:0422D2000000000008 -:0422D30000019280F4 -:0422D4000004000101 -:0422D5000001871C61 -:0422D6000001780C7F -:0422D7000000000003 -:0422D80000019281EE -:0422D90000020001FE -:0422DA000001874830 -:0422DB000001781C6A -:0422DC0000000000FE -:0422DD0000019285E5 -:0422DE0000010001FA -:0422DF000001910069 -:0422E0000001782C55 -:0422E10000000000F9 -:0422E200000192B5B0 -:0422E30000020001F4 -:0422E400000190580D -:0422E5000001783448 -:0422E60000000000F4 -:0422E700000192B6AA -:0422E80000010001F0 -:0422E9000001911847 -:0422EA00000176F881 -:0422EB0000000000EF -:0422EC000001929DBE -:0422ED0000070000E6 -:0422EE000001876400 -:0422EF00000177046F -:0422F00000000001E9 -:0422F1000001929CBA -:0422F200000F0000D9 -:0422F30000011B606B -:0422F400000178B4B9 -:0422F50000000000E5 -:0422F60000019282CF -:0422F70000030001DF -:0422F8000001901041 -:0422F900000178C4A4 +:0422790000019408C4 +:04227A000018000048 +:04227B0000011B8EB5 +:04227C000001780CD9 +:04227D00000000005D +:04227E000001940CBB +:04227F000001000159 +:042280000001927057 +:042281000001781CC4 +:042282000000000058 +:042283000001940DB5 +:042284000002000153 +:0422850000018810BC +:0422860000017830AB +:042287000000000152 +:04228800000193DBE3 +:04228900001F000032 +:04228A0000011B60D4 +:04228B00000171E4F9 +:04228C00000000014D +:04228D00000193EFCA +:04228E000004000048 +:04228F0000011ADC54 +:04229000000171F0E8 +:042291000000000148 +:04229200000193F0C4 +:042293000005000042 +:0422940000011B1416 +:04229500000171FCD7 +:042296000000000143 +:04229700000193E4CB +:042298000010000032 +:0422990000011AB86E +:04229A000001720CC1 +:04229B00000000013E +:04229C00000193E5C5 +:04229D00001000002D +:04229E0000011AB869 +:04229F000001721CAC +:0422A0000000000139 +:0422A100000193E6BF +:0422A2000010000028 +:0422A30000011AB864 +:0422A4000001722C97 +:0422A5000000000134 +:0422A600000193E7B9 +:0422A7000010000023 +:0422A80000011AB85F +:0422A9000001723C82 +:0422AA00000000012F +:0422AB00000193E8B3 +:0422AC00001000001E +:0422AD0000011AB85A +:0422AE000001724C6D +:0422AF00000000012A +:0422B000000193E9AD +:0422B1000010000019 +:0422B20000011AB855 +:0422B3000001726054 +:0422B4000000000125 +:0422B500000193EAA7 +:0422B6000010000014 +:0422B70000011AB850 +:0422B800000172743B +:0422B9000000000120 +:0422BA00000193EBA1 +:0422BB00001000000F +:0422BC0000011AB84B +:0422BD000001728822 +:0422BE00000000011B +:0422BF00000193EC9B +:0422C000001000000A +:0422C10000011AB846 +:0422C2000001729C09 +:0422C3000000000116 +:0422C400000193ED95 +:0422C5000010000005 +:0422C60000011AB841 +:0422C700000172B0F0 +:0422C8000000000111 +:0422C900000193EE8F +:0422CA000010000000 +:0422CB0000011AB83C +:0422CC0000017B088A +:0422CD00000000030A +:0422CE000001872064 +:0422CF00000000000B +:0422D000000000000A +:0422D10000017B1C71 +:0422D2000000000305 +:0422D300000187007F +:0422D4000000000006 +:0422D5000000000005 +:0422D60000017B3058 +:0422D7000000000300 +:0422D8000001871862 +:0422D9000000000001 +:0422DA000000000000 +:0422DB0000017B443F +:0422DC0000000003FB +:0422DD00000186F086 +:0422DE0000000000FC +:0422DF0000000000FB +:0422E00000017B5826 +:0422E10000000003F6 +:0422E2000001870868 +:0422E30000000000F7 +:0422E40000000000F6 +:0422E50000017B6C0D +:0422E60000000003F1 +:0422E700000186F874 +:0422E80000000000F2 +:0422E90000000000F1 +:0422EA0000017B80F4 +:0422EB0000000003EC +:0422EC00000186D88F +:0422ED0000000000ED +:0422EE0000000000EC +:0422EF0000017B94DB +:0422F00000000003E7 +:0422F100000186D092 +:0422F20000000000E8 +:0422F30000000000E7 +:0422F40000017BA8C2 +:0422F50000000003E2 +:0422F600000187104C +:0422F70000000000E3 +:0422F80000000000E2 +:0422F900000178D890 :0422FA0000000000E0 -:0422FB0000019283C9 -:0422FC0000010001DC -:0422FD000001913C0F -:0422FE00000178D48F +:0422FB00000193CC7F +:0422FC0000050001D8 +:0422FD000001912C1F +:0422FE00000178E87B :0422FF0000000000DB -:0423000000019284C2 -:0423010000010001D6 -:04230200000191182D -:04230300000178E875 -:0423040000000003D2 -:0423050000018588C6 -:042306000001907CC6 -:04230700000106A427 -:042308000001771445 +:04230000000193CD78 +:0423010000040001D3 +:042302000001914401 +:04230300000178F865 +:0423040000000000D5 +:04230500000193CE72 +:0423060000030001CF +:0423070000019158E8 +:04230800000179084F :0423090000000000D0 -:04230A0000019288B4 -:04230B0000020001CB -:04230C00000190201C -:04230D000001772034 -:04230E0000000001CA -:04230F000001928DAA -:04231000000F0000BA -:0423110000011A9419 -:04231200000177301F -:0423130000000001C5 -:042314000001928AA8 -:04231500001C0000A8 -:0423160000011ADACE -:04231700000177400A +:04230A00000193CF6C +:04230B0000010001CC +:04230C0000019284B6 +:04230D00000179183A +:04230E0000000000CB +:04230F00000193D066 +:0423100000010001C7 +:042311000001927CB9 +:042312000001792825 +:0423130000000000C6 +:04231400000193D160 +:0423150000040001BF +:042316000001885CDE +:042317000001793414 :0423180000000000C1 -:042319000001928BA2 -:04231A0000010001BD -:04231B000001914CE0 -:04231C000001774CF9 +:04231900000193D25A +:04231A0000050001B9 +:04231B0000018870C5 +:04231C000001794003 :04231D0000000000BC -:04231E000001928C9C -:04231F0000010001B8 -:04232000000191180F -:042321000001775CE4 +:04231E00000193D354 +:04231F0000040001B5 +:042320000001885CD4 +:042321000001794CF2 :0423220000000000B7 -:042323000001928E95 -:0423240000010001B3 -:0423250000019144DE -:042326000001776CCF +:04232300000193D44E +:0423240000040001B0 +:042325000001885CCF +:0423260000017958E1 :0423270000000000B2 -:042328000001928995 -:0423290000030001AC -:04232A000001902CF2 -:04232B0000017778BE -:04232C0000000003AA -:04232D00000185A086 -:04232E0000000000AB -:04232F0000000000AA -:0423300000017560D3 -:0423310000000001A7 -:04233200000192B95B -:04233300000A01019A -:042334000001128A08 -:0423350000017574BA +:04232800000193D548 +:0423290000040001AB +:04232A000001885CCA +:04232B0000017964D0 +:04232C0000000000AD +:04232D00000193D642 +:04232E0000020001A8 +:04232F000001888899 +:0423300000017974BB +:0423310000000000A8 +:04233200000193DA39 +:0423330000010001A4 +:0423340000019258BA +:0423350000017984A6 :0423360000000000A3 -:0423370000019A8D7A -:04233800000100019F -:0423390000019118F6 -:04233A0000017588A1 +:042337000001940A03 +:04233800000200019E +:04233900000191B05E +:04233A000001798C99 :04233B00000000009E -:04233C0000019A8082 -:04233D00000A000191 -:04233E00000186DC38 -:04233F00000175988C +:04233C000001940BFD +:04233D00000100019A +:04233E000001927098 +:04233F0000017844DD :042340000000000099 -:0423410000019A7687 -:042342000002000194 -:04234300000186C44B -:04234400000175AC73 -:042345000000000094 -:0423460000019A7484 -:042347000001000190 -:0423480000019134CB -:04234900000175BC5E +:04234100000193F212 +:042342000007000090 +:04234300000188A469 +:0423440000017850CC +:042345000000000193 +:04234600000193F10E +:04234700000F000083 +:0423480000011B6015 +:0423490000017A0C09 :04234A00000000008F -:04234B0000019110EC -:04234C00000100018B -:04234D0000019134C6 -:04234E00000175CC49 +:04234B00000193D723 +:04234C000003000189 +:04234D000001916892 +:04234E0000017A1CF4 :04234F00000000008A -:0423500000019A7579 +:04235000000193D81D :042351000001000186 -:0423520000019134C1 -:04235300000175DC34 +:042352000001929460 +:0423530000017A2CDF :042354000000000085 -:0423550000019A8861 -:04235600000300017F -:0423570000018754A6 -:04235800000175EC1F -:042359000000000080 -:04235A0000019120CD -:04235B00000200017B -:04235C0000018FBC31 -:04235D00000175F016 +:04235500000193D917 +:042356000001000181 +:04235700000192707F +:0423580000017A40C6 +:04235900000000037D +:04235A00000186C830 +:04235B00000191D418 +:04235C00000106A4D2 +:04235D0000017860A3 :04235E00000000007B -:04235F0000019121C7 -:042360000003000175 -:0423610000018FC820 -:0423620000017604FC -:042363000000000076 -:0423640000019A8C4E -:042365000001000172 -:0423660000019118C9 -:0423670000017614E7 -:04236800000000046D -:04236900000124BC8F -:04236A0000017CA44E -:04236B00000000006E -:04236C0000017624D2 -:04236D000000000468 -:04236E00000121EA5F -:04236F0000017CA449 -:042370000000000069 -:0423710000017634BD -:042372000000000463 -:04237300000106540B -:042374000000000065 -:042375000000000064 -:0423760000017648A4 -:04237700000000045E -:042378000001468694 -:042379000000000060 -:04237A00000000005F -:04237B000001765C8B -:04237C000000000459 -:04237D0000013E0A13 -:04237E00000000005B -:04237F00000000005A -:042380000001767072 -:042381000000000454 -:042382000001428490 +:04235F00000193DD09 +:042360000002000176 +:04236100000191786E +:042362000001786C92 +:042363000000000175 +:04236400000193E2FF +:04236500000F000065 +:0423660000011A94C4 +:042367000001787C7D +:042368000000000170 +:04236900000193DFFD +:04236A00001C000053 +:04236B0000011ADA79 +:04236C000001788C68 +:04236D00000000006C +:04236E00000193E0F7 +:04236F000001000168 +:04237000000192A432 +:042371000001789857 +:042372000000000067 +:04237300000193E1F1 +:042374000001000163 +:042375000001927061 +:04237600000178A842 +:042377000000000062 +:04237800000193E3EA +:04237900000100015E +:04237A000001929C30 +:04237B00000178B82D +:04237C00000000005D +:04237D00000193DEEA +:04237E000003000157 +:04237F000001918444 +:04238000000178C41C +:042381000000000355 +:04238200000186E0F0 :042383000000000056 :042384000000000055 -:04238500000178409B -:042386000000000053 -:04238700000192A619 -:04238800000300014D -:042389000001904877 -:04238A000001785086 +:04238500000176AC31 +:042386000000000152 +:042387000001940EAF +:04238800000A010145 +:042389000001128AB3 +:04238A00000176C018 :04238B00000000004E -:04238C00000192A713 -:04238D000002000149 -:04238E000001903C7E -:04238F000001786071 -:042390000000000148 -:042391000001929F16 -:04239200001F000028 -:0423930000011A30FB -:04239400000178705C -:042395000000000143 -:04239600000192A010 -:0423970000FF000043 -:0423980000011A52D4 -:042399000001788047 -:04239A00000000013E -:04239B00000192A10A -:04239C0000C80A006B -:04239D0000011A52CF -:04239E000001789032 -:04239F000000000139 -:0423A000000192A204 -:0423A1000005000033 -:0423A20000011B4CCF -:0423A300000178A01D -:0423A4000000000134 -:0423A500000192A3FE -:0423A600000500002E -:0423A70000011B4CCA -:0423A800000178FCBC +:04238C0000019BB5FC +:04238D00000100014A +:04238E000001927048 +:04238F00000176D4FF +:042390000000000049 +:0423910000019BA804 +:04239200000A00013C +:042393000001881CA1 +:04239400000176E4EA +:042395000000000044 +:0423960000019B9E09 +:04239700000200013F +:0423980000018804B4 +:04239900000176F8D1 +:04239A00000000003F +:04239B0000019B9C06 +:04239C00000100013B +:04239D000001928C1D +:04239E0000017708BB +:04239F00000000003A +:0423A000000192683E +:0423A1000001000136 +:0423A2000001928C18 +:0423A30000017718A6 +:0423A4000000000035 +:0423A50000019B9DFB +:0423A6000001000131 +:0423A7000001928C13 +:0423A8000001772891 :0423A9000000000030 -:0423AA00000192A5F7 -:0423AB000005000128 -:0423AC000001906438 -:0423AD0000017908AA -:0423AE00000000012A -:0423AF000001929EF9 -:0423B000001F00000A -:0423B10000011B60AC -:0423B2000001791499 +:0423AA0000019BB0E3 +:0423AB00000300012A +:0423AC000001889410 +:0423AD00000177387C +:0423AE00000000002B +:0423AF00000192781F +:0423B0000002000126 +:0423B1000001911086 +:0423B2000001773C73 :0423B3000000000026 -:0423B400000192A4EE -:0423B5000002000121 -:0423B600000190880A -:0423B7000001792484 -:0423B8000000000120 -:0423B900000192AAE3 -:0423BA0000FF000020 -:0423BB0000011B60A2 -:0423BC000001793073 -:0423BD00000000011B -:0423BE00000192ABDD -:0423BF0000FF00001B -:0423C00000011B609D -:0423C1000001793C62 -:0423C2000000000116 -:0423C300000192ACD7 -:0423C40000FF000016 -:0423C50000011B6098 -:0423C6000001794851 -:0423C7000000000111 -:0423C800000192ADD1 -:0423C90000FF000011 -:0423CA0000011B6093 -:0423CB000001795440 -:0423CC00000000010C -:0423CD00000192AECB -:0423CE0000FF00000C -:0423CF0000011B608E -:0423D000000179602F -:0423D1000000000107 -:0423D200000192AFC5 -:0423D30000FF000007 -:0423D40000011B6089 -:0423D5000001796C1E -:0423D6000000000102 -:0423D700000192B0BF -:0423D800000F0000F2 -:0423D90000011B6084 -:0423DA000001797C09 -:0423DB0000000001FD -:0423DC0000019287E3 -:0423DD0000E41C00FC -:0423DE0000011B746B -:0423DF0000017990F0 -:0423E00000000001F8 -:0423E100000192A9BC -:0423E200000A0000ED -:0423E30000011BA832 -:0423E400000179A0DB +:0423B4000001927919 +:0423B5000003000120 +:0423B6000001911C75 +:0423B700000177505A +:0423B8000000000021 +:0423B90000019BB4D0 +:0423BA00000100011D +:0423BB00000192701B +:0423BC000001776045 +:0423BD000000000418 +:0423BE00000124BC3A +:0423BF0000017E108B +:0423C0000000000019 +:0423C1000001777030 +:0423C2000000000413 +:0423C300000121EA0A +:0423C40000017E1086 +:0423C5000000000014 +:0423C600000177801B +:0423C700000000040E +:0423C80000010654B6 +:0423C9000000000010 +:0423CA00000000000F +:0423CB000001779402 +:0423CC000000000409 +:0423CD00000147CCF8 +:0423CE00000000000B +:0423CF00000000000A +:0423D000000177A8E9 +:0423D1000000000404 +:0423D20000013F5077 +:0423D3000000000006 +:0423D4000000000005 +:0423D500000177BCD0 +:0423D60000000004FF +:0423D700000143CAF4 +:0423D8000000000001 +:0423D9000000000000 +:0423DA0000017998ED +:0423DB0000000000FE +:0423DC00000193FB6E +:0423DD0000030001F8 +:0423DE00000191A0C9 +:0423DF00000179A8D8 +:0423E00000000000F9 +:0423E100000193FC68 +:0423E20000020001F4 +:0423E30000019194D0 +:0423E400000179B8C3 :0423E50000000001F3 -:0423E600000192A8B8 -:0423E70000070000EB -:0423E80000011BC015 -:0423E900000185A8C2 -:0423EA0000000000EF -:0423EB0000000000EE -:0423EC0000000000ED -:0423ED0000000000EC -:0423EE0000000000EB -:0423EF000001721C5B -:0423F0000001722056 -:0423F100000172284D -:0423F2000001721064 -:0423F300000172145F -:0423F400000172185A -:0423F5000001721C55 -:0423F600000173DC93 -:0423F700000173F876 -:0423F800000174501C -:0423F9000001745813 -:0423FA00000174600A -:0423FB00000173DC8E -:0423FC00000173F871 -:0423FD000001742047 -:0423FE000001743036 -:0423FF000001744025 -:04240000000173DC88 -:04240100000173E87B -:042402000001740061 -:042403000001741050 -:042404000001731848 -:04240500000174E47A -:04240600000174F06D -:04240700000175005B -:042408000001721C41 -:042409000001731843 -:04240A00000173203A -:04240B00000172C09A -:04240C00000172CC8D -:04240D00000172D880 -:04240E00000172E86F -:04240F0000017468EC -:042410000001747CD7 -:042411000001748CC6 -:042412000001749CB5 -:04241300000174ACA4 -:04241400000174B897 -:042415000001721C34 -:04241600000173242A -:04241700000173301D -:04241800000173400C -:042419000001731833 -:04241A000001721C2F -:04241B000001752423 -:04241C000001753412 -:04241D000001754401 -:04241E0000017554F0 -:04241F0000019AC05E -:0424200000000000B8 -:042421000001126E36 -:042422000001750C34 -:042423000001751827 -:042424000001731828 -:0424250000000000B3 -:0424260000000000B2 -:0424270000000000B1 -:0424280000000000B0 -:0424290000000000AF -:04242A0000000000AE -:04242B0000000000AD -:04242C0000000000AC -:04242D0000000000AB -:04242E0000000000AA -:04242F0000000000A9 -:0424300000000000A8 -:0424310000000000A7 -:0424320000000000A6 -:0424330000000000A5 -:0424340000000000A4 -:0424350000000000A3 -:0424360000000000A2 -:0424370000000000A1 -:0424380000000000A0 -:04243900000000009F -:04243A00000000009E -:04243B00000000009D -:04243C00000000009C -:04243D00000190F416 -:04243E00000190F415 -:04243F0000016AEE40 -:0424400000017344E0 -:0424410000017354CF -:0424420000017254CF -:0424430000017268BA -:042444000000000193 -:0424450000108010F3 -:042446000001721C03 -:0424470000017320FD -:04244800000001018E -:04244900000173DC3F -:04244A00000173E832 -:04244B00000173DC3D -:04244C00000173F820 -:04244D0000017A848C -:04244E0000017A907F -:04244F00000174C450 -:04245000000174D43F -:04245100000172F024 -:04245200000172F41F -:04245300000172FC16 -:042454000001730C04 -:04245500000190945E +:0423E600000193F46B +:0423E700001F0000D3 +:0423E80000011A30A6 +:0423E900000179C8AE +:0423EA0000000001EE +:0423EB00000193F565 +:0423EC0000FF0000EE +:0423ED0000011A527F +:0423EE00000179D899 +:0423EF0000000001E9 +:0423F000000193F65F +:0423F10000C80A0016 +:0423F20000011A527A +:0423F300000179E884 +:0423F40000000001E4 +:0423F500000193F759 +:0423F60000050000DE +:0423F70000011B4C7A +:0423F800000179F86F +:0423F90000000001DF +:0423FA00000193F853 +:0423FB0000050000D9 +:0423FC0000011B4C75 +:0423FD0000017A540D +:0423FE0000000000DB +:0423FF00000193FA4C +:0424000000050001D2 +:04240100000191BC89 +:0424020000017A60FB +:0424030000000001D4 +:04240400000193F34D +:04240500001F0000B4 +:0424060000011B6056 +:0424070000017A6CEA +:0424080000000000D0 +:04240900000193F942 +:04240A0000020001CB +:04240B00000191E05B +:04240C0000017A7CD5 +:04240D0000000001CA +:04240E00000193FF37 +:04240F0000FF0000CA +:0424100000011B604C +:0424110000017A88C4 +:0424120000000001C5 +:042413000001940030 +:0424140000FF0000C5 +:0424150000011B6047 +:0424160000017A94B3 +:0424170000000001C0 +:04241800000194012A +:0424190000FF0000C0 +:04241A0000011B6042 +:04241B0000017AA0A2 +:04241C0000000001BB +:04241D000001940224 +:04241E0000FF0000BB +:04241F0000011B603D +:0424200000017AAC91 +:0424210000000001B6 +:04242200000194031E +:0424230000FF0000B6 +:0424240000011B6038 +:0424250000017AB880 +:0424260000000001B1 +:042427000001940418 +:0424280000FF0000B1 +:0424290000011B6033 +:04242A0000017AC46F +:04242B0000000001AC +:04242C000001940512 +:04242D00000F00009C +:04242E0000011B602E +:04242F0000017AD45A +:0424300000000001A7 +:04243100000193DC37 +:0424320000E41C00A6 +:0424330000011B7415 +:0424340000017AE841 +:0424350000000001A2 +:04243600000193FE10 +:04243700000A000097 +:0424380000011BA8DC +:0424390000017AF82C +:04243A00000000019D +:04243B00000193FD0C +:04243C000007000095 +:04243D0000011BC0BF +:04243E00000186E82B +:04243F000000000099 +:042440000000000098 +:042441000000000097 +:042442000000000096 +:042443000000000095 +:0424440000017360C0 +:0424450000017364BB +:042446000001736CB2 +:0424470000017354C9 +:0424480000017358C4 +:042449000001735CBF +:04244A0000017360BA +:04244B0000017520F7 +:04244C000001753CDA +:04244D000001759481 +:04244E000001759C78 +:04244F00000175A46F +:04245000000175AC66 +:0424510000017520F1 +:042452000001753CD4 +:0424530000017564AB +:04245400000175749A +:042455000001758489 +:0424560000017520EC +:042457000001752CDF +:0424580000017544C6 +:0424590000017554B5 +:04245A000001745CAD +:04245B0000017630D6 +:04245C000001763CC9 +:04245D000001764CB8 +:04245E0000017360A6 +:04245F000001745CA8 +:04246000000174649F +:0424610000017404FE +:0424620000017410F1 +:042463000001741CE4 +:042464000001742CD3 +:04246500000175B449 +:04246600000175C834 +:04246700000175D823 +:04246800000175E812 +:04246900000175F801 +:04246A0000017604F3 +:04246B000001736099 +:04246C00000174688F +:04246D000001747482 +:04246E000001748471 +:04246F000001745C98 +:042470000001736094 +:042471000001767080 +:04247200000176806F +:04247300000176905E +:04247400000176A04D +:0424750000019BE8DF +:042476000000000062 +:042477000001126EE0 +:042478000001765891 +:042479000001766484 +:04247A000001745C8D +:04247B00000000005D +:04247C00000000005C +:04247D00000000005B +:04247E00000000005A +:04247F000000000059 +:042480000000000058 +:042481000000000057 +:042482000000000056 +:042483000000000055 +:042484000000000054 +:042485000000000053 +:042486000000000052 +:042487000000000051 +:042488000000000050 +:04248900000000004F +:04248A00000000004E +:04248B00000000004D +:04248C00000000004C +:04248D00000000004B +:04248E00000000004A +:04248F000000000049 +:042490000000000048 +:042491000000000047 +:042492000000000046 +:042493000001924C66 +:042494000001924C65 +:0424950000016C34A2 +:042496000001748845 +:042497000001749834 +:042498000001739834 +:04249900000173AC1F +:04249A00000000013D +:04249B00001080109D +:04249C000001736068 +:04249D000001746462 +:04249E000000010138 +:04249F0000017520A3 +:0424A0000001752C96 +:0424A10000017520A1 +:0424A2000001753C84 +:0424A30000017BDCDD +:0424A40000017BE8D0 +:0424A50000017610AC +:0424A600000176209B +:0424A7000001743488 +:0424A8000001743883 +:0424A900000174407A +:0424AA000001745069 +:0424AB00000191ECAF :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index a2ae303..44a5fc0 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -106,6 +106,7 @@ const pll_config_t pll_configs[] = { {{0x0d806000, 0x00402010, 0x08800020, 0x000 {{0x0d806000, 0x00441c07, 0x02800020, 0x00080002, 0x00000000}}, // 3x (~20-40MHz) {{0x0d806000, 0x00402004, 0x02800020, 0x00080002, 0x00000000}}, // 4x (~20-40MHz) {{0x0d806000, 0x00441c05, 0x01800020, 0x00080002, 0x00000000}}, // 5x (~20-40MHz) + {{0x0d806000, 0x00301802, 0x01800020, 0x00080002, 0x00000000}}, // 6x (~20-40MHz) {{0x0e406000, 0x00281407, 0x02800020, 0x00080002, 0x00000000}} }; // 2x (~75MHz) volatile sc_regs *sc = (volatile sc_regs*)SC_CONFIG_0_BASE; @@ -181,7 +182,7 @@ inline void TX_enable(tx_mode_t mode) EnableVideoOutput(cm.hdmitx_pclk_level ? PCLK_HIGH : PCLK_MEDIUM, COLOR_RGB444, (mode == TX_HDMI_YCBCR444) ? COLOR_YUV444 : COLOR_RGB444, (mode != TX_DVI)); if (mode != TX_DVI) { - HDMITX_SetAVIInfoFrame(vmode_out.vic, (mode == TX_HDMI_RGB) ? F_MODE_RGB444 : F_MODE_YUV444, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr); + HDMITX_SetAVIInfoFrame(vmode_out.vic, (mode == TX_HDMI_RGB) ? F_MODE_RGB444 : F_MODE_YUV444, 0, 0, tc.hdmi_itc, vm_conf.hdmitx_pixr_ifr); cm.cc.hdmi_itc = tc.hdmi_itc; } @@ -553,7 +554,6 @@ void program_mode() alt_u32 h_hz, h_synclen_px, pclk_i_hz, dotclk_hz, pll_h_total; memset(&vmode_in, 0, sizeof(mode_data_t)); - cm.tx_pixelrep = cm.hdmitx_pixr_ifr = 0; vmode_in.timings.v_hz_x100 = (100*27000000UL)/cm.pcnt_frame; h_hz = (100*27000000UL)/((100*cm.pcnt_frame*(1+!cm.progressive))/cm.totlines); @@ -602,7 +602,7 @@ void program_mode() // Tweak infoframe pixel repetition indicator if passing thru horizontally multiplied mode if ((vm_conf.y_rpt == 0) && (vm_conf.h_skip > 0)) - cm.hdmitx_pixr_ifr = vm_conf.h_skip; + vm_conf.hdmitx_pixr_ifr = vm_conf.h_skip; dotclk_hz = estimate_dotclk(&vmode_in, h_hz); cm.pclk_o_hz = calculate_pclk(pclk_i_hz, &vmode_out, &vm_conf); @@ -640,7 +640,7 @@ void program_mode() if (vm_conf.si_pclk_mult > 1) { if ((vm_conf.si_pclk_mult == 2) && (pclk_i_hz > 50000000UL)) - pll_reconfigure(5); + pll_reconfigure(6); else pll_reconfigure(vm_conf.si_pclk_mult-1); sys_ctrl &= ~PLL_BYPASS; @@ -649,11 +649,11 @@ void program_mode() } IOWR_ALTERA_AVALON_PIO_DATA(PIO_0_BASE, sys_ctrl); - update_osd_size(&vmode_out); + update_osd_size(&vmode_out, &vm_conf); update_sc_config(&vmode_in, &vmode_out, &vm_conf, &cm.cc); - TX_SetPixelRepetition(cm.tx_pixelrep, ((cm.cc.tx_mode!=TX_DVI) && (cm.tx_pixelrep == cm.hdmitx_pixr_ifr)) ? 1 : 0); + TX_SetPixelRepetition(vm_conf.tx_pixelrep, ((cm.cc.tx_mode!=TX_DVI) && (vm_conf.tx_pixelrep == vm_conf.hdmitx_pixr_ifr)) ? 1 : 0); if (cm.pclk_o_hz > 85000000) hdmitx_pclk_level = 1; @@ -662,14 +662,14 @@ void program_mode() else hdmitx_pclk_level = cm.hdmitx_pclk_level; - printf("PCLK level: %u, PR: %u, IPR: %u, ITC: %u\n", hdmitx_pclk_level, cm.tx_pixelrep, cm.hdmitx_pixr_ifr, cm.cc.hdmi_itc); + printf("PCLK level: %u, PR: %u, IPR: %u, ITC: %u\n", hdmitx_pclk_level, vm_conf.tx_pixelrep, vm_conf.hdmitx_pixr_ifr, cm.cc.hdmi_itc); // Full TX initialization increases mode switch delay, use only when necessary if (cm.cc.full_tx_setup || (cm.hdmitx_pclk_level != hdmitx_pclk_level)) { cm.hdmitx_pclk_level = hdmitx_pclk_level; TX_enable(cm.cc.tx_mode); } else if (cm.cc.tx_mode!=TX_DVI) { - HDMITX_SetAVIInfoFrame(vmode_out.vic, (cm.cc.tx_mode == TX_HDMI_RGB) ? F_MODE_RGB444 : F_MODE_YUV444, 0, 0, cm.cc.hdmi_itc, cm.hdmitx_pixr_ifr); + HDMITX_SetAVIInfoFrame(vmode_out.vic, (cm.cc.tx_mode == TX_HDMI_RGB) ? F_MODE_RGB444 : F_MODE_YUV444, 0, 0, cm.cc.hdmi_itc, vm_conf.hdmitx_pixr_ifr); #ifdef ENABLE_AUDIO #ifdef MANUAL_CTS SetupAudio(cm.cc.tx_mode); @@ -1119,7 +1119,7 @@ int main() if ((tc.tx_mode != TX_DVI) && (tc.hdmi_itc != cm.cc.hdmi_itc)) { //EnableAVIInfoFrame(FALSE, NULL); printf("setting ITC to %d\n", tc.hdmi_itc); - HDMITX_SetAVIInfoFrame(vmode_out.vic, (tc.tx_mode == TX_HDMI_RGB) ? F_MODE_RGB444 : F_MODE_YUV444, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr); + HDMITX_SetAVIInfoFrame(vmode_out.vic, (tc.tx_mode == TX_HDMI_RGB) ? F_MODE_RGB444 : F_MODE_YUV444, 0, 0, tc.hdmi_itc, vm_conf.hdmitx_pixr_ifr); cm.cc.hdmi_itc = tc.hdmi_itc; } if (tc.av3_alt_rgb != cm.cc.av3_alt_rgb) { diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index b39aaa9..f5a0ffb 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -82,8 +82,6 @@ typedef struct { alt_u8 progressive; alt_8 id; alt_u8 sync_active; - alt_u8 tx_pixelrep; - alt_u8 hdmitx_pixr_ifr; alt_u8 hdmitx_pclk_level; alt_u32 pclk_o_hz; avinput_t avinput; diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 9413a99..ac3d20e 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -93,6 +93,7 @@ typedef struct { alt_u8 l3_mode; alt_u8 l4_mode; alt_u8 l5_mode; + alt_u8 l6_mode; alt_u8 l5_fmt; alt_u8 s480p_mode; alt_u8 s400p_mode; diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index 9742ffb..b26cd0f 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -24,10 +24,10 @@ #include "sysconfig.h" #define FW_VER_MAJOR 1 -#define FW_VER_MINOR 04 +#define FW_VER_MINOR 05 #define PROFILE_VER_MAJOR 1 -#define PROFILE_VER_MINOR 03 +#define PROFILE_VER_MINOR 05 #define INITCFG_VER_MAJOR 1 #define INITCFG_VER_MINOR 00 diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index ec990d4..4b1c628 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -56,9 +56,9 @@ static const char *s400p_mode_desc[] = { "VGA 640x400@70", "VGA 720x400@70" }; static const char *sync_lpf_desc[] = { LNG("2.5MHz (max)","2.5MHz (サイダイ)"), LNG("10MHz (med)","10MHz (チュウイ)"), LNG("33MHz (min)","33MHz (サイショウ)"), LNG("Off","オフ") }; static const char *stc_lpf_desc[] = { "4.8MHz (HDTV/PC)", "0.5MHz (SDTV)", "1.7MHz (EDTV)" }; static const char *l3_mode_desc[] = { LNG("Generic 16:9","ジェネリック 16:9"), LNG("Generic 4:3","ジェネリック 4:3"), LNG("512x240 optim.","512x240 サイテキカ."), LNG("384x240 optim.","384x240 サイテキカ."), LNG("320x240 optim.","320x240 サイテキカ."), LNG("256x240 optim.","256x240 サイテキカ.") }; -static const char *l2l4l5_mode_desc[] = { LNG("Generic 4:3","ジェネリック 4:3"), LNG("512x240 optim.","512x240 サイテキカ."), LNG("384x240 optim.","384x240 サイテキカ."), LNG("320x240 optim.","320x240 サイテキカ."), LNG("256x240 optim.","256x240 サイテキカ.") }; +static const char *l2l4l5l6_mode_desc[] = { LNG("Generic 4:3","ジェネリック 4:3"), LNG("512x240 optim.","512x240 サイテキカ."), LNG("384x240 optim.","384x240 サイテキカ."), LNG("320x240 optim.","320x240 サイテキカ."), LNG("256x240 optim.","256x240 サイテキカ.") }; static const char *l5_fmt_desc[] = { "1920x1080", "1600x1200", "1920x1200" }; -static const char *pm_240p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x", "Line4x", "Line5x" }; +static const char *pm_240p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x", "Line4x", "Line5x", "Line6x" }; static const char *pm_480i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)", "Line3x (laced)", "Line4x (bob)" }; static const char *pm_384p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x Generic", "Line2x 240x360", "Line3x 240x360" }; static const char *pm_480p_desc[] = { LNG("Passthru","パススルー"), "Line2x" }; @@ -176,10 +176,11 @@ MENU(menu_output, P99_PROTECT({ \ { LNG("480i/576i proc","480i/576iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480i, OPT_WRAP, SETTING_ITEM(pm_480i_desc) } } }, { LNG("480p/576p proc","480p/576pショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_480p, OPT_WRAP, SETTING_ITEM(pm_480p_desc) } } }, { LNG("960i/1080i proc","960i/1080iショリ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.pm_1080i, OPT_WRAP, SETTING_ITEM(pm_1080i_desc) } } }, - { LNG("Line2x mode","Line2xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l2_mode, OPT_WRAP, SETTING_ITEM(l2l4l5_mode_desc) } } }, + { LNG("Line2x mode","Line2xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l2_mode, OPT_WRAP, SETTING_ITEM(l2l4l5l6_mode_desc) } } }, { LNG("Line3x mode","Line3xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l3_mode, OPT_WRAP, SETTING_ITEM(l3_mode_desc) } } }, - { LNG("Line4x mode","Line4xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l4_mode, OPT_WRAP, SETTING_ITEM(l2l4l5_mode_desc) } } }, - { LNG("Line5x mode","Line5xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l5_mode, OPT_WRAP, SETTING_ITEM(l2l4l5_mode_desc) } } }, + { LNG("Line4x mode","Line4xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l4_mode, OPT_WRAP, SETTING_ITEM(l2l4l5l6_mode_desc) } } }, + { LNG("Line5x mode","Line5xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l5_mode, OPT_WRAP, SETTING_ITEM(l2l4l5l6_mode_desc) } } }, + { LNG("Line6x mode","Line6xモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l6_mode, OPT_WRAP, SETTING_ITEM(l2l4l5l6_mode_desc) } } }, { LNG("Line5x format","Line5xケイシキ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.l5_fmt, OPT_WRAP, SETTING_ITEM(l5_fmt_desc) } } }, { LNG("256x240 aspect","256x240アスペクト"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.ar_256col, OPT_WRAP, SETTING_ITEM(ar_256col_desc) } } }, { LNG("TX mode","TXモード"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.tx_mode, OPT_WRAP, SETTING_ITEM(tx_mode_desc) } } }, @@ -470,7 +471,7 @@ void display_menu(alt_u8 forcedisp) ui_disp_menu(0); } -void update_osd_size(mode_data_t *vm_out) { +void update_osd_size(mode_data_t *vm_out, vm_proc_config_t *vm_conf) { uint8_t osd_size = vm_out->timings.v_active / 700; uint8_t par = (((100*vm_out->timings.h_active*vm_out->ar.v)/((vm_out->timings.v_active<timings.interlaced)*vm_out->ar.h))+50)/100; uint8_t par_log2 = 0; @@ -483,10 +484,10 @@ void update_osd_size(mode_data_t *vm_out) { osd->osd_config.x_size = osd_size + vm_out->timings.interlaced + par_log2; osd->osd_config.y_size = osd_size; - if (cm.hdmitx_pixr_ifr) - osd->osd_config.x_size += (cm.hdmitx_pixr_ifr+1)/2; - if (cm.tx_pixelrep) - osd->osd_config.x_size -= (cm.tx_pixelrep+1)/2; + if (vm_conf->hdmitx_pixr_ifr) + osd->osd_config.x_size += (vm_conf->hdmitx_pixr_ifr+1)/2; + if (vm_conf->tx_pixelrep) + osd->osd_config.x_size -= (vm_conf->tx_pixelrep+1)/2; } static void vm_select() { diff --git a/software/sys_controller/ossc/menu.h b/software/sys_controller/ossc/menu.h index 5ff77ff..fbae717 100644 --- a/software/sys_controller/ossc/menu.h +++ b/software/sys_controller/ossc/menu.h @@ -127,7 +127,7 @@ void init_menu(); void render_osd_page(); void display_menu(alt_u8 forcedisp); void sampler_phase_disp(alt_u8 v); -void update_osd_size(mode_data_t *vm_out); +void update_osd_size(mode_data_t *vm_out, vm_proc_config_t *vm_conf); static void vm_select(); static void vm_tweak(alt_u16 *v); diff --git a/software/sys_controller/ossc/video_modes.c b/software/sys_controller/ossc/video_modes.c index 9bbb12a..937d0a6 100644 --- a/software/sys_controller/ossc/video_modes.c +++ b/software/sys_controller/ossc/video_modes.c @@ -126,6 +126,8 @@ uint32_t calculate_pclk(uint32_t src_clk_hz, mode_data_t *vm_out, vm_proc_config } } + pclk_hz *= vm_conf->tx_pixelrep+1; + return pclk_hz; } @@ -133,7 +135,7 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm { int i, diff_lines, diff_v_hz_x100, mindiff_id=0, mindiff_lines=1000, mindiff_v_hz_x100=10000; mode_data_t *mode_preset; - mode_flags valid_lm[] = { MODE_PT, (MODE_L2 | (MODE_L2<l2_mode)), (MODE_L3_GEN_16_9<l3_mode), (MODE_L4_GEN_4_3<l4_mode), (MODE_L5_GEN_4_3<l5_mode) }; + mode_flags valid_lm[] = { MODE_PT, (MODE_L2 | (MODE_L2<l2_mode)), (MODE_L3_GEN_16_9<l3_mode), (MODE_L4_GEN_4_3<l4_mode), (MODE_L5_GEN_4_3<l5_mode), (MODE_L6_GEN_4_3<l6_mode) }; mode_flags target_lm, mindiff_lm; uint8_t pt_only = 0; uint8_t upsample2x = cc->upsample2x; @@ -401,6 +403,9 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vm_conf->y_rpt = 4; vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; + // Force TX pixel-repeat + if (mode_preset->group == GROUP_288P) + vm_conf->tx_pixelrep = 1; break; case MODE_L5_512_COL: vm_conf->y_rpt = 4; @@ -427,6 +432,35 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; vm_conf->x_rpt -= cc->ar_256col; break; + case MODE_L6_GEN_4_3: + vm_conf->y_rpt = 5; + vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); + vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; + vm_conf->tx_pixelrep = 1; + break; + case MODE_L6_512_COL: + vm_conf->y_rpt = 5; + vm_conf->x_rpt = vm_conf->h_skip = 1; + vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); + vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; + vm_conf->tx_pixelrep = 1; + break; + case MODE_L6_384_COL: + case MODE_L6_320_COL: + vm_conf->y_rpt = 5; + vm_conf->x_rpt = vm_conf->h_skip = 2; + vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); + vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; + vm_conf->tx_pixelrep = 1; + break; + case MODE_L6_256_COL: + vm_conf->y_rpt = 5; + vm_conf->x_rpt = vm_conf->h_skip = 3; + vmode_hv_mult(vm_out, VM_OUT_XMULT, VM_OUT_YMULT); + vm_conf->si_pclk_mult = VM_OUT_PCLKMULT; + vm_conf->x_rpt = cc->ar_256col ? 2 : 3; + vm_conf->tx_pixelrep = 1; + break; default: printf("WARNING: invalid mindiff_lm\n"); return -1; @@ -445,7 +479,7 @@ int get_pure_lm_mode(avconfig_t *cc, mode_data_t *vm_in, mode_data_t *vm_out, vm vm_conf->y_offset = ((vm_out->timings.v_active-vm_conf->y_size)/2); // Line5x format - if (vm_conf->y_rpt == 4) { + if ((vm_conf->y_rpt == 4) && !((mindiff_lm == MODE_L5_GEN_4_3) && (mode_preset->group == GROUP_288P))) { // adjust output width to 1920 if (cc->l5_fmt != 1) { vm_conf->x_offset = (1920-vm_conf->x_size)/2; diff --git a/software/sys_controller/ossc/video_modes.h b/software/sys_controller/ossc/video_modes.h index 551ae72..55650c2 100644 --- a/software/sys_controller/ossc/video_modes.h +++ b/software/sys_controller/ossc/video_modes.h @@ -42,7 +42,7 @@ #define V_BPORCH_MIN 0 #define V_BPORCH_MAX 511 #define V_ACTIVE_MIN 160 -#define V_ACTIVE_MAX 1440 +#define V_ACTIVE_MAX 1728 typedef enum { FORMAT_RGBS = 0, @@ -100,10 +100,15 @@ typedef enum { MODE_L5_384_COL = (1<<23), MODE_L5_320_COL = (1<<24), MODE_L5_256_COL = (1<<25), + MODE_L6_GEN_4_3 = (1<<26), + MODE_L6_512_COL = (1<<27), + MODE_L6_384_COL = (1<<28), + MODE_L6_320_COL = (1<<29), + MODE_L6_256_COL = (1<<30), } mode_flags; typedef enum { - VMODE_480p = 24, + VMODE_480p = 23, } vmode_t; typedef struct { @@ -163,6 +168,8 @@ typedef struct { uint8_t x_start_lb; int8_t y_start_lb; uint8_t framelock; + uint8_t tx_pixelrep; + uint8_t hdmitx_pixr_ifr; // for generation from 27MHz clock int8_t si_pclk_mult; } vm_proc_config_t; diff --git a/software/sys_controller/ossc/video_modes_list.c b/software/sys_controller/ossc/video_modes_list.c index a14c5b0..b95b0de 100644 --- a/software/sys_controller/ossc/video_modes_list.c +++ b/software/sys_controller/ossc/video_modes_list.c @@ -23,62 +23,61 @@ static #endif const mode_data_t video_modes_plm_default[] = { /* 240p modes */ - { "1600x240", HDMI_Unknown, {1600, 240, 6000, 2046, 0, 262, 202, 15, 150, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L5_GEN_4_3), }, - { "1280x240", HDMI_Unknown, {1280, 240, 6000, 1560, 0, 262, 170, 15, 72, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "960x240", HDMI_Unknown, { 960, 240, 6000, 1170, 0, 262, 128, 15, 54, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_4_3), }, - { "512x240", HDMI_Unknown, { 512, 240, 6000, 682, 0, 262, 77, 14, 50, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL), }, - { "384x240", HDMI_Unknown, { 384, 240, 6000, 512, 0, 262, 59, 14, 37, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL), }, - { "320x240", HDMI_Unknown, { 320, 240, 6000, 426, 0, 262, 49, 14, 31, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL), }, - { "256x240", HDMI_Unknown, { 256, 240, 6000, 341, 0, 262, 39, 14, 25, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL), }, - { "240p", HDMI_240p60, { 720, 240, 6005, 858, 0, 262, 57, 15, 62, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_PT | MODE_L2), }, + { "1600x240", HDMI_Unknown, {1600, 240, 6000, 2046, 0, 262, 202, 15, 150, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L5_GEN_4_3), }, + { "1280x240", HDMI_Unknown, {1280, 240, 6000, 1560, 0, 262, 170, 15, 72, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, + { "960x240", HDMI_Unknown, { 960, 240, 6000, 1170, 0, 262, 128, 15, 54, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L3_GEN_4_3 | MODE_L6_GEN_4_3), }, + { "512x240", HDMI_Unknown, { 512, 240, 6000, 682, 0, 262, 77, 14, 50, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL | MODE_L6_512_COL), }, + { "384x240", HDMI_Unknown, { 384, 240, 6000, 512, 0, 262, 59, 14, 37, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL | MODE_L6_384_COL), }, + { "320x240", HDMI_Unknown, { 320, 240, 6000, 426, 0, 262, 49, 14, 31, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL | MODE_L6_320_COL), }, + { "256x240", HDMI_Unknown, { 256, 240, 6000, 341, 0, 262, 39, 14, 25, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL | MODE_L6_256_COL), }, + { "240p", HDMI_240p60, { 720, 240, 6005, 858, 0, 262, 57, 15, 62, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_240P, (MODE_PT | MODE_L2), }, /* 288p modes */ - { "1600x240L", HDMI_Unknown, {1600, 240, 5000, 2046, 0, 312, 202, 43, 150, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L5_GEN_4_3), }, - { "1280x288", HDMI_Unknown, {1280, 288, 5000, 1560, 0, 312, 170, 19, 72, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "960x288", HDMI_Unknown, { 960, 288, 5000, 1170, 0, 312, 128, 19, 54, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_4_3), }, - { "512x240LB", HDMI_Unknown, { 512, 240, 5000, 682, 0, 312, 77, 41, 50, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL), }, - { "384x240LB", HDMI_Unknown, { 384, 240, 5000, 512, 0, 312, 59, 41, 37, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL), }, - { "320x240LB", HDMI_Unknown, { 320, 240, 5000, 426, 0, 312, 49, 41, 31, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL), }, - { "256x240LB", HDMI_Unknown, { 256, 240, 5000, 341, 0, 312, 39, 41, 25, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL), }, - { "288p", HDMI_288p50, { 720, 288, 5008, 864, 0, 312, 69, 19, 63, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_PT | MODE_L2), }, + { "1280x288", HDMI_Unknown, {1280, 288, 5000, 1560, 0, 312, 170, 19, 72, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_L6_GEN_4_3), }, + { "960x288", HDMI_Unknown, { 960, 288, 5000, 1170, 0, 312, 128, 19, 54, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L3_GEN_4_3 | MODE_L5_GEN_4_3), }, + { "512x240LB", HDMI_Unknown, { 512, 240, 5000, 682, 0, 312, 77, 41, 50, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_512_COL | MODE_L3_512_COL | MODE_L4_512_COL | MODE_L5_512_COL | MODE_L6_512_COL), }, + { "384x240LB", HDMI_Unknown, { 384, 240, 5000, 512, 0, 312, 59, 41, 37, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_384_COL | MODE_L3_384_COL | MODE_L4_384_COL | MODE_L5_384_COL | MODE_L6_384_COL), }, + { "320x240LB", HDMI_Unknown, { 320, 240, 5000, 426, 0, 312, 49, 41, 31, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_320_COL | MODE_L3_320_COL | MODE_L4_320_COL | MODE_L5_320_COL | MODE_L6_320_COL), }, + { "256x240LB", HDMI_Unknown, { 256, 240, 5000, 341, 0, 312, 39, 41, 25, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_L2_256_COL | MODE_L3_256_COL | MODE_L4_256_COL | MODE_L5_256_COL | MODE_L6_256_COL), }, + { "288p", HDMI_288p50, { 720, 288, 5008, 864, 0, 312, 69, 19, 63, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_288P, (MODE_PT | MODE_L2), }, /* 360p: GBI */ - { "480x360", HDMI_Unknown, { 480, 360, 6000, 600, 0, 375, 63, 10, 38, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, - { "240x360", HDMI_Unknown, { 256, 360, 6000, 300, 0, 375, 24, 10, 18, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360), }, + { "480x360", HDMI_Unknown, { 480, 360, 6000, 600, 0, 375, 63, 10, 38, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, + { "240x360", HDMI_Unknown, { 256, 360, 6000, 300, 0, 375, 24, 10, 18, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_L2_240x360 | MODE_L3_240x360), }, /* 384p: Sega Model 2 */ - { "384p", HDMI_Unknown, { 496, 384, 5500, 640, 0, 423, 50, 29, 62, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, + { "384p", HDMI_Unknown, { 496, 384, 5500, 640, 0, 423, 50, 29, 62, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_384P, (MODE_PT | MODE_L2), }, /* 400p line3x */ - { "1600x400", HDMI_Unknown, {1600, 400, 7000, 2000, 0, 449, 120, 34, 240, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_L3_GEN_16_9), }, + { "1600x400", HDMI_Unknown, {1600, 400, 7000, 2000, 0, 449, 120, 34, 240, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_L3_GEN_16_9), }, /* 720x400@70Hz, VGA Mode 3+/7+ */ - { "720x400_70", HDMI_Unknown, { 720, 400, 7000, 900, 0, 449, 64, 34, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, + { "720x400_70", HDMI_Unknown, { 720, 400, 7000, 900, 0, 449, 64, 34, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, /* 640x400@70Hz, VGA Mode 13h */ - { "640x400_70", HDMI_Unknown, { 640, 400, 7000, 800, 0, 449, 48, 34, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, + { "640x400_70", HDMI_Unknown, { 640, 400, 7000, 800, 0, 449, 48, 34, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, /* 384p: X68k @ 24kHz */ - { "640x384", HDMI_Unknown, { 640, 384, 5500, 800, 0, 492, 48, 63, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, + { "640x384", HDMI_Unknown, { 640, 384, 5500, 800, 0, 492, 48, 63, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_384P, (MODE_PT | MODE_L2), }, /* ~525-line modes */ - { "480i", HDMI_480i60, { 720, 240, 5994, 858, 0, 525, 57, 15, 62, 3, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "480p", HDMI_480p60, { 720, 480, 5994, 858, 0, 525, 60, 30, 62, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2), }, - { "640x480_60", HDMI_640x480p60, { 640, 480, 6000, 800, 0, 525, 48, 33, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, + { "480i", HDMI_480i60, { 720, 240, 5994, 858, 0, 525, 57, 15, 62, 3, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, + { "480p", HDMI_480p60, { 720, 480, 5994, 858, 0, 525, 60, 30, 62, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2), }, + { "640x480_60", HDMI_640x480p60, { 640, 480, 6000, 800, 0, 525, 48, 33, 96, 2, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, /* 480p PSP in-game */ \ - { "480x272", HDMI_480p60_16x9, { 480, 272, 6000, 858, 0, 525, 177,134, 62, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2) }, \ + { "480x272", HDMI_480p60_16x9, { 480, 272, 6000, 858, 0, 525, 177,134, 62, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_480P, (MODE_PT | MODE_L2) }, \ /* X68k @ 31kHz */ - { "640x512", HDMI_Unknown, { 640, 512, 6000, 800, 0, 568, 48, 34, 96, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, + { "640x512", HDMI_Unknown, { 640, 512, 6000, 800, 0, 568, 48, 34, 96, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_480P, (MODE_PT | MODE_L2), }, /* ~625-line modes */ - { "576i", HDMI_576i50, { 720, 288, 5000, 864, 0, 625, 69, 19, 63, 3, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_576I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, - { "576p", HDMI_576p50, { 720, 576, 5000, 864, 0, 625, 68, 39, 64, 5, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_576P, (MODE_PT | MODE_L2), }, - { "800x600_60", HDMI_Unknown, { 800, 600, 6000, 1056, 0, 628, 88, 23, 128, 4, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "576i", HDMI_576i50, { 720, 288, 5000, 864, 0, 625, 69, 19, 63, 3, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_SDTV, GROUP_576I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3), }, + { "576p", HDMI_576p50, { 720, 576, 5000, 864, 0, 625, 68, 39, 64, 5, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_576P, (MODE_PT | MODE_L2), }, + { "800x600_60", HDMI_Unknown, { 800, 600, 6000, 1056, 0, 628, 88, 23, 128, 4, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, /* CEA 720p modes */ - { "720p_50", HDMI_720p50, {1280, 720, 5000, 1980, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, - { "720p_60", HDMI_720p60, {1280, 720, 6000, 1650, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, + { "720p_50", HDMI_720p50, {1280, 720, 5000, 1980, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, + { "720p_60", HDMI_720p60, {1280, 720, 6000, 1650, 0, 750, 220, 20, 40, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_720P, MODE_PT, }, /* VESA XGA,1280x960 and SXGA modes */ - { "1024x768", HDMI_Unknown, {1024, 768, 6000, 1344, 0, 806, 160, 29, 136, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, - { "1280x960", HDMI_Unknown, {1280, 960, 6000, 1800, 0, 1000, 312, 36, 112, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, - { "1280x1024", HDMI_Unknown, {1280, 1024, 6000, 1688, 0, 1066, 248, 38, 112, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1024x768", HDMI_Unknown, {1024, 768, 6000, 1344, 0, 806, 160, 29, 136, 6, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1280x960", HDMI_Unknown, {1280, 960, 6000, 1800, 0, 1000, 312, 36, 112, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1280x1024", HDMI_Unknown, {1280, 1024, 6000, 1688, 0, 1066, 248, 38, 112, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, /* PS2 GSM 960i mode */ - { "640x960i", HDMI_Unknown, { 640, 480, 6000, 800, 0, 1050, 48, 33, 96, 2, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_1080I, (MODE_PT | MODE_L2), }, + { "640x960i", HDMI_Unknown, { 640, 480, 6000, 800, 0, 1050, 48, 33, 96, 2, 1}, DEF_PHASE, {{ 0, 0}}, VIDEO_EDTV, GROUP_1080I, (MODE_PT | MODE_L2), }, /* CEA 1080i/p modes */ - { "1080i_50", HDMI_1080i50, {1920, 540, 5000, 2640, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, - { "1080i_60", HDMI_1080i60, {1920, 540, 6000, 2200, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, - { "1080p_50", HDMI_1080p50, {1920, 1080, 5000, 2640, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, - { "1080p_60", HDMI_1080p60, {1920, 1080, 6000, 2200, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, + { "1080i_50", HDMI_1080i50, {1920, 540, 5000, 2640, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, + { "1080i_60", HDMI_1080i60, {1920, 540, 6000, 2200, 0, 1125, 148, 15, 44, 5, 1}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2), }, + { "1080p_50", HDMI_1080p50, {1920, 1080, 5000, 2640, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, + { "1080p_60", HDMI_1080p60, {1920, 1080, 6000, 2200, 0, 1125, 148, 36, 44, 5, 0}, DEF_PHASE, {{ 0, 0}}, (VIDEO_HDTV | VIDEO_PC), GROUP_1080P, MODE_PT, }, /* VESA UXGA mode */ - { "1600x1200", HDMI_Unknown, {1600, 1200, 6000, 2160, 0, 1250, 304, 46, 192, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, + { "1600x1200", HDMI_Unknown, {1600, 1200, 6000, 2160, 0, 1250, 304, 46, 192, 3, 0}, DEF_PHASE, {{ 0, 0}}, VIDEO_PC, GROUP_NONE, MODE_PT, }, };