diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 7f9c5f2..314b062 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000971720 -:04002F009807071314 +:04002F00A307071309 :04003000000097979E -:04003100FD4787936D +:040031000847879362 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -56,41 +56,41 @@ :04003700C4064501B5 :04003800200520057A :04003900A60367E5CE -:04003A0067E502076D -:04003B000247A58350 +:04003A0067E50D0762 +:04003B000D47A58345 :04003C0040A267E592 -:04003D000287A5038E +:04003D000D87A50383 :04003E00406F0131DD -:04003F00A98505E0AA +:04003F00A9850F0080 :0400400067E580826E :04004100000207377B -:0400420002E7A82306 +:040042000EE7A02302 :0400430007B7656135 :040044006765019C4F :04004500CC078793CA -:04004600EC050513AD -:0400470002F7262373 +:04004600F7050513A2 +:040047000CF72E2361 :040048006765AC6DCF -:0400490002C72703C0 +:040049000DC72703B5 :04004A00A78367E53C -:04004B00557D0307D5 +:04004B00557D0E07CA :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB :0400510067E580825D -:0400520002C7A783B7 +:040052000DC7A783AC :04005300CF91557D77 :04005400A78367E532 -:04005500A8230307D2 +:04005500A8230E07C7 :040056004B880007CC :0400570005424BDC37 :0400580007C2814119 :0400590045138D5D61 :04005A008082FFF5AC :04005B00A50367E5AD -:04005C00808202C7D5 +:04005C0080820DC7CA :04005D002783C90D1F :04005E0011510C052B :04005F00C22205A212 @@ -254,7 +254,7 @@ :0400FD00E963FEB7FE :0400FE0066D902F6C7 :0400FF008693078A53 -:0401000097B67346F5 +:0401000097B67C86AC :040101008782439C12 :04010200200007933F :040103000D0526833D @@ -287,7 +287,7 @@ :04011E00D918DD5CB3 :04011F0002052A2388 :04012000C134DD10F9 -:040121009D458593E0 +:04012100A8458593D5 :0401220040A22201D4 :04012300853E47814D :0401240080820131A3 @@ -354,7 +354,7 @@ :04016100BFD507857A :04016200451CC1195E :0401630067E5EF91CC -:040164009DC7A78309 +:04016400A8C7A783FE :040165001151C395DC :040166009782C406B2 :0401670047D940A292 @@ -365,7 +365,7 @@ :04016C00C188C3C8BB :04016D008082450146 :04016E0005136565AB -:04016F0047D908451F +:04016F0047D9134514 :040170005529C11C30 :04017100832A8082DB :040172008383CA09B0 @@ -395,15 +395,15 @@ :04018A007179808285 :04018B00D62267E52C :04018C008713D426DB -:04018D000403A4873C +:04018D000403AF8731 :04018E0045F100A790 :04018F0005B366E569 :04019000861302B41C -:040191004503BA4622 +:040191004503C54617 :04019200448301F7AA :040193008693012727 -:04019400CE36BA4663 -:04019500A487879321 +:04019400CE36C54658 +:04019500AF87879316 :04019600962E4281DE :040197000146458355 :040198005583C62E97 @@ -439,9 +439,9 @@ :0401B600C7030FF676 :0401B70066E50247B0 :0401B800D03A4601F2 -:0401B900A48687137E +:0401B900AF86871373 :0401BA008693CC3A22 -:0401BB00C202A48652 +:0401BB00C202AF8647 :0401BC001313470DC5 :0401BD001C63002699 :0401BE00C7030CE582 @@ -516,7 +516,7 @@ :0402030008000613D6 :040204001EC683632C :04020500666587267D -:0402060006B6458370 +:0402060011B6458365 :040207009763462192 :04020800463200C5B5 :04020900064206069D @@ -655,34 +655,34 @@ :04028E008241064261 :04028F00BBE1CA32D3 :04029000C78367E5D4 -:04029100676508078E -:0402920006F70FA3B9 +:040291006765130783 +:0402920012F707A3B5 :0402930087B3477175 :04029400676502E7B1 -:04029500BA4707134A +:04029500C54707133F :04029600D68397BABA :04029700676500E7B0 -:0402980006D718234A +:0402980012D7102346 :0402990066E54B9833 -:04029A0099238B7D9C -:04029B00C68306E62A +:04029A0091238B7DA4 +:04029B00C68312E61E :04029C00676501474A -:04029D0006D71A2343 +:04029D0012D712233F :04029E000127C683EB -:04029F001723676555 -:0402A000479806D79E +:04029F001F2367654D +:0402A000479810D794 :0402A100835566E536 -:0402A20006E69623B3 +:0402A20010E69E23A1 :0402A3000157C683B6 -:0402A4001E23676549 -:0402A500C68306D72F +:0402A4001623676551 +:0402A500C68312D723 :0402A6006765013750 -:0402A70006D71D2336 +:0402A70012D7152332 :0402A80000C7D68332 -:0402A9001C23676546 -:0402AA00C70306D7A9 +:0402A900142367654E +:0402AA00C70312D79D :0402AB0067E501679B -:0402AC0006E79B23A3 +:0402AC0012E793239F :0402AD00678580825F :0402AE00802787938B :0402AF0002F507B39A @@ -736,7 +736,7 @@ :0402DF00000217B74B :0402E00000E782238E :0402E1006765B7E9AD -:0402E2000667071391 +:0402E2001167071386 :0402E3000007578336 :0402E4000807E7938D :0402E50000F71023EB @@ -914,7 +914,7 @@ :04039100B5B3FBF510 :04039200058900F5E4 :04039300879367E104 -:0403940097AEE5C774 +:0403940097AEF0C769 :040395000007C50395 :040396000280079347 :040397000533471DC6 @@ -1045,9 +1045,9 @@ :04041400C02611519C :04041500C40667E5CD :04041600C703C22234 -:0404170084AA069716 +:0404170084AA11970B :0404180067E5E1496A -:04041900A48787939A +:04041900AF8787938F :04041A00043743D48C :04041B000413019C29 :04041C005433CC0485 @@ -1055,7 +1055,7 @@ :04041E0046F100A7FC :04041F0002D786B3C7 :04042000879367E572 -:0404210097B6BA4789 +:0404210097B6C5477E :0404220000E7D78395 :0404230002F40433A8 :040424001D63478984 @@ -1066,7 +1066,7 @@ :0404290035D185261E :04042A00A03D450D9F :04042B0067E53D7DC7 -:04042C0006B7C70345 +:04042C0011B7C7033A :04042D00FF870793AB :04042E000F77F793BA :04042F004791C79991 @@ -1088,33 +1088,33 @@ :04043F008D054515CD :040440000FF575132C :040441001793BFD17D -:04044200655D0025CF -:040443007E0505131A +:0404420065610025CB +:04044300890505130F :04044400410C953E94 :04044500464565655E -:04044600ACC5051329 -:040447004C60506F46 +:04044600B7C505131E +:040447005580506F1D :04044800053345F142 :0404490065E502B5AE -:04044A00BA45859397 +:04044A00C54585938C :04044B0095AA4645E3 :04044C0005136565CA -:04044D00506FACC57B -:04044E0047A94AC0B0 +:04044D00506FB7C570 +:04044E0047A953E087 :04044F0000F50E6343 :04045000050A67E151 -:04045100FB4787934B +:040451000647879340 :04045200410C953E86 :040453004645656550 -:04045400ACC505131B -:0404550048E0506FBC +:04045400B7C5051310 +:040455005200506F92 :04045600859365D94C -:04045700B7FD7685F2 +:04045700B7FD7FC5A9 :04045800112167E522 -:040459000507A6836A +:040459001007A6835F :04045A00C82267E568 :04045B008793C62697 -:04045C00CA06B5C750 +:04045C00CA06C0C745 :04045D000377C70357 :04045E000387C60347 :04045F003E800413C4 @@ -1151,10 +1151,10 @@ :04047E00F0EF0C503F :04047F0046829EBF54 :04048000471264E5D6 -:04048100A384879336 +:04048100AE8487932B :040482004785C7944F :0404830084934622F6 -:040484004409A38400 +:040484004409AE84F5 :0404850000F7036316 :04048600468544293A :04048700008483A3C7 @@ -1330,9 +1330,9 @@ :0405310005134581E8 :04053200F0EF0CD00A :0405330067E5F1AFD8 -:04053400A4878713FE +:04053400AF878713F3 :0405350001074483F3 -:04053600A48787937C +:04053600AF87879371 :04053700C03E470972 :04053800009034B348 :04053900C23A4785F6 @@ -1342,12 +1342,12 @@ :04053D00EF0FF0EFDD :04053E00079366E5D4 :04053F008613FFE43C -:0405400037B3A386A4 +:0405400037B3AE8699 :04054100971300F01C :0405420047830017D4 :040543009BF500C65E :0405440087138FD9B1 -:040545000623A38660 +:040545000623AE8655 :04054600C43A00F6BD :04054700C7818B8954 :04054800F0EF450586 @@ -1371,28 +1371,28 @@ :04055A004792E7EFEE :04055B00C3B545815E :04055C00879367E535 -:04055D00C5839F476C +:04055D00C583AA4761 :04055E00051300077A :04055F00F0EF073082 :0405600067E5E66FF6 -:040561009F47871316 +:04056100AA4787130B :0405620000174583B6 :040563000740051335 :04056400E54FF0EF80 :04056500879367E52C -:04056600C5839F4763 +:04056600C583AA4758 :040567000513002751 :04056800F0EF075059 :040569006761E42FB3 :04056A0007134781AB -:04056B0006B3FE07CE +:04056B0006B30907C3 :04056C00C58300F74C :04056D0085130006EC :04056E00C23E07671B :04056F00E28FF0EF38 :0405700067614792E6 :04057100078546C9EB -:04057200FE07071366 +:04057200090707135B :04057300FED791E33B :04057400051345899D :04057500C22E07206B @@ -1456,7 +1456,7 @@ :0405AF004789D2AFF7 :0405B00002F40563E9 :0405B10064E5478234 -:0405B200B5C48493B5 +:0405B200C0C48493AA :0405B30000F7C68304 :0405B4000117C50363 :0405B5000244C60333 @@ -1480,7368 +1480,7412 @@ :0405C70006300513E2 :0405C800CC4FF0EF35 :0405C900B73145B150 -:0405CA0001A3114137 -:0405CB00153700A13F -:0405CC00C422000243 -:0405CD00842E46052D -:0405CE000405051308 -:0405CF00003105935F -:0405D000E0EFC6068C -:0405D1008522E07F20 -:0405D2009B7FE0EF3C -:0405D300442240B2CC -:0405D40080820141DF -:0405D50005131151A8 -:0405D600C406022035 -:0405D700C026C22256 -:0405D800D86FF0EFF9 -:0405D9000FF5741393 -:0405DA00455945CD6D -:0405DB00DC4FF0EF12 -:0405DC008513648996 -:0405DD00E0EF7104D6 -:0405DE00458D989F10 -:0405DF00F0EF455D97 -:0405E0008513DB2F75 -:0405E100E0EF7104D2 -:0405E2006593979FE7 -:0405E30005130804F0 -:0405E400F0EF022012 -:0405E5000513D9EF32 -:0405E600E0EF3E8084 -:0405E7007593965F13 -:0405E800441207F4BE -:0405E900448240A266 -:0405EA0002200513D3 -:0405EB00F06F01317B -:0405EC004789D82F34 -:0405ED000CA7EF6305 -:0405EE00C2221151C3 -:0405EF00C406C02658 -:0405F000000284B7CA -:0405F100842A409C7C -:0405F2008BBD83ED4D -:0405F3000AA78E6362 -:0405F40007B347D131 -:0405F50065DD02F5C9 -:0405F6007EC58593A6 -:0405F70085134651D1 -:0405F800883D0044F6 -:0405F90095BE040A9D -:0405FA00DDFFE0EF52 -:0405FB00F793409C96 -:0405FC008C5DFC37DF -:0405FD006465C080F1 -:0405FE00A484079337 -:0405FF0001C7C783E6 -:04060000A4840413B7 -:040601006765C395D1 -:04060200066707136D -:040603000007578312 -:040604009BF54529F4 -:0406050083C107C2E4 -:0406060000F71023C6 -:04060700000217379F -:040608000EF72023A6 -:040609008DBFE0EFD2 -:04060A00000217B71C -:04060B000D07A783AD -:04060C0000080737A4 -:04060D00EB8D8FF9E9 -:04060E00000287B7A8 -:04060F005963439850 -:040610004398000704 -:040611006713450521 -:04061200C398001772 -:040613008B3FE0EF4A -:04061400000287B7A2 -:040615004529439898 -:04061600C3989B7971 -:04061700671343988A -:04061800C39800275C -:0406190089BFE0EFC6 -:04061A0001C447834D -:04061B006765CF91AF -:04061C000667071353 -:04061D0000075783F8 -:04061E000027E79337 -:04061F0000F71023AD -:040620000002173786 -:040621000EF720238D -:04062200441240A29C -:0406230001314482DB -:0406240080828082CE -:04062500656565E1C1 -:040626000613115155 -:040627008593046053 -:0406280005138D85A4 -:04062900C406B5C589 -:04062A00D1FFE0EF2D -:04062B00656565E1BB -:04062C0047C00613AA -:04062D00920585931A -:04062E00BA450513B1 -:04062F00D0BFE0EF69 -:0406300067E540A298 -:040631008F234705C7 -:04063200450106E791 -:04063300808201318F -:040634004670069373 -:0406350002D50533B2 -:0406360006400693E1 -:0406370006136659E7 -:0406380045C5770637 -:0406390002D546B3ED -:04063A0005136565DA -:04063B00406FACC59B -:04063C0046B72F008E -:04063D008693000F91 -:04063E000533240656 -:04063F00678902D5F0 -:0406400096478793BF -:040641003E800713DD -:04064200006336B764 -:04064300EA068693AA -:0406440006136659DA -:0406450045C57786AA -:0406460002F557B3AF -:0406470002E7F7B31C -:0406480056B3472935 -:04064900656502D50C -:04064A00ACC5051323 -:04064B0002E7D733B8 -:04064C002AE0406FF1 -:04064D0027100693D9 -:04064E000533050566 +:0405CA0011416789EB +:0405CB00710787939A +:0405CC0054B3C2263C +:0405CD0066C102F50C +:0405CE00C606C42277 +:0405CF0016FD4401D0 +:0405D000000217B757 +:0405D1000D07A70368 +:0405D200879367E5BF +:0405D3008F75B90760 +:0405D4000347861340 +:0405D5000007D50343 +:0405D60002E50463D3 +:0405D7009BE3078912 +:0405D8006509FEC7EC +:0405D9007105051390 +:0405DA00E0EFC02E60 +:0405DB0067C1995FFC +:0405DC00458204054B +:0405DD00FFF786930B +:0405DE00FC9464E342 +:0405DF00A019450119 +:0405E0004505C19874 +:0405E100442240B2BE +:0405E20001414492FD +:0405E30011418082C0 +:0405E40000A101A3CE +:0405E50000021537C4 +:0405E6004605C422E0 +:0405E7000513842E46 +:0405E800059304056E +:0405E900C606003111 +:0405EA00DA1FE0EF45 +:0405EB00E0EF852296 +:0405EC0040B2951F65 +:0405ED000141442262 +:0405EE0011518082A5 +:0405EF0002200513CE +:0405F000C222C40659 +:0405F100F0EFC02641 +:0405F2007413D20F9D +:0405F30045CD0FF5EE +:0405F400F0EF455986 +:0405F5006489D5EF51 +:0405F60071048513F4 +:0405F700923FE0EF60 +:0405F800455D458D8B +:0405F900D4CFF0EF7C +:0405FA0071048513F0 +:0405FB00913FE0EF5D +:0405FC0008046593F7 +:0405FD0002200513C0 +:0405FE00D38FF0EFB8 +:0405FF003E80051322 +:040600008FFFE0EF99 +:0406010007F47593F2 +:0406020040A24412BC +:040603000513448215 +:04060400013102209E +:04060500D1CFF06FF2 +:04060600EF634789CE +:0406070011510CA7DA +:04060800C026C22224 +:0406090084B7C406E8 +:04060A00409C00020E +:04060B0083ED842ACD +:04060C008E638BBDB1 +:04060D0047D10AA720 +:04060E0002F507B337 +:04060F00859365E189 +:04061000465189C501 +:040611000044851309 +:04061200040A883D11 +:04061300E0EF95BEC1 +:04061400409CD79F90 +:04061500FC37F79324 +:04061600C0808C5DB7 +:04061700079364657C +:04061800C783AF8461 +:04061900041301C7FE +:04061A00C395AF8451 +:04061B0007136765F5 +:04061C005783116788 +:04061D004529000764 +:04061E0007C29BF57F +:04061F00102383C160 +:04062000173700F791 +:040621002023000290 +:04062200E0EF0EF700 +:0406230017B7875F1F +:04062400A7830002A6 +:0406250007370D077F +:040626008FF9000840 +:0406270087B7EB8D19 +:0406280043980002F1 +:04062900000759630A +:04062A0045054398A7 +:04062B00001767133A +:04062C00E0EFC398A0 +:04062D0087B784DF28 +:04062E0043980002EB +:04062F009B79452945 +:040630004398C39890 +:040631000027671324 +:04063200E0EFC3989A +:040633004783835F17 +:04063400CF9101C49D +:0406350007136765DB +:04063600578311676E +:04063700E79300073E +:040638001023002764 +:04063900173700F778 +:04063A002023000277 +:04063B0040A20EF7D4 +:04063C00448244129E +:04063D008082013185 +:04063E0065E1808270 +:04063F00115165658B +:040640000460061339 +:040641009885859380 +:04064200C0C5051317 +:04064300E0EFC4061A +:0406440065E1CB9F02 +:0406450006136565CE +:04064600859347C091 +:0406470005139D05F5 +:04064800E0EFC545D5 +:0406490040A2CA5FA2 +:04064A00470567E514 +:04064B0012E7872308 +:04064C000131450132 +:04064D00069380820E +:04064E0005334670BA :04064F00069302D537 -:0406500066590640A1 -:0406510078460613CE +:04065000665D06409D +:0406510080460613C6 :0406520046B345C5A1 :04065300656502D502 -:04065400ACC5051319 -:0406550028A0406F2A -:0406560027100693D0 -:0406570002D5053390 -:0406580006400693BF -:0406590006136659C5 -:04065A0045C57846D4 -:04065B0002D546B3CB -:04065C0005136565B8 -:04065D00406FACC579 -:04065E00BFF926803A -:04065F00665986AAA8 -:0406600006136565B3 -:0406610045C578C64D -:04066200ACC505130B -:040663002520406F9F -:04066400665986AAA3 -:0406650006136565AE -:0406660045C5798687 -:04066700ACC5051306 -:0406680023E0406FDC -:04066900665986AA9E -:04066A0006136565A9 -:04066B0045C57A46C1 -:04066C00ACC5051301 -:04066D0022A0406F18 -:04066E00F8050693F2 -:04066F00665D06E2DC -:0406700086E1656555 -:04067100A78606133F -:04067200051345C562 -:04067300406FACC563 -:0406740016932100B8 -:0406750086E1018594 -:0406760065656659F7 -:04067700061316D17F -:0406780045C57A8674 -:04067900ACC50513F4 -:04067A001F60406F4E -:04067B0096B3468567 -:04067C00665900A615 -:04067D000613656596 -:04067E0045C578C630 -:04067F00ACC50513EE -:040680001DE0406FCA -:0406810046850505A0 -:0406820000A696B385 -:0406830065656659EA -:04068400798606135A +:04065400B7C505130E +:0406550031C0406F01 +:04065600000F46B794 +:04065700240686935C +:0406580002D505338F +:040659008793678993 +:04065A0007139647A5 +:04065B0036B73E80F0 +:04065C00869300631E +:04065D00665DEA06E6 +:04065E0080C6061339 +:04065F0057B345C583 +:04066000F7B302F5F5 +:04066100472902E73C +:0406620002D556B3B4 +:0406630005136565B1 +:04066400D733B7C50C +:04066500406F02E7F9 +:0406660006932DA02A +:04066700050527104E +:0406680002D505337F +:0406690006400693AE +:04066A000613665DB0 +:04066B0045C581867A +:04066C0002D546B3BA +:04066D0005136565A7 +:04066E00406FB7C55D +:04066F0006932B6063 +:040670000533271017 +:04067100069302D515 +:04067200665D06407B +:040673008186061363 +:0406740046B345C57F +:04067500656502D5E0 +:04067600B7C50513EC +:040677002940406F67 +:0406780086AABFF996 +:040679006565665DF0 +:04067A0082060613DB +:04067B00051345C559 +:04067C00406FB7C54F +:04067D0086AA27E042 +:04067E006565665DEB +:04067F0082C6061316 +:04068000051345C554 +:04068100406FB7C54A +:0406820086AA26A07E +:040683006565665DE6 +:040684008386061350 :04068500051345C54F -:04068600406FACC550 -:0406870047831C4049 -:0406880047110045D1 -:04068900696386B269 -:04068A00675906F7AF -:04068B000713078AC0 -:04068C0097BA7C4756 -:04068D008782439C81 -:04068E004645451C7C -:04068F000007C70396 -:04069000070A491CF0 -:04069100438C97BA45 -:040692000513656582 -:04069300406FACC543 -:04069400451839507C -:040695004503491CB4 -:040696008782000750 -:040697004508491CAD -:0406980067E5878209 -:04069900AC07862301 -:04069A00C195808204 -:04069B0065D9E61126 -:04069C0085934645B7 -:04069D00BFC97B0551 -:04069E00020650639D -:04069F0065656659CE -:0406A0007B8606133C -:0406A100051345C533 -:0406A200406FACC534 -:0406A300455C15405D -:0406A4004398DBE9B3 -:0406A500B7C1479CF6 -:0406A60067E5808202 -:0406A70004A7C7835A -:0406A80067E5C3E15E -:0406A90004C7C703B8 -:0406AA001B63478502 -:0406AB0067650AF77E -:0406AC00071311110E -:0406AD00CA228907CD -:0406AE00CC06C82688 -:0406AF00448144013D -:0406B000C23A478182 -:0406B100470367652F -:0406B200469204B7B1 -:0406B3009736070E61 -:0406B40047034310A5 -:0406B500CD6300060B -:0406B60047B700E75B -:0406B700A223000278 -:0406B800A4233C97A4 -:0406B90040E23C8758 -:0406BA0044C24452A0 -:0406BB0080820171C7 -:0406BC0087334751E8 -:0406BD00425002E7BE -:0406BE0000579693B8 -:0406BF0047B7C63E35 -:0406C000853300027C -:0406C100C03600F649 -:0406C200430C97321C -:0406C300C43A4641AE -:0406C4002D3040EFA6 -:0406C50047B24722CF -:0406C600460345851D -:0406C70092B30047A3 -:0406C800C61600F55D -:0406C9007613167519 -:0406CA00E4B30FF690 -:0406CB00F76300547D -:0406CC00853A02C5A4 -:0406CD00458146011C -:0406CE0035D5C43E1C -:0406CF00000247B727 -:0406D0000107851386 -:0406D10065E5478212 -:0406D200953E4641CA -:0406D300ACC585939A -:0406D400293040EF9A -:0406D50047A242B244 -:0406D6000054643335 -:0406D700B79D07853F -:0406D8000113808208 -:0406D9006765FD81D3 -:0406DA005403D222D1 -:0406DB006765074701 -:0406DC0006E74703E3 -:0406DD0062E5D026DC -:0406DE006765C03A52 -:0406DF0006C75703F0 -:0406E000666564E502 -:0406E1006765C23A4D -:0406E20007C75703EC -:0406E3000704D683AF -:0406E400076653034F -:0406E5006765C43A47 -:0406E60007A7470318 -:0406E700849385AAC9 -:0406E800C63A070403 -:0406E90057036765E7 -:0406EA00D5030787A6 -:0406EB0006130722C9 -:0406EC00C83A07669B -:0406ED000713676523 -:0406EE004383A48717 -:0406EF00829300B73B -:0406F00067E5072291 -:0406F100CA26CC1633 -:0406F200CE3262E5BD -:0406F30007F7C783BB -:0406F400BA428293F1 -:0406F5000803816312 -:0406F60000A7060350 -:0406F70006F61D6383 -:0406F800073347710C -:0406F900971602E668 -:0406FA0000E753833F -:0406FB0006D391632E -:0406FC00010723834C -:0406FD000FF57493EE -:0406FE0001F3F3937E -:0406FF000493996364 -:0407000001474383E7 -:040701000483956375 -:040702000127438305 -:040703009063448239 -:0407040023830493B4 -:040705004492008793 -:040706000153D39335 -:04070700029399635D -:0407080001574383CF -:04070900946344A20F -:04070A004383029390 -:04070B0044B20137BC -:04070C0000939F6354 -:04070D0000C75703C7 -:04070E001A6344C264 -:04070F004771009797 -:0407100002E60633C4 -:0407110047039616EE -:040712000663016613 -:0407130067650067AF -:040714000F23460564 -:04071500477106C75B -:0407160002E787B3BC -:0407170001F577135E -:04071800D78392BE33 -:04071900972301021F -:04071A008A2300D25C -:04071B009B8100823C -:04071C0000E7E533DA -:04071D0000A298237B -:04071E008B23478260 -:04071F0065650062AA -:0407200000F2892337 -:040721009613479252 -:04072200D783005722 -:040723008BFD00A2A8 -:0407240095238FD1B9 -:0407250047A200F2F5 -:0407260000F28AA3B0 -:0407270089A347B2A9 -:0407280047C200F2D2 -:0407290000F2962321 -:04072A00966347F299 -:04072B00069302F53A -:04072C0003334650FD -:04072D00069302D35A -:04072E0066590640C2 -:04072F007D860613AA -:0407300002D346B3F7 -:040731005482541288 -:04073200051345C5A1 -:040733000113ACC53D -:04073400306F02819F -:0407350047D270D067 -:0407360000F59663D1 -:0407370006136659E6 -:04073800B7CD7A4679 -:04073900996347E297 -:04073A00469500F5EB -:04073B0006B3665942 -:04073C00061302D7C7 -:04073D00B7F97E0684 -:04073E000005D68359 -:04073F0067E5B7C5EE -:04074000060787938E -:04074100EF194398D1 -:040742000713671D15 -:04074300053397875C -:04074400115102E568 -:04074500C388C4069B -:04074600C0AFE0EF71 -:04074700450140A286 -:040748008082013179 -:040749008082450560 -:04074A00C40611517F -:04074B00C1AFE0EF6B -:04074C00A78367E533 -:04074D0040A20607B9 -:04074E0000F535334A -:04074F008082013172 -:040750006363473D5B -:0407510057B724A7CB -:0407520001134452F9 -:040753008793EDC1DA -:04075400CC3E35570B -:04075500004157B751 -:04075600441787932A -:040757003793CE3EC8 -:040758002E2300F557 -:0407590020231081C8 -:04075A002C23121129 -:04075B0001231091D5 -:04075C00842A02F1F8 -:04075D000CE51F6325 -:04075E008793679581 -:04075F0065E55007F5 -:0407600002F110236F -:04076100079346298B -:04076200859304A0D7 -:040763000513AAC50B -:0407640001A302519A -:04076500022302F178 -:04076600E0EF0201BD -:0407670067E582DFE1 -:040768000687C783B6 -:04076900061365E529 -:04076A0008230360FD -:04076B0067E502F14B -:04076C000407C78334 -:04076D00AE058593BD -:04076E0003910513DB -:04076F0002F108A3E8 -:04077000C78367E5EF -:040771000820055700 -:0407720006F10493F5 -:0407730002F107A3E5 -:04077400C78367E5EB -:0407750009230487C9 -:0407760067E502F140 -:040777000377C783BA -:0407780002F109A3DE -:04077900C78367E5E6 -:04077A000A230357F4 -:04077B0067E502F13B -:04077C009F07C78389 -:04077D0002F10AA3D8 -:04077E00C78367E5E1 -:04077F000B230367DE -:0407800067E502F136 -:04078100A007C78383 -:0407820002F10BA3D2 -:04078300C78367E5DC -:040784000C23A0178B -:04078500E0EF02F1AE -:040786004503FB0F1D -:040787000405000461 -:04078800C97FE0EF56 -:04078900FEA40FA318 -:04078A00FE9419E3DD -:04078B00A50367E576 -:04078C000693044785 -:04078D0008300570BB -:04078E00001F05B78C -:04078F00CAEFE0EFDE -:0407900012012083AF -:0407910011C124036B -:04079200118124832A -:0407930012410113FB -:04079400679980825F -:0407950080078793BF -:0407960002F1102339 -:04079700047C07B720 -:0407980004678793D8 -:04079900D83E64E5FD -:04079A00B4C4C78399 -:04079B006659EB8927 -:04079C007E8606133C -:04079D00851345B5C6 -:04079E0030EFB4C4C0 -:04079F008593565098 -:0407A0004635B4C462 -:0407A1000231051309 -:0407A20075A040EF0F -:0407A300061365E5EF -:0407A40085930460D5 -:0407A5001848B5C576 -:0407A600F2EFE0EF9F -:0407A700061364E5EC -:0407A800859309E04C -:0407A9000513BA4436 -:0407AA00E0EF07A1D4 -:0407AB00083CF1CF46 -:0407AC000007C5037A -:0407AD00E0EFC03E7B -:0407AE004782C01F9F -:0407AF0080230A3861 -:0407B000078500A712 -:0407B100FEE796E3E6 -:0407B2007413044177 -:0407B30017930FF495 -:0407B400C23E01043C -:0407B500A50367E54C -:0407B600069304475B -:0407B70008301000F6 -:0407B8000104159390 -:0407B900C06FE0EF3E -:0407BA00879367E5D5 -:0407BB00C63E0447EB -:0407BC008793F92105 -:0407BD000442BA44F4 -:0407BE001004071309 -:0407BF0009E784932F -:0407C00049E78793EB -:0407C100C43EC03A38 -:0407C2003DE00413FF -:0407C3001000069389 -:0407C400F463872231 -:0407C5000713008690 -:0407C60007421000D6 -:0407C700863A8341AA -:0407C800082885A6D2 -:0407C900E0EFC83A5B -:0407CA004742EA0FA9 -:0407CB00C503083426 -:0407CC00CA3A00061F -:0407CD00E0EFC8365B -:0407CE0046C2B81F48 -:0407CF0047520A3C47 -:0407D00000A68023DC -:0407D10094E3068522 -:0407D20047B2FEF636 -:0407D3004592460203 -:0407D400083443881A -:0407D500ACCFE0EFD6 -:0407D600EE0514E335 -:0407D7001000069375 -:0407D800746387229D -:0407D900071300D42E -:0407DA000793100071 -:0407DB009413F0077C -:0407DC004782010748 -:0407DD0010048493ED -:0407DE00879380413C -:0407DF00C03E100701 -:0407E00095E347A2B4 -:0407E100BD6DF8F4FE -:0407E2008082557D3F -:0407E300C0261151CA -:0407E400849364E5B1 -:0407E500C5030574CF -:0407E600C222000427 -:0407E700334DC406C4 -:0407E800E515842A65 -:0407E9000004C7033E -:0407EA00468167E5F8 -:0407EB0004E78B2371 -:0407EC00C78367E573 -:0407ED00C781055764 -:0407EE00C68367E572 -:0407EF0067E5A647CD -:0407F000AAC787937A -:0407F100802397B614 -:0407F200453D00E79A -:0407F30040A23B9550 -:0407F4004412852204 -:0407F5000131448208 -:0407F60001138082E9 -:0407F70067E5EDC104 -:0407F800B407862399 -:0407F9002023C43EB7 -:0407FA002E23121187 -:0407FB002C2310811A -:0407FC00C22E109168 -:0407FD0057FD473D20 -:0407FE0006A7636384 -:0407FF0005416765E4 -:040800000FF57793E6 -:040801000447250380 -:0408020001079593C2 -:040803001000069348 -:04080400C03E0830BA -:04080500B8EFE0EF79 -:0408060008246765F6 -:040807000447071388 -:04080800842687AA11 -:040809004503C63AA3 -:04080A00C83E0004E0 -:04080B00E0EF040511 -:04080C000FA3A89FEF -:04080D000A38FEA403 -:04080E0016E347C2E4 -:04080F00E385FE87F8 -:04081000859365D98E -:0408110008287F052F -:04081200DCAFE0EF88 -:040813001F6387AA2E -:0408140047031E0573 -:04081500CF110221DC -:040816000D634685A3 -:0408170020830ED755 -:0408180024031201A2 -:04081900248311C162 -:04081A00853E118185 -:04081B001241011372 -:04081C00470380828C -:04081D001D63020154 -:04081E0046831C07EA -:04081F0007130211A8 -:040820009763055085 -:0408210047031CE687 -:0408220046830241C6 -:040823000722023175 -:0408240006938F5553 -:0408250014E304A034 -:040826004712FCD7A2 -:040827006765F369A5 -:0408280045B9478106 -:04082900AAC7071340 -:04082A00C683462912 -:04082B00E66300D4AC -:04082C00053300D5BB -:04082D00002300F7AD -:04082E00078500D565 -:04082F0096E30485C3 -:040830004783FEC735 -:0408310067650311E3 -:0408320004F70023A4 -:04083300666347258C -:04083400676500F7FD -:0408350006F704239B -:040836004783A0292B -:040837007AE303015C -:040838004703FEF77D -:0408390067E503313B -:04083A008BA36565C2 -:04083B00470302E786 -:04083C0067E5034128 -:04083D00036006133B -:04083E0002E78AA3A0 -:04083F000351470317 -:04084000059367E5D0 -:040841008823039174 -:0408420047039EE7E3 -:0408430067E5036101 -:04084400AE050513E5 -:0408450002E78B2318 -:0408460003714703F0 -:04084700802367E5BE -:040848004703A0E7DB -:0408490067E50381DB -:04084A00A0E780A300 -:04084B0002F147036C -:04084C008AA367E52F -:04084D0067E504E770 -:04084E00AAC7C7036B -:04084F008B2367E5AB -:04085000470304E76F -:0408510067E5032133 -:0408520004E7842310 -:04085300C7AFE0EF5C -:04085400B7314781F0 -:0408550002014483D5 -:040856000E049C638D -:0408570002114683C1 -:0408580005800713FD -:040859000EE69663AE -:04085A0003015683BD -:04085B00046007131B -:04085C00EEE697E34A -:04085D00032154031C -:04085E0047C0071375 -:04085F00EEE411E3CF -:04086000C82A47A2B9 -:040861008513463580 -:040862000593B4C77F -:0408630040EF02312F -:0408640047124540B2 -:0408650014E347C28F -:040866006565EC07D1 -:040867000460061310 -:040868000513184C10 -:04086900E0EFB5C542 -:04086A004782C20FF0 -:04086B0007136765A3 -:04086C0007A2BA47DE -:04086D00C002C23EC5 -:04086E0006200793C6 -:04086F004722C43A1E -:0408700006134682A3 -:040871008E1D1000C8 -:0408720000D7053373 -:0408730005B3083889 -:04087400456300F7E1 -:04087500C83E06C4AF -:04087600BEEFE0EF02 -:04087700470247C22B -:04087800943E048521 -:0408790047928F1DF6 -:04087A000FF4F493F0 -:04087B001007071348 -:04087C0000F485B34C -:04087D00074247B235 -:04087E0043888341E7 -:04087F00F00404136A -:0408800010000693CB -:0408810005A2083094 -:040882000442C03A32 -:04088300996FE0EF9A -:0408840087AA80417E -:0408850045030838E7 -:04088600CA3E00075F -:04088700E0EFC83A9C -:040888004742899FBB -:0408890047D20A3414 -:04088A0000A70023A0 -:04088B0094E30705E6 -:04088C0096E3FEE60B -:04088D004781E207B6 -:04088E00A021F0595C -:04088F00E0EF8622EE -:0408900067E5B88FD1 -:040891008F23470565 -:04089200B71906E7A5 -:04089300BD014785D7 -:04089400B5314789AA -:04089500C026115117 -:04089600849364E5FE -:04089700C50305741C -:040898004581000492 -:04089900C406C222AD -:04089A00D73FF0EF65 -:04089B00E121842AA9 -:04089C000004C7038A -:04089D0046A967E51C -:04089E0004E78B23BD -:04089F00C78367E5BF -:0408A0008563BA179B -:0408A10066E500D731 -:0408A20006F68423AF -:0408A300C78367E5BB -:0408A400468105572D -:0408A50067E5C781BB -:0408A6000687C68378 -:0408A700879367E5E7 -:0408A80097B6AAC78E -:0408A90000E78023C1 -:0408AA00F0EF453DE9 -:0408AB0040A2A97F3F -:0408AC00441285224B -:0408AD00013144824F -:0408AE0011418082F2 -:0408AF00C6064585AF -:0408B000F0EFC02A7B -:0408B1006765D19F07 -:0408B200B4C74783FD -:0408B300071346825F -:0408B400E781B4C75D -:0408B5000713675965 -:0408B60040B27F8746 -:0408B7006565665DB0 -:0408B800800606139D -:0408B900051345C519 -:0408BA000141ACC587 -:0408BB000F30306F5B -:0408BC00C82211211C -:0408BD000713646554 -:0408BE0057830664F2 -:0408BF00CA0600075E -:0408C000F793C626BE -:0408C10007C2F9F77A -:0408C200102383C1BB -:0408C30016B700F76D -:0408C400C02E000240 -:0408C5000EF6A02368 -:0408C6003200059364 -:0408C700450584AAB5 -:0408C800C08FF0EFFE -:0408C90019000513FA -:0408CA00DD7FD0EF0F -:0408CB0006640713A5 -:0408CC000007578347 -:0408CD00000216B758 -:0408CE00E79345C1A6 -:0408CF0010230207E9 -:0408D000A02300F76A -:0408D10085260EF674 -:0408D2002BE040EFE8 -:0408D3000FF5779313 -:0408D400066404139F -:0408D50065E1EB8965 -:0408D600859346457B -:0408D7008526DCC5D1 -:0408D800282040EFA5 -:0408D9004701478507 -:0408DA0000E486B3FD -:0408DB000006C5034B -:0408DC00C43E45D100 -:0408DD00F0EFC23A3C -:0408DE004712BB2FD3 -:0408DF00070547A220 -:0408E0000FF7769305 -:0408E100FEF6E2E35A -:0408E2000004578334 -:0408E300000214B744 -:0408E400F79345D170 -:0408E50007C2FDF752 -:0408E600102383C197 -:0408E700A02300F456 -:0408E80005130EF4F2 -:0408E900F0EF0C0020 -:0408EA005783B82F49 -:0408EB0045020004BE -:0408EC00E79345C188 -:0408ED0010230207CB -:0408EE00A02300F44F -:0408EF0040EF0EF4D4 -:0408F0007493248059 -:0408F100E8890FF58E -:0408F20065E1450275 -:0408F300859346455E -:0408F40040EFDCC530 -:0408F5004485210015 -:0408F60047024781ED -:0408F700C23E45D1E7 -:0408F8004503973EDF -:0408F900F0EF000715 -:0408FA004792B42F3E -:0408FB00F713078563 -:0408FC0064E30FF7AB -:0408FD005783FE9788 -:0408FE0040D20004E0 -:0408FF0000021737A5 -:040900000407E7936E -:0409010000F41023CB -:040902002023444228 -:0409030044B20EF7F5 -:04090400808201618B -:04090500C4221141B6 -:04090600C606C22639 -:0409070064E54785D7 -:0409080008636465B7 -:04090900676500F529 -:04090A0004C7468355 -:04090B0094634709A1 -:04090C00464104E676 -:04090D00AB8485939F -:04090E000002453767 -:04090F001A6040EF3B -:04091000000247B7E3 -:040911000207851341 -:0409120005934641C2 -:0409130040EFACC441 -:0409140047B7194088 -:04091500A623000213 -:04091600470D3C0746 -:040917003CE7A223F4 -:040918003C07A423D1 -:04091900ACC40593D2 -:04091A0040B2442281 -:04091B00AB84851311 -:04091C0001414492BF -:04091D0017E3BDB56A -:04091E006765FEE526 -:04091F0004B74703CF -:0409200005934641B4 -:040921001693ACC4B9 -:0409220067650037CE -:040923008907071326 -:040924004683973639 -:040925004737004709 -:040926009513000223 -:040927000541005630 -:04092800C036953A06 -:0409290013E040EFA8 -:04092A004737468283 -:04092B00260300029D -:04092C0047853C8738 -:04092D0000D797B3A5 -:04092E0024238FD11E -:04092F00B75D3CF77D -:04093000C78367E52D -:040931000113049713 -:04093200D022FD8151 -:04093300F7930785AA -:0409340007B20FF700 -:0409350083C107C2B1 -:04093600C83E6465EE -:040937000664071338 -:0409380000075783DA -:04093900D20646C2DA -:04093A008FD5CE2661 -:04093B008FD576E1FD -:04093C0083C107C2AA -:04093D0000F710238C -:04093E001737C202A3 -:04093F00C4020002EC -:04094000665D64E5A7 -:040941000EF720236A -:040942008086061392 -:04094300851345C50E -:0409440030EFACC420 -:0409450045016CC03C -:0409460067413DF5D3 -:040947004785177D4C -:04094800066404132A -:040949001737CA3A58 -:04094A0026830002FE -:04094B0046520D07FC -:04094C00C6368EF12C -:04094D00CADDEFC54B -:04094E00869366E541 -:04094F00D603AE0617 -:0409500045B2016645 -:0409510000045783C4 -:0409520010B6166362 -:0409530016FD76F522 -:0409540010238FF5E8 -:04095500202300F467 -:0409560045010EF752 -:04095700AC04862343 -:0409580025373D55AD -:04095900051300067C -:04095A00D0EFA8052D -:04095B005783B95FA6 -:04095C00474200040A -:04095D000513669187 -:04095E008FD93E806F -:04095F0007C28FD567 -:04096000102383C11C -:04096100173700F450 -:04096200202300024C -:04096300F0EF0EF7AC -:04096400F0EFF70FAA -:040965004785F96F5A -:0409660002F5106323 -:04096700000227B7AC -:040968009713479CFE -:0409690083410107BE -:04096A009713C23AE3 -:04096B00835100476D -:04096C00CC3EC43A7F -:04096D00FC07DFE3C1 -:04096E00A02367E576 -:04096F00479206079E -:04097000665DEF8D44 -:0409710081460613A2 -:04097200851345C5DF -:0409730030EFACC4F1 -:0409740045016100D8 -:04097500570335816E -:0409760077F1000411 -:040977008FF917FDE0 -:0409780000F4102354 -:04097900000217372A -:04097A000EF7202331 -:04097B0005136509F2 -:04097C00D0EF710542 -:04097D0047B2B0DFEE -:04097E004792B73DA8 -:04097F0096634752E2 -:04098000665D00E7C9 -:040981008246061391 -:040982004712B7C1A0 -:04098300660545A21E -:04098400064007938F -:0409850056B3167DD2 -:04098600773302F7CA -:040987009B6302F775 -:04098800665D00C5E3 -:0409890082C6061309 -:04098A00851345C5C7 -:04098B0030EFACC4D9 -:04098C00B7455B0010 -:04098D0045C54622F4 -:04098E00ACC485135D -:04098F0002F67633C3 -:040990004622C03209 -:0409910002F657B360 -:040992000613665D85 -:0409930030EF838638 -:04099400B74159000E -:040995000186D6837E -:040996009EE3463264 -:0409970007D2F6C6C7 -:04099800102383D1D4 -:04099900509200F484 -:04099A0020235402C0 -:04099B0044F20EF71D -:04099C0001134501FD -:04099D0080820281D1 -:04099E00C78367E5BF -:04099F00E3B504A711 -:0409A000C406115127 -:0409A100C026C22288 -:0409A2004737C90901 -:0409A30027830002A4 -:0409A400E7933C0792 -:0409A50020230027E4 -:0409A60064E53CF7D1 -:0409A700B1848593FF -:0409A8004537464148 -:0409A90030EF000229 -:0409AA00443773D08B -:0409AB0067E50002FA -:0409AC00B2C78593B6 -:0409AD000204051328 -:0409AE0030EF46419F -:0409AF00470D7290EE -:0409B0003C042623BA -:0409B1003CE42223DD -:0409B2003C042423BA -:0409B30040A2441208 -:0409B400B184851372 -:0409B50067E544822C -:0409B600B2C78593AC -:0409B700F06F0131AB -:0409B8008082C13F39 -:0409B900636567E526 -:0409BA007159470523 -:0409BB0006E78223A6 -:0409BC00A483079376 -:0409BD0043C0D4A2BD -:0409BE00D686D2A661 -:0409BF00A483049376 -:0409C00016040063B6 -:0409C1000D634098EA -:0409C20007B7140758 -:0409C3008793019C79 -:0409C400D333CC0756 -:0409C500C7B7028727 -:0409C6008793A0EE85 -:0409C700D7B3B007EB -:0409C800C70302E778 -:0409C9000B63008438 -:0409CA00D433120709 -:0409CB000513028787 -:0409CC00C21A03B098 -:0409CD00DB2FE0EF4D -:0409CE004783C62A6B -:0409CF00051300C14B -:0409D000CC3E03C056 -:0409D100DA2FE0EF4A -:0409D20001C4C78312 -:0409D3000084C603D3 -:0409D4009713431220 -:0409D50067DD0027B3 -:0409D6007787879305 -:0409D700439497BAF4 -:0409D80007934098A9 -:0409D900E219070018 -:0409DA0006900793E9 -:0409DB006565665D8B -:0409DC0084C60613B4 -:0409DD00051345C5F4 -:0409DE00C21AB18503 -:0409DF00462030EF8F -:0409E0000793431224 -:0409E1000693064033 -:0409E20065653E8089 -:0409E300773345C55C -:0409E400051302F401 -:0409E5007633B2C5EE -:0409E600C03A02D33E -:0409E7005733472912 -:0409E800665D02E660 -:0409E90085860613E6 -:0409EA0002F457B309 -:0409EB0002D356B32A -:0409EC0042E030EFC6 -:0409ED0035C94505BE -:0409EE00C703409C5F -:0409EF00C283032498 -:0409F000C83E0434C5 -:0409F1000084C78334 -:0409F20002010BA350 -:0409F300CA3E638510 -:0409F400DC3E47910D -:0409F50097B347A1CC -:0409F600C70300E74C -:0409F700E79303344B -:0409F800DE3E008758 -:0409F9004000079320 -:0409FA0000E797B3C8 -:0409FB000344C703E7 -:0409FC0007B7C0BEBB -:0409FD0097B30002AA -:0409FE00C70300E744 -:0409FF00C2BE03541D -:040A0000004007B7F4 -:040A010000E797B3C0 -:040A02000793C4BED4 -:040A0300C6BE0371F7 -:040A0400037487935D -:040A05008793C8BE4D -:040A0600CABE0384DD -:040A0700039487933A -:040A08008793CCBE46 -:040A0900CEBE03A4B6 -:040A0A0003B4879317 -:040A0B00C783D0BE0F -:040A0C004701044456 -:040A0D0067E5C43E97 -:040A0E00BA478693CA -:040A0F00BA478793C8 -:040A1000F793C23E58 -:040A1100D03E0FD2F2 -:040A120001871793AE -:040A1300CE3E87E16B -:040A140002900793B2 -:040A150000F71D6366 -:040A1600AEA94791AD -:040A1700B5F10786A8 -:040A18006791640579 -:040A19007704041347 -:040A1A00D547831326 -:040A1B004671B5C9A2 -:040A1C0002C70633D4 -:040A1D00458D47922A -:040A1E004A5C963E5A -:040A1F00896383F56F -:040A200045111AB7AB -:040A21001AA78D6320 -:040A22009D63458902 -:040A2300059306B77A -:040A2400C0AE100050 -:040A2500C2AE65C137 -:040A260040000593F4 -:040A27004A10C4AEFF -:040A28001C10059306 -:040A290076138215A9 -:040A2A001D637FF6D3 -:040A2B00D43E04B6FB -:040A2C00859367DD6A -:040A2D00853687077C -:040A2E00D83A461D4F -:040A2F00D236D616CF -:040A30004F5030EF04 -:040A310057A25692E0 -:040A3200574252B223 -:040A3300E5116385E1 -:040A3400EA0D46225F -:040A350006F10705BA -:040A3600D43EBF8566 -:040A3700859367DD5F -:040A380085368787F1 -:040A3900D83A461D44 -:040A3A00D236D616C4 -:040A3B004C9030EFBC -:040A3C0057A25692D5 -:040A3D00574252B218 -:040A3E00E5096385DE -:040A3F00460545A281 -:040A4000FCC58AE384 -:040A410006334671C1 -:040A4200459202C710 -:040A43005583962E13 -:040A4400464500A67D -:040A45008B6389FD39 -:040A4600861300C54E -:040A47008A7D00D5CF -:040A48007563450588 -:040A4900467D00C521 -:040A4A0000C59763E9 -:040A4B000613660523 -:040A4C0061E357B655 -:040A4D0010C8FA864D -:040A4E0000279613D4 -:040A4F002603962ABA -:040A5000A083FE86FB -:040A510046030186D1 -:040A5200060A00068A -:040A53002603962AB6 -:040A5400F633FD4632 -:040A5500DE3D00C0C2 -:040A5600C51343522F -:040A57008905FFF01E -:040A5800F6A31AE304 -:040A590005334571AB -:040A5A00431202A79A -:040A5B004908951A97 -:040A5C00811543427B -:040A5D007FF5751399 -:040A5E006DE30579C6 -:040A5F004505F465F0 -:040A600000A4892342 -:040A610000049723D3 -:040A6200000488A361 -:040A630000048A23DE -:040A64006521CC90AC -:040A650036A60E6340 -:040A660014C56663EA -:040A67001000051363 -:040A680032A602634D -:040A690010C56263EF -:040A6A000F63454190 -:040A6B006B6310A603 -:040A6C0045110CC55F -:040A6D001CA603635D -:040A6E001DE345A19E -:040A6F004685F0B612 -:040A700000D4862305 -:040A71000514C6831F -:040A72002E06956354 -:040A730006B346F18F -:040A7400461202D74D -:040A7500D60396B25C -:040A7600069300E6FD -:040A7700EA63577067 -:040A780096932CC65F -:040A7900566301D7E8 -:040A7A00468D2CD0A9 -:040A7B0000D486A37A -:040A7C0089234689FB -:040A7D00869300D488 -:040A7E00F693FFE705 -:040A7F00CE990FD627 -:040A800094634695A0 -:040A810047F12ED734 -:040A820002F707333D -:040A8300973E4792C1 -:040A840000E757032D -:040A85004AF0079399 -:040A86002CE7E9630D -:040A87000464C783B9 -:040A88002C07826352 -:040A8900000486A33C -:040A8A0089234789EC -:040A8B00AC7500F452 -:040A8C00400006130D -:040A8D000637C0B2B6 -:040A8E00C2B20002EE -:040A8F005503B5E175 -:040A9000460900A66D -:040A91001E63897DDA -:040A9200866300C5B2 -:040A93004605000212 -:040A9400EAC28AE345 -:040A950045E2B54140 -:040A9600052006131E -:040A9700EAB674E364 -:040A98004E90BD952A -:040A990020067613AA -:040A9A0095E3C61901 -:040A9B000613E6B2A6 -:040A9C00DE32200026 -:040A9D004605BD410C -:040A9E00E8C516E3AE -:040A9F001BE35602FD -:040AA000B549E4066A -:040AA10004000793B3 -:040AA20000F60A63ED -:040AA30008000793AD -:040AA40022F60463CF -:040AA50002000793B1 -:040AA600E2F61EE373 -:040AA7003010079371 -:040AA80000F496239D -:040AA900B751479169 -:040AAA0024760B6340 -:040AAB0002C3E3633C -:040AAC00400005936E -:040AAD0020B60E63FE -:040AAE008006079324 -:040AAF0022078C632B -:040AB0002000079388 -:040AB100E0F618E370 -:040AB2002010079376 -:040AB30000F4962392 -:040AB4006789BFA1EE -:040AB50022F60863BA -:040AB6001DE3679144 -:040AB7000793DEF6CD -:040AB800BF7D2020BE -:040AB900002005B75D -:040ABA0024B60863F3 -:040ABB0002C5EE631F -:040ABC00000405B776 -:040ABD0022B60C63EE -:040ABE0000C5ED631F -:040ABF000C6365C19E -:040AC00005B720B6A0 -:040AC10017E3000235 -:040AC200470DDCB64A -:040AC30000E4962392 -:040AC40007B7A2E1ED -:040AC5000E630008B4 -:040AC60007B720F658 -:040AC7001BE300101D -:040AC8000793DAF6C0 -:040AC900BFAD20306D -:040ACA00010007B769 -:040ACB0020F60F639F -:040ACC0002C7E46316 -:040ACD00004007B727 -:040ACE0020F60363A8 -:040ACF00008007B7E5 -:040AD000D8F61AE357 -:040AD1002040079327 -:040AD20000F4962373 -:040AD3008923478D9F -:040AD400079300F490 -:040AD500AAC1028030 -:040AD600020007B75C -:040AD70020F60063A2 -:040AD800040007B758 -:040AD900D6F618E352 -:040ADA00204007931E -:040ADB0000F496236A -:040ADC00892347998A -:040ADD0047D100F409 -:040ADE009623A27D3C -:040ADF0088A30004E4 -:040AE000F71300B454 -:040AE10046850057EF -:040AE20000D70963CD -:040AE30090634709CC -:040AE400F09302E7A2 -:040AE5008C630020FE -:040AE600C7830000C2 -:040AE70086630464BA -:040AE8004789100723 -:040AE90000F4892369 -:040AEA0087A3478512 -:040AEB008E6300F422 -:040AEC0047F21205B6 -:040AED0000F4852369 -:040AEE0000A4878356 -:040AEF0046126765DF -:040AF00008F70023E0 -:040AF100873347718F -:040AF200C68302E7CE -:040AF3009732012411 -:040AF40046154B0058 -:040AF5000433887DC1 -:040AF600043302D4EF -:040AF700061302C41C -:040AF800041306409D -:040AF900443303245B -:040AFA00560302C4D9 -:040AFB0086B300E7D7 -:040AFC00666502C663 -:040AFD0006B6061320 -:040AFE0004429436E4 -:040AFF009B23804174 -:040B00004703008423 -:040B0100C432017782 -:040B020001F77693EE -:040B030000638B21DF -:040B04006765160704 -:040B050006A74603F6 -:040B060047214689B4 -:040B070000C6F363CE -:040B080046A2474179 -:040B090000E680235F -:040B0A0040D047325E -:040B0B000FF77593D8 -:040B0C00028585B326 -:040B0D0016934722D2 -:040B0E0082C1010699 -:040B0F004703C6369C -:040B10004681000713 -:040B110002C5D53311 -:040B12000454C5833F -:040B130046F1C98955 -:040B140002D787B3CA -:040B150097B64692B7 -:040B160082854F94F1 -:040B170045F98A858D -:040B180002B405B36B -:040B190082410642CD -:040B1A000FF6F79348 -:040B1B0057D9C83EA0 -:040B1C0002C5C5B396 -:040B1D00C5B30595C2 -:040B1E00C78302F592 -:040B1F00879305941F -:040B200007E2F807E9 -:040B210095AA87E129 -:040B22000FF5F59343 -:040B230005C295BEB4 -:040B240081C14785BF -:040B25000EF7016363 -:040B2600056347A17B -:040B2700059930F705 -:040B280085C105C2BC -:040B290046C14789F1 -:040B2A004785A8E172 -:040B2B0000F4872328 -:040B2C0086A3BDE5FA -:040B2D00B38100048C -:040B2E0030100793E9 -:040B2F0000F4962315 -:040B3000B3A5479989 -:040B310020100793F6 -:040B320000F4962312 -:040B3300BBB1479576 -:040B340096234709B4 -:040B3500470D00E484 -:040B360000E79963D8 -:040B37000464C78308 -:040B3800D40794E367 -:040B39008723478542 -:040B3A00C78300F479 -:040B3B0088A3058402 -:040B3C00B5C100F44B -:040B3D0010200793EA -:040B3E0000F4962306 -:040B3F000793B7FD64 -:040B4000B3E92020D5 -:040B410020200793D6 -:040B420000F4962302 -:040B4300BB31478DEE -:040B440020200793D3 -:040B45000793BF55FE -:040B460096232020B2 -:040B4700479D00F4D2 -:040B480000F4892309 -:040B49008A2347B5FF -:040B4A00B7C100F43B -:040B4B0020300793BC -:040B4C000793BB71DF -:040B4D00BFC92030CC -:040B4E0020300793B9 -:040B4F004791B771A2 -:040B500000F49623F4 -:040B5100078007937F -:040B52000793BFF94D -:040B53009623204085 -:040B5400479100F4D1 -:040B550000F48923FC -:040B5600B7F147F9B3 -:040B570020400793A0 -:040B580000F49623EC -:040B59008923479510 -:040B5A0047E100F47B -:040B5B004722BF6D01 -:040B5C0000D700239B -:040B5D000589BD55F4 -:040B5E0085C105C286 -:040B5F0046994785E7 -:040B600000D7863301 -:040B61002205C8633E -:040B620000D5853302 -:040B6300051397AA35 -:040B640055630FF0D6 -:040B6500059300F5FF -:040B66008D910FF06E -:040B67000FF5F793FC -:040B6800451585BEEC -:040B6900D032CC3A80 -:040B6A00CE36CA3E7B -:040B6B00F85FD0EF70 -:040B6C00451946F2EF -:040B6D00D0EF85B68A -:040B6E000593F7BF35 -:040B6F000513080062 -:040B7000D0EF026060 -:040B710047D2F6FF72 -:040B7200051356020F -:040B730085B3031033 -:040B7400F59300C72E -:040B7500D0EF0FF5B9 -:040B76004762F5BF1E -:040B770045B147C17C -:040B780000F703631C -:040B7900051345A17A -:040B7A00D0EF022096 -:040B7B004642F47F7B -:040B7C00852245B2D7 -:040B7D00FD7FD0EF39 -:040B7E0004D4C503D3 -:040B7F00A54FE0EFAF -:040B80000474C50331 -:040B8100F863478549 -:040B820047A200A7DF -:040B83000007C5039F -:040B840035131561AF -:040B850047E100152F -:040B860002F505333C -:040B8700879367DD0C -:040B8800953E7A47D5 -:040B8900872FE0EFE3 -:040B8A0000A48783B9 -:040B8B00C583477166 -:040B8C0087B3012406 -:040B8D00471202E722 -:040B8E00C50397BA4A -:040B8F00E0EF01672B -:040B9000C78396EF92 -:040B910089A300C470 -:040B920086B700A47E -:040B9300B713000292 -:040B9400429C001768 -:040B95008FD99BF960 -:040B9600C783C29CB3 -:040B9700470D00C442 -:040B980014F76C637F -:040B99006B6347053E -:040B9A00956314F754 -:040B9B00478500E7A3 -:040B9C0000F48EA330 -:040B9D0001D4C503B7 -:040B9E0093BFE0EF32 -:040B9F0000C4C703C4 -:040BA00014071063C3 -:040BA10000A48783A2 -:040BA20087B347715D -:040BA300471202E70C -:040BA400D70397BA22 -:040BA5004F9C00C79A -:040BA6002BD73713FF -:040BA70000174713D9 -:040BA80097BA8B85E8 -:040BA90000024637C9 -:040BAA0038060613F0 -:040BAB0075F9423462 -:040BAC007FF58593B9 -:040BAD008EED8B8DB1 -:040BAE008FD507AE2A -:040BAF00423CC23CC6 -:040BB00000D71693C1 -:040BB100177D7769CC -:040BB2008F558F7D4F -:040BB300D0EFC23885 -:040BB400C703F5CFAF -:040BB5004789041454 -:040BB60000E4C6830E -:040BB700076344018B -:040BB800C40300F77B -:040BB9008C1500F4A3 -:040BBA0000143413DC -:040BBB00453D4581EE -:040BBC00D0EFC2367E -:040BBD000513CF3F0E -:040BBE00D0EF0590DF -:040BBF007593CA9FC1 -:040BC000E80902F549 -:040BC100979346922E -:040BC2008DDD00665F -:040BC3000FF5F593A2 -:040BC4000105E593AF -:040BC500059005137F -:040BC600CCDFD0EFC1 -:040BC70007B740D854 -:040BC8008793019C72 -:040BC900D7B3CC07CB -:040BCA00D70302E764 -:040BCB00C6030164F8 -:040BCC00468D00D47E -:040BCD0002E787B301 -:040BCE0000C4C70395 -:040BCF0087B30705DC -:040BD000C70302E76E -:040BD100070500E430 -:040BD20002E787B3FC -:040BD3002823676507 -:040BD400071304F708 -:040BD5001863050795 -:040BD600838508D635 -:040BD7004314C31CE4 -:040BD80005110737C5 -:040BD900F407071303 -:040BDA006B6347857D -:040BDB00773700D791 -:040BDC00071304787F -:040BDD0047818BF7CA -:040BDE0000D7746365 -:040BDF000104C783C3 -:040BE0000504C7033E -:040BE1000414C583B0 -:040BE200C703E70955 -:040BE30003630104A3 -:040BE400542606F796 -:040BE500882350B65B -:040BE600549600F42D -:040BE7006165852E91 -:040BE800CF4FE06F9C -:040BE90003258593C8 -:040BEA0085C105C2FA -:040BEB00069347A185 -:040BEC00B3F9020057 -:040BED00B3DD4581AE -:040BEE00BD454711A9 -:040BEF00BD4D478928 -:040BF00000D4C783E3 -:040BF10000E4C683D3 -:040BF200B79317F5A9 -:040BF3008F950017C3 -:040BF40000178693CD -:040BF50000373793FB -:040BF6000017C7938A -:040BF700F79397B623 -:040BF80047010FF7AB -:040BF9004585B5C1B8 -:040BFA00F6B61BE34D -:040BFB00D7B3078ADB -:040BFC00B7AD02D7B8 -:040BFD008063478941 -:040BFE00C50302F534 -:040BFF00C19101148B -:040C000054264589A8 -:040C010000F4C683B2 -:040C02000424C603FD -:040C0300549650B6FD -:040C0400E06F6165D7 -:040C050050B6B58FA1 -:040C06005496542686 -:040C07008082616521 -:040C0800A70367E5F2 -:040C090067E505870F -:040C0A00AE07879317 -:040C0B00464546AD67 -:040C0C000167D58324 -:040C0D0004E59F63F8 -:040C0E000FF6F69354 -:040C0F000A63E1197A -:040C1000112128077F -:040C110064E5C626AA -:040C120004B4C5035E -:040C13006465C8222A -:040C140089040793B5 -:040C1500003516137D -:040C1600CA0697B2C1 -:040C1700C783438CC0 -:040C180047510047F9 -:040C19000045A303EC -:040C1A0002E7873333 -:040C1B0004B4849306 -:040C1C008904041330 -:040C1D004339971AA6 -:040C1E0002D3636337 -:040C1F006363433197 -:040C200047AD04D305 -:040C21000CF68063EA -:040C22000866866377 -:040C230047014781BD -:040C24000685A0F1B0 -:040C25009DE30789BB -:040C26004681F8C645 -:040C27004783B74503 -:040C2800460D00472E -:040C290016C79B63EC -:040C2A0045BD47502D -:040C2B0047834218A1 -:040C2C009C630007BE -:040C2D00C7811EB6A7 -:040C2E00F79317FD24 -:040C2F0000230FF798 -:040C3000B7E900F729 -:040C31000047450330 -:040C320015754705E8 -:040C33000FF5751331 -:040C340000A76E6344 -:040C3500000245373D -:040C36003C852303D3 -:040C370000F7173378 -:040C3800FFF7471368 -:040C390000677733A6 -:040C3A003CE524234E -:040C3B009C6347353A -:040C3C00E39900E652 -:040C3D000005C78364 -:040C3E00F79317FD14 -:040C3F0096220FF7F3 -:040C400000F6022395 -:040C4100C703B761CD -:040C4200078500051D -:040C4300E7B396225B -:040C4400B7FD02E70F -:040C4500157DC5193B -:040C460000A4802363 -:040C470097FFE0EF44 -:040C480067E5B7B5F0 -:040C490004078523F4 -:040C4A000002473726 -:040C4B003C072783B8 -:040C4C009BED4501D6 -:040C4D003CF720232D -:040C4E0040D244420A -:040C4F00016144B249 -:040C5000D38FF06FDF -:040C5100004747838E -:040C52008163468DE7 -:040C530046910AD7E5 -:040C5400F2D79EE352 -:040C55009782471C1F -:040C5600470587AA1D -:040C57000004C6834C -:040C5800656545D1B8 -:040C590096A2068ECB -:040C5A00C68342907B -:040C5B000513004637 -:040C5C004250AB85D2 -:040C5D0002B686B3A2 -:040C5E00C23AC43E94 -:040C5F00428C96B27B -:040C6000C03646450F -:040C610045E030EF4B -:040C620047A247124C -:040C630085BA468286 -:040C64008536863E0D -:040C650088BFE0EF75 -:040C66000004C7833C -:040C670044B765E544 -:040C6800078E0002F1 -:040C69004503943E6D -:040C6A0046410044BB -:040C6B00ACC58593FC -:040C6C000541051623 -:040C6D0030EF9526A9 -:040C6E00460342C037 -:040C6F004785004471 -:040C700000C797B36F -:040C71003CF4A62386 -:040C7200EB09471231 -:040C7300461146825E -:040C74000046C7036C -:040C75000EC715632E -:040C7600C76D46D828 -:040C770000024737F9 -:040C78003C8726830C -:040C790024238FD5CC -:040C7A00A8E93CF7B2 -:040C7B00C7814B1CC6 -:040C7C009782C03A61 -:040C7D00C7834702E0 -:040C7E004714000413 -:040C7F00971307853B -:040C80000633003700 -:040C8100420C00E43D -:040C820000D58463B2 -:040C83000006022342 -:040C8400C3149722DC -:040C850000F48023D4 -:040C86006963B711D6 -:040C8700460902F622 -:040C880004C78863B2 -:040C8900433D470C94 -:040C8A0000C7450357 -:040C8B0000D7460345 -:040C8C000005C78315 -:040C8D0000E7470332 -:040C8E000266916306 -:040C8F0000F67C638C -:040C9000F71317FD42 -:040C910080230FF7B6 -:040C9200B58900E53B -:040C93008DE3461196 -:040C9400BD2DE4C7C7 -:040C95008732F96D3C -:040C9600F863B7FD4B -:040C9700078500E7E6 -:040C98000FF7F61349 -:040C990000C58023EF -:040C9A00FD6DB51522 -:040C9B00BFDD863AF9 -:040C9C00453D470C7F -:040C9D0000C7560333 -:040C9E000005D783F3 -:040C9F0000E7570310 -:040CA00000A69E63A9 -:040CA10000F677637F -:040CA200971317FD90 -:040CA3008341010781 -:040CA400C211A019C0 -:040CA50090238732DF -:040CA600BBCD00E5DD -:040CA70000E7F4630B -:040CA800B7E5078520 -:040CA9004701FA6D98 -:040CAA004683B7FDC9 -:040CAB00F5630046A7 -:040CAC00078500D7E1 -:040CAD000FF7F693B4 -:040CAE0000D7002348 -:040CAF00460DBBC172 -:040CB000F0C70CE39A -:040CB10040D24442A7 -:040CB200450144B202 -:040CB300F06F01617C -:040CB4008082946F37 -:040CB50085AA862E58 -:040CB60000021537EC -:040CB700020505131A -:040CB800A3CFD06F87 -:040CB900179311116B -:040CBA00CA220185C4 -:040CBB00CC06C82675 -:040CBC00842A87E11E -:040CBD00DD6384AEC1 -:040CBE004581000765 -:040CBF0007700513A2 -:040CC00001A337D580 -:040CC100478500A1C2 -:040CC2000AA7E66334 -:040CC30007F47413AB -:040CC400877FD0EF67 -:040CC500450145910F -:040CC60067653F75AA -:040CC70006670713A2 -:040CC8000007578347 -:040CC900F7F7F793AF -:040CCA0083C107C219 -:040CCB0000F71023FB -:040CCC0000021737D4 -:040CCD000EF72023DB -:040CCE000184D79333 -:040CCF0000F102A38B -:040CD0000104D793B1 -:040CD10000F1032308 -:040CD2000084D79330 -:040CD30000F103A386 -:040CD4000081022376 -:040CD5000091042363 -:040CD60004000713FC -:040CD7000950079326 -:040CD80000E40963C8 -:040CD9000480071379 -:040CDA0014634785D3 -:040CDB00079300E497 -:040CDC001537087050 -:040CDD0046190002B2 -:040CDE000513004CAE -:040CDF0004A3020563 -:040CE000D0EF00F160 -:040CE10045159C6FAA -:040CE200977FE0EF29 -:040CE3004585842A95 -:040CE40000310513C3 -:040CE50007833781C9 -:040CE600D76300319F -:040CE700E0EF000733 -:040CE800478598BFE5 -:040CE900FEF505E32C -:040CEA0067E5E401D5 -:040CEB000607A02335 -:040CEC00003145038B -:040CED00445240E24B -:040CEE00017144C28A -:040CEF0067E58082B3 -:040CF000B40787932B -:040CF10011314798DE -:040CF200C806C62248 -:040CF300440DC426C2 -:040CF4000CB76B636B -:040CF5000047C7836A -:040CF6008BA184AAA0 -:040CF70005A6E391DA -:040CF800058005135B -:040CF900F01FF0EF09 -:040CFA00ED4D842A0E -:040CFB0000021537A7 -:040CFC00051357F98C -:040CFD0046050205A1 -:040CFE0000710593E9 -:040CFF0000F103A35A -:040D0000948FD0EF0D -:040D0100000217379E -:040D0200051347810D -:040D030086B30207AA -:040D0400C68300F4AE -:040D05004605000699 -:040D060000710593E0 -:040D070003A3C03E44 -:040D0800D0EF00D157 -:040D09004782926F1C -:040D0A000002173795 -:040D0B00200006932B -:040D0C00051307853F -:040D0D009CE302075A -:040D0E0054FDFCD7BD -:040D0F0005934605FD -:040D100003A30071C8 -:040D1100D0EF00918E -:040D12001737902FD0 -:040D130005130002C2 -:040D14004605020787 -:040D150000710593D1 -:040D1600009103A3A2 -:040D17008ECFD0EFBC -:040D180005134585F5 -:040D1900F0EF007186 -:040D1A004783E6FF26 -:040D1B004715007107 -:040D1C0090638BFD58 -:040D1D00051304E7CF -:040D1E00E0EF0FA053 -:040D1F004585885F1F -:040D20000071051346 -:040D2100E51FF0EFEB -:040D22000071448395 -:040D2300E0EFE49188 -:040D2400478589BFB7 -:040D2500FEF505E3EF -:040D2600A02367E5BA -:040D2700E481060756 -:040D2800A0114411C1 -:040D290040C2440977 -:040D2A0044328522A8 -:040D2B00015144A28C -:040D2C004415808268 -:040D2D0067E5BFCDEA -:040D2E00B4078793EC -:040D2F00113147989F -:040D3000C806C62209 -:040D3100C02AC426EA -:040D32006F63440D9A -:040D3300C78300B7BB -:040D340084B200473E -:040D3500E3918BA11A -:040D3600051305A6F6 -:040D3700F0EF0510C4 -:040D3800842AE07FAA -:040D39004409C9019F -:040D3A00852240C20C -:040D3B0044A2443258 -:040D3C00808201515F -:040D3D000640051354 -:040D3E00807FE0EFE3 -:040D3F0005134585CE -:040D4000F0EF00715F -:040D41004703DD3F48 -:040D420007930071A2 -:040D430017630FF033 -:040D4400E0EF00F7E5 -:040D45004785817FDE -:040D4600FEF502E3D1 -:040D470000714703ED -:040D4800A02367E598 -:040D490007930607FF -:040D4A001EE30FE0B5 -:040D4B004502FAF76C -:040D4C00F0EF85A699 -:040D4D000593DA3FF1 -:040D4E008D8520204F -:040D4F0081C105C297 -:040D5000F0EF45017A -:040D5100B74DD93F82 -:040D520065DD7139B1 -:040D53004629C42A3F -:040D540088058593F6 -:040D5500DE06084866 -:040D5600DA26DC229B -:040D570086AFD0EFA4 -:040D5800C03E478DC5 -:040D5900000627B7B2 -:040D5A000002143748 -:040D5B00019C04B73C -:040D5C00A80786134B -:040D5D00CC048593AA -:040D5E000204051373 -:040D5F00F1FFC0EFF1 -:040D6000E06FD0EF81 -:040D6100000627B7AA -:040D6200A807861345 -:040D6300CC048593A4 -:040D6400020405136D -:040D6500F07FC0EF6C -:040D6600020405136B -:040D6700084C4629C5 -:040D6800FA9FC0EF3F -:040D6900458164E577 -:040D6A000400051369 -:040D6B00B404A02309 -:040D6C00D35FF0EF72 -:040D6D00842A478508 -:040D6E00B4048493B2 -:040D6F001CF51763F5 -:040D70001AA005932D -:040D710004800513E2 -:040D7200D1DFF0EFEE -:040D73001D63C22A10 -:040D7400459114850C -:040D7500F0EF08088B -:040D76004703CFFF61 -:040D7700479201217D -:040D78001AF71563EE -:040D790001314703FA -:040D7A000AA0079331 -:040D7B0018F71F63E3 -:040D7C003E8005139D -:040D7D00F0AFE0EF04 -:040D7E00F30FE0EFA0 -:040D7F001963478528 -:040D800005B700F5BE -:040D81000513400016 -:040D8200F0EF0E90F0 -:040D8300F56DCDBF7E -:040D8400F18FE0EF1C -:040D85000563478536 -:040D860044010EF521 -:040D8700A02367E559 -:040D88004782060791 -:040D8900F79317FDC8 -:040D8A00C03E0FF761 -:040D8B001607816363 -:040D8C004785D815AA -:040D8D000513458184 -:040D8E00C09C049071 -:040D8F000084822337 -:040D9000CA5FF0EF57 -:040D9100E551478160 -:040D9200E0EF451534 -:040D9300842AEB4F74 -:040D94000513458579 -:040D9500F0EF00F18A -:040D96004703C7FF49 -:040D9700079300F1CD -:040D980017630FF0DE -:040D9900E0EF00F790 -:040D9A004785EC2F6E -:040D9B00FEF502E37C -:040D9C0067E5E40122 -:040D9D000607A02382 -:040D9E0000F1468397 -:040D9F000FE0071347 -:040DA000986347818C -:040DA10045C904E656 -:040DA200F0EF100856 -:040DA300C703C4BFFF -:040DA40076930044FE -:040DA500866300273A -:040DA60047031006E9 -:040DA700478302611B -:040DA800460302718B -:040DA9008B0D02A10B -:040DAA008F5D072230 -:040DAB0002814783F7 -:040DAC004683070A69 -:040DAD0083990251D3 -:040DAE0047038FD98F -:040DAF00821D02910E -:040DB00007068ABDEB -:040DB1008F518B19BA -:040DB200078596BA61 -:040DB30097B316E5F7 -:040DB400363700D7F7 -:040DB50005B7002955 -:040DB6001537019C50 -:040DB70017FD000222 -:040DB8002E060613EA -:040DB900CC0585934D -:040DBA000205051316 -:040DBB00C0EFC49C25 -:040DBC004522DADF13 -:040DBD0020000613F9 -:040DBE00F0EF45818C -:040DBF00A859DBDF75 -:040DC0000513458151 -:040DC100F0EF07A0A8 -:040DC20018E3BDFF76 -:040DC3004591F00561 -:040DC400F0EF08083C -:040DC5004783BC3F65 -:040DC60044310101B2 -:040DC7000407F79393 -:040DC800EE079EE3B1 -:040DC900BDDD441137 -:040DCA000513458147 -:040DCB00F0EF0E90A7 -:040DCC000793BB7F4F -:040DCD006563041046 -:040DCE00440900A430 -:040DCF000E900793E8 -:040DD0000FA0051358 -:040DD100E0EFC23E4F -:040DD200E0EFDB8FE4 -:040DD3004785DDEF84 -:040DD40000F51763AC -:040DD50045814512FD -:040DD600B8DFF0EFA3 -:040DD700E0EFF57DD7 -:040DD800E111DCAF9A -:040DD90067E5440185 -:040DDA000513458137 -:040DDB00A02307B09A -:040DDC00F0EF060727 -:040DDD00C111B73F4A -:040DDE000593440134 -:040DDF0005132000D8 -:040DE000F0EF05002B -:040DE1000EE3B63F28 -:040DE2004401E805DB -:040DE3004505BD59AC -:040DE400EA0411E329 -:040DE500546250F212 -:040DE600053354D2AB -:040DE700612140A0A6 -:040DE8008B11808269 -:040DE90001E347815A -:040DEA004783F20742 -:040DEB004703028137 -:040DEC0007A20291C7 -:040DED0047458FD90E -:040DEE000113BF012D -:040DEF000793DD8108 -:040DF000CC3E2010C5 -:040DF10017B70868C0 -:040DF2002023301F6B -:040DF3002223228114 -:040DF4002E23221177 -:040DF500CA3E209141 -:040DF600D71FF0EF24 -:040DF700D0EF842A8B -:040DF800C431BA8FB9 -:040DF90040800433FF -:040DFA00B9EFD0EF8E -:040DFB000463470541 -:040DFC004D6316E449 -:040DFD0057291487D7 -:040DFE0016E4036391 -:040DFF00160405636E -:040E0000859365DD94 -:040E010067E58C0510 -:040E020085134645C9 -:040E030020EFACC769 -:040E040045055D50F3 -:040E05006539C40186 -:040E0600EAD5051311 -:040E070022412083E1 -:040E0800220124039C -:040E090021C124835C -:040E0A00228101132D -:040E0B00656180821B -:040E0C006A0505135B -:040E0D008CBFC0EFE7 -:040E0E0067D944015B -:040E0F006A07859356 -:040E100097A20C7C1D -:040E1100DF87C703AD -:040E1200470995BA3D -:040E130006E40F637F -:040E14000163470D22 -:040E150044B708E4F2 -:040E16008493000FB2 -:040E1700C4032404E8 -:040E180067E5DFC7E4 -:040E190085134645B2 -:040E1A0020EFACC752 -:040E1B00450957909E -:040E1C00BA5FE0EFEA -:040E1D0087936789C7 -:040E1E00D4B37107D1 -:040E1F0065C102F4B3 -:040E200015FD460175 -:040E2100000217B7FD -:040E22000D07A7838E -:040E23000693676566 -:040E24008FEDAE0799 -:040E250003468513E8 -:040E2600AE070713F9 -:040E27000006D2836C -:040E28000C57876379 -:040E29001BE3068938 -:040E2A006509FED583 -:040E2B007105051335 -:040E2C00C0EFC03221 -:040E2D00460284DF16 -:040E2E00859367C180 -:040E2F000605FFF7BE -:040E3000FC9662E3E7 -:040E3100440DBF9D10 -:040E320084B7BF8D35 -:040E33008493001E86 -:040E3400B771480446 -:040E3500000494B76A -:040E36003E0484935F -:040E37005683B749DE -:040E380086630027A6 -:040E3900570300F665 -:040E3A001EE301872B -:040E3B00D0EFFCF701 -:040E3C000413A98F63 -:040E3D0065DD0690D9 -:040E3E0088C585934B -:040E3F000870B72957 -:040E400097320706D8 -:040E41009363863EF3 -:040E42008636006789 -:040E430007C2078556 -:040E440000C71023B0 -:040E4500AA1D83C19E -:040E46000007450359 -:040E4700C636C832B1 -:040E4800D0EFC43AE9 -:040E49004722994F54 -:040E4A0046B2464224 -:040E4B0000A70023D9 -:040E4C00A2D1070523 -:040E4D00D7134782EE -:040E4E008C950086F9 -:040E4F00C03E078515 -:040E500097BA479274 -:040E51009263C23EA8 -:040E5200BD7918044A -:040E530006900713EB -:040E5400FAE403E3D6 -:040E550065DDB5752D -:040E56008A85859371 -:040E570065DDB56D33 -:040E58008985859370 -:040E590065DDB54D51 -:040E5A008B8585936C -:040E5B005683BD6994 -:040E5C0096E3000712 -:040E5D0067E5F6F659 -:040E5E00464565DDC3 -:040E5F008D058593E5 -:040E6000ACC7851383 -:040E610045F020EF49 -:040E6200E0EF45096F -:040E63000613A8BF0B -:040E640045812000A4 -:040E6500C0EF08686A -:040E6600C002C6DF21 -:040E67000868458250 -:040E6800A1FFF0EF07 -:040E690011E3842AE3 -:040E6A004782E405D2 -:040E6B00C03E0785F9 -:040E6C00079347029F -:040E6D0013E3140077 -:040E6E006459FEF7CE -:040E6F006A04079377 -:040E7000040785935B -:040E710005134661BE -:040E7200C0EF01F1DB -:040E73000793BFDF43 -:040E740085936A04F4 -:040E7500466905873E -:040E7600C0EF008841 -:040E770077EDBEDF76 -:040E7800A557879360 -:040E7900086845813F -:040E7A0020F11D2323 -:040E7B009D3FF0EFB8 -:040E7C001BE3842AC6 -:040E7D004481DE05C9 -:040E7E000613468190 -:040E7F004581200089 -:040E8000C236086806 +:04068600406FB7C545 +:040687000693256051 +:0406880006E2F80589 +:040689006565665DE0 +:04068A00061386E1EC +:04068B0045C5B28629 +:04068C00B7C50513D6 +:04068D0023C0406FD7 +:04068E000185169339 +:04068F00665D86E13D +:0406900016D16565B5 +:0406910083C6061303 +:04069200051345C542 +:04069300406FB7C538 +:040694004685222055 +:0406950000A696B372 +:040696006565665DD3 +:0406970082060613BE +:04069800051345C53C +:04069900406FB7C532 +:04069A00050520A092 +:04069B0096B3468547 +:04069C00665D00A6F1 +:04069D000613656576 +:04069E0045C582C606 +:04069F00B7C50513C3 +:0406A0001F00406F88 +:0406A1000045478346 +:0406A20086B24711C4 +:0406A30006F769638A +:0406A400078A675DFD +:0406A500858707132B +:0406A600439C97BA20 +:0406A700451C8782E5 +:0406A800C7034645F9 +:0406A900491C0007E1 +:0406AA0097BA070AEA +:0406AB006565438CB2 +:0406AC00B7C50513B6 +:0406AD003C10406F4E +:0406AE00491C451886 +:0406AF0000074503F8 +:0406B000491C8782D8 +:0406B10087824508EF +:0406B2008E2367E547 +:0406B3008082B60784 +:0406B400E611C195F5 +:0406B500464565DD74 +:0406B600844585935F +:0406B7005063BFC904 +:0406B800665D020673 +:0406B900061365655A +:0406BA0045C584C6E8 +:0406BB00B7C50513A7 +:0406BC001800406F73 +:0406BD00DBE9455CD4 +:0406BE00479C43987A +:0406BF008082B7C1BD +:0406C000C78367E5A0 +:0406C100C3E10FA7DB +:0406C200C70367E51E +:0406C30047850FC791 +:0406C4000AF71B63B3 +:0406C5001111676543 +:0406C600940707137B +:0406C700C826CA2255 +:0406C8004401CC0617 +:0406C90047814481A0 +:0406CA006765C23A64 +:0406CB000FB747031B +:0406CC00070E46923D +:0406CD004310973609 +:0406CE0000064703D8 +:0406CF0000E7CD6310 +:0406D000000247B726 +:0406D1003C97A2238D +:0406D2003C87A4239A +:0406D300445240E26B +:0406D400017144C2AA +:0406D5004751808287 +:0406D60002E787337D +:0406D7009693425064 +:0406D800C63E0057C3 +:0406D900000247B71D +:0406DA0000F685336E +:0406DB009732C0365C +:0406DC004641430C44 +:0406DD0040EFC43AEC +:0406DE0047222FF090 +:0406DF00458547B254 +:0406E0000047460386 +:0406E10000F592B3DB +:0406E2001675C616AD +:0406E3000FF6761385 +:0406E4000054E4B327 +:0406E50002C5F763F0 +:0406E6004601853A0A +:0406E700C43E458147 +:0406E80047B735D506 +:0406E9008513000273 +:0406EA00478201073B +:0406EB00464165E53A +:0406EC008593953E1F +:0406ED0040EFB7C55E +:0406EE0042B22BF0F9 +:0406EF00643347A287 +:0406F0000785005426 +:0406F1008082B79DAF +:0406F200FD81011372 +:0406F300D222676543 +:0406F4001247540352 +:0406F50047036765EB +:0406F600D02611E712 +:0406F700C03A62E5BE +:0406F80057036765D8 +:0406F90064E511C7DC +:0406FA00C23A666535 +:0406FB0057036765D5 +:0406FC00D68312C7C8 +:0406FD00530312048D +:0406FE00C43A126682 +:0406FF0047036765E1 +:0407000085AA12A70D +:0407010012048493C7 +:040702006765C63A27 +:0407030012875703FF +:040704001222D503E5 +:04070500126606135F +:040706006765C83A21 +:04070700AF8707139E +:0407080000B7438370 +:0407090012228293A3 +:04070A00CC1667E5BD +:04070B0062E5CA26B3 +:04070C00C783CE329F +:04070D00829312F7CA +:04070E008163C542FC +:04070F0006030803D2 +:040710001D6300A7BE +:04071100477106F630 +:0407120002E60733C1 +:04071300538397165F +:04071400916300E706 +:04071500238306D361 +:0407160074930107D0 +:04071700F3930FF554 +:04071800996301F3ED +:04071900438304937F +:04071A00956301479B +:04071B00438304838D +:04071C0044820127EB +:04071D00049390634E +:04071E0000872383AA +:04071F00D39344929A +:040720009963015385 +:040721004383029379 +:0407220044A2015795 +:040723000293946346 +:0407240001374383D3 +:040725009F6344B2D8 +:0407260057030093E2 +:0407270044C200C701 +:0407280000971A63B9 +:0407290006334771DB +:04072A00961602E637 +:04072B000166470319 +:04072C0000670663F9 +:04072D0046056765B1 +:04072E0012C70723C4 +:04072F0087B34771D4 +:04073000771302E752 +:0407310092BE01F57E +:040732000102D78366 +:0407330000D2972336 +:0407340000828A2392 +:04073500E5339B818C +:04073600982300E71D +:04073700478200A253 +:0407380000628B23AD +:040739008923656546 +:04073A00479200F2F0 +:04073B0000579613BA +:04073C0000A2D783BD +:04073D008FD18BFDD0 +:04073E0000F295230D +:04073F008AA347A2A0 +:0407400047B200F2CA +:0407410000F289A396 +:04074200962347C2F1 +:0407430047F200F287 +:0407440002F59663C1 +:040745004650069381 +:0407460002D30333A4 +:0407470006400693CF +:040748000613665DD1 +:0407490046B386C667 +:04074A00541202D370 +:04074B0045C55482CA +:04074C00B7C5051315 +:04074D000281011311 +:04074E007390306F05 +:04074F00966347D294 +:04075000665D00F5ED +:040751008386061382 +:0407520047E2B7CDF6 +:0407530000F59963B1 +:04075400665D469503 +:0407550002D706B30E +:0407560087460613B9 +:04075700D683B7F995 +:04075800B7C500051C +:04075900879367E536 +:04075A0043981107A8 +:04075B00671DEF190E +:04075C009787071361 +:04075D0002E5053379 +:04075E00C40611516B +:04075F00E0EFC3887C +:0407600040A2BA4FAA +:04076100013145011C +:040762004505808247 +:04076300115180822E +:04076400E0EFC406F8 +:0407650067E5BB4F3A +:040766001107A7834D +:04076700353340A244 +:04076800013100F566 +:04076900473D808206 +:04076A0024A76363FA +:04076B00445257B7E6 +:04076C00EDC10113C7 +:04076D0035578793E2 +:04076E0057B7CC3E6F +:04076F00879300412B +:04077000CE3E44171E +:0407710000F53793C5 +:0407720010812E23A1 +:04077300121120231C +:0407740010912C2391 +:0407750002F1012369 +:040776001F63842A4F +:0407770067950CE591 +:04077800500787930C +:04077900102365E5FF +:04077A00462902F119 +:04077B0004A007933C +:04077C00B5C58593E7 +:04077D00025105130D +:04077E0002F101A3E0 +:04077F00020102234E +:04078000FC6FE0EF3B +:04078100C78367E5DE +:0407820065E5118791 +:0407830003600613F6 +:0407840002F1082353 +:04078500C78367E5DA +:0407860085930F0741 +:040787000513B90598 +:0407880008A303912E +:0407890067E502F12D +:04078A001057C783BA +:04078B0004930820AB +:04078C0007A306F1C8 +:04078D0067E502F129 +:04078E000F87C78387 +:04078F0002F1092347 +:04079000C78367E5CF +:0407910009A30E7733 +:0407920067E502F124 +:040793000E57C783B3 +:0407940002F10A2341 +:04079500C78367E5CA +:040796000AA3AA0701 +:0407970067E502F11F +:040798000E67C7839E +:0407990002F10B233B +:04079A00C78367E5C5 +:04079B000BA3AB07FA +:04079C0067E502F11A +:04079D00AB17C7834C +:04079E0002F10C2335 +:04079F00F4AFE0EFE4 +:0407A0000004450309 +:0407A100E0EF04057C +:0407A2000FA3C31FBF +:0407A30019E3FEA4B4 +:0407A40067E5FE9473 +:0407A5000F47A50352 +:0407A6000570069341 +:0407A70005B708305A +:0407A800E0EF001F5F +:0407A9002083C48F56 +:0407AA002403120111 +:0407AB00248311C1D1 +:0407AC0001131181A3 +:0407AD0080821241F3 +:0407AE00879367992D +:0407AF00102380078C +:0407B00007B702F194 +:0407B1008793047CAA +:0407B20064E504678F +:0407B300C783D83EE2 +:0407B400EB89BFC44A +:0407B5000613665D64 +:0407B60045B587C6F8 +:0407B700BFC4851323 +:0407B800591030EFB5 +:0407B900BFC48593A1 +:0407BA0005134635A8 +:0407BB0040EF0231D8 +:0407BC0065E5786017 +:0407BD0004600613BB +:0407BE00C0C585939A +:0407BF00E0EF184807 +:0407C00064E5EC8F71 +:0407C10009E0061332 +:0407C200C544859312 +:0407C30007A1051372 +:0407C400EB6FE0EF08 +:0407C500C503083C24 +:0407C600C03E00072A +:0407C700B9BFE0EFE7 +:0407C8000A38478222 +:0407C90000A78023E2 +:0407CA0096E3078526 +:0407CB000441FEE700 +:0407CC000FF474139F +:0407CD000104179379 +:0407CE0067E5C23EDB +:0407CF000F47A50328 +:0407D000100006937C +:0407D1001593083044 +:0407D200E0EF01044F +:0407D30067E5BA0F0D +:0407D4000F478793B1 +:0407D500F921C63E02 +:0407D600C5448793FC +:0407D70007130442BE +:0407D80084931004F2 +:0407D900879309E712 +:0407DA00C03A49E7F1 +:0407DB000413C43E01 +:0407DC0006933DE063 +:0407DD00872210005F +:0407DE000086F4633A +:0407DF0010000713EC +:0407E0008341074208 +:0407E10085A6863A29 +:0407E200C83A0828E1 +:0407E300E3AFE0EFB1 +:0407E400083447424C +:0407E5000006C50342 +:0407E600C836CA3A0D +:0407E700B1BFE0EFCF +:0407E8000A3C46C2BF +:0407E90080234752D0 +:0407EA00068500A6DA +:0407EB00FEF694E39F +:0407EC00460247B2C8 +:0407ED004388459266 +:0407EE00E0EF0834FC +:0407EF0014E3A66FFA +:0407F0000693EE0579 +:0407F100872210004B +:0407F20000D4746358 +:0407F30010000713D8 +:0407F400F007079370 +:0407F5000107941351 +:0407F600849347821F +:0407F7008041100429 +:0407F80010078793CC +:0407F90047A2C03E15 +:0407FA00F8F495E397 +:0407FB00557DBD6DFE +:0407FC001151808295 +:0407FD0064E5C026C9 +:0407FE00107484935C +:0407FF000004C5032A +:04080000C406C22246 +:04080100842A334DC5 +:04080200C703E5152E +:0408030067E50004A1 +:040804008323468183 +:0408050067E510E7AC +:040806001057C7833D +:0408070067E5C78159 +:04080800B147C683AB +:04080900879367E585 +:04080A0097B6B5C721 +:04080B0000E780235F +:04080C003B95453D96 +:04080D00852240A25E +:04080E0044824412CA +:04080F0080820131B1 +:04081000EDC1011322 +:040811008E2367E5E6 +:04081200C43EBE071B +:04081300121120237B +:0408140010812E23FE +:0408150010912C23EF +:04081600473DC22E6A +:04081700636357FDC3 +:04081800676506A763 +:04081900779305418B +:04081A0025030FF5AE +:04081B0095930F475B +:04081C000693010737 +:04081D00083010008F +:04081E00E0EFC03E09 +:04081F006765B28FC8 +:04082000071308248E +:0408210087AA0F474C +:04082200C63A842628 +:040823000004450385 +:040824000405C83EC1 +:04082500A23FE0EF1F +:04082600FEA40FA37A +:0408270047C20A3882 +:04082800FE8716E34E +:0408290065DDE38521 +:04082A0088458593E5 +:04082B00E0EF0828CA +:04082C0087AAD64F72 +:04082D001E051F6322 +:04082E000221470359 +:04082F004685CF111A +:040830000ED70D636F +:04083100120120830D +:0408320011C12403C9 +:040833001181248388 +:040834000113853EE9 +:04083500808212416A +:040836000201470371 +:040837001C071D631A +:0408380002114683E0 +:04083900055007134C +:04083A001CE69763BE +:04083B00024147032C +:04083C0002314683BC +:04083D008F550722AA +:04083E0004A0069379 +:04083F00FCD714E3EB +:04084000F3694712FF +:04084100478167651F +:04084200071345B99A +:040843004629B5C7C6 +:0408440000D4C68393 +:0408450000D5E66391 +:0408460000F705337F +:0408470000D50023B5 +:040848000485078597 +:04084900FEC796E36D +:04084A0003114783CC +:04084B0008236765B2 +:04084C0047250EF737 +:04084D0000F76663E7 +:04084E000C236765AB +:04084F00A02910F7D5 +:0408500003014783D6 +:04085100FEF77AE351 +:040852000331470324 +:04085300656567E58B +:040854000EE783A385 +:040855000341470311 +:04085600061367E539 +:0408570082A3036015 +:0408580047030EE75D +:0408590067E50351FB +:04085A00039105936E +:04085B00AAE7802365 +:04085C0003614703EA +:04085D00051367E533 +:04085E008323B90532 +:04085F0047030EE756 +:0408600067E50371D4 +:04086100AAE7882357 +:0408620003814703C4 +:0408630088A367E51A +:040864004703AAE7B5 +:0408650067E502F150 +:0408660010E782A372 +:04086700C70367E577 +:0408680067E5B5C7C4 +:0408690010E78323EE +:04086A00032147031C +:04086B008C2367E58E +:04086C00E0EF0EE7C4 +:04086D004781C14FAF +:04086E004483B731D7 +:04086F009C63020183 +:0408700046830E04A9 +:040871000713021156 +:040872009663058004 +:0408730056830EE6B4 +:040874000713030162 +:0408750097E30460A1 +:040876005403EEE653 +:04087700071303213F +:0408780011E347C081 +:0408790047A2EEE4C0 +:04087A004635C82A0D +:04087B00BFC785135B +:04087C0002310593AD +:04087D00480040EF00 +:04087E0047C2471214 +:04087F00EC0714E38B +:040880000613656591 +:04088100184C0460AB +:04088200C0C50513D5 +:04088300BBAFE0EF38 +:0408840067654782DB +:04088500C547071349 +:04088600C23E07A2C5 +:040887000793C00211 +:04088800C43A062048 +:04088900468247223A +:04088A001000061341 +:04088B0005338E1D86 +:04088C00083800D751 +:04088D0000F705B3B8 +:04088E0006C44563F4 +:04088F00E0EFC83E90 +:0408900047C2B88F14 +:040891000485470291 +:040892008F1D943EE4 +:04089300F493479201 +:0408940007130FF443 +:0408950085B3100710 +:0408960047B200F471 +:040897008341074250 +:04089800041343887A +:040899000693F004CE +:04089A000830100012 +:04089B00C03A05A2B8 +:04089C00E0EF044243 +:04089D008041930FF4 +:04089E00083887AAE5 +:04089F000007450306 +:0408A000C83ACA3E4A +:0408A100833FE0EFC2 +:0408A2000A3447428B +:0408A300002347D215 +:0408A400070500A79D +:0408A500FEE694E3F4 +:0408A600E20796E3EC +:0408A700F05947813C +:0408A8008622A021E3 +:0408A900B22FE0EF9B +:0408AA00470567E5B2 +:0408AB0012E78723A6 +:0408AC004785B719AC +:0408AD004789BD01B9 +:0408AE001151B531FE +:0408AF0064E5C02616 +:0408B00010748493A9 +:0408B1000004C50377 +:0408B200C222458198 +:0408B300F0EFC40698 +:0408B400842AD73F7C +:0408B500C703E12173 +:0408B60067E50004EE +:0408B700832346A9A8 +:0408B80067E510E7F9 +:0408B900C517C78315 +:0408BA0000D785637B +:0408BB008C2366E53F +:0408BC0067E510F6E6 +:0408BD001057C78386 +:0408BE00C781468127 +:0408BF00C68367E5A0 +:0408C00067E5118750 +:0408C100B5C787939D +:0408C200802397B642 +:0408C300453D00E7C8 +:0408C400A97FF0EF29 +:0408C500852240A2A6 +:0408C6004482441212 +:0408C70080820131F9 +:0408C8004585114110 +:0408C900C02AC60675 +:0408CA00D19FF0EFDB +:0408CB004783676593 +:0408CC004682BFC7DA +:0408CD00BFC7071387 +:0408CE00675DE781FA +:0408CF0088C70713BC +:0408D000665D40B26F +:0408D1000613656540 +:0408D20045C5894649 +:0408D300B7C505138D +:0408D400306F01413F +:0408D500112111F0EC +:0408D6006465C8226B +:0408D700116407138E +:0408D800000757833B +:0408D900C626CA065F +:0408DA00F9F7F793A0 +:0408DB0083C107C20C +:0408DC0000F71023EE +:0408DD00000216B748 +:0408DE00A023C02E65 +:0408DF0005930EF679 +:0408E00084AA3200B4 +:0408E100F0EF4505EA +:0408E2000513C08FAB +:0408E300D0EF190039 +:0408E4000713D71F00 +:0408E50057831164C0 +:0408E60016B700073A +:0408E70045C1000205 +:0408E8000207E79389 +:0408E90000F71023E1 +:0408EA000EF6A02343 +:0408EB0040EF85262F +:0408EC0077932EA030 +:0408ED0004130FF5EC +:0408EE00EB8911641D +:0408EF00464565E134 +:0408F000E7C5859340 +:0408F10040EF852629 +:0408F20047852AE02C +:0408F30086B3470180 +:0408F400C50300E454 +:0408F50045D10006E3 +:0408F600C23AC43E00 +:0408F700BB2FF0EF34 +:0408F80047A24712BA +:0408F90076930705E6 +:0408FA00E2E30FF72F +:0408FB005783FEF62B +:0408FC0014B7000429 +:0408FD0045D10002DF +:0408FE00FDF7F79378 +:0408FF0083C107C2E8 +:0409000000F41023CC +:040901000EF4A0232D +:040902000C000513CD +:04090300B82FF0EF2A +:040904000004578311 +:0409050045C14502A1 +:040906000207E7936A +:0409070000F41023C5 +:040908000EF4A02326 +:04090900274040EF54 +:04090A000FF57493DE +:04090B004502E88930 +:04090C00464565E116 +:04090D00E7C5859322 +:04090E0023C040EFD3 +:04090F004781448553 +:0409100045D1470284 +:04091100973EC23E0D +:040912000007450392 +:04091300B42FF0EF1E +:04091400078547927A +:040915000FF7F713CE +:04091600FE9764E301 +:0409170000045783FE +:04091800173740D27B +:04091900E79300025E +:04091A00102304079B +:04091B00444200F45E +:04091C000EF720238F +:04091D00016144B27E +:04091E001141808281 +:04091F00C226C42206 +:040920004785C6063B +:04092100646564E5C0 +:0409220000F5086371 +:04092300468367653B +:0409240047090FC7A9 +:0409250004E69463ED +:04092600859346412E +:040927004537B68416 +:0409280040EF00029A +:0409290047B71D208F +:04092A00851300022F +:04092B004641020738 +:04092C00B7C40593B4 +:04092D001C0040EF7B +:04092E00000247B7C5 +:04092F003C07A623B8 +:04093000A223470DAA +:04093100A4233CE7D8 +:0409320005933C07E6 +:040933004422B7C4DF +:04093400851340B235 +:040935004492B684AE +:04093600BDB5014109 +:04093700FEE517E3DF +:0409380047036765A5 +:0409390046410FB76D +:04093A00B7C40593A6 +:04093B0000371693D8 +:04093C0007136765D1 +:04093D00973694074E +:04093E0000474683A5 +:04093F000002473734 +:0409400000569513B5 +:04094100953A05419D +:0409420040EFC0368C +:04094300468216A032 +:04094400000247372F +:040945003C872603C2 +:0409460097B3478597 +:040947008FD100D775 +:040948003CF7242331 +:0409490067E5B75D4A +:04094A000F97C783B9 +:04094B00FD81011316 +:04094C000785D02229 +:04094D000FF7F79316 +:04094E0007C207B223 +:04094F00646583C197 +:040950000713C83E83 +:040951005783116453 +:0409520046C2000792 +:04095300CE26D206D4 +:0409540076E18FD5E4 +:0409550007C28FD571 +:04095600102383C126 +:04095700C20200F7E1 +:04095800000217374B +:0409590064E5C4028B +:04095A002023665D93 +:04095B0006130EF77A +:04095C0045C589C63E +:04095D00B7C4851383 +:04095E006F8030EF87 +:04095F003DF545011C +:04096000177D674157 +:0409610004134785AF +:04096200CA3A116418 +:040963000002173740 +:040964000D072683D2 +:040965008EF1465277 +:04096600EFC5C636DD +:0409670066E5CADD9A +:04096800B9068693B3 +:040969000166D6034A +:04096A00578345B2B8 +:04096B00166300040B +:04096C0076F510B656 +:04096D008FF516FDEF +:04096E0000F410235E +:04096F000EF720233C +:040970008E2345018C +:040971003D55B60436 +:04097200000625371F +:04097300A8050513BB +:04097400B2FFD0EF0F +:0409750000045783A0 +:0409760066914742FD +:040977003E800513A6 +:040978008FD58FD9AF +:0409790083C107C26D +:04097A0000F4102352 +:04097B000002173728 +:04097C000EF720232F +:04097D00F70FF0EF91 +:04097E00F96FF0EF2E +:04097F001063478535 +:0409800027B702F59E +:04098100479C00028D +:0409820001079713BF +:04098300C23A8341B0 +:04098400004797137E +:04098500C43A83519C +:04098600DFE3CC3EA1 +:0409870067E5FC071D +:040988001007A82389 +:04098900EF8D479215 +:04098A000613665D8D +:04098B0045C58A864E +:04098C00B7C4851354 +:04098D0063C030EF24 +:04098E003581450169 +:04098F000004570306 +:0409900017FD77F1E7 +:0409910010238FF9A7 +:04099200173700F41F +:04099300202300021B +:0409940065090EF7EC +:0409950071050513D0 +:04099600AA7FD0EF75 +:04099700B73D47B26F +:0409980047524792E9 +:0409990000E796637A +:04099A000613665D7D +:04099B00B7C18B86CF +:04099C0045A2471217 +:04099D000793660551 +:04099E00167D06407C +:04099F0002F756B352 +:0409A00002F77733B0 +:0409A10000C59B638F +:0409A2000613665D75 +:0409A30045C58C06B4 +:0409A400B7C485133C +:0409A5005DC030EF12 +:0409A6004622B745E9 +:0409A700851345C5AA +:0409A8007633B7C427 +:0409A900C03202F660 +:0409AA0057B34622D7 +:0409AB00665D02F68D +:0409AC008CC60613DC +:0409AD005BC030EF0C +:0409AE00D683B741F4 +:0409AF004632018645 +:0409B000F6C69EE306 +:0409B10083D107D215 +:0409B20000F410231A +:0409B3005402509208 +:0409B4000EF72023F7 +:0409B500450144F2C2 +:0409B60002810113A6 +:0409B70067E58082EE +:0409B8000FA7C7833B +:0409B9001151E3B540 +:0409BA00C222C4068B +:0409BB00C909C02680 +:0409BC0000024737B7 +:0409BD003C07278349 +:0409BE000027E79394 +:0409BF003CF72023BE +:0409C000859364E5D2 +:0409C1004641BC846B +:0409C20000024537B3 +:0409C300769030EF0B +:0409C40000024437B2 +:0409C500859367E5CA +:0409C6000513BDC791 +:0409C700464102049F +:0409C800755030EF47 +:0409C9002623470D8D +:0409CA0022233C04A4 +:0409CB0024233CE4C1 +:0409CC0044123C0491 +:0409CD00851340A2AC +:0409CE004482BC841F +:0409CF00859367E5C0 +:0409D0000131BDC76D +:0409D100C13FF06FC3 +:0409D20067E58082D3 +:0409D300470563650C +:0409D4008A237159A8 +:0409D500079310E78D +:0409D600D4A2AF8375 +:0409D700D2A643C0A1 +:0409D8000493D68628 +:0409D9000063AF8385 +:0409DA004098160427 +:0409DB0014070D638D +:0409DC00019C07B7BC +:0409DD00CC07879329 +:0409DE000287D33386 +:0409DF00A0EEC7B708 +:0409E000B007879342 +:0409E10002E7D7B39F +:0409E2000084C703C3 +:0409E30012070B6389 +:0409E4000287D4337F +:0409E50003B0051343 +:0409E600E0EFC21A62 +:0409E700C62AD4CF79 +:0409E80000C1478380 +:0409E90003C005132F +:0409EA00E0EFCC3E30 +:0409EB00C783D3CF1C +:0409EC00C60301C479 +:0409ED00431200842D +:0409EE000027971334 +:0409EF00879367E1A2 +:0409F00097BA8287A9 +:0409F1004098439453 +:0409F2000700079360 +:0409F3000793E2196B +:0409F400665D0690A6 +:0409F500061365651B +:0409F60045C58E065F +:0409F700BC850513A3 +:0409F80030EFC21A00 +:0409F900431248E07D +:0409FA000640079319 +:0409FB003E800693A1 +:0409FC0045C5656523 +:0409FD0002F4773356 +:0409FE00BDC505135B +:0409FF0002D3763376 +:040A00004729C03A88 +:040A010002E657337F +:040A02000613665D14 +:040A030057B38EC691 +:040A040056B302F4EF +:040A050030EF02D3F9 +:040A0600450545A0BD +:040A0700409C35C911 +:040A08000324C703F9 +:040A09000434C2836C +:040A0A00C783C83E98 +:040A0B000BA30084B5 +:040A0C0063850201FB +:040A0D004791CA3E05 +:040A0E0047A1DC3EE2 +:040A0F0000E797B3B2 +:040A10000334C703E1 +:040A11000087E793E0 +:040A12000793DE3E2A +:040A130097B3400055 +:040A1400C70300E72D +:040A1500C0BE034418 +:040A1600000207B71C +:040A170000E797B3AA +:040A18000354C703B9 +:040A190007B7C2BE9B +:040A1A0097B300404E +:040A1B00C4BE00E76E +:040A1C0003710793C8 +:040A1D008793C6BE37 +:040A1E00C8BE0374D7 +:040A1F000384879332 +:040A20008793CABE30 +:040A2100CCBE0394B0 +:040A220003A487930F +:040A23008793CEBE29 +:040A2400D0BE03B489 +:040A25000444C7833B +:040A2600C43E470182 +:040A2700869367E566 +:040A28008793C547A4 +:040A2900C23EC547BD +:040A2A000FD2F7935D +:040A2B001793D03E0F +:040A2C0087E10187D6 +:040A2D000793CE3E1F +:040A2E001D630290B2 +:040A2F00479100F7F4 +:040A30000786AEA9DE +:040A31006405B5F1B2 +:040A320004136791B1 +:040A330083137704AE +:040A3400B5C9D54724 +:040A350006334671CD +:040A3600479202C71A +:040A3700963E458D15 +:040A380083F54A5C9C +:040A39001AB78963FC +:040A3A008D63451172 +:040A3B0045891AA728 +:040A3C0006B79D63F9 +:040A3D00100005930D +:040A3E0065C1C0AE20 +:040A3F000593C2AEAB +:040A4000C4AE400000 +:040A410005934A10BF +:040A420082151C10ED +:040A43007FF67613B1 +:040A440004B61D6374 +:040A450067DDD43E57 +:040A460090478593BD +:040A4700461D85368D +:040A4800D616D83AAC +:040A490030EFD23682 +:040A4A00569252105E +:040A4B0052B257A2AA +:040A4C006385574225 +:040A4D004622E51147 +:040A4E000705EA0DA1 +:040A4F00BF8506F168 +:040A500067DDD43E4C +:040A510090C7859332 +:040A5200461D853682 +:040A5300D616D83AA1 +:040A540030EFD23677 +:040A550056924F5016 +:040A560052B257A29F +:040A5700638557421A +:040A580045A2E509C5 +:040A59008AE34605E1 +:040A5A004671FCC520 +:040A5B0002C7063395 +:040A5C00962E4592FB +:040A5D0000A6558317 +:040A5E0089FD464583 +:040A5F0000C58B63E0 +:040A600000D5861324 +:040A610045058A7D40 +:040A620000C57563F3 +:040A63009763467DD2 +:040A6400660500C55E +:040A650057B6061367 +:040A6600FA8661E3C8 +:040A6700961310C80A +:040A6800962A0027A3 +:040A6900FE862603DC +:040A6A000186A083DE +:040A6B000006460338 +:040A6C00962A060AB6 +:040A6D00FD46260319 +:040A6E0000C0F6339B +:040A6F004352DE3DD3 +:040A7000FFF0C513BB +:040A71001AE38905F6 +:040A72004571F6A331 +:040A730002A705339E +:040A7400951A43127A +:040A750043424908A7 +:040A7600751381155E +:040A770005797FF589 +:040A7800F4656DE3D1 +:040A79008923450583 +:040A7A00972300A41A +:040A7B0088A3000448 +:040A7C008A230004C5 +:040A7D00CC90000415 +:040A7E000E6365217D +:040A7F00666336A6CE +:040A8000051314C581 +:040A810002631000FC +:040A8200626332A6D3 +:040A8300454110C514 +:040A840010A60F6346 +:040A85000CC56B63CE +:040A860003634511B0 +:040A870045A11CA6C3 +:040A8800F0B61DE3C4 +:040A890086234685F5 +:040A8A00C68300D44B +:040A8B009563051456 +:040A8C0046F12E06FB +:040A8D0002D706B3D3 +:040A8E0096B24612C4 +:040A8F0000E6D603A4 +:040A90005770069302 +:040A91002CC6EA6322 +:040A920001D796935F +:040A93002CD05663AA +:040A940086A3468D62 +:040A9500468900D4BA +:040A960000D48923DC +:040A9700FFE786935C +:040A98000FD6F693EC +:040A99004695CE9917 +:040A9A002ED794635C +:040A9B00073347F1E5 +:040A9C00479202F784 +:040A9D005703973E26 +:040A9E00079300E7D3 +:040A9F00E9634AF0CD +:040AA000C7832CE7F5 +:040AA1008263046404 +:040AA20086A32C07F4 +:040AA300478900047B +:040AA40000F48923AE +:040AA5000613AC7513 +:040AA600C0B240009A +:040AA700000206370C +:040AA800B5E1C2B240 +:040AA90000A655034B +:040AAA00897D4609F3 +:040AAB0000C51E6301 +:040AAC00000286635B +:040AAD008AE346058D +:040AAE00B541EAC2A2 +:040AAF00061345E203 +:040AB00074E30520C6 +:040AB100BD95EAB64F +:040AB20076134E90D9 +:040AB300C61920063A +:040AB400E6B295E32E +:040AB5002000061304 +:040AB600BD41DE322E +:040AB70016E34605F7 +:040AB8005602E8C535 +:040AB900E4061BE351 +:040ABA000793B549A0 +:040ABB000A630400C6 +:040ABC00079300F6A6 +:040ABD0004630800C6 +:040ABE00079322F682 +:040ABF001EE3020030 +:040AC0000793E2F6C0 +:040AC1009623301038 +:040AC200479100F464 +:040AC3000B63B751B9 +:040AC400E36324764E +:040AC500059302C3D0 +:040AC6000E6340007B +:040AC700079320B6BB +:040AC8008C638006B5 +:040AC9000793220766 +:040ACA0018E320000D +:040ACB000793E0F6B7 +:040ACC00962320103D +:040ACD00BFA100F4D1 +:040ACE0008636789C9 +:040ACF00679122F613 +:040AD000DEF61DE34E +:040AD1002020079347 +:040AD20005B7BF7D28 +:040AD3000863002094 +:040AD400EE6324B6F3 +:040AD50005B702C59A +:040AD6000C630004A9 +:040AD700ED6322B6F3 +:040AD80065C100C52F +:040AD90020B60C63D4 +:040ADA00000205B75A +:040ADB00DCB617E38B +:040ADC009623470D09 +:040ADD00A2E100E4AE +:040ADE00000807B74E +:040ADF0020F60E638C +:040AE000001007B744 +:040AE100DAF61BE343 +:040AE2002030079326 +:040AE30007B7BFADE5 +:040AE4000F6301009B +:040AE500E46320F6B0 +:040AE60007B702C785 +:040AE7000363004065 +:040AE80007B720F636 +:040AE9001AE300808C +:040AEA000793D8F6A0 +:040AEB0096232040EE +:040AEC00478D00F43E +:040AED0000F4892365 +:040AEE0002800793E8 +:040AEF0007B7AAC1DA +:040AF000006302009D +:040AF10007B720F62D +:040AF20018E3040001 +:040AF3000793D6F699 +:040AF40096232040E5 +:040AF500479900F429 +:040AF60000F489235C +:040AF700A27D47D1C4 +:040AF800000496233D +:040AF90000B488A31A +:040AFA000057F71397 +:040AFB0009634685C0 +:040AFC00470900D7CF +:040AFD0002E7906319 +:040AFE000020F09351 +:040AFF0000008C6304 +:040B00000464C7833F +:040B010010078663F0 +:040B02008923478973 +:040B0300478500F42E +:040B040000F487A3CF +:040B050012058E63E4 +:040B0600852347F20A +:040B0700878300F4EC +:040B0800676500A479 +:040B09000823461265 +:040B0A00477112F726 +:040B0B0002E7873343 +:040B0C000124C68377 +:040B0D004B009732D0 +:040B0E00887D461583 +:040B0F0002D40433D5 +:040B100002C40433E4 +:040B11000640061381 +:040B120003240413A1 +:040B130002C44433A1 +:040B140000E756039D +:040B150002C686B3DB +:040B160006136665F7 +:040B1700943611B649 +:040B180080410442D2 +:040B190000849B2396 +:040B1A000177470315 +:040B1B007693C432D7 +:040B1C008B2101F731 +:040B1D001607006354 +:040B1E0046036765BE +:040B1F00468911A74B +:040B2000F363472113 +:040B2100474100C682 +:040B2200802346A244 +:040B2300473200E66F +:040B2400759340D0B5 +:040B250085B30FF78E +:040B260047220285DB +:040B2700010616931A +:040B2800C63682C18A +:040B29000007470377 +:040B2A00D5334681F8 +:040B2B00C58302C5B7 +:040B2C00C98904541B +:040B2D0087B346F153 +:040B2E00469202D712 +:040B2F004F9497B692 +:040B30008A858285AB +:040B310005B345F9CA +:040B3200064202B4C1 +:040B3300F793824171 +:040B3400C83E0FF6B2 +:040B3500C5B357D914 +:040B3600059502C55A +:040B370002F5C5B34B +:040B38000594C783D6 +:040B3900F80787939F +:040B3A0087E107E266 +:040B3B00F59395AAEF +:040B3C0095BE0FF55E +:040B3D00478505C221 +:040B3E00016381C10D +:040B3F0047A10EF7C5 +:040B400030F7056322 +:040B410005C205994B +:040B4200478985C199 +:040B4300A8E146C11E +:040B44008723478537 +:040B4500BDE500F416 +:040B4600000486A37E +:040B47000793B381DC +:040B480096233010B0 +:040B4900479900F4D4 +:040B4A000793B3A5B5 +:040B4B0096232010BD +:040B4C00479500F4D5 +:040B4D004709BBB1E8 +:040B4E0000E4962306 +:040B4F009963470D52 +:040B5000C78300E770 +:040B510094E30464C1 +:040B52004785D407F8 +:040B530000F4872300 +:040B54000584C783CA +:040B550000F488A37D +:040B56000793B5C18B +:040B570096231020B1 +:040B5800B7FD00F4F1 +:040B590020200793BE +:040B5A000793B3E961 +:040B5B00962320209D +:040B5C00478D00F4CD +:040B5D000793BB310E +:040B5E00BF5520203F +:040B5F0020200793B8 +:040B600000F49623E4 +:040B61008923479D00 +:040B620047B500F49F +:040B630000F48A23ED +:040B64000793B7C17B +:040B6500BB71203010 +:040B660020300793A1 +:040B67000793BFC968 +:040B6800B771203011 +:040B690096234791F7 +:040B6A00079300F4F9 +:040B6B00BFF9078047 +:040B6C00204007938B +:040B6D0000F49623D7 +:040B6E0089234791FF +:040B6F0047F900F44E +:040B70000793B7F13F +:040B71009623204067 +:040B7200479500F4AF +:040B730000F48923DE +:040B7400BF6D47E129 +:040B750000234722F0 +:040B7600BD5500D792 +:040B770005C2058925 +:040B7800478585C167 +:040B790086334699E0 +:040B7A00C86300D775 +:040B7B008533220597 +:040B7C0097AA00D55F +:040B7D000FF005135D +:040B7E0000F55563C6 +:040B7F000FF00593DB +:040B8000F7938D91C9 +:040B810085BE0FF529 +:040B8200CC3A45150F +:040B8300CA3ED03264 +:040B8400D0EFCE36AA +:040B850046F2F1FF44 +:040B860085B64519D2 +:040B8700F15FD0EF5B +:040B880008000593C9 +:040B890002600513EE +:040B8A00F09FD0EF19 +:040B8B00560247D2F5 +:040B8C00031005133A +:040B8D0000C785B365 +:040B8E000FF5F593D7 +:040B8F00EF5FD0EF55 +:040B900047C14762B0 +:040B9100036345B104 +:040B920045A100F782 +:040B93000220051324 +:040B9400EE1FD0EF91 +:040B950045B24642DD +:040B9600D0EF8522F5 +:040B9700C503F71F7C +:040B9800E0EF04D4B2 +:040B9900C5039EEF03 +:040B9A004785047413 +:040B9B0000A7F86354 +:040B9C00C50347A2A4 +:040B9D0015610007D7 +:040B9E0000153513F6 +:040B9F00053347E1F2 +:040BA00067E102F512 +:040BA100854787936A +:040BA200E0EF953EAD +:040BA300878380CFF5 +:040BA400477100A4F1 +:040BA5000124C583DF +:040BA60002E787B328 +:040BA70097BA4712A0 +:040BA8000167C50319 +:040BA900908FE0EF5A +:040BAA0000C4C78339 +:040BAB0000A489A376 +:040BAC00000286B706 +:040BAD000017B71363 +:040BAE009BF9429CD1 +:040BAF00C29C8FD97C +:040BB00000C4C78333 +:040BB1006C63470D1D +:040BB200470514F7E8 +:040BB30014F76B6365 +:040BB40000E795635E +:040BB5008EA347853F +:040BB600C50300F47F +:040BB700E0EF01D496 +:040BB800C70393BF1D +:040BB900106300C401 +:040BBA008783140712 +:040BBB00477100A4DA +:040BBC0002E787B312 +:040BBD0097BA47128A +:040BBE0000C7D70392 +:040BBF0037134F9CFD +:040BC00047132BD7D5 +:040BC1008B85001709 +:040BC200463797BA61 +:040BC3000613000213 +:040BC4004234380679 +:040BC500859375F9A6 +:040BC6008B8D7FF59F +:040BC70007AE8EEDFA +:040BC800C23C8FD5C7 +:040BC9001693423C01 +:040BCA00776900D770 +:040BCB008F7D177D86 +:040BCC00C2388F5547 +:040BCD00EF6FD0EF07 +:040BCE000414C70341 +:040BCF00C683478909 +:040BD000440100E4F8 +:040BD10000F70763BF +:040BD20000F4C40364 +:040BD30034138C1536 +:040BD4004581001443 +:040BD500C236453DA2 +:040BD600C8DFD0EFB5 +:040BD700059005136D +:040BD800C43FD0EF57 +:040BD90002F5759319 +:040BDA004692E8094E +:040BDB000066979386 +:040BDC00F5938DDD23 +:040BDD00E5930FF598 +:040BDE0005130105F5 +:040BDF00D0EF0590BE +:040BE00040D8C67FB4 +:040BE100019C07B7B5 +:040BE200CC07879322 +:040BE30002E7D7B39B +:040BE4000164D703CE +:040BE50000D4C6036F +:040BE60087B3468DFE +:040BE700C70302E757 +:040BE800070500C439 +:040BE90002E787B3E5 +:040BEA0000E4C70359 +:040BEB0087B30705C0 +:040BEC00676502E750 +:040BED0010F72023BA +:040BEE0010070713D2 +:040BEF0008D61863A9 +:040BF000C31C83851A +:040BF100073743146B +:040BF20007130511CF +:040BF3004785F40737 +:040BF40000D76B6358 +:040BF50004787737D2 +:040BF6008BF707135F +:040BF700746347815B +:040BF800C78300D7D8 +:040BF900C703010429 +:040BFA00C5830504A6 +:040BFB00E7090414EE +:040BFC000104C70326 +:040BFD0006F7036391 +:040BFE0050B6542673 +:040BFF0000F4882353 +:040C0000852E549653 +:040C0100E06F6165DA +:040C02008593C8EF1F +:040C030005C20325FE +:040C040047A185C1BE +:040C05000200069350 +:040C06004581B3F978 +:040C07004711B3DD01 +:040C08004789BD4516 +:040C0900C783BD4D93 +:040C0A00C68300D4C9 +:040C0B0017F500E4F5 +:040C0C000017B79383 +:040C0D0086938F95A6 +:040C0E003793001701 +:040C0F00C793003750 +:040C100097B600177C +:040C11000FF7F7934F +:040C1200B5C1470120 +:040C13001BE3458515 +:040C1400078AF6B69F +:040C150002D7D7B378 +:040C16004789B7ADA6 +:040C170002F58063FF +:040C18000114C503FB +:040C19004589C191B7 +:040C1A00C683542613 +:040C1B00C60300F418 +:040C1C0050B60424A6 +:040C1D006165549623 +:040C1E00AF2FE06FA5 +:040C1F00542650B651 +:040C20006165549620 +:040C210067E5808281 +:040C22001087A7038D +:040C2300879367E567 +:040C240046ADB90719 +:040C2500D5834645E8 +:040C26009F63016760 +:040C2700F69304E557 +:040C2800E1190FF6C9 +:040C290028070A632B +:040C2A00C6261121A8 +:040C2B00C50364E5B4 +:040C2C00C8220FB417 +:040C2D000793646560 +:040C2E001613940401 +:040C2F0097B2003543 +:040C3000438CCA0621 +:040C31000047C7832E +:040C3200A303475180 +:040C330087330045BE +:040C3400849302E7BC +:040C350004130FB4E1 +:040C3600971A940471 +:040C37006363433977 +:040C3800433102D36F +:040C390004D363631A +:040C3A00806347ADDF +:040C3B0086630CF6CA +:040C3C00478108667E +:040C3D00A0F14701DA +:040C3E000789068597 +:040C3F00F8C69DE373 +:040C4000B7454681ED +:040C4100004747839E +:040C42009B63460D5D +:040C4300475016C739 +:040C4400421845BD50 +:040C450000074783DA +:040C46001EB69C63D7 +:040C470017FDC7814D +:040C48000FF7F79318 +:040C490000F700238D +:040C4A004503B7E9BE +:040C4B004705004712 +:040C4C007513157592 +:040C4D006E630FF5CE +:040C4E00453700A77F +:040C4F002303000279 +:040C500017333C8595 +:040C5100471300F74E +:040C52007733FFF7FE +:040C530024230067EF +:040C540047353CE5FF +:040C550000E69C63B6 +:040C5600C783E399D4 +:040C570017FD000580 +:040C58000FF7F79308 +:040C590002239622BA +:040C5A00B76100F688 +:040C5B000005C703C6 +:040C5C009622078550 +:040C5D0002E7E7B310 +:040C5E00C519B7FD00 +:040C5F008023157D5C +:040C6000E0EF00A41D +:040C6100B7B597FF8D +:040C62008D2367E592 +:040C630047370E07FA +:040C640027830002E0 +:040C650045013C0702 +:040C660020239BEDBF +:040C670044423CF7D0 +:040C680044B240D280 +:040C6900F06F0161C6 +:040C6A004783D38F5A +:040C6B00468D00476B +:040C6C000AD78163BF +:040C6D009EE346912B +:040C6E00471CF2D756 +:040C6F0087AA978237 +:040C7000C6834705EB +:040C710045D1000465 +:040C7200068E656520 +:040C7300429096A273 +:040C74000046C683ED +:040C7500B685051328 +:040C760086B34250AF +:040C7700C43E02B6BF +:040C780096B2C23A34 +:040C79004645428C1E +:040C7A0030EFC03661 +:040C7B00471248A034 +:040C7C00468247A2C3 +:040C7D00863E85BA70 +:040C7E00E0EF8536E8 +:040C7F00C78388BFE0 +:040C800065E5000422 +:040C8100000244B772 +:040C8200943E078E07 +:040C830000444503E1 +:040C840085934641CD +:040C85000516B7C5D4 +:040C86009526054169 +:040C8700458030EF85 +:040C880000444603DB +:040C890097B3478551 +:040C8A00A62300C7D6 +:040C8B0047123CF4DC +:040C8C004682EB09A8 +:040C8D00C703461142 +:040C8E0015630046A4 +:040C8F0046D80EC76E +:040C90004737C76DAE +:040C910026830002B4 +:040C92008FD53C8737 +:040C93003CF72423E3 +:040C94004B1CA8E964 +:040C9500C03AC78119 +:040C960047029782F8 +:040C97000004C7830B +:040C98000785471471 +:040C99000037971376 +:040C9A0000E4063339 +:040C9B008463420C20 +:040C9C00022300D55A +:040C9D009722000694 +:040C9E008023C314D8 +:040C9F00B71100F495 +:040CA00002F669638C +:040CA1008863460915 +:040CA200470C04C730 +:040CA3004503433D85 +:040CA400460300C73C +:040CA500C78300D72A +:040CA60047030005FB +:040CA700916300E76E +:040CA8007C63026601 +:040CA90017FD00F63D +:040CAA000FF7F71336 +:040CAB0000E58023BD +:040CAC004611B589AF +:040CAD00E4C78DE328 +:040CAE00F96DBD2DF2 +:040CAF00B7FD8732D4 +:040CB00000E7F863FE +:040CB100F6130785AA +:040CB20080230FF795 +:040CB300B51500C5AE +:040CB400863AFD6D12 +:040CB500470CBFDD4C +:040CB6005603453D5F +:040CB700D78300C718 +:040CB80057030005D9 +:040CB9009E6300E74F +:040CBA00776300A6B6 +:040CBB0017FD00F62B +:040CBC000107971382 +:040CBD00A0198341B6 +:040CBE008732C211A6 +:040CBF0000E5902399 +:040CC000F463BBCD51 +:040CC100078500E7BC +:040CC200FA6DB7E52B +:040CC300B7FD470131 +:040CC400004646831D +:040CC50000D7F563FC +:040CC600F693078515 +:040CC70000230FF700 +:040CC800BBC100D7D5 +:040CC9000CE3460DE5 +:040CCA004442F0C7E9 +:040CCB0044B240D21D +:040CCC00016145017C +:040CCD00946FF06FC1 +:040CCE00862E80826C +:040CCF00153785AAA6 +:040CD0000513000206 +:040CD100D06F0205D9 +:040CD20011119D6FF0 +:040CD30001851793ED +:040CD400C826CA2242 +:040CD50087E1CC06E1 +:040CD60084AE842A3A +:040CD7000007DD63D2 +:040CD800051345813A +:040CD90037D5077094 +:040CDA0000A101A3D1 +:040CDB00E663478500 +:040CDC0074130AA7DC +:040CDD00D0EF07F459 +:040CDE004591811F9C +:040CDF003F75450117 +:040CE000071367652A +:040CE10057831167BD +:040CE200F79300077D +:040CE30007C2F7F756 +:040CE400102383C195 +:040CE500173700F7C6 +:040CE60020230002C5 +:040CE700D7930EF79A +:040CE80002A30184DE +:040CE900D79300F1AC +:040CEA0003230104DB +:040CEB00D79300F1AA +:040CEC0003A30084DA +:040CED00022300F1ED +:040CEE00042300815A +:040CEF000713009156 +:040CF0000793040062 +:040CF100096309503A +:040CF200071300E400 +:040CF30047850480AD +:040CF40000E41463A1 +:040CF50008700793E9 +:040CF60000021537AC +:040CF700004C46194E +:040CF80002050513D9 +:040CF90000F104A35F +:040CFA00960FD0EF92 +:040CFB00E0EF4515CC +:040CFC00842A977F30 +:040CFD000513458511 +:040CFE003781003109 +:040CFF000031078336 +:040D00000007D763AE +:040D010098BFE0EFC8 +:040D020005E3478539 +:040D0300E401FEF514 +:040D0400A82367E5D4 +:040D0500450310078B +:040D060040E2003196 +:040D070044C244524C +:040D08008082017173 +:040D0900879367E580 +:040D0A004798BF0740 +:040D0B00C6221131BA +:040D0C00C426C8062B +:040D0D006B63440DC3 +:040D0E00C7830CB7D4 +:040D0F0084AA00476B +:040D1000E3918BA13F +:040D1100051305A61B +:040D1200F0EF058079 +:040D1300842AF01F1F +:040D14001537ED4D55 +:040D150057F9000288 +:040D160002050513BA +:040D170005934605F5 +:040D180003A30071C0 +:040D1900D0EF00F126 +:040D1A0017378E2FCA +:040D1B00478100020A +:040D1C0002070513B2 +:040D1D0000F486B3A5 +:040D1E000006C68382 +:040D1F0005934605ED +:040D2000C03E007160 +:040D210000D103A357 +:040D22008C0FD0EF73 +:040D230017374782B5 +:040D24000693000230 +:040D2500078520001E +:040D260002070513A8 +:040D2700FCD79CE376 +:040D2800460554FD2B +:040D290000710593BD +:040D2A00009103A38E +:040D2B0089CFD0EFAD +:040D2C000002173773 +:040D2D0002070513A1 +:040D2E0005934605DE +:040D2F0003A30071A9 +:040D3000D0EF00916F +:040D31004585886FFD +:040D32000071051334 +:040D3300E6FFF0EFF8 +:040D34000071478380 +:040D35008BFD4715D6 +:040D360004E79063DB +:040D37000FA00513F1 +:040D3800885FE0EF01 +:040D390005134585D4 +:040D3A00F0EF007165 +:040D3B004483E51FE9 +:040D3C00E4910071CD +:040D3D0089BFE0EF9B +:040D3E0005E34785FD +:040D3F0067E5FEF571 +:040D40001007A823CD +:040D41004411E481F4 +:040D42004409A011AF +:040D4300852240C203 +:040D440044A244324F +:040D45008082015156 +:040D4600BFCD4415C4 +:040D4700879367E542 +:040D48004798BF0702 +:040D4900C62211317C +:040D4A00C426C806ED +:040D4B00440DC02A69 +:040D4C0000B76F631A +:040D4D000047C78311 +:040D4E008BA184B23F +:040D4F0005A6E39181 +:040D50000510051372 +:040D5100E07FF0EF60 +:040D5200C901842A25 +:040D530040C244094D +:040D5400443285227E +:040D5500015144A262 +:040D5600051380827F +:040D5700E0EF064083 +:040D58004585807FCE +:040D5900007105130D +:040D5A00DD3FF0EF9A +:040D5B0000714703D9 +:040D5C000FF00793FA +:040D5D0000F7176321 +:040D5E00817FE0EFC2 +:040D5F0002E34785DF +:040D60004703FEF552 +:040D610067E50071D1 +:040D62001007A823AB +:040D63000FE0079303 +:040D6400FAF71EE399 +:040D650085A6450218 +:040D6600DA3FF0EF91 +:040D670020200593B0 +:040D680005C28D85AE +:040D6900450181C1FE +:040D6A00D93FF0EF8E +:040D6B007139B74DD6 +:040D6C00C42A65DD53 +:040D6D0085934629FB +:040D6E00084891455B +:040D6F00DC22DE069E +:040D7000D0EFDA26C0 +:040D7100478D804FDB +:040D720027B7C03EA1 +:040D7300143700062B +:040D740004B70002BE +:040D75008613019C44 +:040D76008593A807B2 +:040D77000513CC0490 +:040D7800C0EF0204C2 +:040D7900D0EFEB9F2D +:040D7A0027B7DA0FAE +:040D7B0086130006D5 +:040D7C008593A807AC +:040D7D000513CC048A +:040D7E00C0EF0204BC +:040D7F000513EA1F4F +:040D800046290204FA +:040D8100C0EF084C6B +:040D820064E5F43FF1 +:040D8300051345818E +:040D8400A82304009C +:040D8500F0EFBE04C9 +:040D86004785D35F6B +:040D87008493842AA3 +:040D88001763BF042A +:040D890005931CF5BD +:040D8A0005131AA093 +:040D8B00F0EF048001 +:040D8C00C22AD1DFC7 +:040D8D0014851D6349 +:040D8E00080845917B +:040D8F00CFFFF0EFB3 +:040D900001214703F3 +:040D9100156347920D +:040D920047031AF702 +:040D93000793013190 +:040D94001F630AA02F +:040D9500051318F733 +:040D9600E0EF3E80CC +:040D9700E0EFF0AFEA +:040D98004785F30F89 +:040D990000F51963E5 +:040D9A00400005B759 +:040D9B000E9005139E +:040D9C00CDBFF0EFE8 +:040D9D00E0EFF56D21 +:040D9E004785F18F05 +:040D9F000EF50563E5 +:040DA00067E54401BE +:040DA1001007A8236C +:040DA20017FD478270 +:040DA3000FF7F793BC +:040DA4008163C03E69 +:040DA500D815160740 +:040DA60045814785B7 +:040DA700049005139C +:040DA8008223C09C46 +:040DA900F0EF0084E3 +:040DAA004781CA5F54 +:040DAB004515E551B4 +:040DAC00EB4FE0EF3A +:040DAD004585842ACA +:040DAE0000F1051338 +:040DAF00C7FFF0EF9B +:040DB00000F1470304 +:040DB1000FF00793A5 +:040DB20000F71763CC +:040DB300EC2FE0EF52 +:040DB40002E347858A +:040DB500E401FEF562 +:040DB600A82367E522 +:040DB7004683100758 +:040DB800071300F12C +:040DB90047810FE07F +:040DBA0004E6986350 +:040DBB00100845C90E +:040DBC00C4BFF0EFD1 +:040DBD000044C70324 +:040DBE000027769301 +:040DBF001006866331 +:040DC0000261470382 +:040DC10002714783F1 +:040DC20002A1460341 +:040DC30007228B0D6B +:040DC40047838F5D75 +:040DC500070A028196 +:040DC600025146830D +:040DC7008FD98399A4 +:040DC800029147034A +:040DC9008ABD821D40 +:040DCA008B19070674 +:040DCB0096BA8F51F4 +:040DCC0016E507859C +:040DCD0000D797B301 +:040DCE00002936378B +:040DCF00019C05B7C7 +:040DD00000021537D1 +:040DD100061317FDF1 +:040DD20085932E06D1 +:040DD3000513CC0533 +:040DD400C49C0205B4 +:040DD500D47FC0EF18 +:040DD6000613452299 +:040DD7004581200032 +:040DD800DBDFF0EF7E +:040DD9004581A8594F +:040DDA0007A0051356 +:040DDB00BDFFF0EF79 +:040DDC00F00518E323 +:040DDD00080845912C +:040DDE00BC3FF0EF37 +:040DDF000101478344 +:040DE000F793443110 +:040DE1009EE3040782 +:040DE2004411EE07C3 +:040DE3004581BDDDAC +:040DE4000E90051355 +:040DE500BB7FF0EFF1 +:040DE600041007935B +:040DE70000A465639C +:040DE8000793440920 +:040DE90005130E9050 +:040DEA00C23E0FA056 +:040DEB00DB8FE0EFCB +:040DEC00DDEFE0EF68 +:040DED0017634785BC +:040DEE00451200F5B5 +:040DEF00F0EF45815B +:040DF000F57DB8DFF6 +:040DF100DCAFE0EFA4 +:040DF2004401E111C6 +:040DF300458167E5EA +:040DF40007B005132C +:040DF5001007A82318 +:040DF600B73FF0EF24 +:040DF7004401C111E1 +:040DF800200005933F +:040DF90005000513D9 +:040DFA00B63FF0EF21 +:040DFB00E8050EE316 +:040DFC00BD59440198 +:040DFD0011E34505B4 +:040DFE0050F2EA04C1 +:040DFF0054D2546214 +:040E000040A00533D6 +:040E01008082612169 +:040E020047818B1188 +:040E0300F20701E30E +:040E0400028147839D +:040E0500029147030C +:040E06008FD907A2D7 +:040E0700BF0147459B +:040E0800DD410113B4 +:040E0900201007931B +:040E0A001008CE3EC0 +:040E0B00301F17B7C6 +:040E0C0022912023EC +:040E0D002211242367 +:040E0E0022812223F8 +:040E0F00F0EFCC3EF6 +:040E100084AAD71FBA +:040E1100B42FD0EF3B +:040E120004B3C4B1B0 +:040E1300D0EF40904C +:040E14004705B38F4C +:040E150034E48C63D2 +:040E16003497456365 +:040E17008B63572969 +:040E18008D6334E4CE +:040E190065DD34045B +:040E1A0092C5859365 +:040E1B00464567E5FC +:040E1C00B7C78513BC +:040E1D00601020EF52 +:040E1E00C481450541 +:040E1F000513653919 +:040E20002083EAD56C +:040E21002403228103 +:040E220024832241C2 +:040E23000113220194 +:040E2400808222C1E5 +:040E250005136561EB +:040E2600C0EF6A05AA +:040E27004481865F1D +:040E2800141867D95A +:040E2900009706B375 +:040E2A00DF86C58317 +:040E2B0073078613B0 +:040E2C00460995B22C +:040E2D0006C4806314 +:040E2E008263460D88 +:040E2F00443706C47A +:040E30000413000F98 +:040E310067E5240449 +:040E3200B7C78513A6 +:040E3300C4834645E9 +:040E340020EFDFC606 +:040E350045095A30E1 +:040E3600BA3FE0EFF0 +:040E37008522084CBC +:040E3800E49FD0EF74 +:040E3900DD5567D943 +:040E3A000693646552 +:040E3B004752B9045D +:040E3C000006D603D3 +:040E3D00B9040413DD +:040E3E0002E60E6357 +:040E3F000026D603B0 +:040E400002C714636E +:040E4100A82FD0EF17 +:040E4200069004937F +:040E4300859365DD51 +:040E4400BFA99205AB +:040E4500001E8437D0 +:040E46004804041345 +:040E47009437B76DB8 +:040E4800041300048B +:040E4900B7453E0467 +:040E4A000186D683C4 +:040E4B00FCD70CE3E1 +:040E4C00BF85448D8D +:040E4D0005136561C3 +:040E4E00C0EF6A0582 +:040E4F0065DDFC4F12 +:040E50004645656549 +:040E510096458593AA +:040E5200B685051349 +:040E5300529020EFAA +:040E540065DD67E50C +:040E550097058593E5 +:040E56008513464575 +:040E570020EFB7C70A +:040E58004509517087 +:040E5900B17FE0EF96 +:040E5A00004C5537BC +:040E5B000513084C27 +:040E5C00D0EFB4051A +:040E5D00D559DB7F09 +:040E5E00570347D21D +:040E5F000163000427 +:040E600057030AF733 +:040E61009FE30024E7 +:040E62004785F6E7E3 +:040E630065DDC03E4B +:040E6400851367E5A6 +:040E65004645B7C780 +:040E660098058593D3 +:040E67004D9020EF9B +:040E6800E0EF450969 +:040E69005703AD9FDF +:040E6A0047D2002447 +:040E6B0006F71D6306 +:040E6C00C23E678596 +:040E6D000010043736 +:040E6E0020000793C6 +:040E6F00F46386A200 +:040E7000069300875E +:040E71004792200084 +:040E7200C436101062 +:040E730000879593CC +:040E7400A50367E586 +:040E7500C0EF0F4774 +:040E760046A29CDF15 +:040E770084AA101821 +:040E780000D7063366 +:040E790018E61563FF +:040E7A00E60493E314 +:040E7B001FF007134A +:040E7C0000876D631B +:040E7D000613101C2C +:040E7E008E152000AD +:040E7F0000D78533E0 +:040E8000C4364581AE :040E8100BFFFC0EF00 -:040E8200061346927B -:040E830005932000B3 -:040E84008E151000B7 -:040E85000036879319 -:040E860007C2064257 -:040E8700B5138241DC -:040E880083C100160C -:040E890087320506A1 -:040E8A0000C5F46348 -:040E8B001000071339 -:040E8C008F09973EF5 -:040E8D0001071593B1 -:040E8E00E68181C1B7 -:040E8F00CE3E57E11B -:040E900066C1478D63 -:040E910003138D1D9D -:040E920016FD202009 -:040E930000A78733FA -:040E9400834107424D -:040E9500EAB7E5E3F0 -:040E9600FFD586936B -:040E970082C106C24C -:040E98000804859332 -:040E9900C2360868ED -:040E9A00957FF0EF61 -:040E9B001DE3842AA5 -:040E9C008593D6055F -:040E9D0008680A04D3 -:040E9E00947FF0EF5E -:040E9F0015E3842AA9 -:040EA0004692D6059B -:040EA10004C20485FE -:040EA2001FF00793A3 -:040EA300F6E380C131 -:040EA4000613F6D764 -:040EA5004581200063 -:040EA600C0EF086829 -:040EA70067D9B69FB2 -:040EA8006A078793BB -:040EA90007478593DF -:040EAA000200061329 -:040EAB00C0EF086824 -:040EAC000593B19F5A -:040EAD0008680C00C5 -:040EAE00907FF0EF52 -:040EAF0015E3842A99 -:040EB0006785D2057B -:040EB10004B7C23E82 -:040EB2000793001092 -:040EB30086A62000EF -:040EB4000097F4634C -:040EB5002000069380 -:040EB60008704792E7 -:040EB7009593C43615 -:040EB80067E5008763 -:040EB9000447A50342 -:040EBA008BBFC0EF3B -:040EBB00087846A2CB -:040EBC000633842A4B -:040EBD0011E300D766 -:040EBE0017E3E2E66E -:040EBF000713CE0443 -:040EC0006D631FF04F -:040EC100087C009712 -:040EC20020000613F3 -:040EC30085338E15D0 -:040EC400458100D78D -:040EC500C0EFC43680 -:040EC60046A2AEDFB3 -:040EC70008684582F0 -:040EC800F0EFC4364D -:040EC90046A289DFD5 -:040ECA00E00506E356 -:040ECB0040A004330C -:040ECC000113B965F0 -:040ECD00CC26FDC171 -:040ECE00CE22D0065A -:040ECF0084AEC42AFF -:040ED000C236C03234 -:040ED100E3994782D8 -:040ED200A015450121 -:040ED300079344023B -:040ED400F4632000A3 -:040ED500041300877B -:040ED60045A2200011 -:040ED7001613451297 -:040ED800824101044E -:040ED900953FF0EF62 -:040EDA000533C9090A -:040EDB00508240A061 -:040EDC0044E2447236 -:040EDD0002410113BA -:040EDE00F793808284 -:040EDF0096130FF463 -:040EE000CB9D008422 -:040EE10086B34792FB -:040EE2009163008791 -:040EE30067E504D7E4 -:040EE400A50346928A -:040EE500D593044756 -:040EE60087220084DB -:040EE700C0EF05C291 -:040EE800F571E82F89 -:040EE900078547A290 -:040EEA005793C43E18 -:040EEB0094BE00842D -:040EEC008F81478229 -:040EED00B779C03ED3 -:040EEE00A50367E50C -:040EEF0085B204477D -:040EF000C0EFC63257 -:040EF1004632E06F36 -:040EF200B755DD55BE -:040EF3000007C5032C -:040EF400C832CA3600 -:040EF500C0EFC63E46 -:040EF60047B2EE1FF2 -:040EF700464246D257 -:040EF80000A78023AC -:040EF900B75507855D -:040EFA00DB01011304 -:040EFB00262300C8E2 -:040EFC002423241176 -:040EFD002223248107 -:040EFE00F0EF24915C -:040EFF00842A94FFAE -:040F0000F87FC0EFC7 -:040F0100408007B372 -:040F02001C041A634E -:040F030000CC4611C7 -:040F040020EF104882 -:040F050065DD1D1079 -:040F06008593461178 -:040F0700104894C535 -:040F0800195020EF6D -:040F0900440DC91DAD -:040F0A000640079303 -:040F0B00C0EFC23E33 -:040F0C004792F59F74 -:040F0D000680071340 -:040F0E0000F74D6338 -:040F0F00063007138E -:040F100030F744630F -:040F1100F34007138F -:040F120036E78B63D0 -:040F13008C6347059F -:040F140065DD36E77A -:040F15008C0585932F -:040F16005783A6391E -:040F170005930481B9 -:040F1800461D04A1CD -:040F190002A1051319 -:040F1A0002F11423A9 -:040F1B00177020EF3C -:040F1C0005215783D1 -:040F1D00020108A322 -:040F1E0002F11023A9 -:040F1F0005415783AE -:040F200002F11123A6 -:040F2100C0EF5502C6 -:040F22005783E6DF2C -:040F2300DA2A056160 -:040F24001023C22AAA -:040F2500578302F1FB -:040F2600112305810D -:040F2700550202F17C -:040F2800E53FC0EFF2 -:040F290005A1578344 -:040F2A001023DC2A8A -:040F2B00578302F1F5 -:040F2C00112305C1C7 -:040F2D00550202F176 -:040F2E00E3BFC0EF6E -:040F2F002503DE2A8E -:040F3000C0EF2401E9 -:040F31004592E31FE3 -:040F32000793C0AAB7 -:040F330087131E20E2 -:040F3400E763FE650C -:040F350046050CE77A -:040F3600C0EF00C840 -:040F37004786E3DF27 -:040F380000F5066357 -:040F39000793440DC9 -:040F3A00B78906600D -:040F3B00665D646526 -:040F3C0095460613BD -:040F3D00051345C58E -:040F3E0010EFAB8481 -:040F3F0056E26E50B8 -:040F4000665D67E59E -:040F4100ACC78513A1 -:040F420096460613B6 -:040F430010EF45C5A1 -:040F440045056D10E2 -:040F4500F00FE0EFDA -:040F4600470157E226 -:040F4700C23E450160 -:040F4800C43E57F25A -:040F49006163479207 -:040F4A0047A208F7BB -:040F4B0020A79663E2 -:040F4C0002A1478334 -:040F4D000281468354 -:040F4E0002914703C2 -:040F4F0067DDCBD5BA -:040F50007387879389 -:040F510002A10613E0 -:040F5200665DC032E6 -:040F530097060613E4 -:040F5400051345C577 -:040F550010EFAB846A -:040F560067E5689053 -:040F5700464565DDC9 -:040F58009C8585935C -:040F5900ACC7851389 -:040F5A0085FFC0EF60 -:040F5B0064E54505FF -:040F5C00EA4FE0EF89 -:040F5D00AE048493C7 -:040F5E00000216B7C0 -:040F5F000D06A78351 -:040F60000004D703AF -:040F610083C107C27F -:040F620006F7086323 -:040F63000024D7038C -:040F64001AF708630D -:040F65000513650902 -:040F6600C0EF710562 -:040F6700BFE9B64FD9 -:040F68000793440D9A -:040F6900B559065020 -:040F6A0086B3479271 -:040F6B00079340E7C1 -:040F6C00F46320000A -:040F6D00069300D710 -:040F6E0004932000C8 -:040F6F0096132007AE -:040F700082410106B3 -:040F71000094D59380 -:040F7200C83A00C8B1 -:040F7300F0EFC6369F -:040F740046B2EE8F04 -:040F7500C509474221 -:040F760040A007B3DD -:040F7700B5B9440DB7 -:040F78000017361315 -:040F790000C885B671 -:040F7A00D2FFC0EFF3 -:040F7B00BF1D8726E9 -:040F7C00879367D917 -:040F7D00B7B9788701 -:040F7E0095DFD0EF3C -:040F7F000713676588 -:040F80005783066726 -:040F810016B7000798 -:040F820065090002FB -:040F83000027E793C9 -:040F840000F710233F -:040F85000EF6A023A1 -:040F860071050513D9 -:040F8700AE2FC0EFDA -:040F8800051365DD0B -:040F89004645AB84AA -:040F8A0097C58593EF -:040F8B007B6020EF78 -:040F8C0067E5440DC4 -:040F8D00851364DD87 -:040F8E004645ACC761 -:040F8F00988485932A -:040F90007A2020EFB4 -:040F9100E0EF450543 -:040F92005662DCEFD8 -:040F9300458100D4C0 -:040F9400F0EF450530 -:040F950087AACE1F3A -:040F9600DC051AE379 -:040F970065DD67E5C8 -:040F980085934645B2 -:040F9900851399859E -:040F9A0020EFAB8712 -:040F9B0067E577800F -:040F9C00988485931D -:040F9D00ACC7851345 -:040F9E0020EF4645B5 -:040F9F00450576800E -:040FA000D94FE0EF56 -:040FA100448157E24E -:040FA200C23E450105 -:040FA300C43E57F2FF -:040FA400C63E67E5F9 -:040FA500EF6347921D -:040FA600472202F4E8 -:040FA700F340079379 -:040FA800D8A716E3CD -:040FA900CE3FC0EF88 -:040FAA0065DD47B208 -:040FAB00859346459F -:040FAC0085139DC547 -:040FAD00C0EFAB875F -:040FAE0067E5F10FF3 -:040FAF00464565DD71 -:040FB0009A85859306 -:040FB100ACC7851331 -:040FB20071A020EF1B -:040FB300E0EF450521 -:040FB400A001D46F55 -:040FB50086B3479226 -:040FB60007934097C6 -:040FB700F4631000CF -:040FB800069300D7C5 -:040FB90067E51000D8 -:040FBA000447A50340 -:040FBB0085A600D037 -:040FBC00C0EFC83684 -:040FBD0046C2CB0F4E -:040FBE0087AA00D02E -:040FBF0000D605B3A0 -:040FC00000064503DF -:040FC100CC2ECE362E -:040FC200C832CA3E29 -:040FC300BABFC0EF02 -:040FC40045E246427A -:040FC500002347D2EC -:040FC600060500A676 -:040FC70091E346F27A -:040FC80095E3FEC5EA -:040FC900B613D00784 -:040FCA0085B60014D4 -:040FCB00C0EF00C8AB -:040FCC008493BE9FAD -:040FCD00BFB9100494 -:040FCE000793440D34 -:040FCF00B1FD0670FA -:040FD0000793440D32 -:040FD100B1DD068008 -:040FD200F9B78713D1 -:040FD300E063468D04 -:040FD40066DD08E6E8 -:040FD5008693070AEE -:040FD60097369F06A5 -:040FD7008702431832 -:040FD800859365DDBB -:040FD900C23E93C5BC -:040FDA00851367E52F -:040FDB004645ACC714 -:040FDC00672020EF7B -:040FDD00E0EF4505F7 -:040FDE004537C9EFDB -:040FDF000513000FE7 -:040FE000C0EF240535 -:040FE100479297CFCD -:040FE2000407D763C6 -:040FE30004805563CE -:040FE4006565665D7C -:040FE5009B860613CE -:040FE600051345C5E5 -:040FE70010EFAB85D7 -:040FE800147D441020 -:040FE90065DDB57994 -:040FEA008F45859317 -:040FEB0065DDBF6D94 -:040FEC009045859314 -:040FED0065DDBF4DB2 -:040FEE0091858593D1 -:040FEF0065DDB76D98 -:040FF0008E05859352 -:040FF10065DDB74DB6 -:040FF2008A858593D4 -:040FF30065DDBF6990 -:040FF40092C585938A -:040FF500D0EFBF4931 -:040FF6002083AC5F49 -:040FF700240324C1EA -:040FF80024832481A9 -:040FF900557D2441BD -:040FFA0025010113B9 -:040FFB0001138082DC -:040FFC000828DDC123 -:040FFD00221120237A -:040FFE0020812E23FD -:040FFF0020912C23EE -:04100000D48FF0EFAA -:04100100C0EFC02A52 -:041002004502B81FCC -:041003006465E93106 -:04100400464565DD1B -:04100500A1C5859369 -:04100600ACC405135E -:04100700DAAFC0EFAD -:04100800E0EF4509C7 -:04100900C222BF2F11 -:04100A000413646502 -:04100B0017B7AE0461 -:04100C00A7830002B4 -:04100D0057030D0771 -:04100E0007C2000411 -:04100F00046383C132 -:04101000570304F787 -:041011001A6300243A -:04101200479202F708 -:04101300464565DD0C -:0410140088C5859373 -:04101500ACC78513CC -:0410160058A020EFCF -:041017000680051337 -:04101800C0EFC02A3B -:041019002083B25F1F -:04101A002403220188 -:04101B00450221C1A8 -:04101C002181248387 -:04101D002241011358 -:04101E00650980825E -:04101F00710505133F -:0410200087EFC0EFA7 -:041021004792B76DCE -:04102200464565DDFD -:04102300ACC78513BE -:04102400A00585930B -:0410250054E020EF84 -:04102600E0EF4509A9 -:041027000737B7AF21 -:041028000793FFF03B -:04102900C0022007DA -:04102A00C43E6485D7 -:04102B00941347A231 -:04102C00462D0084C9 -:04102D008425943E44 -:04102E00006885A22F -:04102F00BFAFF0EF70 -:041030000533C501BE -:04103100BF6940A0B3 -:04103200859365D964 -:0410330000687F05CD -:04103400D42FC0EF06 -:041035004703ED156B -:04103600EB1D01416C -:04103700015146839A -:041038000580071315 -:0410390002E69663D2 -:04103A000161468387 -:04103B0061634705A1 -:04103C00061302D7BE -:04103D0084634DE09B -:04103E00061300E6AF -:04103F0008340570FC -:04104000852285A6DA -:04104100A2FFF0EF2B -:041042004782FD21C3 -:04104300C03E07851F -:04104400100484937D -:041045009BE36709B9 -:041046004581F8E404 -:04104700D0EF453D64 -:0410480067E5EBDF8E -:041049000687C7034C -:04104A00879367E53C -:04104B0097BAAAC7DF -:04104C000007C503D1 -:04104D0067E545818D -:04104E0004A78B2345 -:04104F00E9FFD0EFF6 -:0410500046824792FB -:041051000613665DBF -:0410520045C5A0C62A -:04105300ACC785138E -:0410540028F010EF81 -:04105500B72945056D -:04105600F64101134B -:04105700CB22CD06D5 -:041058001437C9265A -:04105900C0EF0002E2 -:04105A00C62A806FB3 -:04105B0008040493EE -:04105C00D0BC47C5F8 -:04105D000E0420233A -:04105E00000227B7AE -:04105F000007A623BD -:041060000007AA23B8 -:041061008513678903 -:04106200B0EF710773 -:0410630066E5F75FE8 -:041064000666871382 -:041065001D100793C0 -:0410660000F710235C -:041067004501D0BCB3 -:04106800E12FD0EFB5 -:041069000003153734 -:04106A00D405051391 -:04106B00F53FB0EFAE -:04106C00000626371D -:04106D00019C05B726 -:04106E00A8060613B7 -:04106F00CC05859394 -:04107000040405135C -:04107100AD6FC0EFB0 -:04107200871367E594 -:041073005783066732 -:0410740045510007DB -:04107500F9F7F793FD -:0410760083C107C269 -:0410770000F710234B -:04107800B0EFD0BC49 -:0410790045D1F1DF8D -:04107A0003800513D7 -:04107B00D3CFD0EF10 -:04107C00051345D142 -:04107D00D0EF03901D -:04107E0045D1D32F56 -:04107F00D0EF455118 -:0410800045D1D2AFD5 -:04108100071005133C -:04108200D20FD0EFCA -:04108300051345D13B -:04108400D0EF05E0C4 -:0410850045D1D16F11 -:0410860006D0051378 -:04108700D0CFD0EF07 -:04108800453145D1D8 -:04108900D04FD0EF85 -:04108A003200059398 -:04108B00D0EF450558 -:04108C0045D1CFAFCC -:04108D00D0EF451942 -:04108E000593CF2FC8 -:04108F0045093200DD -:04109000CE8FD0EF40 -:04109100871367E575 -:041092005783066713 -:04109300460100070B -:04109400E793458118 -:041095001023040719 -:04109600D0BC00F7D3 -:041097000404051335 -:04109800A9EFC0EF0D -:04109900B0EF452946 -:04109A0045E1E99FA4 -:04109B00C0EF450558 -:04109C0045E1A39FE8 -:04109D00C0EF450952 -:04109E0045E1A31F66 -:04109F00C0EF450D4C -:0410A000C0EFA29F5C -:0410A10066E5D5FF2C -:0410A2000666871344 -:0410A300C83A47E11F -:0410A4005AF5166380 -:0410A500C0EF450152 -:0410A6000793A51FE8 -:0410A70006630FF0DD -:0410A80087B75CF5B5 -:0410A90087931A808F -:0410AA00DABE08079B -:0410AB008793678937 -:0410AC001C23A1A7B9 -:0410AD0047A106F160 -:0410AE0006F10D2317 -:0410AF00C98FD0EF26 -:0410B000C0EF4569DF -:0410B1007593A25F32 -:0410B200E5930F555E -:0410B300456900A5E6 -:0410B400A61FC0EFC4 -:0410B5000513458555 -:0410B600C0EF035034 -:0410B700655DA57F4F -:0410B8007A4505135D -:0410B900BB3FC0EF8A -:0410BA00C0EF45013D -:0410BB004599CA1F6A -:0410BC0003D0051345 -:0410BD00A3DFC0EFFE -:0410BE000440059352 -:0410BF00C0EF4545F4 -:0410C0004585A33F80 -:0410C100C0EF4549EE -:0410C2004581A2BF03 -:0410C300C0EF454DE8 -:0410C40018C8A23F67 -:0410C500A5FFC0EFD4 -:0410C600C0EF450929 -:0410C7007513889F76 -:0410C80047CD0FF50C -:0410C90054F5156362 -:0410CA00849364E5C2 -:0410CB00C583A384B2 -:0410CC0045150024A2 -:0410CD008B1FC0EFC6 -:0410CE000024C783B0 -:0410CF0003D00593B2 -:0410D000839D4511A6 -:0410D100002797134A -:0410D20000C4C7830C -:0410D3008FD99BED29 -:0410D40000F486237B -:0410D500891FC0EFC0 -:0410D6003E80051340 -:0410D700DA3FB0EF5D -:0410D800451145F584 -:0410D900881FC0EFBD -:0410DA000300059377 -:0410DB0006100513E3 -:0410DC00875FC0EF7B -:0410DD000B2005934C -:0410DE00C0EF4525F5 -:0410DF00059386BF30 -:0410E00045290F800F -:0410E100861FC0EFB7 -:0410E20003700593FF -:0410E300C0EF452DE8 -:0410E4004581857F3E -:0410E500C0EF453DD6 -:0410E600458184FFBD -:0410E7000C90051351 -:0410E800845FC0EF72 -:0410E9000513458125 -:0410EA00C0EF0CA0A7 -:0410EB00458183BFF9 -:0410EC000CB005132C -:0410ED00831FC0EFAE -:0410EE000513458120 -:0410EF00C0EF0CC082 -:0410F0004581827F35 -:0410F1000CD0051307 -:0410F20081DFC0EFEB -:0410F300051345811B -:0410F400C0EF0CE05D -:0410F5004581813F71 -:0410F6000CF00513E2 -:0410F700809FC0EF27 -:0410F8000513458116 -:0410F900C0EF0D0037 -:0410FA00C583FFEFBC -:0410FB000513004495 -:0410FC00C0EF0E1023 -:0410FD004601FF2F7A -:0410FE0004A00593B2 -:0410FF0004040513CD -:041100008C4FC0EF61 -:0411010045954601C9 -:0411020004040513C9 -:041103008F2FC0EF7B -:041104000593460504 -:04110500051304A02A -:04110600C0EF04042E -:0411070045858AAFE1 -:0411080004040513C3 -:041109008C0FC0EF98 -:04110A0008600793DF -:04110B0006F5126370 -:04110C0007500593F0 -:04110D0007000513BF -:04110E0082FFC0EFAD -:04110F00090005933B -:0411100002000513C1 -:04111100823FC0EF6A -:0411120005134581FB -:04111300C0EF021017 -:041114004581819FF1 -:04111500022005139C -:0411160080FFC0EFA7 -:041117000513458DEA -:04111800C0EF0230F2 -:041119004581805F2D -:04111A000280051337 -:04111B00FFAFC0EF73 -:04111C000B0005932C -:04111D00071005139F -:04111E00FEEFC0EF31 -:04111F00070005932D -:0411200007000513AC -:04112100FE2FC0EFEE -:04112200470567E531 -:0411230004E78A2330 -:04112400A78367E551 -:0411250067659D4716 -:0411260004F7222385 -:041127003C078B6393 -:04112800BF4FD0EFF6 -:04112900839362E565 -:04112A0065E1A48255 -:04112B0001E3851344 -:04112C000460061342 -:04112D008D85859394 -:04112E0090EFC0EF8F -:04112F0064E16365AF -:04113000AE030513F2 -:04113100036006133E -:041132008A04859313 -:041133008FAFC0EFCB -:04113400453D45816F -:04113500B07FD0EFC8 -:0411360045036665A2 -:041137004581056683 -:04113800D0EF64652B -:0411390065E5AF9F1A -:04113A00C68367E51C -:04113B00C703A00541 -:04113C006665A0172D -:04113D00000247B7AE -:04113E0004E606A31A -:04113F0004D40623AB -:041140003807879352 -:0411410070F943A856 -:041142007FF0809327 -:0411430000157533EB -:0411440043A8C3A851 -:0411450010FD70E940 -:0411460000157533E8 -:0411470043A8C3A84E -:0411480000D036B3EA -:0411490075138B0D82 -:04114A006513F1F543 -:04114B00C3A806052A -:04114C00070E43A89F -:04114D008FF5751392 -:04114E0030056513F0 -:04114F0043A8C3A846 -:041150008EC9997932 -:0411510043B4C3B42C -:041152009A9D45011C -:04115300C3B88F5539 -:04115400772143B408 -:041155008F75177DFE -:041156008F5566A1AA -:041157006765C3B84D -:04115800039347892D -:0411590088A3A4873C -:04115A00C0EF00F3EF -:04115B0017B7F2BF11 -:04115C00A7030002E3 -:04115D0062E50D0733 -:04115E00000207B7CD -:04115F00A48286934D -:041160008FF9666538 -:0411610007136765A4 -:04116200C436A017D8 -:041163000566069384 -:04116400CABA666538 -:0411650004D6071392 -:041166006765C4BA3B -:0411670005870713DE -:041168006765CA3AB3 -:0411690003870713DE -:04116A00D23665E52F -:04116B008693D43A59 -:04116C006765A0050E -:04116D00C8B6636538 -:04116E0003C7071399 -:04116F0004C406931B -:04117000CE26C09A2D -:04117100D63AC2B6F2 -:041172001407926369 -:04117300871366E197 -:0411740044818346E9 -:041175006465CC3AA7 -:04117600464565DDA8 -:04117700A385859334 -:04117800AB8405132C -:0411790010EFD03E65 -:04117A0047E27FD0F9 -:04117B000024969323 -:04117C0096BE656551 -:04117D004645428C15 -:04117E00ACC50513E4 -:04117F007E7010EF7F -:0411800000024637EC -:041181003C0626837F -:04118200E6934505A6 -:0411830020230046DF -:04118400D0EF3CD696 -:041185005782E03F6E -:041186001637468151 -:04118700250300023A -:0411880067410D06A8 -:0411890075B3177DA6 -:04118A00475200E5E3 -:04118B000003033723 -:04118C002603C30C67 -:04118D0057220D06D2 -:04118E00FFF646130F -:04118F00006676334D -:04119000C985C3103A -:0411910002F5876379 -:04119200879367E5F3 -:041193009613AE07FA -:0411940097B20014FA -:0411950065DDEAAD7D -:0411960000A79023FB -:0411970085934645B1 -:041198000513A40592 -:0411990010EFAB8424 -:04119A00450577D0C0 -:04119B00DA9FD0EF18 -:04119C0057A246858B -:04119D000007A303A1 -:04119E00439C57B265 -:04119F0067C1E39DA4 -:0411A00002F31163E2 -:0411A10047F2E0BD74 -:0411A20003600613CD -:0411A3008593D01A46 -:0411A40047868A07E9 -:0411A500851344ED7D -:0411A600B0EFAE07F1 -:0411A7005302F2DF1E -:0411A80057324689EB -:0411A900460947D2DA -:0411AA000067202397 -:0411AB00439CD83653 -:0411AC0004C6806392 -:0411AD0005136509B8 -:0411AE00D03E7105B9 -:0411AF00A43FB0EFBA -:0411B00056C257824A -:0411B100D783BF9988 -:0411B2004689000763 -:0411B300FAF583E3E3 -:0411B400464565DD6A -:0411B500A4858593F5 -:0411B600AB840513EE -:0411B700707010EF55 -:0411B800D0EF45052A -:0411B9004681D33F59 -:0411BA0014F9B76904 -:0411BB00BF5546894D -:0411BC0046E9048577 -:0411BD00EE96D1E3F6 -:0411BE00D0EF453DEC -:0411BF004737E46F5B -:0411C000278300027F -:0411C1009BED3C075F -:0411C2003CF72023B3 -:0411C30064E547B2E6 -:0411C400D513665D7C -:0411C500079300A7E5 -:0411C60007B312C099 -:0411C700071302F513 -:0411C80046810590C7 -:0411C900A5860613DE -:0411CA00851345C57F -:0411CB006465B18422 -:0411CC0010EFC6BE9C -:0411CD0065DD4AC0D2 -:0411CE00859346457A -:0411CF000513B4054B -:0411D000B0EFB2C406 -:0411D1004505E85F89 -:0411D200F31FD0EF48 -:0411D3000007A53735 -:0411D40012050513E8 -:0411D5009ABFB0EF1E -:0411D6009CBFB0EF1B -:0411D700D03E479926 -:0411D800CC02CE0275 -:0411D900CCA6D802C6 -:0411DA0017B7CEA2D3 -:0411DB00A7830002E4 -:0411DC0046D20D07E3 -:0411DD00177D6741D2 -:0411DE00C2988F7DA7 -:0411DF00FFF7C693BD -:0411E00000030737CA -:0411E10056A28F750E -:0411E2000187D61398 -:0411E300C29887B275 -:0411E400869366E5A3 -:0411E500CA1905C658 -:0411E60076131679ED -:0411E700458D0FF62D -:0411E80000C5F663E5 -:0411E9000006C60333 -:0411EA0000F6156393 -:0411EB002023465225 -:0411EC008023000656 -:0411ED0057B200F6FF -:0411EE00EFD5439C5A -:0411EF00C39857B298 -:0411F000041364651B -:0411F10047830374B9 -:0411F200866300040C -:0411F30047A20E07FA -:0411F40001C7C783E5 -:0411F5000E078163FD -:0411F600676547A240 -:0411F70004A74703FF -:0411F80000B7C783F2 -:0411F900F7938FD900 -:0411FA00E7F10FF713 -:0411FB0095BFB0EFFD -:0411FC00626347B62D -:0411FD0047720CF534 -:0411FE00063007931D -:0411FF000AE7ED63AB -:04120000000446831D -:0412010047054785D1 -:0412020000F6876308 -:041203008713578274 -:041204003733FFA7D6 -:0412050047A200E01C -:04120600C78346A1B3 -:0412070017FD01C707 -:041208000FF7F79352 -:0412090006F6E7639B -:04120A00869366DD84 -:04120B00078AB5C6D3 -:04120C00439497B6BA -:04120D00879367E577 -:04120E008682068747 -:04120F00665D56F9C9 -:0412100006136565F7 -:0412110045C5A6C663 -:04121200B18505138A -:04121300392010EF7F -:04121400656565D9CE -:041215008593464532 -:0412160005137885BF -:0412170010EFB2C55D -:0412180045055850E0 -:04121900E15FD0EFD2 -:04121A0056F5A001E4 -:04121B0056F1BFC900 -:04121C0056FDB7F9CB -:04121D0057B2B7E924 -:04121E0057A2C39878 -:04121F000007A02301 -:041220006765B781C6 -:041221000357470325 -:0412220000E037337E -:041223008023070914 -:0412240047F200E7A6 -:0412250007855702E0 -:041226000FF7F79334 -:041227004799CE3ED7 -:0412280000F7076361 -:041229000017079310 -:04122A000FF7F79330 -:04122B00B0EFD03E12 -:04122C004785875F0C -:04122D000793CC3E19 -:04122E00646506B13C -:04122F000793DABE89 -:041230008713B5C4A7 -:04123100DCBA01978B -:04123200871365DDDC -:04123300DEBA01A777 -:041234008713461DB9 -:04123500859301B7E5 -:0412360007F1B545C2 -:04123700C13A10E8C0 -:04123800C53EC33EAE -:04123900060105A302 -:04123A00CDFFB0EF45 -:04123B00069347A22D -:04123C00C636B5C439 -:04123D0001C7C7839B -:04123E004725468575 -:04123F008663DA36B2 -:04124000078500E737 -:041241000FF7F79319 -:0412420047D2DA3E77 -:0412430045E94681B2 -:041244004786439006 -:04124500AE078793D6 -:041246000007D703C3 -:0412470004E61A633C -:04124800E46347E92B -:04124900675D54D7B2 -:04124A000026979350 -:04124B00B8070713C6 -:04124C00439C97BA6E -:04124D0046858782C9 -:04124E006765E719D0 -:04124F009F0746832C -:041250000016B6933B -:04125100802306915F -:04125200B7A100D769 -:04125300DF65469974 -:041254000007C68346 -:04125500471DBFCDA5 -:041256006765BF1DEC -:0412570003674703DF -:0412580000E0373348 -:04125900B72507218D -:04125A00FF71469941 -:04125B00BFE1468524 -:04125C008CE307898F -:04125D00068528B624 -:04125E004785B745C4 -:04125F0046C24742FA -:041260000007570329 -:041261001007471318 -:0412620000E69023EF -:0412630066C15722E7 -:041264008EF94318A4 -:0412650057D2C29109 -:04126600000206B7C5 -:04126700CF118F759F -:0412680046054732BE -:041269004703468170 -:04126A0065630007B1 -:04126B00070500E68D -:04126C000FF776936F -:04126D0000234732E1 -:04126E00646500D7DC -:04126F00DA0247292F -:0412700006840413D9 -:0412710000E78663A9 -:0412720000F4002361 -:04127300DA3E478593 -:04127400474247C2E4 -:041275000007D78314 -:0412760007C29BCD43 -:04127700102383C1FC -:04127800676500F7AF -:0412790004A74683FD -:04127A0004A70713AB -:04127B00EA89DC3AE6 -:04127C004703676558 -:04127D00070A0487D1 -:04127E0047428FD97B -:04127F0000F7102341 -:04128000D70347C287 -:0412810017B7000794 -:04128200A0230002A3 -:0412830057E20EE739 -:041284000007C78315 -:041285004501C781D7 -:04128600E08FE0EF26 -:04128700470347A230 -:04128800C783000414 -:04128900846301C7B2 -:04128A0057D200E750 -:04128B0047E2E799B6 -:04128C0047A2CF8521 -:04128D0000B7C7835C -:04128E0067E5CB85C0 -:04128F000557C783B5 -:04129000C39DCC3EF0 -:04129100879367E5F3 -:0412920097BAAAC796 -:041293000007C50388 -:04129400CC0257929F -:041295000007C78304 -:0412960000A78863C2 -:0412970045815792A4 -:0412980000A7802308 -:04129900D76FD0EF4C -:04129A00478347221D -:04129B006465000482 -:04129C0001C747033C -:04129D0006A404138C -:04129E0000F71F63D3 -:04129F00000446837E -:0412A0007463470923 -:0412A10047321CD7DD -:0412A2000347468335 -:0412A3004703472294 -:0412A4008C6305272B -:0412A50064E51AE6FC -:0412A6000694869391 -:0412A700060484A312 -:0412A800000400231B -:0412A900DE36470DD9 -:0412AA0018F778E3D6 -:0412AB00460547327B -:0412AC00034746832B -:0412AD0014C69EE3E2 -:0412AE00FFA78613FD -:0412AF000FF67613AD -:0412B000F463448D12 -:0412B100106F00C6F4 -:0412B200861310A0EF -:0412B3007593FFF739 -:0412B40046210FF6CA -:0412B50002B66163B9 -:0412B6000613665D58 -:0412B700962E76C633 -:0412B80000064703E2 -:0412B900D83A4619C0 -:0412BA0016C788E3E8 -:0412BB0014F66AE3D8 -:0412BC008FE3460571 -:0412BD00472214C7E9 -:0412BE000E23D0B675 -:0412BF0005A300F78C -:0412C000C0EF000774 -:0412C1005686CDEF91 -:0412C2007593478950 -:0412C30099E30D8519 -:0412C400E59314F6A4 -:0412C50045050015C6 -:0412C600C0EFD0AEF7 -:0412C700558698CFE1 -:0412C800C0EF450925 -:0412C9005586984F5F -:0412CA00C0EF450D1F -:0412CB00C0EF97CF0A -:0412CC00C0EFC27F2E -:0412CD0067E58EEF54 -:0412CE000547C78386 -:0412CF004585C38509 -:0412D000009595B33D -:0412D1000405E59398 -:0412D2000FF5F5938C -:0412D300D0AE45193B -:0412D400916FC0EF67 -:0412D500451D5586D8 -:0412D60090EFC0EFE6 -:0412D700448357F203 -:0412D8004581000448 -:0412D9000007C70340 -:0412DA00E6634789F7 -:0412DB009593009750 -:0412DC0005E20064C3 -:0412DD00179385E1FD -:0412DE00169300471C -:0412DF008FD5002780 -:0412E0008DDD8FD938 -:0412E1000FF5F5937D -:0412E200C0EF4565AF -:0412E30045419A6F78 -:0412E400956FC0EF53 -:0412E500478D574298 -:0412E6000F85759368 -:0412E70000F7146395 -:0412E8000055E59335 -:0412E900C0EF4541CC -:0412EA00478998AFE9 -:0412EB0005B00593B2 -:0412EC000097F9630B -:0412ED00059347918D -:0412EE00F463052080 -:0412EF0005930097CC -:0412F0004539053047 -:0412F10096CFC0EFE5 -:0412F2003E80051322 -:0412F300D32FB0EF56 -:0412F400C0EF4551B1 -:0412F5005742914F7C -:0412F60045C1478D1A -:0412F70000F7036396 -:0412F8004561458186 -:0412F90094CFC0EFDF -:0412FA000513458112 -:0412FB00C0EF0360DD -:0412FC0047A2942F42 -:0412FD00A22346459D -:0412FE00C78300079B -:0412FF00971301C779 -:0413000067DD00277E -:0413010077878793D0 -:04130200438C97BAC7 -:04130300851347E621 -:0413040010EFB187AE -:0413050047F61D107A -:04130600464565DD16 -:04130700B2C78513D1 -:04130800B3458593D1 -:041309001BF010EFD6 -:04130A00D0EF4505D6 -:04130B0057D2A4FF12 -:04130C0067E5CF8939 -:04130D000407C70307 -:04130E00156347A973 -:04130F00453D00F761 -:04131000900FD0EF7B -:04131100CDEFB0EF7D -:0413120047B2CE020E -:041313000237C703D3 -:04131400C78347A2A2 -:04131500076304174F -:0413160047A202F7F1 -:0413170046014681C4 -:041318000117C503F1 -:04131900C0EF45815B -:04131A0047B2F04F97 -:04131B000237C503CD -:04131C00825FC0EF3D -:04131D00472247B26A -:04131E000237C78348 -:04131F00000722237E -:0413200004F700A32B -:04132100C58347B287 -:0413220047890237BE -:0413230002F58A63E2 -:04132400C60347B203 -:0413250047A2024792 -:041326000427C7834E -:0413270002C7826314 -:04132800C50347A210 -:04132900C191011756 -:04132A0047A2458908 -:04132B0000F7C6837E -:04132C00EBAFC0EF74 -:04132D00472247B25A -:04132E000247C78328 -:04132F0004F701239B -:04133000472247B257 -:041331000347C78324 -:041332000527470341 -:0413330000F7056357 -:041334000923472220 -:0413350047C604F7AC -:04133600C68347160D -:041337004703000761 -:0413380047D600078D -:041339000007C7835F -:04133A0000D717635E -:04133B0047034726F7 -:04133C00046300073F -:04133D00471604F754 -:04133E0000D0363372 -:04133F0000D70023B0 -:041340000023472619 -:04134100473700F733 -:04134200071300028B -:0413430043343807F0 -:04134400078E8B8DF8 -:041345008ED19AF9B2 -:041346004334C33435 -:041347008FD59A9D07 -:0413480057E2C33C69 -:041349000007C7834F -:04134A0047D2CB8932 -:04134B000007A023D4 -:04134C00D6BFC0EF59 -:04134D00E0EF450583 -:04134E0047A2AEAF55 -:04134F0001C7C78388 -:04135000620788E3C5 -:04135100000444034D -:04135200879367E135 -:0413530027376A07C7 -:04135400431400023C -:041355000006C46367 -:04135600FFE517FD9B -:04135700B0EF45515D -:041358004791F89F22 -:041359007087F66340 -:04135A00088575137A -:04135B00F7850513FA -:04135C000015331332 -:04135D00C40347A2DC -:04135E00C41100B7FF -:04135F00000227B7AA -:041360008041438005 -:041361000513880DDB -:04136200DE1A03701C -:04136300F5BFB0EF33 -:041364000513DA2A69 -:04136500B0EF038062 -:0413660057D2F51F46 -:04136700171384AA2A -:041368006505008592 -:04136900F005051373 -:04136A008F5D8F699B -:04136B004722DC3AFF -:04136C000064D793AF -:04136D0005138B8554 -:04136E0004A3039041 -:04136F00B0EF00F7E4 -:04137000DA2AF29FE4 -:0413710003A00513BD -:04137200F1FFB0EFE8 -:04137300000227B796 -:041374004689439CC7 -:0413750083C952D204 -:0413760053725762F5 -:041377007FF7F79372 -:041378006AD40D63C3 -:04137900C68346A23F -:04137A00E68900B64A -:04137B0018F00693CD -:04137C006AE6F563C5 -:04137D001E63468520 -:04137E00871368D495 -:04137F00359300178B -:04138000C5931907F1 -:04138100468100158C -:041382004789AD7179 -:04138300478DBE854F -:041384004791B6B522 -:041385004795B6A52D -:041386004799B69538 -:04138700479DB68543 -:0413880047A1BEB10A -:0413890047A5BEA115 -:04138A006765BE9144 -:04138B0004A7071399 -:04138C00000746838D -:04138D0000024637DD -:04138E000016B793FB -:04138F0000F7002340 -:041390000027971388 -:041391003C0627836C -:041392008FD99BED67 -:041393003CF62023E1 -:04139400C7035792A2 -:0413950067E5000701 -:0413960004E78BA33A -:0413970038069F6312 -:04139800C3BFC0EF20 -:04139900E0EF450537 -:04139A0047A99BAF15 -:04139B002737BE0131 -:04139C004304000204 -:04139D007FF4F7934F -:04139E0000B4D6932E -:04139F00F793DC3EA6 -:0413A000DE3E001617 -:0413A10067654340F9 -:0413A20004A7470352 -:0413A3000432FF7998 -:0413A40000C4579397 -:0413A5003C000613EF -:0413A6004537458101 -:0413A700DC3E000226 -:0413A800F62FB0EF7D -:0413A900458557928D -:0413AA000007C50370 -:0413AB0092EFD0EFFE -:0413AC000613665D61 -:0413AD0045C1A7C6C9 -:0413AE0000024537BD -:0413AF00523000EFC9 -:0413B0005792676584 -:0413B100B4C7460374 -:0413B20000024537B9 -:0413B3000007C683E6 -:0413B400B4C70713A0 -:0413B5006759E6018D -:0413B6007F87071313 -:0413B7000613665D56 -:0413B80045C18006A5 -:0413B90000EF0541FB -:0413BA0047A24F9067 -:0413BB0000B7C703AD -:0413BC004437CB6186 -:0413BD00665D000267 -:0413BE00A8860613E4 -:0413BF00051345C10C -:0413C00000EF020434 -:0413C10047A24DD022 -:0413C200665D46F12D -:0413C30000A78703F5 -:0413C4008046061346 -:0413C500073345C1E4 -:0413C60066E502D7FF -:0413C700BA46869309 -:0413C8000304051302 -:0413C90000EF96BAE1 -:0413CA00665D4B9081 -:0413CB00A9860613D6 -:0413CC00051345C1FF -:0413CD0000EF040425 -:0413CE00F7934A90B7 -:0413CF0086937FF48E -:0413D00057F20017B9 -:0413D10000B4D6137B -:0413D20096B3D2A656 -:0413D3008A0500F691 -:0413D40005040513F4 -:0413D500071396BEA6 -:0413D600E219069082 -:0413D70007000713F1 -:0413D80000030637D1 -:0413D90007938E6583 -:0413DA00E21902A072 -:0413DB000200079372 -:0413DC00C63755E2D9 -:0413DD000613A0EE65 -:0413DE005633B006CC -:0413DF00059302B6BA -:0413E00044B70640C8 -:0413E1007333000260 -:0413E200563302B6C6 -:0413E300C21A02B672 -:0413E400C03245C10D -:0413E5000613665D28 -:0413E60000EFAA86E4 -:0413E700665D4450AB -:0413E800AC06061336 -:0413E900851345C162 -:0413EA0000EF060406 -:0413EB0056E2435033 -:0413EC000613665D21 -:0413ED0045C1AD0643 -:0413EE000704851358 -:0413EF00423000EF99 -:0413F000000244377C -:0413F1000613665D1C -:0413F20045C1AD46FE -:0413F30008040513D2 -:0413F40040F000EFD6 -:0413F5000713665D17 -:0413F6004681059097 -:0413F700AE06061325 -:0413F800051345C1D3 -:0413F90000EF0904F4 -:0413FA0007933F9086 -:0413FB0043B83804B7 -:0413FC00002767134C -:0413FD002623C3B828 -:0413FE00477D3C04E7 -:0413FF00C7B8C3F8B0 -:0414000047C2B5AD7D -:04140100D783474204 -:04140200C793000785 -:0414030010230107AA -:04140400BDA100F78F -:04140500468547B21F -:04140600C783470150 -:04140700E563000792 -:04140800078500F65E -:041409000FF7F713CF -:04140A00802347B242 -:04140B0067E500E7AA -:04140C0004A7C783E7 -:04140D006461E7B17E -:04140E00520404136D -:04140F004641400C06 -:04141000000245375A -:0414110059E010EF9F -:04141200C70347B213 -:04141300481C00076A -:0414140097BA070A72 -:041415004641438C7D -:041416000002443755 -:0414170002040513B3 -:04141800582010EF59 -:041419003C042783E5 -:04141A000027E7932D -:04141B003CF420235A -:04141C003C04262343 -:04141D002223478DB2 -:04141E0024233CF453 -:04141F00B3F53C04E1 -:04142000C78367E532 -:04142100971304B762 -:0414220067E5003743 -:04142300890787931B -:04142400676197BAAB -:04142500EA070713B8 -:0414260098E3439C68 -:04142700C0EFDCE74F -:04142800B3E19FDFAE -:04142900468547B2FB -:04142A00C78347012C -:04142B00E56300175E -:04142C00078500F63A -:04142D000FF7F713AB -:04142E0080A347B29E -:04142F0067E500E786 -:0414300004A7C783C3 -:041431006461FFD51E -:041432005204041349 -:0414330008C4258341 +:040E8200458246A2BD +:040E8300C436100859 +:040E8400A15FF0EF8B +:040E85000A6346A214 +:040E860004B3160596 +:040E8700BD0540A0C5 +:040E880014000793B8 +:040E89000613B7A5F0 +:040E8A00458120007E +:040E8B00C0EF10089C +:040E8C004401BD5F01 +:040E8D00100885A222 +:040E8E009EDFF0EF04 +:040E8F0018E384AA36 +:040E90000405E00570 +:040E910014000793AF +:040E9200FEF416E371 +:040E93000793645904 +:040E940085937304CB +:040E9500466D04079B +:040E9600C0EF100891 +:040E97000793B6DF28 +:040E980085937304C7 +:040E9900466905C7DA +:040E9A00C0EF00C8DD +:040E9B0077EDB5DF5B +:040E9C00A55787933C +:040E9D001008458173 +:040E9E0020F11F23FD +:040E9F009A9FF0EF37 +:040EA00016E384AA27 +:040EA1004401DC0527 +:040EA200061346816C +:040EA3004581200065 +:040EA400C23610083A +:040EA500B6FFC0EFE5 +:040EA6000613469257 +:040EA700059320008F +:040EA8008E15100093 +:040EA90000368793F5 +:040EAA0007C2064233 +:040EAB00B5138241B8 +:040EAC0083C10016E8 +:040EAD00873205067D +:040EAE0000C5F46324 +:040EAF001000071315 +:040EB0008F09973ED1 +:040EB100010715938D +:040EB200E68181C193 +:040EB300D03E57E1F5 +:040EB40066C1478D3F +:040EB50003138D1D79 +:040EB60016FD2020E5 +:040EB70000A78733D6 +:040EB8008341074229 +:040EB90006B7E8632D +:040EBA00FFD5869347 +:040EBB0082C106C228 +:040EBC00080405938E +:040EBD00C236100821 +:040EBE0092DFF0EFE0 +:040EBF0018E384AA06 +:040EC0000593D405BD +:040EC10010080A0407 +:040EC20091DFF0EFDD +:040EC30010E384AA0A +:040EC4004692D40579 +:040EC50004420405DA +:040EC6001FF007937F +:040EC700F6E380418D +:040EC8000613F6D740 +:040EC900458120003F +:040ECA00C0EF10085D +:040ECB0067D9AD9F97 +:040ECC00730787938E +:040ECD00078785937B +:040ECE000200061305 +:040ECF00C0EF100858 +:040ED0000593A89F3F +:040ED10010080C00F9 +:040ED2008DDFF0EFD1 +:040ED30001E384AA09 +:040ED400B9F5E60581 +:040ED50007061010EC +:040ED600863E97328B +:040ED70000679363BA +:040ED80007858636CE +:040ED900102307C219 +:040EDA0083C100C709 +:040EDB004503BF8587 +:040EDC00C832000711 +:040EDD00C43AC63617 +:040EDE00F3FFC0EF6F +:040EDF00464247221E +:040EE000002346B2F3 +:040EE100070500A75A +:040EE2004782BDB1D5 +:040EE3000086D7139B +:040EE40007858C15DD +:040EE5004792C03E32 +:040EE600C23E97BAB7 +:040EE700E0041EE322 +:040EE8000713B17DBE +:040EE90083E3069009 +:040EEA00B975D6E41C +:040EEB00859365DDA9 +:040EEC00B96D94C583 +:040EED00859365DDA7 +:040EEE00B94D93C5A2 +:040EEF00859365DDA5 +:040EF000B16D95C586 +:040EF100FDC101132B +:040EF200D006CC2634 +:040EF300C42ACE221D +:040EF400C03284AED6 +:040EF5004782C23638 +:040EF6004501E39936 +:040EF7004402A015FC +:040EF800200007933C +:040EF9000087F46317 +:040EFA0020000413BD +:040EFB00451245A2B5 +:040EFC0001041613C4 +:040EFD00F0EF82414F +:040EFE00C909927F0D +:040EFF0040A00533D7 +:040F00004472508265 +:040F0100011344E2B2 +:040F020080820241A6 +:040F03000FF4F7935D +:040F040000849613BC +:040F05004792CB9DA7 +:040F0600008786B327 +:040F070004D7916317 +:040F0800469267E5C1 +:040F09000F47A503E6 +:040F0A000084D593F7 +:040F0B0005C2872272 +:040F0C00DF0FC0EF44 +:040F0D0047A2F57191 +:040F0E00C43E078551 +:040F0F000084579370 +:040F1000478294BEC2 +:040F1100C03E8F81CE +:040F120067E5B7795F +:040F13000F47A503DC +:040F1400C63285B2AA +:040F1500D74FC0EF03 +:040F1600DD5546322D +:040F1700C503B75502 +:040F1800CA360007CE +:040F1900C63EC832D6 +:040F1A00E4FFC0EF41 +:040F1B0046D247B2C1 +:040F1C0080234642A6 +:040F1D00078500A79D +:040F1E000113B755AF +:040F1F0000C8DB012A +:040F2000241126234F +:040F210024812423E0 +:040F220024912223D1 +:040F2300923FF0EF1A +:040F2400C0EF842A6C +:040F250007B3EF5FC0 +:040F26001A6340808A +:040F270046111C044F +:040F2800104800CCA1 +:040F29001D1020EF88 +:040F2A00461165DD2A +:040F2B009FC5859346 +:040F2C0020EF10485A +:040F2D00C91D195071 +:040F2E000793440DD4 +:040F2F00C23E064078 +:040F3000EC7FC0EFA3 +:040F310007134792C9 +:040F32004D63068085 +:040F3300071300F7A9 +:040F340044630630DC +:040F3500071330F777 +:040F36008B63F34096 +:040F3700470536E74D +:040F380036E78C63A9 +:040F3900859365DD5A +:040F3A00A63992C57D +:040F3B000481578353 +:040F3C0004A1059374 +:040F3D000513461D35 +:040F3E00142302A1D5 +:040F3F0020EF02F1AC +:040F4000578317704C +:040F410008A30521DB +:040F42001023020175 +:040F4300578302F1DD +:040F4400112305412F +:040F4500550202F15E +:040F4600DDBFC0EF5C +:040F47000561578366 +:040F4800C22ADA2AB5 +:040F490002F110237E +:040F4A000581578343 +:040F4B0002F111237B +:040F4C00C0EF55029B +:040F4D005783DC1FCB +:040F4E00DC2A05A1F3 +:040F4F0002F1102378 +:040F500005C15783FD +:040F510002F1112375 +:040F5200C0EF550295 +:040F5300DE2ADA9F19 +:040F5400240125034C +:040F5500D9FFC0EF11 +:040F5600C0AA459256 +:040F57001E200793BE +:040F5800FE65871398 +:040F59000CE7E76357 +:040F5A0000C8460580 +:040F5B00DABFC0EF4A +:040F5C00066347865B +:040F5D00440D00F54A +:040F5E00066007938F +:040F5F006465B78985 +:040F60000613665DB1 +:040F610045C5A0469C +:040F6200B684051339 +:040F63006E5010EFCD +:040F640067E556E205 +:040F65008513665D2D +:040F66000613B7C7F0 +:040F670045C5A14695 +:040F68006D1010EF09 +:040F6900E0EF45056B +:040F6A0057E2ED4F0E +:040F6B0045014701F4 +:040F6C0057F2C23E38 +:040F6D004792C43EA5 +:040F6E0008F76163BC +:040F6F00966347A29C +:040F7000478320A7EC +:040F7100468302A110 +:040F720047030281AE +:040F7300CBD5029147 +:040F7400879367DD1B +:040F750006137E875A +:040F7600C03202A1E2 +:040F77000613665D9A +:040F780045C5A206C3 +:040F7900B684051322 +:040F7A00689010EF7C +:040F7B0065DD67E5E4 +:040F7C0085934645CE +:040F7D008513A785AC +:040F7E00C0EFB7C742 +:040F7F004505FCCF59 +:040F8000E0EF64E555 +:040F81008493E78FDF +:040F820016B7B904E1 +:040F8300A78300023E +:040F8400D7030D067C +:040F850007C200049B +:040F8600086383C1B8 +:040F8700D70306F78F +:040F880008630024D6 +:040F890065091AF7E5 +:040F8A0071050513D5 +:040F8B00AD2FC0EFD7 +:040F8C00440DBFE968 +:040F8D000650079370 +:040F8E004792B55978 +:040F8F0040E786B3FE +:040F900020000793A3 +:040F910000D7F4632E +:040F920020000693A2 +:040F9300200704939C +:040F940001069613A9 +:040F9500D59382412D +:040F960000C80094FB +:040F9700C636C83A58 +:040F9800EBCFF0EFBC +:040F9900474246B2D3 +:040F9A0007B3C509CB +:040F9B00440D40A021 +:040F9C003613B5B99A +:040F9D0085B60017FE +:040F9E00C0EF00C8D8 +:040F9F008726C9DFF9 +:040FA00067DDBF1D2D +:040FA10081C78793EA +:040FA200D0EFB7B91C +:040FA3006765931FCC +:040FA40011670713B7 +:040FA5000007578367 +:040FA600000216B778 +:040FA700E79365095E +:040FA80010230027EB +:040FA900A02300F78A +:040FAA0005130EF627 +:040FAB00C0EF71051D +:040FAC0065DDA50F4B +:040FAD00B6840513EE +:040FAE00859346459C +:040FAF0020EFA2C5C8 +:040FB000440D7B6011 +:040FB10064DD67E5AF +:040FB200B7C7851325 +:040FB3008593464597 +:040FB40020EFA38403 +:040FB50045057A2054 +:040FB600DA2FE0EF5F +:040FB70000D45662AA +:040FB8004505458125 +:040FB900CE1FF0EF68 +:040FBA001AE387AA05 +:040FBB0067E5DC0505 +:040FBC00464565DD64 +:040FBD00A4858593EF +:040FBE00B68785135A +:040FBF00778020EF28 +:040FC000859367E5C9 +:040FC1008513A3846D +:040FC2004645B7C722 +:040FC300768020EF25 +:040FC400E0EF450510 +:040FC50057E2D68F8A +:040FC600450144811C +:040FC70057F2C23EDD +:040FC80067E5C43ED7 +:040FC9004792C63E47 +:040FCA0002F4EF63DB +:040FCB00079347221F +:040FCC0016E3F340F5 +:040FCD00C0EFD8A7F2 +:040FCE0047B2C51F42 +:040FCF00464565DD51 +:040FD000A8C5859398 +:040FD100B687851347 +:040FD200E7EFC0EF96 +:040FD30065DD67E58C +:040FD4008593464576 +:040FD5008513A58556 +:040FD60020EFB7C78A +:040FD700450571A0BB +:040FD800D1AFE0EFC6 +:040FD9004792A0019A +:040FDA00409786B303 +:040FDB001000079368 +:040FDC0000D7F463E3 +:040FDD001000069367 +:040FDE00A50367E51B +:040FDF0000D00F47E8 +:040FE000C83685A6E4 +:040FE100C1EFC0EFAD +:040FE20000D046C233 +:040FE30005B387AA21 +:040FE400450300D6EB +:040FE500CE360006FE +:040FE600CA3ECC2E05 +:040FE700C0EFC8325D +:040FE8004642B19F2D +:040FE90047D245E2C4 +:040FEA0000A600233A +:040FEB0046F20605BF +:040FEC00FEC591E3CA +:040FED00D00795E3B1 +:040FEE000014B61322 +:040FEF0000C885B6FB +:040FF000B57FC0EF1A +:040FF10010048493D1 +:040FF200440DBFB932 +:040FF30006700793EA +:040FF400440DB1FDFA +:040FF50006800793D8 +:040FF6008713B1DDCF +:040FF700468DF9B773 +:040FF80008E6E063C4 +:040FF900070A66DDA0 +:040FFA00AA0686932A +:040FFB0043189736CA +:040FFC0065DD870226 +:040FFD009EC5859375 +:040FFE0067E5C23EA3 +:040FFF00B7C78513D8 +:0410000020EF464552 +:04100100450567201A +:04100200C72FE0EF25 +:04100300000F45375E +:0410040024050513A7 +:041005008EAFC0EFFB +:04100600D7634792D3 +:041007005563040722 +:04100800665D04809D +:041009000613656500 +:04100A0045C5A686AC +:04100B00B68505138E +:04100C00441010EF8D +:04100D00B579147D20 +:04100E00859365DD84 +:04100F00BF6D9A45D2 +:04101000859365DD82 +:04101100BF4D9B45EF +:04101200859365DD80 +:04101300B76D9C8594 +:04101400859365DD7E +:04101500B74D990535 +:04101600859365DD7C +:04101700BF6994C554 +:04101800859365DD7A +:04101900BF499DC569 +:04101A00A99FD0EFCB +:04101B0024C1208349 +:04101C002481240304 +:04101D0024412483C3 +:04101E000113557DE8 +:04101F0080822501A5 +:04102000DDC101131A +:041021002023082858 +:041022002E23221146 +:041023002C232081D9 +:04102400F0EF209138 +:04102500C02AD1CF3D +:04102600AEFFC0EF6A +:04102700E931450264 +:0410280065DD6465B9 +:041029008593464520 +:04102A000513ACC539 +:04102B00C0EFB7C497 +:04102C004509D18F12 +:04102D00BC6FE0EFC5 +:04102E006465C22211 +:04102F00B9040413E9 +:04103000000217B7EC +:041031000D07A7837D +:04103200000457035C +:0410330083C107C2AC +:0410340004F7046356 +:041035000024570339 +:0410360002F71A6340 +:0410370065DD47929A +:041038008593464511 +:041039008513920584 +:04103A0020EFB7C725 +:04103B00051358A0A1 +:04103C00C02A068040 +:04103D00A93FC0EF18 +:04103E0022012083E8 +:04103F0021C12403A4 +:0410400024834502BE +:0410410001132181F5 +:041042008082224145 +:041043000513650923 +:04104400B0EF710593 +:04104500B76DFEDFA6 +:0410460065DD47928B +:041047008513464582 +:041048008593B7C70E +:0410490020EFAB05E4 +:04104A00450954E020 +:04104B00B4EFE0EF2F +:04104C00FFF0073773 +:04104D0020070793DE +:04104E006485C002F3 +:04104F0047A2C43EB2 +:041050000084941371 +:04105100943E462D56 +:0410520085A28425CA +:04105300F0EF006852 +:04105400C501BCEF27 +:0410550040A005337F +:0410560065DDBF692C +:0410570088458593B0 +:04105800C0EF00687D +:04105900ED15CB0FB7 +:04105A000141470306 +:04105B004683EB1DC0 +:04105C000713015124 +:04105D009663058011 +:04105E00468302E6DD +:04105F0047050161DF +:0410600002D76163EF +:041061004DE0061345 +:0410620000E68463BD +:0410630005700613FB +:0410640085A6083421 +:04106500F0EF852201 +:04106600FD21A2FFC7 +:041067000785478230 +:041068008493C03E6F +:0410690067091004FF +:04106A00F8E49BE328 +:04106B00453D458139 +:04106C00E91FD0EFB9 +:04106D00C70367E569 +:04106E0067E511879A +:04106F00B5C78793E7 +:04107000C50397BA63 +:0410710045810007AE +:04107200832367E588 +:04107300D0EF10A703 +:041074004792E73F79 +:04107500665D4682EC +:04107600ABC60613EC +:04107700851345C5D3 +:0410780010EFB7C7F7 +:04107900450528F011 +:04107A000113B7297E +:04107B00CD06F64167 +:04107C00C926CB2294 +:04107D000002143722 +:04107E00F75FB0EF79 +:04107F000493C62AE6 +:0410800047C5080454 +:041081002023D0BC9C +:0410820027B70E047A +:04108300A62300029E +:04108400AA23000794 +:041085006789000770 +:041086007107851356 +:04108700EE3FB0EF99 +:04108800871366E57F +:041089000793116652 +:04108A0010231D1002 +:04108B00D0BC00F7DE +:04108C00D0EF45015B +:04108D001537DE6FC6 +:04108E000513000343 +:04108F00B0EFD405E5 +:041090002637EC1FF4 +:0410910005B7000699 +:041092000613019CA4 +:041093008593A80693 +:041094000513CC056F +:04109500C0EF0404A0 +:0410960067E5A44F17 +:041097001167871343 +:041098000007578373 +:04109900F793455133 +:04109A0007C2F9F799 +:04109B00102383C1DA +:04109C00D0BC00F7CD +:04109D00E8BFB0EF09 +:04109E00051345D120 +:04109F00D0EF03800B +:0410A00045D1D10F56 +:0410A10003900513A0 +:0410A200D06FD0EF4C +:0410A300455145D19D +:0410A400CFEFD0EFCB +:0410A500051345D119 +:0410A600D0EF071070 +:0410A70045D1CF4F11 +:0410A80005E0051347 +:0410A900CEAFD0EF07 +:0410AA00051345D114 +:0410AB00D0EF06D0AC +:0410AC0045D1CE0F4D +:0410AD00D0EF45310A +:0410AE000593CD8F4A +:0410AF0045053200C1 +:0410B000CCEFD0EFC2 +:0410B100451945D1C7 +:0410B200CC6FD0EF40 +:0410B300320005936F +:0410B400D0EF45092B +:0410B50067E5CBCF51 +:0410B6001167871324 +:0410B7000007578354 +:0410B8004581460127 +:0410B9000407E793AE +:0410BA0000F7102308 +:0410BB000513D0BC8D +:0410BC00C0EF040479 +:0410BD004529A0CF52 +:0410BE00E07FB0EF30 +:0410BF00450545E1BD +:0410C0009A7FC0EF64 +:0410C100450945E1B7 +:0410C20099FFC0EFE3 +:0410C300450D45E1B1 +:0410C400997FC0EF61 +:0410C500CCDFC0EFCD +:0410C600871366E541 +:0410C70047E1116686 +:0410C8001663C83AA9 +:0410C90045015AF58E +:0410CA009BFFC0EFD9 +:0410CB000FF0079388 +:0410CC005CF5066366 +:0410CD001A8087B747 +:0410CE0008078793F5 +:0410CF006789DABE95 +:0410D000A1A78793BA +:0410D10006F11C23E5 +:0410D2000D2347A102 +:0410D300D0EF06F163 +:0410D4004569C6CFD5 +:0410D500993FC0EF90 +:0410D6000F557593AA +:0410D70000A5E593F8 +:0410D800C0EF4569B7 +:0410D90045859CFFAE +:0410DA0003500513A7 +:0410DB009C5FC0EF67 +:0410DC000513656132 +:0410DD00C0EF854596 +:0410DE004501B21FF7 +:0410DF00C0FFC0EF9F +:0410E0000513459916 +:0410E100C0EF03D089 +:0410E20005939ABF19 +:0410E300454504403B +:0410E4009A1FC0EFA0 +:0410E50045494585AF +:0410E600999FC0EF1F +:0410E700454D4581AD +:0410E800991FC0EF9D +:0410E900C0EF18C874 +:0410EA0045099CDF39 +:0410EB00FF6FC0EFE4 +:0410EC000FF5751374 +:0410ED00156347CD73 +:0410EE0064E554F56C +:0410EF00AE848493B4 +:0410F0000024C58390 +:0410F100C0EF4515F2 +:0410F200C78381FF30 +:0410F300059300243D +:0410F400451103D0CF +:0410F5009713839D2D +:0410F600C783002785 +:0410F7009BED00C4A9 +:0410F80086238FD9E3 +:0410F900C0EF00F450 +:0410FA000513FFEFEC +:0410FB00B0EF3E8094 +:0410FC0045F5D11FC6 +:0410FD00C0EF4511EA +:0410FE000593FEEF69 +:0410FF0005130300D2 +:04110000C0EF061026 +:041101000593FE2F25 +:0411020045250B2054 +:04110300FD8FC0EFAD +:041104000F800593C0 +:04110500C0EF4529C9 +:041106000593FCEF62 +:04110700452D0370FF +:04110800FC4FC0EFE9 +:04110900453D45819A +:04110A00FBCFC0EF68 +:04110B000513458102 +:04110C00C0EF0C9094 +:04110D004581FB2FEE +:04110E000CA0051319 +:04110F00FA8FC0EFA4 +:0411100005134581FD +:04111100C0EF0CB06F +:041112004581F9EF2B +:041113000CC00513F4 +:04111400F94FC0EFE0 +:0411150005134581F8 +:04111600C0EF0CD04A +:041117004581F8AF67 +:041118000CE00513CF +:04111900F80FC0EF1C +:04111A0005134581F3 +:04111B00C0EF0CF025 +:04111C004581F76FA3 +:04111D000D000513A9 +:04111E00F6CFC0EF59 +:04111F000044C58340 +:041120000E10051395 +:04112100F60FC0EF16 +:0411220005934601EA +:04112300051304A00C +:04112400C0EF040410 +:041125004601832FCD +:0411260005134595D3 +:04112700C0EF04040D +:041128004605860FE3 +:0411290004A0059386 +:04112A0004040513A1 +:04112B00818FC0EF01 +:04112C0005134585DD +:04112D00C0EF040407 +:04112E00079382EFB2 +:04112F0012630860DF +:04113000059306F528 +:04113100051307504B +:04113200C0EF070003 +:041133000593F9CF58 +:041134000513090096 +:04113500C0EF020005 +:041136004581F90FE7 +:04113700021005138A +:04113800F86FC0EF9D +:0411390005134581D4 +:04113A00C0EF0220E0 +:04113B00458DF7CF18 +:04113C000230051365 +:04113D00F72FC0EFD9 +:04113E0005134581CF +:04113F00C0EF02807B +:041140000593F68F8E +:0411410005130B0087 +:04114200C0EF0710E3 +:041143000593F5CF4C +:041144000513070088 +:04114500C0EF0700F0 +:0411460067E5F50F55 +:0411470082234705B3 +:0411480067E510E760 +:04114900A847A78389 +:04114A002A23676588 +:04114B008B630EF7AD +:04114C00D0EF3C079D +:04114D0062E5BC8F0C +:04114E00AF82839356 +:04114F00851365E1BE +:04115000061301E39E +:04115100859304601E +:04115200C0EF9885CD +:04115300636587CF7A +:04115400051364E13A +:041155000613B903C1 +:04115600859303601A +:04115700C0EF95044C +:041158004581868FB8 +:04115900D0EF453D51 +:04115A006665ADBF5A +:04115B0010664503D2 +:04115C006465458100 +:04115D00ACDFD0EF44 +:04115E0067E565E5F7 +:04115F00AB05C68393 +:04116000AB17C703FF +:0411610047B76665C1 +:041162000EA30002D6 +:041163000E230EE663 +:0411640087930ED48B +:0411650043A838075C +:04116600809370F909 +:0411670075337FF06D +:04116800C3A8001503 +:0411690070E943A83E +:04116A00753310FDCC +:04116B00C3A8001500 +:04116C0036B343A8AB +:04116D008B0D00D016 +:04116E00F1F575130F +:04116F0006056513F9 +:0411700043A8C3A825 +:041171007513070EDD +:0411720065138FF57D +:04117300C3A83005D8 +:04117400997943A87A +:04117500C3B48EC9A8 +:04117600450143B438 +:041177008F559A9D59 +:0411780043B4C3B801 +:04117900177D772146 +:04117A0066A18F7566 +:04117B00C3B88F5511 +:04117C0047896765D3 +:04117D00AF870393A2 +:04117E0000F388A34F +:04117F00E99FC0EF35 +:04118000000217B79B +:041181000D07A703AC +:0411820007B762E564 +:04118300869300024D +:041184006665AF826B +:0411850067658FF912 +:04118600AB17071389 +:041187000693C436D1 +:041188006665106622 +:041189000713CABAC4 +:04118A00C4BA0FD6FE +:04118B00071367657A +:04118C00CA3A1087C4 +:04118D000713676578 +:04118E0065E50E877E +:04118F00D43AD23646 +:04119000AB05869392 +:0411910063656765C6 +:041192000713C8B6C1 +:0411930006930EC7EA +:04119400C09A0FC42A +:04119500C2B6CE26EA +:041196009263D63A50 +:0411970066E11407F2 +:041198008E468713E5 +:04119900CC3A448187 +:04119A0065DD646546 +:04119B0085934645AD +:04119C000513AE8504 +:04119D00D03EB68406 +:04119E007FD010EFFF +:04119F00969347E2FA +:0411A000656500245D +:0411A100428C96BE28 +:0411A20005134645A6 +:0411A30010EFB7C5CD +:0411A40046377E70DC +:0411A500268300029B +:0411A60045053C06B9 +:0411A7000046E69385 +:0411A8003CD62023EE +:0411A900DD7FD0EF27 +:0411AA0046815782A1 +:0411AB0000021637F1 +:0411AC000D06250304 +:0411AD00177D674102 +:0411AE0000E575B330 +:0411AF000337475269 +:0411B000C30C000369 +:0411B1000D062603FE +:0411B2004613572267 +:0411B3007633FFF69A +:0411B400C3100066FE +:0411B5008763C985FE +:0411B60067E502F5F2 +:0411B700B90787935A +:0411B8000014961376 +:0411B900EAAD97B252 +:0411BA00902365DD3C +:0411BB00464500A7FE +:0411BC00AF05859363 +:0411BD00B6840513DC +:0411BE0077D010EFE7 +:0411BF00D0EF450523 +:0411C0004685D7DFAA +:0411C100A30357A28B +:0411C20057B2000719 +:0411C300E39D439CC9 +:0411C400116367C18B +:0411C500E0BD02F394 +:0411C600061347F2D3 +:0411C700D01A0360D7 +:0411C800950785936F +:0411C90044ED478624 +:0411CA00B9078513C9 +:0411CB00E9BFB0EFD9 +:0411CC0046895302FB +:0411CD0047D257327C +:0411CE00202346098B +:0411CF00D8360067A7 +:0411D0008063439C59 +:0411D100650904C6E2 +:0411D200710505138B +:0411D300B0EFD03E6B +:0411D40057829B1F84 +:0411D500BF9956C2A6 +:0411D6000007D783B4 +:0411D70083E34689DF +:0411D80065DDFAF5E2 +:0411D900859346456F +:0411DA000513AF85C5 +:0411DB0010EFB684D7 +:0411DC0045057070E5 +:0411DD00D07FD0EF00 +:0411DE00B769468126 +:0411DF00468914F930 +:0411E0000485BF556E +:0411E100D1E346E927 +:0411E200453DEE9603 +:0411E300E1AFD0EFB9 +:0411E4000002473787 +:0411E5003C07278319 +:0411E60020239BED3A +:0411E70047B23CF7D8 +:0411E800665D64E5F7 +:0411E90000A7D51373 +:0411EA0012C0079395 +:0411EB0002F507B34F +:0411EC0005A0071340 +:0411ED00061346811E +:0411EE0045C5B086BD +:0411EF00BC84851324 +:0411F000C6BE6465AE +:0411F1004AC010EFF1 +:0411F200464565DD2C +:0411F300BF0585931C +:0411F400BDC405135E +:0411F500DF3FB0EF39 +:0411F600D0EF4505EC +:0411F700A537F05FC9 +:0411F80005130007D4 +:0411F900B0EF12053C +:0411FA00B0EF919F22 +:0411FB004799939FDE +:0411FC00CE02D03E11 +:0411FD00D802CC0246 +:0411FE00CEA2CCA60B +:0411FF00000217B71C +:041200000D07A783AC +:04120100674146D229 +:041202008F7D177D48 +:04120300C693C29834 +:041204000737FFF7B2 +:041205008F750003DE +:04120600D61356A203 +:0412070087B2018722 +:0412080066E5C2983D +:0412090010C68693F2 +:04120A001679CA196E +:04120B000FF6761351 +:04120C00F663458DB3 +:04120D00C60300C54F +:04120E00156300065E +:04120F00465200F64D +:041210000006202391 +:0412110000F6802340 +:04121200439C57B2F0 +:0412130057B2EFD50A +:041214006465C398B2 +:041215000E7404133C +:041216000004478306 +:041217000E078663D5 +:04121800C78347A29F +:04121900816301C725 +:04121A0047A20E07D2 +:04121B0047036765B9 +:04121C00C7830FA7CE +:04121D008FD900B7AE +:04121E000FF7F7933C +:04121F00B0EFE7F154 +:0412200047B68C9FA2 +:041221000CF5626303 +:041222000793477275 +:04122300ED63063041 +:0412240046830AE70C +:0412250047850004F5 +:04122600876347058E +:04122700578200F6F4 +:04122800FFA7871382 +:0412290000E0373377 +:04122A0046A147A2F0 +:04122B0001C7C783AD +:04122C00F79317FD20 +:04122D00E7630FF76D +:04122E0066DD06F67D +:04122F00C0C686931C +:0412300097B6078ADC +:0412310067E5439496 +:041232001187879306 +:0412330056F9868260 +:041234006565665D29 +:04123500B1C6061325 +:04123600051345C592 +:0412370010EFBC8573 +:0412380065DD392017 +:04123900464565655C +:04123A0081C5859352 +:04123B00BDC5051315 +:04123C00585010EF07 +:04123D00D0EF4505A4 +:04123E00A001DE9F8E +:04123F00BFC956F5D8 +:04124000B7F956F1B3 +:04124100B7E956FDB6 +:04124200C39857B244 +:04124300A02357A2EB +:04124400B781000767 +:04124500470367658F +:0412460037330E57D5 +:04124700070900E0B3 +:0412480000E7802318 +:04124900570247F20F +:04124A00F79307858A +:04124B00CE3E0FF78D +:04124C000763479954 +:04124D00079300F70C +:04124E00F7930017FB +:04124F00D03E0FF787 +:04125000FE2FB0EFCE +:04125100CC3E4785C3 +:0412520006B1079347 +:04125300DABE646536 +:04125400C0C4079378 +:041255000197871363 +:0412560065DDDCBABC +:0412570001A7871351 +:04125800461DDEBA97 +:0412590001B787133F +:04125A00C045859373 +:04125B0010E807F19F +:04125C00C33EC13A92 +:04125D0005A3C53EE2 +:04125E00B0EF0601E6 +:04125F0047A2C4DFFF +:04126000C0C406936D +:04126100C783C63643 +:04126200468501C7F5 +:04126300DA3647250B +:0412640000E78663B6 +:04126500F79307856F +:04126600DA3E0FF766 +:04126700468147D2A3 +:04126800439045E981 +:04126900879347869A +:04126A00D703B907E6 +:04126B001A630007FB +:04126C0047E904E664 +:04126D0054D7E4630B +:04126E009793675D8E +:04126F00071300263B +:0412700097BAC3075F +:041271008782439C91 +:04127200E7194685AD +:0412730046836765E2 +:04127400B693AA077C +:0412750006910016C8 +:0412760000D78023FA +:041277004699B7A13C +:04127800C683DF65E5 +:04127900BFCD0007DE +:04127A00BF1D471D30 +:04127B004703676559 +:04127C0037330E678F +:04127D00072100E065 +:04127E004699B725B1 +:04127F004685FF7130 +:041280000789BFE13A +:0412810028B68CE31C +:04128200B7450685E1 +:041283004742478512 +:04128400570346C204 +:041285004713000704 +:04128600902310079A +:04128700572200E604 +:04128800431866C1E0 +:04128900C2918EF987 +:04128A0006B757D27A +:04128B008F75000259 +:04128C004732CF1105 +:04128D00468146054B +:04128E00000747030B +:04128F0000E66563AD +:041290007693070545 +:0412910047320FF7DA +:0412920000D700235E +:04129300472964651E +:041294000413DA0263 +:0412950086631184D7 +:04129600002300E74A +:04129700478500F493 +:0412980047C2DA3E31 +:04129900D78347426E +:04129A009BCD0007E1 +:04129B0083C107C242 +:04129C0000F7102324 +:04129D0046836765B8 +:04129E0007130FA77C +:04129F00DC3A0FA77F +:0412A0006765EA890B +:0412A1000F87470369 +:0412A2008FD9070ACF +:0412A300102347428B +:0412A40047C200F746 +:0412A5000007D70364 +:0412A600000217B774 +:0412A7000EE7A0238B +:0412A800C78357E2BF +:0412A900C7810007F2 +:0412AA00E0EF45012B +:0412AB0047A2DDCFAA +:0412AC0000044703F0 +:0412AD0001C7C7832B +:0412AE0000E784636E +:0412AF00E79957D292 +:0412B000CF8547E2BD +:0412B100C78347A206 +:0412B200CB8500B731 +:0412B300C78367E5A1 +:0412B400CC3E1057C5 +:0412B50067E5C39D89 +:0412B600B5C787939E +:0412B700C50397BA1A +:0412B8005792000742 +:0412B900C783CC0219 +:0412BA00886300073E +:0412BB00579200A79F +:0412BC0080234581C5 +:0412BD00D0EF00A7C7 +:0412BE004722D4AF40 +:0412BF00000447835D +:0412C0004703646517 +:0412C100041301C74A +:0412C2001F6311A4F1 +:0412C300468300F767 +:0412C40047090004D2 +:0412C5001CD774635B +:0412C60046834732E2 +:0412C7004722034770 +:0412C80005274703AC +:0412C9001AE68C6332 +:0412CA00869364E5BE +:0412CB008CA311944B +:0412CC0000231004E7 +:0412CD00470D0004C5 +:0412CE0078E3DE36AD +:0412CF00473218F793 +:0412D0004683460506 +:0412D1009EE303474E +:0412D200861314C6A5 +:0412D3007613FFA7E8 +:0412D400448D0FF640 +:0412D50000C6F463F8 +:0412D60010A0106FE5 +:0412D700FFF7861384 +:0412D8000FF6759305 +:0412D90061634621E6 +:0412DA00666102B691 +:0412DB0081C60613AF +:0412DC004703962E00 +:0412DD0046190006A8 +:0412DE0088E3D83A8F +:0412DF006AE316C7E1 +:0412E000460514F6B5 +:0412E10014C78FE3BC +:0412E200D0B6472219 +:0412E30000F70E23DF +:0412E400000705A357 +:0412E500C4CFC0EFC3 +:0412E6004789568658 +:0412E7000D85759369 +:0412E80014F699E37C +:0412E9000015E59374 +:0412EA00D0AE450538 +:0412EB008FAFC0EF12 +:0412EC0045095586D5 +:0412ED008F2FC0EF90 +:0412EE00450D5586CF +:0412EF008EAFC0EF0F +:0412F000BFBFC0EFCD +:0412F10085CFC0EFF6 +:0412F200C78367E562 +:0412F300C385104758 +:0412F40095B34585E4 +:0412F500E5930095E8 +:0412F600F593040563 +:0412F70045190FF591 +:0412F800C0EFD0AEC5 +:0412F9005586884F3F +:0412FA00C0EF451DDF +:0412FB0057F287CF50 +:0412FC000004448323 +:0412FD00C70345815D +:0412FE004789000715 +:0412FF000097E6630B +:04130000006495935D +:0413010085E105E29B +:0413020000471793F6 +:041303000027169316 +:041304008FD98FD519 +:04130500F5938DDDF2 +:0413060045650FF535 +:04130700914FC0EF53 +:04130800C0EF4541AC +:0413090057428C4F6C +:04130A007593478D03 +:04130B0014630F85D3 +:04130C00E59300F76E +:04130D004541005501 +:04130E008F8FC0EF0E +:04130F000593478972 +:04131000F96305B0C8 +:041311004791009769 +:04131200052005931A +:041313000097F463E8 +:041314000530059308 +:04131500C0EF4539A7 +:0413160005138DAF7F +:04131700B0EF3E8075 +:041318004551CA0F62 +:04131900882FC0EF6A +:04131A00478D574262 +:04131B00036345C162 +:04131C00458100F710 +:04131D00C0EF456177 +:04131E0045818BAFCB +:04131F00036005134F +:041320008B0FC0EF80 +:04132100464547A254 +:041322000007A223FB +:0413230001C7C783B4 +:0413240000279713F4 +:04132500879367E162 +:0413260097BA828769 +:0413270047E6438CC6 +:04132800BC878513E6 +:041329001D1010EF94 +:04132A0065DD47F640 +:04132B00851346459B +:04132C008593BDC721 +:04132D0010EFBE45BA +:04132E0045051BF066 +:04132F00A23FD0EF1A +:04133000CF8957D238 +:04133100C70367E5A2 +:0413320047A90F07B1 +:0413330000F7156347 +:04133400D0EF453D74 +:04133500B0EF8D4F39 +:04133600CE02C4CF50 +:04133700C70347B2EF +:0413380047A202378F +:041339000417C7834B +:04133A0002F707634C +:04133B00468147A2FE +:04133C00C50346019E +:04133D0045810117CE +:04133E00E72FC0EFE6 +:04133F00C50347B2E9 +:04134000C0EF0237C1 +:0413410047B2F92F87 +:04134200C7834722F4 +:041343002223023728 +:0413440000A30007FB +:0413450047B204F7B0 +:041346000237C58322 +:041347008A634789E5 +:0413480047B202F5B1 +:041349000247C6038E +:04134A00C78347A26C +:04134B00826304278E +:04134C0047A202C7EB +:04134D000117C503BC +:04134E004589C1917B +:04134F00C68347A268 +:04135000C0EF00F7F3 +:0413510047B2E28F2E +:04135200C7834722E4 +:041353000123024729 +:0413540047B204F7A1 +:04135500C7834722E1 +:0413560047030347FF +:0413570005630527FE +:04135800472200F731 +:0413590004F7092369 +:04135A00471647C625 +:04135B000007C6833E +:04135C00000747033C +:04135D00C78347D625 +:04135E00176300070A +:04135F00472600D746 +:041360000007470338 +:0413610004F7046326 +:0413620036334716C1 +:04136300002300D093 +:04136400472600D741 +:0413650000F700236A +:041366000002473703 +:041367003807071329 +:041368008B8D4334F2 +:041369009AF9078E58 +:04136A00C3348ED129 +:04136B009A9D4334D0 +:04136C00C33C8FD51A +:04136D00C78357E2F9 +:04136E00CB89000720 +:04136F00A02347D29E +:04137000C0EF0007C3 +:041371004505D3FF5C +:04137200ABEFE0EF0E +:04137300C78347A243 +:0413740088E301C742 +:0413750044036207C4 +:0413760067E1000427 +:041377006A078793E7 +:041378000002273711 +:04137900C4634314F2 +:04137A0017FD000655 +:04137B004551FFE5F4 +:04137C00EF7FB0EF60 +:04137D00F66347913B +:04137E0075137087EC +:04137F0005130885C5 +:041380003313F785A7 +:0413810047A200156A +:0413820000B7C403E9 +:0413830027B7C411B3 +:0413840043800002A0 +:04138500880D80410E +:0413860003700513D8 +:04138700B0EFDE1ACB +:04138800DA2AEC9FD2 +:0413890003800513C5 +:04138A00EBFFB0EFD6 +:04138B0084AA57D207 +:04138C0000851713AE +:04138D0005136505DA +:04138E008F69F0056E +:04138F00DC3A8F5D58 +:04139000D793472286 +:041391008B850064E4 +:0413920003900513AC +:0413930000F704A3B8 +:04139400E97FB0EF4E +:041395000513DA2A38 +:04139600B0EF03A011 +:0413970027B7E8DFAD +:04139800439C000270 +:0413990052D246895D +:04139A00576283C94A +:04139B00F7935372FF +:04139C000D637FF767 +:04139D0046A26AD426 +:04139E0000B6C6834C +:04139F000693E68942 +:0413A000F56318F0E9 +:0413A10046856AE62D +:0413A20068D41E638A +:0413A3000017871395 +:0413A400190735935D +:0413A5000015C593D7 +:0413A600AD7146815E +:0413A700BE8547892F +:0413A800B6B5478D02 +:0413A900B6A547910D +:0413AA00B695479518 +:0413AB00B685479923 +:0413AC00BEB1479DEA +:0413AD00BEA147A1F5 +:0413AE00BE9147A500 +:0413AF000713676554 +:0413B00046830FA7BA +:0413B10046370007B4 +:0413B200B7930002EB +:0413B30000230016FD +:0413B400971300F794 +:0413B5002783002763 +:0413B6009BED3C0669 +:0413B70020238FD987 +:0413B80057923CF616 +:0413B9000007C7035F +:0413BA0083A367E5BD +:0413BB009F6310E735 +:0413BC00C0EF380640 +:0413BD004505C0FF23 +:0413BE0098EFE0EFD5 +:0413BF00BE0147A97B +:0413C00000022737C9 +:0413C100F793430457 +:0413C200D6937FF44B +:0413C300DC3E00B458 +:0413C4000016F79385 +:0413C5004340DE3E85 +:0413C600470367650D +:0413C700FF790FA7F4 +:0413C8005793043201 +:0413C900061300C443 +:0413CA0045813C001D +:0413CB0000024537A0 +:0413CC00B0EFDC3E64 +:0413CD005792ED0F37 +:0413CE00C503458589 +:0413CF00D0EF000754 +:0413D000665D902F97 +:0413D100B2C6061387 +:0413D200453745C195 +:0413D30000EF000225 +:0413D40067655230C7 +:0413D50046035792E2 +:0413D6004537BFC711 +:0413D700C6830002C7 +:0413D80007130007F0 +:0413D900E601BFC7A3 +:0413DA000713675D31 +:0413DB00665D88C7FC +:0413DC008946061325 +:0413DD00054145C1C0 +:0413DE004F9000EF3D +:0413DF00C70347A257 +:0413E000CB6100B726 +:0413E100000244378B +:0413E2000613665D2B +:0413E30045C1B386C7 +:0413E40002040513E7 +:0413E5004DD000EFF8 +:0413E60046F147A2E3 +:0413E7008703665DB5 +:0413E800061300A741 +:0413E90045C18986EB +:0413EA0002D70733EC +:0413EB00869366E59A +:0413EC000513C546DA +:0413ED0096BA0304A5 +:0413EE004B9000EF31 +:0413EF000613665D1E +:0413F00045C1B486B9 +:0413F10004040513D8 +:0413F2004A9000EF2E +:0413F3007FF4F793F9 +:0413F40000178693C5 +:0413F500D61357F2C2 +:0413F600D2A600B4C7 +:0413F70000F696B3B3 +:0413F80005138A054A +:0413F90096BE050493 +:0413FA00069007133F +:0413FB000713E219D9 +:0413FC0006370700A9 +:0413FD008E650003F6 +:0413FE0002A00793AF +:0413FF000793E21955 +:0414000055E20200AF +:04140100A0EEC6375C +:04140200B006061317 +:0414030002B65633A4 +:041404000640059306 +:04140500000244B7E6 +:0414060002B6733384 +:0414070002B65633A0 +:0414080045C1C21AFE +:04140900665DC0322A +:04140A00B58606138A +:04140B00445000EF5A +:04140C000613665D00 +:04140D0045C1B70618 +:04140E000604851338 +:04140F00435000EF57 +:04141000665D56E2DD +:04141100B806061300 +:04141200851345C138 +:0414130000EF0704DB +:0414140044374230E7 +:04141500665D00020E +:04141600B8460613BB +:04141700051345C1B3 +:0414180000EF0804D5 +:04141900665D40F0DC +:04141A0005A007130F +:04141B0006134681ED +:04141C0045C1B90607 +:04141D0009040513A6 +:04141E003F9000EF0C +:04141F0038040793F3 +:04142000671343B853 +:04142100C3B8002725 +:041422003C0426233D +:04142300C3F8477D46 +:04142400B5ADC7B8E3 +:04142500474247C231 +:041426000007D78361 +:041427000107C7935F +:0414280000F7102396 +:0414290047B2BDA168 +:04142A0047014685AB +:04142B000007C7836C +:04142C0000F6E5637E +:04142D00F713078525 +:04142E0047B20FF7BB +:04142F0000E780232F +:04143000C78367E522 +:04143100E7B10FA769 +:0414320004136461DA +:04143300400C5D0408 :0414340045374641B1 :0414350010EF0002B2 -:0414360047B250C0A9 -:041437000017C703D0 -:0414380009C4278339 -:0414390047B2B7B54A -:04143A00C7834759C4 -:04143B009063006753 -:04143C00C78104E67A -:04143D00F79317FD0D -:04143E0047320FF72B -:04143F0000F703238C -:04144000C78367E512 -:04144100FFAD04A750 -:0414420004136461CA -:04144300484C5204BB -:0414440045374641A1 -:0414450010EF0002A2 -:0414460047324CC01D -:041447004503505CAD -:041448009782006720 -:04144900464165E5CE -:04144A00ACC5859315 -:04144B0046B9B735B2 -:04144C00F363873E81 -:04144D00473900F625 -:04144E00070547B295 -:04144F0000E783230C -:0414500065DDB7C1DE -:041451004645656542 -:04145200AEC585930B -:04145300AB8505134D -:04145400492010EF2C -:0414550065DD646588 -:0414560085934645EF -:041457000513AFC505 -:0414580010EFACC421 -:0414590047374800C9 -:04145A0027830002E2 -:04145B0045053C0700 -:04145C00E79364E5C9 -:04145D002023004701 -:04145E00D0EF3CF798 -:04145F008493A9AF1A -:0414600017B7BA44BC -:04146100A7030002DB -:0414620067C10D074A -:041463008F7D17FD65 -:04146400879367E51E -:041465004681AE0707 -:04146600D583466D77 -:041467000663000711 -:04146800068500B73E -:041469009AE3078972 -:04146A0047A2FEC6D1 -:04146B0087834771BB -:04146C0087B300A79B -:04146D00471502E736 -:04146E004BDC97A616 -:04146F00646383F53A -:04147000471102F727 -:0414710006D74263F5 -:04147200973E091880 -:04147300FDC7470367 -:0414740040D75733D3 -:04147500C7158B0507 -:041476000918078AC0 -:04147700A78397BAF6 -:041478008023FE4788 -:0414790067E500D74C -:04147A0004078523BB -:04147B0000024737ED -:04147C003C0727837F -:04147D0020239BEDA0 -:04147E0045013CF7F1 -:04147F00C7CFD0EF14 -:04148000665DB1AD47 -:0414810006130685C3 -:0414820045C5B08626 -:04148300ACC40513DD -:041484001CF000EF69 -:04148500D0EF45055A -:04148600A5379FEFF8 -:041487000513000742 -:04148800A0EF1205BA -:04148900B7C1EDDF1B -:04148A008EE347B1F5 -:04148B006509FAF6FF -:04148C0071050513CE -:04148D00ECBFA0EF21 -:04148E0047A2B7A911 -:04148F0064654671D9 +:0414360047B259E080 +:041437000007C703E0 +:04143800070A481C3B +:04143900438C97BA8F +:04143A0044374641AC +:04143B000513000293 +:04143C0010EF0204A7 +:04143D002783582089 +:04143E00E7933C04F0 +:04143F00202300273F +:0414400026233CF42F +:04144100478D3C0493 +:041442003CF4222331 +:041443003C0424231E +:0414440067E5B3F5B0 +:041445000FB7C78393 +:0414460000379713C1 +:04144700879367E53B +:0414480097BA9407B4 +:0414490007136761BD +:04144A00439CF507C3 +:04144B00DCE798E35F +:04144C009D1FC0EF31 +:04144D0047B2B3E10E +:04144E004701468587 +:04144F000017C78338 +:0414500000F6E5635A +:04145100F713078501 +:0414520047B20FF797 +:0414530000E780A38B +:04145400C78367E5FE +:04145500FFD50FA709 +:0414560004136461B6 +:0414570025835D0488 +:04145800464108C43D +:041459000002453711 +:04145A0050C010EF7F +:04145B00C70347B2CA +:04145C0027830017CB +:04145D00B7B509C452 +:04145E00475947B2F1 +:04145F000067C783D8 +:0414600004E69063AB +:0414610017FDC7812B +:041462000FF7F793F6 +:0414630003234732E6 +:0414640067E500F741 +:041465000FA7C78383 +:041466006461FFAD11 +:041467005D04041309 +:041468004641484C65 +:041469000002453701 +:04146A004CC010EF73 +:04146B00505C473258 +:04146C0000674503CD +:04146D0065E5978218 +:04146E0085934641DB +:04146F00B735B7C511 +:04147000873E46B9B4 +:0414710000F6F3632B +:0414720047B24739FD +:0414730083230705C3 +:04147400B7C100E715 +:04147500656565DD67 +:0414760085934645CF +:041477000513B9C5DB +:0414780010EFB68536 +:04147900646549203D +:04147A00464565DDA1 +:04147B00BAC58593D6 +:04147C00B7C40513D9 +:04147D00480010EF24 +:04147E0000024737EA +:04147F003C0727837C +:0414800064E54505D5 +:041481000047E793A6 +:041482003CF72023F0 +:04148300A6EFD0EF11 +:04148400C544849344 +:04148500000217B793 +:041486000D07A703A4 +:0414870017FD67C125 +:0414880067E58F7D08 +:04148900B907879385 +:04148A00466D4681E4 +:04148B000007D583FE +:04148C0000B706633C +:04148D000789068540 +:04148E00FEC69AE319 +:04148F00477147A2B8 :0414900000A78783A7 -:04149100BA4407133F -:04149200BA44041341 -:0414930002C78633D3 -:0414940046659732E0 -:0414950001674703A1 -:0414960008C6996388 -:04149700C701467DC6 -:041498007613177D33 -:0414990046F10FF712 -:04149A0002D786B33C -:04149B0096A2676549 -:04149C0000C68B23D8 -:04149D000F2346854E -:04149E00676506D7A1 -:04149F0007F7470301 -:0414A00000E79B6363 -:0414A10087B3477155 -:0414A20097A202E724 -:0414A3000167C70313 -:0414A4009B2367E53A -:0414A50067E506E70A -:0414A60004A7C7834D -:0414A70067E1EFA961 -:0414A8000FC7A58342 -:0414A900453746413C -:0414AA0010EF00023D -:0414AB0047A23380A1 -:0414AC0006934771EB -:0414AD00878346509B -:0414AE00665900A7D4 -:0414AF007D8606131D -:0414B00002E787B315 -:0414B100943E45C55B -:0414B2000164478307 -:0414B3000513646554 -:0414B40087B3ACC48A -:0414B500069302D7C1 -:0414B600C6B3064073 -:0414B70000EF02D769 -:0414B8004641101089 -:0414B900ACC4059327 -:0414BA0046F9BB85AF -:0414BB00ECE3460117 -:0414BC000705F6E644 -:0414BD0067E5B7BD6B -:0414BE0004B7C78325 -:0414BF000037971348 -:0414C000879367E5C2 -:0414C10097BA890746 -:0414C2000713676144 -:0414C300B369E6071C -:0414C4004301448517 -:0414C50065DD67E595 -:0414C600AB87851358 -:0414C700859346457E -:0414C800DC1AB185F4 -:0414C9002BE010EF15 -:0414CA0046A953627A -:0414CB0006B3479588 -:0414CC00873602D38A -:0414CD0000D7D3630E -:0414CE0064654715F5 -:0414CF000725665D2A -:0414D000B2860613C7 -:0414D100051345C5F5 -:0414D200DC1AACC4B0 -:0414D300093000EFED -:0414D4000002473794 -:0414D5003C07278326 -:0414D600E79345054E -:0414D7002023004787 -:0414D800D0EF3CF71E -:0414D90017378B2F07 -:0414DA002283000267 -:0414DB0067410D0751 -:0414DC00177D87A64B -:0414DD00F4B35362AF -:0414DE00EF9900E2A0 -:0414DF0067E5CC9160 -:0414E000AE078713B9 -:0414E100478146ED0C -:0414E20000075603A6 -:0414E30000C48D6351 -:0414E4000709078568 -:0414E500FED79AE3B1 -:0414E600051365097C -:0414E700DC1A710595 -:0414E800D5FFA0EF9D -:0414E9004725B7C913 -:0414EA0000E788632C -:0414EB00136347112F -:0414EC00472500038D -:0414ED0002E7DA63D5 -:0414EE0007854729FE -:0414EF0002E7E7B376 -:0414F00002E30333DD -:0414F100979A6765FA -:0414F20004F70BA34D -:0414F300E89FC0EFBF -:0414F40066DDC901E7 -:0414F500A306869331 -:0414F6000613665D16 -:0414F700B535804641 -:0414F800869366D998 -:0414F900BFCD7B06E2 -:0414FA009563476946 -:0414FB00431300E7B0 -:0414FC00B70D001315 -:0414FD0091E34731FF -:0414FE00B3F5FAE761 -:0414FF00C70367E5D3 -:0415000057D204A713 -:04150100D6070C639A -:0415020047A9B48DB4 -:04150300D80FF06F9E -:0415040072634715B2 -:0415050047097CF71F -:0415060000E6986300 -:04150700FFA78713A0 -:041508000FF777134F -:041509007AE67963A2 -:04150A00470956F245 -:04150B008023448570 -:04150C00468900E626 -:04150D00E96FF06F23 -:04150E004685448D3D -:04150F00E8EFF06FA2 -:041510008D63461D84 -:04151100460900C7C0 -:0415120000C40023EE -:04151300EAAFF06FDC -:0415140000F40023BC -:04151500EA2FF06F5A -:04151600B7FD460DCA -:04151700B7ED4615D1 -:041518008DD50696D1 -:041519000FF5F59342 -:04151A000045E59310 -:04151B00EAAFF06FD4 -:04151C007313478975 -:04151D00F8630FF56B -:04151E0075130087BA -:04151F0005130905A2 -:04152000F06FF7056C -:0415210055138EFFD1 -:04152200731300132C -:04152300F06F001550 -:04152400F4938E7F2F -:0415250084E3020455 -:04152600359396045F -:04152700C5930C87D5 -:0415280046850015DF -:04152900646547A20C -:04152A00C4836665AB -:04152B0067E500B7B9 -:04152C0007E78793B3 -:04152D000413DA3E8B -:04152E000613A48478 -:04152F00926303467A -:0415300002632C0422 -:04153100806362036E -:0415320007836205C4 -:0415330045890006E0 -:041534002AF5D5635C -:041535000006002389 -:04153600478546227D -:0415370005A3449133 -:04153800462200F651 -:04153900008517937F -:04153A0042106505F1 -:04153B00F00505139F -:04153C0065E58FE9E9 -:04153D00001605137C -:04153E000057E7B3B8 -:04153F000645859345 -:0415400000E56F63F0 -:041541000017051377 -:0415420000C56B6312 -:0415430042504622AA -:0415440000C79763E2 -:0415450046034622F1 -:0415460009630086AF -:0415470080235CD6CB -:041548004632000522 -:04154900019645833F -:04154A0046034622EC -:04154B009763037629 -:04154C0046320EC550 -:04154D0001A645832B -:04154E0046034622E8 -:04154F009F6303860D -:0415500046320CC54E -:0415510001B6458317 -:0415520046034622E4 -:041553009763039601 -:0415540046320CC54A -:0415550001C6458303 -:0415560046034622E0 -:041557009F6303A6E5 -:0415580046320AC548 -:0415590001D64583EF -:04155A0046034622DC -:04155B00976303B6D9 -:04155C0046320AC544 -:04155D00014645837B -:04155E0046034622D8 -:04155F009F6303265D -:04156000463208C542 -:041561000156458367 -:0415620046034622D4 -:041563009763033651 -:04156400463208C53E -:041565000166458353 -:0415660046034622D0 -:041567009F63034635 -:04156800463206C53C -:04156900017645833F -:04156A0046034622CC -:04156B009763035629 -:04156C00463206C538 -:04156D00018645832B -:04156E0046034622C8 -:04156F009F6303660D -:04157000666504C5E3 -:04157100B5C60613E2 -:041572000276458335 -:0415730004544603D3 -:0415740004C59663B1 -:04157500061366658E -:041576004583B5C62E -:04157700460302869F -:041578009D63046407 -:04157900666502C5DC -:04157A00B5C60613D9 -:04157B00033645836B -:04157C000514460309 -:04157D0002C59463AC -:04157E000613666585 -:04157F004583B5C625 -:04158000460303A675 -:041581009B630584DF -:04158200666500C5D5 -:04158300B5C60613D0 -:0415840003B64583E2 -:041585000594460380 -:0415860000C58963B0 -:0415870086264589E6 -:0415880000B4F36355 -:041589007493460908 -:04158A0046320FF6E0 -:04158B00025645833C -:04158C0046034622AA -:04158D008B63043632 -:04158E00462202C52A -:04158F00060345F119 -:0415900005B300A6F9 -:04159100666502B6D3 -:04159200BA4606133C -:041593004A10962E36 -:0415940020D00593CB -:041595007613821532 -:0415960019637FF660 -:04159700458900B6CC -:04159800F36386264D -:04159900460900B44B -:04159A000FF6749341 -:04159B00458346320C -:04159C00462202667B -:04159D0004464603B7 -:04159E0002C58B6394 -:04159F0045F14622AA -:0415A00000A6060398 -:0415A10002B605B3D6 -:0415A2000613666561 -:0415A300962EBA4680 -:0415A40005934A1051 -:0415A50082151C107F -:0415A6007FF6761343 -:0415A70000B619630E -:0415A80086264589C5 -:0415A90000B4F36334 -:0415AA0074934609E7 -:0415AB0045A20FF650 -:0415AC00000286377C -:0415AD00C5834210A0 -:0415AE00826D01D574 -:0415AF0089638A3D85 -:0415B000458900C5A4 -:0415B100F363862634 -:0415B200460900B432 -:0415B3000FF6749328 -:0415B4004603565242 -:0415B500CE49000615 -:0415B60000A4050385 -:0415B700666542F132 -:0415B80002550533A0 -:0415B900BA46031318 -:0415BA000124458340 -:0415BB00438342958F -:0415BC00460104548C -:0415BD002503932A45 -:0415BE00897D01031F -:0415BF0002B5053339 -:0415C0000255053398 -:0415C100064002934B -:0415C20003250513E5 -:0415C3000255453355 -:0415C40000E352836B -:0415C500025585B393 -:0415C6000542952E17 -:0415C7009593814136 -:0415C8001B230107D9 -:0415C90081C100A438 -:0415CA000003866331 -:0415CB00018326036F -:0415CC008A05820505 -:0415CD00DC3AD0B67E -:0415CE00B0EFDE3E5E -:0415CF000603E90F17 -:0415D00045F100A43D -:0415D100063367E591 -:0415D200829302B648 -:0415D3004583BA474B -:0415D4009616012442 -:0415D5000166450363 -:0415D600855FB0EF8E -:0415D70000A409A3C0 -:0415D80057F257620D -:0415D9008626568686 -:0415DA004605E09151 -:0415DB000FF6749300 -:0415DC00C05CC01817 -:0415DD0000D404230F -:0415DE000785A035A8 -:0415DF0000F60023EF -:0415E0000463B38D60 -:0415E1009063000310 -:0415E2000783360540 -:0415E300537100063A -:0415E4003467D6632F -:0415E500002347A2F6 -:0415E6004491000626 -:0415E700000785A3D1 -:0415E800D40591E3B2 -:0415E900C70347B23B -:0415EA0047A200070D -:0415EB0001E7C783CA -:0415EC0010F71D6374 -:0415ED00C70347B237 -:0415EE0047A20017F9 -:0415EF0001F7C783B6 -:0415F00010F7156378 -:0415F100C70347B233 -:0415F20047A20027E5 -:0415F3000207C783A1 -:0415F4000EF71D636E -:0415F500C70347B22F -:0415F60047A20037D1 -:0415F7000217C7838D -:0415F8000EF7156372 -:0415F900C70347B22B -:0415FA0047A200679D -:0415FB000247C78359 -:0415FC000CF71D6368 -:0415FD00461547A2A6 -:0415FE000267859368 -:0415FF00851347B257 -:0416000000EF008770 -:04160100E17158D06B -:04160200461947A29C -:0416030002B7859312 -:04160400851347B251 -:0416050000EF00D71B -:04160600E9455790CB -:04160700C70347B21C -:0416080047A20047AE -:041609000227C7836A -:04160A000AF7116367 -:04160B00C70347B218 -:04160C0047A200579A -:04160D000237C78356 -:04160E0008F719635D -:04160F00C70347B214 -:0416100047A2007776 -:041611000257C78332 -:0416120008F7116361 -:04161300879367E56D -:04161400C703B5C78C -:04161500478301F70F -:04161600186303D47E -:0416170067E506F786 -:04161800B5C7879338 -:041619000207C703FA -:04161A0003E447831B -:04161B0004F71F634E -:04161C00879367E564 -:04161D00C703B5C783 -:04161E0047830217E5 -:04161F00166303F457 -:0416200067E504F77F -:04162100B5C787932F -:041622000227C703D1 -:0416230004044783F1 -:0416240002F71D6349 -:04162500879367E55B -:04162600C703B5C77A -:04162700478301E70D -:04162800146303C480 -:0416290067E502F778 -:04162A00B5C7879326 -:04162B000367C70387 -:04162C0005444783A7 -:04162D0000F71B6344 -:04162E00879367E552 -:04162F00C703B5C771 -:041630004783035792 -:041631000763053412 -:0416320087A600F790 -:041633004785E09176 -:041634000FF7F49325 -:04163500C58347B270 -:0416360047A202A71E -:04163700C783DC2E5B -:041638008E63048732 -:04163900454100B770 -:04163A00BFEFB0EF5F -:04163B00891D55E2CE -:04163C008DC9058EC1 -:04163D000FF5F5931D -:04163E00B0EF454183 -:04163F0047B2C36F7C -:0416400002B7C583A5 -:04164100C78347A272 -:041642008663049720 -:04164300051300B7D4 -:04164400B0EF03D030 -:0416450047B2C1EFF8 -:0416460002C7C5838F -:04164700C78347A26C -:04164800856304A70B -:04164900454500B75C -:04164A00C08FB0EFAE -:04164B00472247B239 -:04164C000307C58348 -:04164D0004E7470364 -:04164E000317C78334 -:04164F0000B7176366 -:0416500047034722E3 -:041651000B6304F72C -:04165200454900F70F -:04165300B0EFDC3EDA -:0416540057E2BE2F6C -:0416550085BE454DBC -:04165600BD8FB0EFA5 -:04165700C50347B2CE -:04165800478302972B -:04165900846304742E -:04165A00478502A717 -:04165B0000A7F86389 -:04165C00C50367E576 -:04165D00156106B756 -:04165E00001535132B -:04165F00053347E127 -:0416600067DD02F54B -:041661007A478793AA -:04166200B0EF953E12 -:0416630047B2D0CFEB -:0416640002F7C503C1 -:0416650004D44783DF -:0416660000A78463F2 -:04166700EB4FB0EFA6 -:04166800C50347B2BD -:04166900478302D7DA -:04166A00846304B4DD -:04166B00B0EF00A735 -:04166C0047B2DDCFD5 -:04166D0002E7C58348 -:04166E0004C44783E6 -:04166F008F63DC2E7B -:04167000456900B711 -:04167100B22FB0EFF5 -:04167200751355E2B5 -:041673000592FCF5EB -:04167400F5938DC994 -:0416750045690FF5BF -:04167600B58FB0EF8D -:0416770047B24732FD -:0416780003D74583CC -:0416790003C7C78359 -:04167A0005A4470379 -:04167B0000F71663FB -:04167C0005B4470367 -:04167D0000B70A6345 -:04167E008DDD058E6B -:04167F000FF5F593DB -:0416800002800513CC -:04168100B2CFB0EF45 -:04168200879367E5FE -:041683008793B5C7CD -:04168400853E03E7B5 -:041685000593461D66 -:0416860000EF05C4A8 -:0416870067E537508C -:04168800B9A78793E4 -:04168900853EC501D4 -:04168A00B4AFB0EF5A -:04168B00879366E5F6 -:04168C00C703B5C615 -:04168D004783037715 -:04168E001A63055482 -:04168F00879300F746 -:04169000C703B5C611 -:041691004783038701 -:041692000563056483 -:0416930067E502F70E -:04169400B5C78793BC -:041695000237C7034E -:04169600B0EFC63AB1 -:0416970045819C6F7E -:041698000CE005134A -:04169900980FB0EF07 -:04169A004789473203 -:04169B0000F70463ED -:04169C00EF0FB0EFAD -:04169D00C78367E5B3 -:04169E00C7950547A0 -:04169F00879367E5E1 -:0416A000C583B5C782 -:0416A10047830397E1 -:0416A2008E630574DA -:0416A30015D100B7A6 -:0416A400F59305862F -:0416A50045050FF5F3 -:0416A600B0EFC62EAD -:0416A70045B29CCFDD -:0416A800B0EF450951 -:0416A90067E59C4F06 -:0416AA00B5C78593A8 -:0416AB0004600613BE -:0416AC0001E405133D -:0416AD00B13FA0EFBA -:0416AE00802357D26C -:0416AF004789000760 -:0416B00010F482634D -:0416B1008763479173 -:0416B200478504F470 -:0416B3000AF4926340 -:0416B40000B44783B4 -:0416B500A0EFCFD102 -:0416B600A859B55F1B -:0416B700002317FDF8 -:0416B800448100F673 -:0416B9000023B975DC -:0416BA00BFE5000682 -:0416BB000005C6035D -:0416BC0008E34505F5 -:0416BD000605A2A6D6 -:0416BE000FF676139A -:0416BF0000C58023BF -:0416C000A2A611E3EA -:0416C10086264589AB -:0416C20000B4F3631A -:0416C30074934609CD -:0416C400BC010FF660 -:0416C50000B44783A3 -:0416C6006765C3B5DC -:0416C7000667071398 -:0416C800000757833D -:0416C9000513640998 -:0416CA00E79371042D -:0416CB0010230027C1 -:0416CC00173700F7D5 -:0416CD0020230002D4 -:0416CE00A0EF0EF784 -:0416CF0045C5DC4FE2 -:0416D000B0EF4559D9 -:0416D10005139EEF70 -:0416D200A0EF710410 -:0416D3004589DB4F1B -:0416D400B0EF455DD1 -:0416D50005139DEF6D -:0416D600A0EF71040C -:0416D700C0EFDA4F37 -:0416D80067E5B87F8B -:0416D900B5C7879377 -:0416DA000237C5030B -:0416DB00929FB0EF3B -:0416DC0012C0051320 -:0416DD00D8AFA0EFF3 -:0416DE00BF3FE06FBB -:0416DF0000042223BE -:0416E000BD5FB0EF4B -:0416E10001C4478376 -:0416E20046456565AF -:0416E3000027971332 -:0416E400879367DDA4 -:0416E50097BA7787B2 -:0416E6000513438C19 -:0416E70000EFB185DA -:0416E80065DD245048 -:0416E90046456565A8 -:0416EA00B3458593EC -:0416EB00B2C505136C -:0416EC00233000EFB8 -:0416ED00C0EF450500 -:0416EE00A0EFAC3F7E -:0416EF00D002D68FC0 -:0416F000B77DCE02F2 -:0416F10000B4478377 -:0416F200C0EFD7C5A9 -:0416F300B74DB1BF7F -:0416F4006BE3471548 -:0416F500448984F7A9 -:0416F600E06F4681DA -:0416F7000113EF1FCD -:0416F800D6A6F7C1BA -:0416F900DA86C13E8E -:0416FA00D8A267E526 -:0416FB00DEBADCB6C1 -:0416FC00A347A483D9 -:0416FD000005DC63A5 -:0416FE0008B0079396 -:0416FF00557DC09CB9 -:04170000544650D625 -:04170100011354B6C6 -:041702008082084198 -:0417030020800793A8 -:0417040000F11823B5 -:04170500CA2AC22A00 -:041706004781842E65 -:041707008793C1996A -:04170800C63EFFF5E5 -:0417090018B4CC3E06 -:04170A00004C57FD3B -:04170B0019238526F3 -:04170C00C03600F1F2 -:04170D0057FD2C59FF -:04170E0000F555632A -:04170F0008B0079384 -:04171000DC5DC09C40 -:041711008023479258 -:04171200BF5D0007B0 -:04171300A783C5C51E -:041714001141FFC5BB -:04171500C606C4221E -:04171600FFC5841374 -:041717000007D36391 -:04171800C02A943E11 -:041719000BB000EF22 -:04171A002783676555 -:04171B0045020887F4 -:04171C00EB91863A8D -:04171D00000422237F -:04171E0008872423F1 -:04171F0040B244226E -:04172000006F014114 -:041721007F6309F0E9 -:04172200401400F47B -:0417230000D40733B4 -:0417240000E79663E1 -:0417250043DC4398C6 -:04172600C01897361A -:041727002423C05C5B -:04172800BFE9088687 -:0417290043DC873ED8 -:04172A007DE3C399FF -:04172B004314FEF471 -:04172C0000D70633A9 -:04172D0000861F63B0 -:04172E0096B240101F -:04172F000633C314A6 -:041730009DE300D75E -:041731004390FAC720 -:0417320096B243DC4C -:04173300C35CC314BC -:041734007563B775AD -:0417350047B100C4F4 -:04173600B74DC11CCE -:0417370006B34010A5 -:04173800966300C4F0 -:04173900439400D7FE -:04173A0096B243DC44 -:04173B00C05CC014BA -:04173C00B769C34086 -:04173D001141808254 -:04173E008493C226A8 -:04173F0098F10035E8 -:04174000C422C606F3 -:04174100473104A187 -:04174200FC6387AA13 -:0417430044B104E4C5 -:0417440004B4EB639B -:04174500C03E853EDF -:04174600007000EF40 -:041747002683676529 -:041748004782088745 -:0417490008870613F4 -:04174A00E4318436CC -:04174B0004136465BA -:04174C00401808C475 -:04174D00853EEB01E9 -:04174E00C03E4581D3 -:04174F0079C000EF6E -:04175000C008478204 -:0417510085A6853EA6 -:0417520000EFC03EA6 -:04175300577D78E066 -:04175400166347824F -:04175500473106E52D -:04175600853EC39871 -:041757007C4000EFE3 -:04175800D7E3A0290A -:041759004731FA0416 -:04175A004501C398EA -:04175B00442240B232 -:04175C000141449271 -:04175D00401880822E -:04175E004F638F0541 -:04175F0045AD02078B -:0417600000E5F66347 -:04176100943AC018DE -:04176200A029C004F6 -:0417630093634058F4 -:04176400C21802861F -:0417650000EF853ECE -:04176600051378A04F -:04176700079300B430 -:04176800996100443F -:0417690040F507330D -:04176A00FCF502E3A5 -:04176B008F89943A94 -:04176C00BF6DC01C71 -:04176D00BFF9C2D826 -:04176E00404086A2CF -:04176F000413B7BDEB -:041770009871003537 -:04177100FC8502E30E -:0417720040A405B3D7 -:04177300C03E853EB1 -:04177400577D272155 -:0417750019E34782AB -:04177600BFB5FAE51C -:04177700C232111158 -:04177800C8264590AA -:04177900CA22CC06AE -:04177A00E46384AEF2 -:04177B0082AA08C670 -:04177C0000C5D503CC -:04177D004805771391 -:04177E0048D0CB3D47 -:04177F004080470D52 -:0417800002C7073362 -:041781004609498C40 -:0417820040B407B3B5 -:041783004433C03EED -:04178400861302C7FF -:04178500963E001676 -:0417860000C47363C5 -:041787007513843220 -:04178800C63640051C -:041789008516C535C7 -:04178A00C41685A25A -:04178B00ECBFF0EFD0 -:04178C0046B242A27D -:04178D00CD254731EE -:04178E00488C46023B -:04178F00C42AC6366C -:04179000F86FA0EF5F -:0417910000C4D603B7 -:0417920046B24322F6 -:04179300B7F676131C -:0417940008066613CA -:0417950000C49623D3 -:04179600A8234782BB -:04179700C8C0006462 -:041798000733933E42 -:04179900A02340F455 -:04179A00863600642B -:04179B00F363C49898 -:04179C00863600C6C7 -:04179D0040884592A9 -:04179E00A0EFC032C6 -:04179F004498F62F45 -:0417A00045014602B7 -:0417A100C4988F1148 -:0417A200963A40989B -:0417A300A80DC0903D -:0417A40086228516FE -:0417A5002571C416D0 -:0417A60046B242A263 -:0417A700FD4D832A47 -:0417A8008516488CCE -:0417A900F0EFC01687 -:0417AA004282DA7F1E -:0417AB00A0234731FF -:0417AC00D70300E27D -:0417AD00557D00C4A2 -:0417AE0004076713B2 -:0417AF0000E4962399 -:0417B000445240E27D -:0417B100017144C2BC -:0417B200D703808257 -:0417B300011300C559 -:0417B400C122F781D6 -:0417B5000793DEA612 -:0417B600C30601F174 -:0417B700C02EC22A54 -:0417B8000807771394 -:0417B900F41384B2EF -:0417BA00CB1DFF87BD -:0417BB00EB0D499851 -:0417BC00040005938D -:0417BD00F0EFC6364D -:0417BE004782E01F5F -:0417BF00C38846B2E3 -:0417C000E919CB88D0 -:0417C10047B14712D3 -:0417C200C31C557D72 -:0417C300440A409AFA -:0417C400011354F6C3 -:0417C5008082088195 -:0417C600071347823C -:0417C700CBD8040077 -:0417C8000200071301 -:0417C90000E40CA389 -:0417CA0003000713FE -:0417CB0000042A23C9 -:0417CC0000E40D2305 -:0417CD008726CA366B -:0417CE0002500613AC -:0417CF000007468346 -:0417D0009663C299C1 -:0417D10006B30AC68B -:0417D20001634097D8 -:0417D30045820297B2 -:0417D400862645120E -:0417D500C636C83A12 -:0417D600E85FF0EFE9 -:0417D7000A63567DCE -:0417D80048501AC596 -:0417D900474246B28B -:0417DA00C850963627 -:0417DB00000746833A -:0417DC001A06816305 -:0417DD00001704935A -:0417DE002023577DF0 -:0417DF0026230004B9 -:0417E000C0580004E9 -:0417E10000042423B9 -:0417E200040401A357 -:0417E30004042C23AB -:0417E4000004C583B5 -:0417E500461567E15D -:0417E600DC87851304 -:0417E700401423A5E2 -:0417E800001487134F -:0417E900F613E539D5 -:0417EA00C609010625 -:0417EB0002000613DF -:0417EC0004C401A38D -:0417ED000086F61369 -:0417EE000613C6090F -:0417EF0001A302B0A0 -:0417F000C58304C4E5 -:0417F10006130004D7 -:0417F2008F6302A05F -:0417F300445402C593 -:0417F400458187267E -:0417F50042A945259B -:0417F600000746039F -:0417F70000170313C1 -:0417F800FD060613D1 -:0417F90006C5776347 -:0417FA00C454C98585 -:0417FB000705A03509 -:0417FC0067E1B7B139 -:0417FD00DC878613EC -:0417FE0046058D11FE -:0417FF0000A61533F8 -:04180000C0148EC9B9 -:04180100B76984BA85 -:0418020005934652B2 -:041803004210004649 -:041804004963CA2E3C -:04180500C4500206C3 -:04180600000746038E -:0418070002E0069362 -:0418080006D611638C -:04180900001746037B -:04180A0002A006939F -:04180B0002D61B6383 -:04180C00070946D2B0 -:04180D0000468613F8 -:04180E00CA32429404 -:04180F000206C163A9 -:04181000A081C0549F -:0418110040C006339A -:041812000026E69333 -:04181300C014C450E9 -:0418140086B3B7E1FF -:04181500871A0256D6 -:0418160096B24585BC -:0418170056FDBFB506 -:041818000705B7C544 -:041819000004222382 -:04181A00468145813D -:04181B0042A9452574 -:04181C000007460378 -:04181D00001703139A -:04181E00FD060613AA -:04181F0006C5736324 -:041820004583F1E12A -:0418210064E1000777 -:041822008513460DD7 -:04182300C63ADD04E0 -:041824004732299589 -:041825008493CD01DA -:041826008D05DD044B -:041827000400069320 -:0418280000A696B3CD -:041829000705400867 -:04182A00C0088D5510 -:04182B0000074583EA -:04182C004619656193 -:04182D00DD4505137D -:04182E000017049308 -:04182F0000B40C23D2 -:04183000C13521910C -:041831000000071399 -:041832004014E70D6A -:04183300F69347528F -:04183400CE9110063B -:04183500CA3A071193 -:0418360047A2485825 -:04183700C858973EB8 -:0418380086B3BD991D -:04183900871A0256B2 -:04183A0096B2458598 -:04183B000721B75179 -:04183C004602B7DDCC -:04183D0066D9451211 -:04183E00869308582D -:04183F0085A2DDC6DB -:04184000000000970D -:04184100000000E7BC -:0418420047A2C42ACB -:0418430095E3577D55 -:041844004782FCE7F4 -:04184500D703557DF3 -:04184600771300C74D -:0418470017E3040798 -:041848004848DE0727 -:041849004602B3E5BB -:04184A0066D9451204 -:04184B008693085820 -:04184C0085A2DDC6CE -:04184D00BFC92215D8 -:04184E0087AE11014F -:04184F0085B6CA266A -:04185000479484BA7B -:04185100CC224B98C2 -:04185200842ACE0610 -:041853005363833226 -:04185400873600D7FC -:0418550000E3202369 -:041856000437C6830A -:041857000705C6813A -:0418580000E3202366 -:041859007713439826 -:04185A00C7110207A9 -:04185B00000327035C -:04185C002023070935 -:04185D00439800E3C9 -:04185E000197861355 -:04185F00C3358B19E9 -:04186000C683439860 -:0418610077130437BE -:0418620036B3020790 -:04186300E75100D079 -:0418640004378613AC -:04186500C41A8522FA -:04186600C02EC23E90 -:04186700577D948293 -:0418680006E5076327 -:04186900432247923D -:04186A0043944582DC -:04186B00000326034D -:04186C008A99431101 -:04186D00470147C820 -:04186E000066976316 -:04186F0040C5073336 -:0418700000075363B7 -:041871004794470150 -:0418720054634B90E0 -:041873008E9100D67C -:04187400430197365F -:0418750001A786132E -:04187600066710638E -:04187700A80D450172 -:0418780047D4070545 -:041879000003250340 -:04187A005BE38E8915 -:04187B004685F8D7CF -:04187C00C81A8522DF -:04187D00C43AC63E65 -:04187E00C02EC23284 -:04187F0056FD9482FC -:041880004612458245 -:0418810047B2472201 -:041882001BE34342DF -:04188300557DFCD5BE -:04188400446240F288 -:04188500610544D2E3 -:0418860086338082A3 -:04188700051300D76E -:0418880001A30300B5 -:04188900C60304A6E8 -:04188A008713045765 -:04188B00973E00166E -:04188C0001A3068925 -:04188D00BFA904C724 -:04188E0085224685E4 -:04188F00C43AC61A77 -:04189000C02EC23272 -:0418910057FD9482E9 -:04189200FCF503E37B -:041893004722433273 -:041894004582461231 -:04189500B749030547 -:04189600CC2211014E -:04189700CE06CA2689 -:04189800842EC02AB0 -:04189900043585137A -:04189A000185C5837C -:04189B00C23284B61B -:04189C000780069328 -:04189D0000B6EC6342 -:04189E000620069387 -:04189F0000B6ED633F -:0418A0001C058C6334 -:0418A1000580069325 -:0418A20012D58D636B -:0418A3000424031303 -:0418A40004B4012364 -:0418A5008693A80579 -:0418A600F693F9D5E7 -:0418A70046550FF69D -:0418A800FED666E31F -:0418A900068A6661E4 -:0418AA00E0460613FB -:0418AB00429496B21B -:0418AC0043148682D9 -:0418AD0004240313F9 -:0418AE000046861357 -:0418AF004298C31088 -:0418B00004E4012328 -:0418B100AA754705C8 -:0418B20023034014B8 -:0418B300F613000721 -:0418B400059308068A -:0418B500C20D00431D -:0418B6000003268382 -:0418B7006661C30C97 -:0418B8000006D863EB -:0418B90002D007133F -:0418BA0040D006B361 -:0418BB0004E401A39D -:0418BC00DDC606136C -:0418BD00A0B947295E -:0418BE000406F61313 -:0418BF000003268379 -:0418C000DE71C30C06 -:0418C10086C106C214 -:0418C2004010BFD93A -:0418C30072934314C5 -:0418C400831308067C -:0418C50086630046F0 -:0418C60020230002D9 -:0418C70042940067E0 -:0418C8007613A801EA -:0418C90020230406CE -:0418CA00DA75006764 -:0418CB000006D683BA -:0418CC000713666137 -:0418CD00061306F008 -:0418CE008763DDC689 -:0418CF0047290EE5B2 -:0418D000040401A368 -:0418D100C40C404CB7 -:0418D2000005C863E2 -:0418D30000042303E7 -:0418D400FFB37313D8 -:0418D5000064202368 -:0418D600832AE299E6 -:0418D700832ACD99FA -:0418D80002E6F5B37C -:0418D90095B2137D34 -:0418DA000005C583BD -:0418DB0000B3002333 -:0418DC00D6B385B644 -:0418DD00F5E302E647 -:0418DE0046A1FEE53C -:0418DF0000D71E63AD -:0418E0008B0540181C -:0418E1004054CB1193 -:0418E20047634818F8 -:0418E300071300D710 -:0418E4000FA303004B -:0418E500137DFEE38E -:0418E6004065053321 -:0418E7004692C80855 -:0418E8008726450208 -:0418E90085A20810BC -:0418EA00F0EFC41A3D -:0418EB00577DD8FF4E -:0418EC001C63432214 -:0418ED00557D0CE534 -:0418EE00446240F21E -:0418EF00610544D279 -:0418F000666180822B -:0418F10004B402A396 -:0418F200DDC6061336 -:0418F3002303400C7F -:0418F400F293000764 -:0418F5002683080539 -:0418F60003110003D7 -:0418F70002028D63F9 -:0418F8000067202342 -:0418F9000015F713CC -:0418FA00E593C701AA -:0418FB00C00C020516 -:0418FC00F6B94741B1 -:0418FD00F593400C13 -:0418FE00C00CFDF528 -:0418FF004014B79149 -:041900000206E69362 -:041901000693C01475 -:041902006661078093 -:0419030004D402A363 -:04190400DF060613E1 -:04190500F293BF6535 -:041906002023040591 -:0419070083E300670F -:0419080006C2FC0215 -:04190900BF7D82C15B -:04190A00BF19472199 -:04190B004314401031 -:04190C007293484C3E -:04190D008313080632 -:04190E0087630046A5 -:04190F00202300028F -:041910004298006792 -:04191100A809C30C52 -:041912000067202327 -:04191300040676133D -:04191400DA6D4298AE -:0419150000B71023E4 -:04191600000428237E -:04191700B781832AE7 -:0419180045814314AE -:0419190000468613EB -:04191A00A303C31050 -:04191B004050000632 -:04191C00C41A851A4A -:04191D0043222841F8 -:04191E000533C501C7 -:04191F00C048406517 -:04192000C81840584B -:04192100040401A316 -:041922004814BF198D -:0419230045024592A2 -:041924009482861A09 -:0419250000E3577D07 -:041926004018F2E58E -:04192700EB0D8B0930 -:0419280044484742A6 -:04192900F0E55AE3A8 -:04192A00B739853A0A -:04192B00450245929A -:04192C00C63A4685EC -:04192D009482C432AA -:04192E000EE356FD71 -:04192F004732EED578 -:04193000070546223F -:0419310045C2445413 -:0419320041E38E8D72 -:04193300BFC9FED753 -:04193400061347014E -:04193500B7FD019465 -:04193600C222115167 -:04193700842AC02618 -:04193800852E64E5AF -:04193900A223C4061B -:04193A002A1508045E -:04193B00166357FDDB -:04193C00A78300F588 -:04193D00C391084406 -:04193E0040A2C01CE7 -:04193F004482441288 -:04194000808201316F -:041941000FF5F59316 -:041942001463962A6A -:04194300450100C595 -:0419440047838082D3 -:041945008DE3000529 -:041946000505FEB7DE -:041947008082B7FDE6 -:04194800E581808233 -:04194900F06F85B204 -:04194A001131FD0F4B -:04194B00C622C806E2 -:04194C00EA11C426B2 -:04194D00F18FF0EF37 -:04194E0040C24781CB -:04194F0044A2443238 -:041950000151853E7E -:04195100842E8082DE -:0419520084AAC03271 -:041953004602203DEB -:0419540074E387A20F -:0419550085B2FEC594 -:04195600C2328526EE -:04195700F9AFF0EF05 -:04195800DD6187AA1C -:0419590085A246120B -:04195A00A0EFC02A10 -:04195B0085A285CF0D -:04195C00F0EF8526FD -:04195D004782EDAF21 -:04195E00A783B7C9DB -:04195F008513FFC528 -:04196000D563FFC785 -:0419610095AA00073C -:04196200953E419CD1 -:041963004701808236 -:0419640000E6146322 -:041965008082450136 -:0419660000E507B3DE -:0419670086B3070537 -:04196800C78300E54C -:04196900C68300072A -:04196A0083E3FFF61E -:04196B008533FED7EB -:04196C00808240D75E -:04196D00167DC60D10 -:04196E0007B3470173 -:04196F0086B300E556 -:04197000C78300E544 -:04197100C683000722 -:041972009463000674 -:04197300156300D721 -:04197400853300C7F0 -:04197500808240D755 -:04197600F3E5070589 -:041977004501BFDD8A -:0419780087AA808238 -:04197900C703CA09CD -:04197A0005850005DA -:04197B008FA30785AA -:04197C00167DFEE7EF -:04197D00963EFB6532 -:04197E0000C79363A8 -:04197F0007858082D6 -:04198000FE078FA32C -:0419810095AABFD58F -:04198200856387AA48 -:04198300C70300B7DF -:04198400E701000770 -:0419850040A78533BF -:0419860007858082CF -:0419870063E5B7FD60 -:041988000903A78325 -:04198900C222115114 -:04198A00842AC406E1 -:04198B000903839336 -:04198C004501E79D8D -:04198D004601458149 -:04198E004701468146 -:04198F000D60029352 -:0419900000000073E0 -:041991001A6357FD81 -:04199200283500F5FF -:04199300C11C47B17B -:0419940040A2557D9B -:0419950001314412C6 -:04199600A023808288 -:04199700A30300A303 -:041998004581000382 -:0419990005334601CB -:04199A00468100641E -:04199B004781470138 -:04199C000D60029345 -:04199D0000000073D3 -:04199E0018E3941A9C -:04199F00A023FC8500 -:0419A000851A00A301 -:0419A10067E5B7F946 -:0419A200A347A503AF -:0419A300000080823E -:0419A400000000003F -:0419A500000000003E -:0419A600000000003D -:0419A700000000003C -:0419A8004320445341 -:0419A9002044524143 -:0419AA004C4C495701 -:0419AB000045422091 -:0419AC005245564FFB -:0419AD0054495257F0 -:0419AE00214E45542D -:0419AF0045002121AD -:0419B000726F70786A -:0419B10031203F742E -:0419B200202C593D4F -:0419B300004E3D3273 -:0419B4007365725095 -:0419B500203120734A -:0419B6003220726FFA -:0419B700000000002C -:0419B8004957534DEB -:0419B900312E344E49 -:0419BA0080040200A3 -:0419BB00080002001E -:0419BC0020F880008F -:0419BD00FF003F00E8 -:0419BE00F429008088 -:0419BF004F04C6CF3C -:0419C00050435353EA -:0419C10049464F52F2 -:0419C2004146534CFB -:0419C3002036315445 -:0419C40000002020DF -:0419C5004353534FE6 -:0419C600464F5250E6 -:0419C700204E494223 -:0419C800B5048E00D4 -:0419C900516F516F9A -:0419CA008917000079 -:0419CB000002516F56 -:0419CC000010000007 -:0419CD0000010454BD -:0419CE000001045AB6 -:0419CF0000010460AF -:0419D00000010466A8 -:0419D1000001040805 -:0419D20000010428E4 -:0419D30000010428E3 -:0419D40000010428E2 -:0419D50000010428E1 -:0419D60000010428E0 -:0419D70000010428DF -:0419D8000001042CDA -:0419D90000010432D3 -:0419DA006C206F4EC0 -:0419DB00006B6E69C6 -:0419DC006D206425F1 -:0419DD0000000056B0 -:0419DE00252E752518 -:0419DF002075322E0F -:0419E000000073751B -:0419E100252575251E -:0419E2000000000001 -:0419E3006C207525DA -:0419E40073656E6950 -:0419E50000000000FE -:0419E60070207525D3 -:0419E7006C6578694A -:0419E8000000007388 -:0419E9000000752560 -:0419EA0064206425EC -:0419EB0000000042B6 -:0419EC00656E6F4471 -:0419ED0000000000F6 -:0419EE006C69614679 -:0419EF0028206465E3 -:0419F0000029642541 -:0419F10000011A389F -:0419F20000011A5284 -:0419F30000011A5C79 -:0419F40000011A8E46 -:0419F50000011A6A69 -:0419F60064206425E0 -:0419F7000000676520 -:0419F800322E252E38 -:0419F9000000007575 -:0419FA006573753C60 -:0419FB0000003E6446 -:0419FC0044525355A9 -:0419FD000041544110 -:0419FE00706D653C67 -:0419FF00003E7974B9 -:041A0000203A7525EE -:041A01000000732549 -:041A020074204B4FB2 -:041A03006E69206F79 -:041A04000000746901 -:041A0500736C614657 -:041A06007274206571 -:041A0700656767693F -:041A08000000007268 -:041A0900656D69544A -:041A0A000074756F80 -:041A0B00252E7525EA -:041A0C006D75322E94 +:0414910002E787B334 +:0414920097A64715BD +:0414930083F54BDCB6 +:0414940002F7646394 +:041495004263471156 +:04149600091806D754 +:041497004703973E32 +:041498005733FDC702 +:041499008B0540D7A8 +:04149A00078AC715E1 +:04149B0097BA0918DB +:04149C00FE47A783DD +:04149D0000D78023D1 +:04149E008D2367E54E +:04149F0047370E07B6 +:0414A000278300029C +:0414A1009BED3C077C +:0414A2003CF72023D0 +:0414A300D0EF450140 +:0414A400B1ADC50F12 +:0414A5000685665DF5 +:0414A600BB860613E8 +:0414A700051345C51F +:0414A80000EFB7C4D6 +:0414A90045051CF0E9 +:0414AA009D2FD0EFB3 +:0414AB000007A5375A +:0414AC00120505130D +:0414AD00E4BFA0EF09 +:0414AE0047B1B7C1CA +:0414AF00FAF68EE3D8 +:0414B00005136509B2 +:0414B100A0EF710532 +:0414B200B7A9E39F54 +:0414B300467147A295 +:0414B4008783646561 +:0414B500071300A772 +:0414B6000413C54412 +:0414B7008633C5446F +:0414B800973202C79E +:0414B900470346653A +:0414BA0099630167CA +:0414BB00467D08C69C +:0414BC00177DC701D0 +:0414BD000FF776139C +:0414BE0086B346F1BA +:0414BF00676502D784 +:0414C0008B2396A242 +:0414C100468500C696 +:0414C20012D7072313 +:0414C300470367650F +:0414C4009B6312F71D +:0414C500477100E784 +:0414C60002E787B3FF +:0414C700C70397A21E +:0414C80067E501676C +:0414C90012E7932370 +:0414CA00C78367E588 +:0414CB00EFA90FA7CF +:0414CC00A58367E1AC +:0414CD0046411AC7B3 +:0414CE00000245379C +:0414CF00338010EF67 +:0414D000477147A277 +:0414D10046500693E8 +:0414D20000A7878365 +:0414D3000613665D39 +:0414D40087B386C68E +:0414D50045C502E720 +:0414D6004783943E76 +:0414D70064650164E3 +:0414D800B7C405137D +:0414D90002D787B3FC +:0414DA00064006932F +:0414DB0002D7C6B3BB +:0414DC00101000EFFD +:0414DD0005934641EC +:0414DE00BB85B7C44F +:0414DF00460146F983 +:0414E000F6E6ECE35D +:0414E100B7BD070587 +:0414E200C78367E570 +:0414E30097130FB795 +:0414E40067E5003781 +:0414E500940787934E +:0414E600676197BAE9 +:0414E700F1070713EF +:0414E8004485B3691B +:0414E90067E543016F +:0414EA00851365DD24 +:0414EB004645B68735 +:0414EC00BC858593A3 +:0414ED0010EFDC1A06 +:0414EE0053622BE03A +:0414EF00479546A92E +:0414F00002D306B36A +:0414F100D363873604 +:0414F200471500D7C3 +:0414F300665D646569 +:0414F40006130725AF +:0414F50045C5BD86A6 +:0414F600B7C405135F +:0414F70000EFDC1A0C +:0414F8004737093039 +:0414F9002783000243 +:0414FA0045053C0761 +:0414FB000047E7932C +:0414FC003CF7202376 +:0414FD00886FD0EF35 +:0414FE00000217379A +:0414FF000D07228330 +:0415000087A6674112 +:041501005362177D9D +:0415020000E2F4B35C +:04150300CC91EF99FF +:04150400871367E5FD +:0415050046EDB907EF +:0415060056034781C0 +:041507008D630007E9 +:04150800078500C48F +:041509009AE3070951 +:04150A006509FED79A +:04150B00710505134E +:04150C00A0EFDC1A56 +:04150D00B7C9CCDFAF +:04150E008863472582 +:04150F00471100E799 +:04151000000313635E +:04151100DA6347252D +:04151200472902E77C +:04151300E7B30785AE +:04151400033302E7B4 +:04151500676502E321 +:0415160003A3979AFA +:04151700C0EF10F71A +:04151800C901E5DF41 +:04151900869366DD72 +:04151A00665DAE0656 +:04151B0089860613A4 +:04151C0066DDB5359E +:04151D0084468693E7 +:04151E004769BFCD8D +:04151F0000E79563E9 +:04152000001343135E +:041521004731B70D8A +:04152200FAE791E370 +:0415230067E5B3F5D0 +:041524000FA7C70343 +:041525000C6357D22A +:04152600B48DD607A3 +:04152700F06F47A971 +:041528004715D80F7C +:041529007CF7726376 +:04152A009863470972 +:04152B00871300E63C +:04152C007713FFA78B +:04152D0079630FF7D8 +:04152E0056F27AE611 +:04152F00448547099F +:0415300000E680232E +:04153100F06F468988 +:04153200448DE96F8C +:04153300F06F46858A +:04153400461DE8EF79 +:0415350000C78D63FB +:04153600002346093F +:04153700F06F00C48D +:041538000023EAAFF3 +:04153900F06F00F45B +:04153A00460DEA2F41 +:04153B004615B7FD9D +:04153C000696B7ED6B +:04153D00F5938DD5C0 +:04153E00E5930FF52D +:04153F00F06F004504 +:041540004789EAAF3E +:041541000FF573131C +:041542000087F863C3 +:04154300090575130E +:04154400F70505138F +:041545008EFFF06FB6 +:041546000013551326 +:041547000015731305 +:041548008E7FF06F33 +:041549000204F49311 +:04154A00960484E39C +:04154B000C87359341 +:04154C000015C5932E +:04154D0047A24685E6 +:04154E006665646505 +:04154F0000B7C4839A +:04155000879367E531 +:04155100DA3E12E785 +:04155200AF8404134B +:041553000E46061327 +:041554002C0492636E +:0415550062030263C8 +:041556006205806347 +:041557000006078300 +:04155800D563458989 +:0415590000232AF54C +:04155A00462200061F +:04155B0044914785EB +:04155C0000F605A3ED +:04155D001793462278 +:04155E00650500859A +:04155F00051342101E +:041560008FE9F0051A +:04156100051365E524 +:04156200E7B30016D5 +:041563008593005715 +:041564006F6311455B +:04156500051300E585 +:041566006B6300179C +:04156700462200C553 +:0415680097634250F3 +:04156900462200C74F +:04156A0000864603AE +:04156B005CD60963DE +:04156C0000058023D3 +:04156D00458346323A +:04156E00462201967A +:04156F0003764603B6 +:041570000EC59763AA +:041571004583463236 +:04157200462201A666 +:0415730003864603A2 +:041574000CC59F63A0 +:041575004583463232 +:04157600462201B652 +:04157700039646038E +:041578000CC59763A4 +:04157900458346322E +:04157A00462201C63E +:04157B0003A646037A +:04157C000AC59F639A +:04157D00458346322A +:04157E00462201D62A +:04157F0003B6460366 +:041580000AC597639E +:041581004583463226 +:0415820046220146B6 +:0415830003264603F2 +:0415840008C59F6394 +:041585004583463222 +:0415860046220156A2 +:0415870003364603DE +:0415880008C5976398 +:04158900458346321E +:04158A00462201668E +:04158B0003464603CA +:04158C0006C59F638E +:04158D00458346321A +:04158E00462201767A +:04158F0003564603B6 +:0415900006C5976392 +:041591004583463216 +:041592004622018666 +:0415930003664603A2 +:0415940004C59F6388 +:04159500061366656E +:041596004583C0C603 +:04159700460302768F +:0415980096630454FE +:04159900666504C5BA +:04159A00C0C60613AE +:04159B0002864583FC +:04159C00046446039A +:04159D0002C59D6383 +:04159E000613666565 +:04159F004583C0C6FA +:0415A00046030336C5 +:0415A1009463051436 +:0415A200666502C5B3 +:0415A300C0C60613A5 +:0415A40003A64583D2 +:0415A5000584460370 +:0415A60000C59B637E +:0415A700061366655C +:0415A8004583C0C6F1 +:0415A900460303B63C +:0415AA0089630594B8 +:0415AB00458900C5A9 +:0415AC00F363862639 +:0415AD00460900B437 +:0415AE000FF674932D +:0415AF0045834632F8 +:0415B0004622025677 +:0415B10004364603B3 +:0415B20002C58B6380 +:0415B30045F1462296 +:0415B40000A6060384 +:0415B50002B605B3C2 +:0415B600061366654D +:0415B700962EC54661 +:0415B80005934A103D +:0415B900821520D0A7 +:0415BA007FF676132F +:0415BB0000B61963FA +:0415BC0086264589B1 +:0415BD0000B4F36320 +:0415BE0074934609D3 +:0415BF0046320FF6AB +:0415C00002664583F7 +:0415C1004603462275 +:0415C2008B630446ED +:0415C300462202C5F5 +:0415C400060345F1E4 +:0415C50005B300A6C4 +:0415C600666502B69E +:0415C700C5460613FC +:0415C8004A10962E01 +:0415C9001C1005935A +:0415CA0076138215FD +:0415CB0019637FF62B +:0415CC00458900B697 +:0415CD00F363862618 +:0415CE00460900B416 +:0415CF000FF674930C +:0415D000863745A273 +:0415D10042100002C2 +:0415D20001D5C583F7 +:0415D3008A3D826D5E +:0415D40000C5896362 +:0415D5008626458998 +:0415D60000B4F36307 +:0415D70074934609BA +:0415D80056520FF662 +:0415D90000064603BF +:0415DA000503CE49EE +:0415DB0042F100A435 +:0415DC000533666508 +:0415DD00031302559D +:0415DE004583C54636 +:0415DF00429501240C +:0415E00004544383E9 +:0415E100932A460102 +:0415E20001032503D9 +:0415E3000533897DC6 +:0415E400053302B514 +:0415E5000293025516 +:0415E60005130640A3 +:0415E7004533032560 +:0415E80052830255D3 +:0415E90085B300E3E3 +:0415EA00952E0255E3 +:0415EB0081410542F3 +:0415EC0001079593CB +:0415ED0000A41B2318 +:0415EE00866381C1CE +:0415EF0026030003CC +:0415F00082050183EC +:0415F100D0B68A05E1 +:0415F200DE3EDC3AC3 +:0415F300DFEFB0EF87 +:0415F40000A4060346 +:0415F50067E545F170 +:0415F60002B6063300 +:0415F700C5478293CF +:0415F8000124458302 +:0415F90045039616FA +:0415FA00B0EF0166E7 +:0415FB0009A3FC2F15 +:0415FC00576200A48E +:0415FD00568657F2C5 +:0415FE00E0918626CC +:0415FF007493460596 +:04160000C0180FF609 +:041601000423C05CA2 +:04160200A03500D43B +:041603000023078534 +:04160400B38D00F6AC +:041605000003046377 +:0416060036059063B2 +:04160700000607834F +:04160800D6635371E1 +:0416090047A2346759 +:04160A0000060023B3 +:04160B0085A34491DE +:04160C0091E300075F +:04160D0047B2D40507 +:04160E000007C70307 +:04160F00C78347A2A4 +:041610001D6301E76E +:0416110047B210F7D5 +:041612000017C703F3 +:04161300C78347A2A0 +:04161400156301F762 +:0416150047B210F7D1 +:041616000027C703DF +:04161700C78347A29C +:041618001D63020745 +:0416190047B20EF7CF +:04161A000037C703CB +:04161B00C78347A298 +:04161C001563021739 +:04161D0047B20EF7CB +:04161E000067C70397 +:04161F00C78347A294 +:041620001D630247FD +:0416210047A20CF7D9 +:041622008593461551 +:0416230047B2026761 +:0416240000878513A3 +:0416250058D000EFAA +:0416260047A2E17185 +:041627008593461948 +:0416280047B202B70C +:0416290000D785134E +:04162A00579000EFE6 +:04162B0047B2E94594 +:04162C000047C703A9 +:04162D00C78347A286 +:04162E00116302271B +:04162F0047B20AF7BD +:041630000057C70395 +:04163100C78347A282 +:0416320019630237FF +:0416330047B208F7BB +:041634000077C70371 +:04163500C78347A27E +:0416360011630257E3 +:0416370067E508F764 +:04163800C0C787930D +:0416390001F7C703EB +:04163A0003D447830B +:04163B0006F7186333 +:04163C00879367E544 +:04163D00C703C0C758 +:04163E0047830207D5 +:04163F001F6303E43E +:0416400067E504F75F +:04164100C0C7879304 +:041642000217C703C1 +:0416430003F44783E2 +:0416440004F716632E +:04164500879367E53B +:04164600C703C0C74F +:0416470047830227AC +:041648001D63040416 +:0416490067E502F758 +:04164A00C0C78793FB +:04164B0001E7C703E9 +:04164C0003C4478309 +:04164D0002F7146329 +:04164E00879367E532 +:04164F00C703C0C746 +:041650004783036762 +:041651001B630544CE +:0416520067E500F751 +:04165300C0C78793F2 +:041654000357C7036E +:04165500053447838E +:0416560000F707632F +:04165700E09187A6F1 +:04165800F49347853B +:0416590047B20FF78E +:04165A0002A7C5839B +:04165B00DC2E47A298 +:04165C000487C783B5 +:04165D0000B78E63E1 +:04165E00B0EF454163 +:04165F0055E2B6CFCB +:04166000058E891D4D +:04166100F5938DC9A7 +:0416620045410FF5FA +:04166300BA4FB0EFDB +:04166400C58347B241 +:0416650047A202B7DF +:041666000497C7839B +:0416670000B78663DF +:0416680003D0051393 +:04166900B8CFB0EF57 +:04166A00C58347B23B +:04166B0047A202C7C9 +:04166C0004A7C78385 +:04166D0000B78563DA +:04166E00B0EF45454F +:04166F0047B2B76F58 +:04167000C5834722C5 +:041671004703030721 +:04167200C78304E73F +:0416730017630317DF +:04167400472200B752 +:0416750004F747032C +:0416760000F70B630B +:04167700DC3E4549C7 +:04167800B50FB0EF0B +:04167900454D57E2A2 +:04167A00B0EF85BE8A +:04167B0047B2B46F4F +:04167C000297C50309 +:04167D000474478327 +:04167E0002A78463D8 +:04167F00F863478540 +:0416800067E500A773 +:0416810011B7C503D5 +:0416820035131561A6 +:0416830047E1001526 +:0416840002F5053333 +:04168500879367E1FF +:04168600953E8547C1 +:04168700C7AFB0EF4A +:04168800C50347B29D +:04168900478302F79A +:04168A00846304D49D +:04168B00B0EF00A715 +:04168C0047B2E22F50 +:04168D0002D7C503B8 +:04168E0004B44783D6 +:04168F0000A78463C9 +:04169000D4AFB0EF34 +:04169100C58347B214 +:04169200478302E7A1 +:04169300DC2E04C481 +:0416940000B78F63A9 +:04169500B0EF456904 +:0416960055E2A90F61 +:04169700FCF57513D6 +:041698008DC9059261 +:041699000FF5F593C1 +:04169A00B0EF4569FF +:04169B004732AC6FB7 +:04169C00458347B289 +:04169D00C78303D725 +:04169E00470303C734 +:04169F00166305A425 +:0416A000470300F705 +:0416A1000A6305B41F +:0416A200058E00B7FA +:0416A300F5938DDD51 +:0416A40005130FF526 +:0416A500B0EF028020 +:0416A60067E5A9AF9C +:0416A700C0C787939E +:0416A80003E787933A +:0416A900461D853E17 +:0416AA0005C40593DB +:0416AB00375000EFC5 +:0416AC00879367E5D4 +:0416AD00C501C4A708 +:0416AE00B0EF853ED6 +:0416AF0066E5AB8FB2 +:0416B000C0C6879396 +:0416B1000377C703F1 +:0416B2000554478311 +:0416B30000F71A63BF +:0416B400C0C6879392 +:0416B5000387C703DD +:0416B60005644783FD +:0416B70002F70563CE +:0416B800879367E5C8 +:0416B900C703C0C7DC +:0416BA00C63A0237F3 +:0416BB00934FB0EFAA +:0416BC00051345814C +:0416BD00B0EF0CE09E +:0416BE0047328EEF32 +:0416BF0004634789F0 +:0416C000B0EF00F790 +:0416C10067E5E5EF05 +:0416C2001047C78383 +:0416C30067E5C7957B +:0416C400C0C7879381 +:0416C5000397C5833F +:0416C60005744783DD +:0416C70000B78E6377 +:0416C800058615D1AD +:0416C9000FF5F59391 +:0416CA00C62E4505DE +:0416CB0093AFB0EF3A +:0416CC00450945B2D5 +:0416CD00932FB0EFB8 +:0416CE00859367E5B4 +:0416CF000613C0C777 +:0416D000051304609A +:0416D100A0EF01E4A1 +:0416D20057D2A81F24 +:0416D3000007802369 +:0416D400826347895D +:0416D500479110F435 +:0416D60004F487632E +:0416D700926347854E +:0416D80047830AF446 +:0416D900CFD100B4B9 +:0416DA00AC3FA0EF92 +:0416DB0017FDA859F6 +:0416DC0000F60023F1 +:0416DD00B975448116 +:0416DE0000060023DF +:0416DF00C603BFE59A +:0416E00045050005B7 +:0416E100A2A608E3D2 +:0416E2007613060570 +:0416E30080230FF65B +:0416E40011E300C549 +:0416E5004589A2A6EB +:0416E600F3638626FE +:0416E700460900B4FC +:0416E8000FF67493F2 +:0416E9004783BC0176 +:0416EA00C3B500B4D0 +:0416EB000713676515 +:0416EC0057831167A8 +:0416ED006409000785 +:0416EE00710405136B +:0416EF000027E79356 +:0416F00000F71023CC +:0416F10000021737A5 +:0416F2000EF72023AC +:0416F300D32FA0EF62 +:0416F400455945C54A +:0416F50095CFB0EFEE +:0416F6007104051363 +:0416F700D22FA0EF5F +:0416F800455D45897E +:0416F90094CFB0EFEB +:0416FA00710405135F +:0416FB00D12FA0EF5C +:0416FC00B5BFC0EFC7 +:0416FD00879367E583 +:0416FE00C503C0C799 +:0416FF00B0EF02370F +:041700000513897FC5 +:04170100A0EF12C083 +:04170200E06FCF8F36 +:041703002223BF3F9F +:04170400B0EF00043E +:041705004783BA9FBD +:04170600656501C450 +:0417070097134645A9 +:0417080067E100276E +:0417090082878793B9 +:04170A00438C97BABB +:04170B00BC85051381 +:04170C00245000EF76 +:04170D00656565DDCC +:04170E008593464534 +:04170F000513BE45BB +:0417100000EFBDC564 +:041711004505233037 +:04171200A97FC0EFFC +:04171300CD6FA0EF07 +:04171400CE02D0022F +:041715004783B77DD2 +:04171600D7C500B47F +:04171700AEFFC0EF72 +:041718004715B74D6D +:0417190084F76BE303 +:04171A004681448937 +:04171B00EF1FE06F6D +:04171C00F7C10113FD +:04171D00C13ED6A64D +:04171E0067E5DA861B +:04171F00DCB6D8A2BA +:04172000A483DEBA06 +:04172100DC63AE4790 +:041722000793000524 +:04172300C09C08B0AE +:0417240050D6557DC9 +:0417250054B654461C +:041726000841011362 +:041727000793808222 +:0417280018232080E2 +:04172900C22A00F1DF +:04172A00842ECA2A15 +:04172B00C199478198 +:04172C00FFF58793AB +:04172D00CC3EC63EAA +:04172E0057FD18B497 +:04172F008526004CBF +:0417300000F1192388 +:041731002C59C03639 +:04173200556357FDA7 +:04173300079300F523 +:04173400C09C08B09D +:041735004792DC5D9E +:041736000007802305 +:04173700C5C5BF5D08 +:04173800FFC5A783BF +:04173900C422114174 +:04173A008413C60648 +:04173B00D363FFC5B0 +:04173C00943E0007D0 +:04173D0000EFC02ACF +:04173E0067650BB020 +:04173F001387278362 +:04174000863A45029E +:041741002223EB91E3 +:041742002C23000450 +:0417430044221287A3 +:04174400014140B26D +:0417450009F0006F38 +:0417460000F47F63C9 +:041747000733401410 +:04174800966300D4D0 +:04174900439800E7DA +:04174A00973643DCAF +:04174B00C05CC018A6 +:04174C0012862C23B2 +:04174D00873EBFE92B +:04174E00C39943DC1C +:04174F00FEF47DE344 +:041750000633431405 +:041751001F6300D73B +:0417520040100086BD +:04175300C31496B273 +:0417540000D7063381 +:04175500FAC79DE34F +:0417560043DC43909D +:04175700C31496B26F +:04175800B775C35C42 +:0417590000C47563F0 +:04175A00C11C47B1B6 +:04175B004010B74D36 +:04175C0000C406B30C +:04175D0000D79663B8 +:04175E0043DC439491 +:04175F00C01496B26A +:04176000C340C05C66 +:041761008082B76962 +:04176200C226114149 +:041763000035849336 +:04176400C60698F12C +:0417650004A1C422F5 +:0417660087AA4731D6 +:0417670004E4FC6337 +:04176800EB6344B13A +:04176900853E04B401 +:04176A0000EFC03E8E +:04176B00676500703E +:04176C001387268336 +:04176D000613478296 +:04176E008436138723 +:04176F006465E43198 +:0417700013C4041387 +:04177100EB01401830 +:041772004581853EEA +:0417730000EFC03E85 +:04177400478279C06F +:04177500853EC008E5 +:04177600C03E85A646 +:0417770078E000EF27 +:041778004782577DD0 +:0417790006E5166308 +:04177A00C398473198 +:04177B0000EF853EB8 +:04177C00A0297C40E4 +:04177D00FA04D7E3B0 +:04177E00C398473194 +:04177F0040B245012E +:041780004492442229 +:041781008082014120 +:041782008F05401877 +:0417830002074F63A7 +:04178400F66345AD16 +:04178500C01800E5A3 +:04178600C004943ACD +:041787004058A029FD +:0417880002869363DF +:04178900853EC218BF +:04178A0078A000EF54 +:04178B0000B405138E +:04178C00004407937B +:04178D000733996124 +:04178E0002E340F53D +:04178F00943AFCF597 +:04179000C01C8F8961 +:04179100C2D8BF6D8E +:0417920086A2BFF973 +:04179300B7BD40405E +:041794000035041305 +:0417950002E3987162 +:0417960005B3FC8516 +:04179700853E40A4A7 +:041798002721C03E07 +:041799004782577DAF +:04179A00FAE519E370 +:04179B001111BFB5B4 +:04179C004590C23280 +:04179D00CC06C82688 +:04179E0084AECA2229 +:04179F0008C6E46331 +:0417A000D50382AA41 +:0417A100771300C5F5 +:0417A200CB3D4805EE +:0417A300470D48D0D6 +:0417A4000733408047 +:0417A500498C02C7A2 +:0417A60007B3460936 +:0417A700C03E40B44C +:0417A80002C74433FD +:0417A900001686138D +:0417AA007363963E91 +:0417AB00843200C4C0 +:0417AC00400575136C +:0417AD00C535C63642 +:0417AE0085A2851675 +:0417AF00F0EFC4167D +:0417B00042A2ECBFA6 +:0417B100473146B2C4 +:0417B2004602CD25F9 +:0417B300C636488C62 +:0417B400A0EFC42AB4 +:0417B500D603EF4F19 +:0417B600432200C406 +:0417B700761346B2AD +:0417B8006613B7F607 +:0417B9009623080665 +:0417BA00478200C49E +:0417BB000064A823FB +:0417BC00933EC8C0D0 +:0417BD0040F40733BA +:0417BE000064A02300 +:0417BF00C49886360E +:0417C00000C6F36309 +:0417C1004592863691 +:0417C200C032408869 +:0417C300ED0FA0EF97 +:0417C40046024498FD +:0417C5008F1145013A +:0417C6004098C498EB +:0417C700C090963AFE +:0417C8008516A80DCD +:0417C900C41686229A +:0417CA0042A22571A1 +:0417CB00832A46B275 +:0417CC00488CFD4DFB +:0417CD00C0168516A7 +:0417CE00DA7FF0EFDF +:0417CF0047314282DA +:0417D00000E2A02370 +:0417D10000C4D70376 +:0417D2006713557DC7 +:0417D300962304074E +:0417D40040E200E40B +:0417D50044C2445274 +:0417D600808201719B +:0417D70000C5D7036F +:0417D800F781011381 +:0417D900DEA6C122A5 +:0417DA0001F107937F +:0417DB00C22AC30655 +:0417DC007713C02E91 +:0417DD0084B20807C3 +:0417DE00FF87F4137A +:0417DF004998CB1D3D +:0417E0000593EB0D75 +:0417E100C636040004 +:0417E200E01FF0EF25 +:0417E30046B2478241 +:0417E400CB88C38863 +:0417E5004712E919A5 +:0417E600557D47B135 +:0417E700409AC31C45 +:0417E80054F6440A65 +:0417E900088101135F +:0417EA004782808230 +:0417EB0004000713DC +:0417EC000713CBD83C +:0417ED000CA3020047 +:0417EE00071300E4F9 +:0417EF002A230300A6 +:0417F0000D230004C1 +:0417F100CA3600E410 +:0417F200061387262D +:0417F30046830250D7 +:0417F400C29900078F +:0417F5000AC6966327 +:0417F600409706B35F +:0417F70002970163F1 +:0417F80045124582CF +:0417F900C83A86263E +:0417FA00F0EFC63610 +:0417FB00567DE85FD0 +:0417FC001AC50A639D +:0417FD0046B2485058 +:0417FE009636474292 +:0417FF004683C85005 +:0418000081630007F9 +:0418010004931A062C +:04180200577D0017F7 +:04180300000420239A +:041804000004262393 +:041805002423C05880 +:0418060001A3000436 +:041807002C23040486 +:04180800C58304048C +:0418090067E100048F +:04180A0085134615E7 +:04180B0023A5E787A3 +:04180C0087134014EA +:04180D00E5390014A5 +:04180E000106F613C6 +:04180F000613C609ED +:0418100001A302002E +:04181100F61304C402 +:04181200C60900867D +:0418130002B0061306 +:0418140004C401A364 +:041815000004C58383 +:0418160002A0061313 +:0418170002C58F6314 +:041818008726445487 +:04181900452545819B +:04181A00460342A996 +:04181B0003130007AC +:04181C000613001798 +:04181D007763FD06EA +:04181E00C98506C5AD +:04181F00A035C454D8 +:04182000B7B1070550 +:04182100861367E1E2 +:041822008D11E787B6 +:04182300153346052E +:041824008EC900A6C3 +:0418250084BAC014AD +:041826004652B76906 +:0418270000460593DF +:04182800CA2E421072 +:041829000206496307 +:04182A004603C4505D +:04182B000693000719 +:04182C00116302E062 +:04182D00460306D692 +:04182E000693001706 +:04182F001B6302A095 +:0418300046D202D6C4 +:04183100861307090A +:041832004294004696 +:04183300C163CA3291 +:04183400C054020694 +:041835000633A08155 +:04183600E69340C035 +:04183700C450002673 +:04183800B7E1C01440 +:04183900025686B31A +:04183A004585871A3F +:04183B00BFB596B2ED +:04183C00B7C556FDD9 +:04183D002223070556 +:04183E0045810004DC +:04183F004525468174 +:04184000460342A970 +:041841000313000786 +:041842000613001772 +:041843007363FD06C8 +:04184400F1E106C503 +:0418450000074583D0 +:04184600460D64E106 +:04184700E804851319 +:041848002995C63ADE +:04184900CD01473254 +:04184A00E804849397 +:04184B0006938D056E +:04184C0096B304004B +:04184D00400800A6A9 +:04184E008D550705A8 +:04184F004583C00805 +:0418500065610007C7 +:04185100051346191C +:041852000493E845CE +:041853000C2300174B +:04185400219100B42A +:041855000713C1357F +:04185600E70D00009A +:0418570047524014A0 +:041858001006F693ED +:041859000711CE9114 +:04185A004858CA3AE6 +:04185B00973E47A2CB +:04185C00BD99C85812 +:04185D00025686B3F6 +:04185E004585871A1B +:04185F00B75196B235 +:04186000B7DD0721C8 +:0418610045124602E4 +:04186200085866D9E3 +:04186300E6E686939C +:04186400009785A2C2 +:0418650000E7000098 +:04186600C42A000090 +:04186700577D47A2C0 +:04186800FCE795E321 +:04186900557D4782E0 +:04186A0000C7D703D9 +:04186B0004077713E4 +:04186C00DE0717E399 +:04186D00B3E548484F +:04186E0045124602D7 +:04186F00085866D9D6 +:04187000E6E686938F +:04187100221585A215 +:041872001101BFC9D8 +:04187300CA2687AE4C +:0418740084BA85B6F7 +:041875004B984794B1 +:04187600CE06CC22AC +:041877008332842A0A +:0418780000D75363DF +:04187900202387366B +:04187A00C68300E33E +:04187B00C6810437E7 +:04187C002023070519 +:04187D00439800E3A9 +:04187E0002077713D3 +:04187F002703C71163 +:041880000709000351 +:0418810000E320233D +:0418820086134398EE +:041883008B19019725 +:041884004398C3358D +:041885000437C683DB +:0418860002077713CB +:0418870000D036B3A4 +:041888008613E7518B +:041889008522043779 +:04188A00C23EC41A7C +:04188B009482C02E55 +:04188C000763577D1A +:04188D00479206E593 +:04188E00458243222A +:04188F002603439455 +:0418900043110003FD +:0418910047C88A9921 +:041892009763470110 +:0418930007330066B1 +:04189400536340C595 +:041895004701000700 +:041896004B90479498 +:0418970000D65463C0 +:0418980097368E9160 +:04189900861343016E +:04189A00106301A72F +:04189B004501066796 +:04189C000705A80D87 +:04189D00250347D404 +:04189E008E8900032C +:04189F00F8D75BE338 +:0418A00085224685D2 +:0418A100C63EC81A5D +:0418A200C232C43A50 +:0418A3009482C02E3D +:0418A400458256FD26 +:0418A500472246127E +:0418A600434247B2C0 +:0418A700FCD51BE36E +:0418A80040F2557D38 +:0418A90044D244627F +:0418AA0080826105D2 +:0418AB0000D78633A9 +:0418AC00030005131D +:0418AD0004A601A3E9 +:0418AE000457C60312 +:0418AF000016871385 +:0418B0000689973ED0 +:0418B10004C701A3C4 +:0418B2004685BFA9FF +:0418B300C61A8522AA +:0418B400C232C43A3E +:0418B5009482C02E2B +:0418B60003E357FDF4 +:0418B7004332FCF5C7 +:0418B800461247226B +:0418B900030545825C +:0418BA001101B74918 +:0418BB00CA26CC224B +:0418BC00C02ACE066A +:0418BD008513842EDD +:0418BE00C5830435A5 +:0418BF0084B6018565 +:0418C0000693C23297 +:0418C100EC6307804D +:0418C200069300B6D3 +:0418C300ED630620AB +:0418C4008C6300B67B +:0418C50006931C0565 +:0418C6008D630580A9 +:0418C700031312D520 +:0418C80001230424D0 +:0418C900A80504B4B6 +:0418CA00F9D5869333 +:0418CB000FF6F6938B +:0418CC0066E3465534 +:0418CD006661FED67C +:0418CE000613068A6D +:0418CF0096B2EB469C +:0418D0008682429436 +:0418D10003134314A6 +:0418D2008613042451 +:0418D300C3100046F8 +:0418D4000123429812 +:0418D500470504E4DB +:0418D6004014AA759B +:0418D70000072303E0 +:0418D8000806F613F5 +:0418D9000043059330 +:0418DA002683C20D92 +:0418DB00C30C000337 +:0418DC00D863666106 +:0418DD0007130006E7 +:0418DE0006B302D07B +:0418DF0001A340D051 +:0418E000061304E403 +:0418E1004729E8C6E5 +:0418E200F613A0B9A0 +:0418E300268304064E +:0418E400C30C00032E +:0418E50006C2DE71E8 +:0418E600BFD986C11F +:0418E7004314401056 +:0418E80008067293E9 +:0418E900004683131F +:0418EA00000286630F +:0418EB00006720234F +:0418EC00A801429479 +:0418ED000406761364 +:0418EE00006720234C +:0418EF00D683DA754D +:0418F0006661000627 +:0418F10006F00713E3 +:0418F200E8C606132B +:0418F3000EE5876314 +:0418F40001A34729DC +:0418F500404C04045B +:0418F600C863C40CF3 +:0418F70023030005C2 +:0418F8007313000462 +:0418F9002023FFB3F6 +:0418FA00E29900640B +:0418FB00CD99832AD6 +:0418FC00F5B3832A93 +:0418FD00137D02E66F +:0418FE00C58395B257 +:0418FF0000230005BD +:0419000085B600B3F5 +:0419010002E6D6B371 +:04190200FEE5F5E326 +:041903001E6346A178 +:04190400401800D7B0 +:04190500CB118B0572 +:0419060048184054E9 +:0419070000D747635B +:0419080003000713BE +:04190900FEE30FA347 +:04190A000533137D11 +:04190B00C808406563 +:04190C0045024692B8 +:04190D000810872611 +:04190E00C41A85A2D0 +:04190F00D8FFF0EF1E +:041910004322577D9A +:041911000CE51C6362 +:0419120040F2557DCD +:0419130044D2446214 +:041914008082610567 +:0419150002A3666162 +:04191600061304B4FC +:04191700400CE8C6D2 +:04191800000723039E +:041919000805F29338 +:04191A00000326831D +:04191B008D630311C4 +:04191C002023020280 +:04191D00F713006755 +:04191E00C7010015E8 +:04191F000205E59345 +:041920004741C00C6F +:04192100400CF6B9C7 +:04192200FDF5F59347 +:04192300B791C00CAC +:04192400E6934014F2 +:04192500C0140206E2 +:04192600078006939D +:0419270002A3666150 +:04192800061304D4CA +:04192900BF65EA06A6 +:04192A000405F2932B +:04192B00006720230E +:04192C00FC0283E353 +:04192D0082C106C2AB +:04192E004721BF7D11 +:04192F004010BF198C +:04193000484C4314C8 +:04193100080672939F +:0419320000468313D5 +:0419330000028763C4 +:041934000067202305 +:04193500C30C429805 +:041936002023A809B9 +:0419370076130067BC +:0419380042980406C7 +:041939001023DA6D30 +:04193A00282300B7A7 +:04193B00832A0004F7 +:04193C004314B78118 +:04193D008613458147 +:04193E00C31000468C +:04193F000006A303F8 +:04194000851A405074 +:041941002841C41A5B +:04194200C501432276 +:0419430040650533C3 +:041944004058C048FF +:0419450001A3C8181A +:04194600BF190404BD +:041947004592481469 +:04194800861A4502B4 +:04194900577D9482B0 +:04194A00F2E500E3DF +:04194B008B094018AC +:04194C004742EB0D16 +:04194D005AE34448CD +:04194E00853AF0E501 +:04194F004592B739CD +:041950004685450281 +:04195100C432C63A9C +:0419520056FD948228 +:04195300EED50EE3DC +:0419540046224732AE +:0419550044540705EA +:041956008E8D45C26B +:04195700FED741E393 +:041958004701BFC9BB +:0419590001940613DC +:04195A001151B7FD73 +:04195B00C026C222BE +:04195C0064E5842A90 +:04195D00C406852E09 +:04195E001204AA23A2 +:04195F0057FD2A15F1 +:0419600000F5166315 +:041961001344A78301 +:04196200C01CC39151 +:04196300441240A248 +:041964000131448287 +:04196500F5938082F4 +:04196600962A0FF5B9 +:0419670000C5146340 +:041968008082450133 +:0419690000054783AB +:04196A00FEB78DE354 +:04196B00B7FD0505BA +:04196C008082808273 +:04196D0085B2E581D9 +:04196E00FD0FF06F0A +:04196F00C806113164 +:04197000C426C622A1 +:04197100F0EFEA1198 +:041972004781F18F29 +:04197300443240C2F8 +:04197400853E44A2C6 +:04197500808201511A +:04197600C032842EC9 +:04197700203D84AAE1 +:0419780087A24602FA +:04197900FEC574E350 +:04197A00852685B287 +:04197B00F0EFC23295 +:04197C0087AAF9AF8E +:04197D004612DD61D0 +:04197E00C02A85A254 +:04197F00FCBF90EF2A +:04198000852685A291 +:04198100EDAFF0EFE7 +:04198200B7C9478218 +:04198300FFC5A78372 +:04198400FFC7851301 +:041985000007D5631F +:04198600419C95AA41 +:041987008082953E87 +:04198800146347019C +:04198900450100E62E +:04198A0007B380829D +:04198B00070500E567 +:04198C0000E586B339 +:04198D000007C78305 +:04198E00FFF6C68317 +:04198F00FED783E319 +:0419900040D7853384 +:04199100C60D80827D +:041992004701167D76 +:0419930000E507B3B1 +:0419940000E586B331 +:041995000007C783FD +:041996000006C683FE +:0419970000D794637E +:0419980000C715630C +:0419990040D785337B +:04199A00070580823B +:04199B00BFDDF3E5D4 +:04199C0080824501FF +:04199D00CA0987AA42 +:04199E000005C70376 +:04199F00078505852E +:0419A000FEE78FA32C +:0419A100FB65167D4F +:0419A2009363963E77 +:0419A300808200C777 +:0419A4008FA3078581 +:0419A500BFD5FE07A5 +:0419A60087AA95AACD +:0419A70000B785639D +:0419A8000007C7036A +:0419A9008533E7019A +:0419AA00808240A750 +:0419AB00B7FD0785F8 +:0419AC00A78363E5C5 +:0419AD0011511403BD +:0419AE00C406C22287 +:0419AF008393842A70 +:0419B000E79D140398 +:0419B1004581450126 +:0419B2004681460123 +:0419B3000293470153 +:0419B40000730D604F +:0419B50057FD0000DA +:0419B60000F51A63BB +:0419B70047B12835D7 +:0419B800557DC11C7C +:0419B900441240A2F2 +:0419BA0080820131F5 +:0419BB0000A3A023C2 +:0419BC000003A3037E +:0419BD004601458119 +:0419BE000064053389 +:0419BF004701468115 +:0419C00002934781C6 +:0419C10000730D6042 +:0419C200941A000073 +:0419C300FC8518E3A4 +:0419C40000A3A023B9 +:0419C500B7F9851ACF +:0419C600A50367E529 +:0419C7008082AE4725 +:0419C800000000001B +:0419C900000000001A +:0419CA000000000019 +:0419CB000000000018 +:0419CC00432044531D +:0419CD00204452411F +:0419CE004C4C4957DD +:0419CF00004542206D +:0419D0005245564FD7 +:0419D10054495257CC +:0419D200214E455409 +:0419D3004500212189 +:0419D400726F707846 +:0419D50031203F740A +:0419D600202C593D2B +:0419D700004E3D324F +:0419D8007365725071 +:0419D9002031207326 +:0419DA003220726FD6 +:0419DB000000000008 +:0419DC004D9000EB3F +:0419DD004E495753C5 +:0419DE0000312E3472 +:0419DF00008004027E +:0419E00000080002F9 +:0419E1000020F8806A +:0419E20000FF003FC3 +:0419E300F429008063 +:0419E4004F04C6CF17 +:0419E50050435353C5 +:0419E60049464F52CD +:0419E7004146534CD6 +:0419E8002036315420 +:0419E90000002020BA +:0419EA004353534FC1 +:0419EB00464F5250C1 +:0419EC00204E4942FE +:0419ED00B5048E00AF +:0419EE00516F516F75 +:0419EF008917000054 +:0419F0000002516F31 +:0419F10000100000E2 +:0419F2000001045498 +:0419F3000001045A91 +:0419F400000104608A +:0419F5000001046683 +:0419F60000010408E0 +:0419F70000010428BF +:0419F80000010428BE +:0419F90000010428BD +:0419FA0000010428BC +:0419FB0000010428BB +:0419FC0000010428BA +:0419FD000001042CB5 +:0419FE0000010432AE +:0419FF006C206F4E9B +:041A0000006B6E69A0 +:041A01006D206425CB +:041A0200000000568A +:041A0300252E7525F2 +:041A04002075322EE9 +:041A050000007375F5 +:041A060025257525F8 +:041A070000000000DB +:041A08006C207525B4 +:041A090073656E692A +:041A0A0000000000D8 +:041A0B0070207525AD +:041A0C006C65786924 :041A0D000000007362 -:041A0E00252E7525E7 -:041A0F006D75322E91 -:041A100075252F7396 -:041A1100322E252E1E -:041A120000736D757B -:041A130025207325F2 -:041A140063252D75A4 -:041A150000000000CD -:041A1600252E7525DF -:041A17006B75322E8B -:041A180025207A48C3 -:041A19002E252E75D3 -:041A1A007A4875325F -:041A1B0000000000C7 -:041A1C0078303237B5 -:041A1D000030303431 -:041A1E0078303436B2 -:041A1F00003030342F -:041A2000FFFFFFFFC6 -:041A2100FFFFFFFFC5 -:041A22000000FFFFC2 -:041A2300636E61434A -:041A2400656C6C651C -:041A25000000006459 -:041A260061766E492E -:041A27002064696C62 -:041A28006172617016 -:041A2900002E736DAB -:041A2A0053206F4E88 -:041A2B00616320448F -:041A2C00642064725C -:041A2D00002E7465AE -:041A2E006363755326 -:041A2F000073736568 -:041A3000462F4453A6 -:041A31006873616C09 -:041A32007272652047 -:041A33000000726FCE -:041A34006F70784512 -:041A35006E697472F0 -:041A36002E2E2E67BB -:041A370000000000AB -:041A380073616C4624 -:041A39006576206846 -:041A3A002066697247 -:041A3B006C6961660B -:041A3C0000000000A6 -:041A3D0061766E4917 -:041A3E002064696C4B -:041A3F002072646845 -:041A400000435243CA -:041A410061766E4913 -:041A42002064696C47 -:041A43006174616405 -:041A440043524320A6 -:041A4500000000009D -:041A46006164705512 -:041A4700632065743F -:041A480065636E6103 -:041A490064656C6CF8 -:041A4A000000000098 -:041A4B0061766E4909 -:041A4C002064696C3D -:041A4D0067616D69F7 -:041A4E00000000652F +:041A0E00000075253A +:041A0F0064206425C6 +:041A10000000004290 +:041A1100656E6F444B +:041A120000000000D0 +:041A13006C69614653 +:041A140028206465BD +:041A1500002964251B +:041A160000011A9E13 +:041A170000011AB8F8 +:041A180000011AC2ED +:041A190000011AF4BA +:041A1A0000011AD0DD +:041A1B0064206425BA +:041A1C0000006765FA +:041A1D00322E252E12 +:041A1E00000000754F +:041A1F006573753C3A +:041A200000003E6420 +:041A21004452535583 +:041A220000415441EA +:041A2300706D653C41 +:041A2400003E797493 +:041A2500203A7525C9 +:041A26000000732524 +:041A270074204B4F8D +:041A28006E69206F54 +:041A290000007469DC +:041A2A00736C614632 +:041A2B00727420654C +:041A2C00656767691A +:041A2D000000007243 +:041A2E00656D695425 +:041A2F000074756F5B +:041A3000252E7525C5 +:041A31006D75322E6F +:041A3200000000733D +:041A3300252E7525C2 +:041A34006D75322E6C +:041A350075252F7371 +:041A3600322E252EF9 +:041A370000736D7556 +:041A380025207325CD +:041A390063252D757F +:041A3A0000000000A8 +:041A3B00252E7525BA +:041A3C006B75322E66 +:041A3D0025207A489E +:041A3E002E252E75AE +:041A3F007A4875323A +:041A400000000000A2 +:041A41007830323790 +:041A4200003030340C +:041A4300783034368D +:041A4400003030340A +:041A4500FFFFFFFFA1 +:041A4600FFFFFFFFA0 +:041A47000000FFFF9D +:041A4800636E614325 +:041A4900656C6C65F7 +:041A4A000000006434 +:041A4B00462F44538B +:041A4C006873616CEE +:041A4D00727265202C +:041A4E000000726FB3 :041A4F0061766E4905 :041A50002064696C39 -:041A510064616568FF -:041A520000007265B9 -:041A53004353534F57 -:041A5400000000008E -:041A5500696C615601 -:041A560069746164EA -:041A57006420676E32 -:041A58000061746154 -:041A5900622075256D -:041A5A0073657479C3 -:041A5B000000000087 -:041A5C00252E752599 -:041A5D002575322E8B -:041A5E000073257379 -:041A5F0061647055F9 -:041A6000676E6974D0 -:041A610000574620C4 -:041A620061656C70DE -:041A63007720657310 -:041A64002E74696112 -:041A650000002E2E21 -:041A660069726556E6 -:041A67006E697966C5 -:041A68006C66206721 -:041A6900006873613D -:041A6A0061656C70D6 -:041A6B00722065730D -:041A6C0061747365C9 -:041A6D00000074728F -:041A6E0072746552D7 -:041A6F00676E6979BC -:041A70006470752009 -:041A71000065746137 +:041A510061726170ED +:041A5200002E736D82 +:041A530053206F4E5F +:041A54006163204466 +:041A55006420647233 +:041A5600002E746585 +:041A570063637553FD +:041A5800007373653F +:041A5900462044538C +:041A5A00616D726FD9 +:041A5B000000007413 +:041A5C0041463D3191 +:041A5D002C3631549E +:041A5E00523D3220A3 +:041A5F0000005741EB +:041A60006F707845E6 +:041A61006E697472C4 +:041A62002E2E2E678F +:041A6300000000007F +:041A640073616C46F8 +:041A6500657620681A +:041A6600206669721B +:041A67006C696166DF +:041A6800000000007A +:041A690061766E49EB +:041A6A002064696C1F +:041A6B002072646819 +:041A6C00004352439E +:041A6D0061766E49E7 +:041A6E002064696C1B +:041A6F0061746164D9 +:041A7000435243207A +:041A71000000000071 :041A720061647055E6 -:041A7300203F657437 -:041A74002C593D317B -:041A75004E3D322090 +:041A73006320657413 +:041A740065636E61D7 +:041A750064656C6CCC :041A7600000000006C -:041A77006D726946DD -:041A780065726177BB -:041A79006470752000 -:041A7A0064657461CA -:041A7B000000000067 -:041A7C0000013F60C6 -:041A7D0000013FA67F -:041A7E0000013FAE76 -:041A7F0000013FB66D -:041A800064616F4CE2 -:041A81002E676E69F5 -:041A820000002E2E04 -:041A83007320642543 -:041A840073746F6C9C -:041A8500616F6C2001 -:041A8600006465642F -:041A87006F706D49C6 -:041A8800203F747215 -:041A89002C593D3166 -:041A8A004E3D32207B -:041A8B000000000057 -:041A8C006C696146DA -:041A8D00000064658C -:041A8E0073657250BA -:041A8F0000000073E0 -:041A9000666E6F43CC -:041A9100006D726909 -:041A92006D73694DBA -:041A930068637461AF -:041A94006572202C2B -:041A950000797274EE -:041A96004353534F14 -:041A9700776620202E -:041A98007525202E62 -:041A9900322E252E96 -:041A9A000000617572 -:041A9B0074696E49B3 -:041A9C0072726520DD -:041A9D002020726F24 -:041A9E0000006425BB -:041A9F00666F7250AC -:041AA0003A656C69CE -:041AA1000000000041 -:041AA20065646F4DBB -:041AA30065727020D8 -:041AA4003A746573B8 -:041AA500000000003D -:041AA600646F6D49B3 -:041AA7004628206548 -:041AA8002941475039 -:041AA9000000003AFF -:041AAA002D756C2505 -:041AAB006325632527 -:041AAC00756C252010 -:041AAD00322E252E82 -:041AAE007A48756C91 -:041AAF000000000033 -:041AB000746E6343AA -:041AB10066202F205C -:041AB200656D61728B -:041AB3000000003AF5 -:041AB40000756C2528 -:041AB5006D7269469F -:041AB600657261777D -:041AB7000000003AF1 -:041AB800252E75253D -:041AB9006175322EF3 -:041ABA000000000028 -:041ABB00656E694C9F -:041ABC00746C756D64 -:041ABD00646F6D20C5 -:041ABE0000003A6585 -:041ABF007365727069 -:041AC0002D31207331 -:041AC10000000035EC -:041AC20020787525EE -:041AC30075736E7554 -:041AC400726F70705D -:041AC50000646574E0 -:041AC600666F725085 -:041AC70020656C69C1 -:041AC80064616F6C7A -:041AC9000000003ADF -:041ACA00736572705E -:041ACB0075252073EA -:041ACC000075252D4F -:041ACD002020202095 -:041ACE0053204F4E04 -:041ACF0000434E5929 -:041AD000343130324B -:041AD1003230322D50 -:041AD2006D20203033 -:041AD3007371726158 -:041AD400000000000E -:041AD5000F031F01DB -:041AD6000003030303 -:041AD7000001488240 -:041AD800000149368A -:041AD9000001493689 -:041ADA000001494C72 -:041ADB000001494C71 -:041ADC000001495666 -:041ADD000001495A61 -:041ADE000001496852 -:041ADF000001496851 -:041AE0000001497A3E -:041AE10000014E12A0 -:041AE20000014E1A97 -:041AE30000014E0AA6 -:041AE40000014E1699 -:041AE50000014E1E90 -:041AE60000014E0E9F -:041AE70000014E6A42 -:041AE80000014E2289 -:041AE90000014E2684 -:041AEA0000014E2A7F -:041AEB0000014E6A3E -:041AEC0000014E6A3D -:041AED0000014E6A3C -:041AEE0000014E6A3B -:041AEF0000014E6A3A -:041AF000000153FCA2 -:041AF10000014E6E34 -:041AF200000150029D -:041AF300000150148A -:041AF400000150A4F9 -:041AF500000150E6B6 -:041AF600000150E6B5 -:041AF7000001514257 -:041AF8000001523A5D -:041AF9000001523A5C -:041AFA000001531084 -:041AFB002D62755390 -:041AFC00656E696C3E -:041AFD007320312001 -:041AFE0000007274FE -:041AFF002D6275538C -:041B0000656E696C39 -:041B010073203220FB -:041B020000007274F9 -:041B03002D62755387 -:041B0400656E696C35 -:041B050073203320F6 -:041B060000007274F5 -:041B07002D62755383 -:041B0800656E696C31 -:041B090073203420F1 -:041B0A0000007274F1 -:041B0B002D6275537F -:041B0C00656E696C2D -:041B0D0073203520EC -:041B0E0000007274ED -:041B0F002D6275537B -:041B1000756C6F631E -:041B110031206E6DA4 -:041B12007274732056 -:041B130000000000CE -:041B14002D62755376 -:041B1500756C6F6319 -:041B160032206E6D9E -:041B17007274732051 -:041B180000000000C9 -:041B19002D62755371 -:041B1A00756C6F6314 -:041B1B0033206E6D98 -:041B1C00727473204C -:041B1D0000000000C4 -:041B1E002D6275536C -:041B1F00756C6F630F -:041B200034206E6D92 -:041B21007274732047 -:041B220000000000BF -:041B23002D62755367 -:041B2400756C6F630A -:041B250035206E6D8C -:041B26007274732042 -:041B270000000000BA -:041B28002D62755362 -:041B2900756C6F6305 -:041B2A0036206E6D86 -:041B2B00727473203D -:041B2C0000000000B5 -:041B2D0073202E48AB -:041B2E006C706D6109 -:041B2F007461726506 -:041B3000000000654C -:041B310073202E48A7 -:041B32007461722E3A -:041B33007266206551 -:041B340000006361E9 -:041B350073202E48A3 -:041B36006C636E79F5 -:041B370000006E65D7 -:041B380062202E48B1 -:041B3900706B636109 -:041B3A006863726FFB -:041B3B0000000000A6 -:041B3C0061202E48AE -:041B3D0076697463EE -:041B3E00000000653E -:041B3F0073202E568B -:041B40006C636E79EB -:041B410000006E65CD -:041B420062202E5699 -:041B4300706B6361FF -:041B44006863726FF1 -:041B4500000000009C -:041B460061202E5696 -:041B470076697463E4 -:041B48000000006534 -:041B4900706D615307 -:041B4A00676E696CED -:041B4B00616870203D -:041B4C0000006573BD -:041B4D0000007332EF -:041B4E0000007335EB -:041B4F0000733031BE -:041B50000066664F76 -:041B51006C6C7546FD -:041B5200000000008F -:041B5300706D6953F5 -:041B54000000656CBC -:041B550000007333E6 -:041B560000733033B5 -:041B570072727543EE -:041B580020746E6522 -:041B590075706E69CC -:041B5A000000007413 -:041B5B00206C6C414D -:041B5C0075706E69C9 -:041B5D00000073749D -:041B5E002066664F48 -:041B5F002073662861 -:041B60003639203DB5 -:041B6100297A486B2A -:041B6200000000007F -:041B63002020783294 -:041B6400207366285C -:041B65003834203DB3 -:041B6600297A486B25 +:041A770061766E49DD +:041A78002064696C11 +:041A790067616D69CB +:041A7A000000006503 +:041A7B0061766E49D9 +:041A7C002064696C0D +:041A7D0064616568D3 +:041A7E00000072658D +:041A7F004353534F2B +:041A80000000000062 +:041A8100696C6156D5 +:041A820069746164BE +:041A83006420676E06 +:041A84000061746128 +:041A85006220752541 +:041A86007365747997 +:041A8700000000005B +:041A8800252E75256D +:041A89002575322E5F +:041A8A00007325734D +:041A8B0061647055CD +:041A8C00676E6974A4 +:041A8D000057462098 +:041A8E0061656C70B2 +:041A8F0077206573E4 +:041A90002E746961E6 +:041A910000002E2EF5 +:041A920069726556BA +:041A93006E69796699 +:041A94006C662067F5 +:041A95000068736111 +:041A960061656C70AA +:041A970072206573E1 +:041A9800617473659D +:041A99000000747263 +:041A9A0072746552AB +:041A9B00676E697990 +:041A9C0064707520DD +:041A9D00006574610B +:041A9E0061647055BA +:041A9F00203F65740B +:041AA0002C593D314F +:041AA1004E3D322064 +:041AA2000000000040 +:041AA3006D726946B1 +:041AA400657261778F +:041AA50064707520D4 +:041AA600646574619E +:041AA700000000003B +:041AA80000013FF208 +:041AA90000014038C0 +:041AAA0000014040B7 +:041AAB0000014048AE +:041AAC0064616F4CB6 +:041AAD002E676E69C9 +:041AAE0000002E2ED8 +:041AAF007320642517 +:041AB00073746F6C70 +:041AB100616F6C20D5 +:041AB2000064656403 +:041AB3006F706D499A +:041AB400203F7472E9 +:041AB5002C593D313A +:041AB6004E3D32204F +:041AB700000000002B +:041AB8006C696146AE +:041AB9000000646560 +:041ABA00736572508E +:041ABB0000000073B4 +:041ABC00666E6F43A0 +:041ABD00006D7269DD +:041ABE006D73694D8E +:041ABF006863746183 +:041AC0006572202CFF +:041AC10000797274C2 +:041AC2004353534FE8 +:041AC3007766202002 +:041AC4007525202E36 +:041AC500322E252E6A +:041AC6000000617546 +:041AC70074696E4987 +:041AC80072726520B1 +:041AC9002020726FF8 +:041ACA00000064258F +:041ACB00666F725080 +:041ACC003A656C69A2 +:041ACD000000000015 +:041ACE0065646F4D8F +:041ACF0065727020AC +:041AD0003A7465738C +:041AD1000000000011 +:041AD200646F6D4987 +:041AD300462820651C +:041AD400294147500D +:041AD5000000003AD3 +:041AD6002D756C25D9 +:041AD70063256325FB +:041AD800756C2520E4 +:041AD900322E252E56 +:041ADA007A48756C65 +:041ADB000000000007 +:041ADC00746E63437E +:041ADD0066202F2030 +:041ADE00656D61725F +:041ADF000000003AC9 +:041AE00000756C25FC +:041AE1006D72694673 +:041AE2006572617751 +:041AE3000000003AC5 +:041AE400252E752511 +:041AE5006175322EC7 +:041AE60000000000FC +:041AE700656E694C73 +:041AE800746C756D38 +:041AE900646F6D2099 +:041AEA0000003A6559 +:041AEB00736572703D +:041AEC002D31207305 +:041AED0000000035C0 +:041AEE0020787525C2 +:041AEF0075736E7528 +:041AF000726F707031 +:041AF10000646574B4 +:041AF200666F725059 +:041AF30020656C6995 +:041AF40064616F6C4E +:041AF5000000003AB3 +:041AF6007365727032 +:041AF70075252073BE +:041AF8000075252D23 +:041AF9002020202069 +:041AFA0053204F4ED8 +:041AFB0000434E59FD +:041AFC00343130321F +:041AFD003230322D24 +:041AFE006D20203205 +:041AFF00737172612C +:041B000000000000E1 +:041B01000F031F01AE +:041B020000030303D6 +:041B03000001491480 +:041B0400000149C8CB +:041B0500000149C8CA +:041B0600000149DEB3 +:041B0700000149DEB2 +:041B0800000149E8A7 +:041B0900000149ECA2 +:041B0A00000149FA93 +:041B0B00000149FA92 +:041B0C0000014A0C7E +:041B0D0000014EA4E1 +:041B0E0000014EACD8 +:041B0F0000014E9CE7 +:041B100000014EA8DA +:041B110000014EB0D1 +:041B120000014EA0E0 +:041B130000014EFC83 +:041B140000014EB4CA +:041B150000014EB8C5 +:041B160000014EBCC0 +:041B170000014EFC7F +:041B180000014EFC7E +:041B190000014EFC7D +:041B1A0000014EFC7C +:041B1B0000014EFC7B +:041B1C000001548EE2 +:041B1D0000014F0074 +:041B1E0000015094DE +:041B1F00000150A6CB +:041B20000001513639 +:041B210000015178F6 +:041B220000015178F5 +:041B2300000151D498 +:041B2400000152CC9E +:041B2500000152CC9D +:041B2600000153A2C5 +:041B27002D62755363 +:041B2800656E696C11 +:041B290073203120D4 +:041B2A0000007274D1 +:041B2B002D6275535F +:041B2C00656E696C0D +:041B2D0073203220CF +:041B2E0000007274CD +:041B2F002D6275535B +:041B3000656E696C09 +:041B310073203320CA +:041B320000007274C9 +:041B33002D62755357 +:041B3400656E696C05 +:041B350073203420C5 +:041B360000007274C5 +:041B37002D62755353 +:041B3800656E696C01 +:041B390073203520C0 +:041B3A0000007274C1 +:041B3B002D6275534F +:041B3C00756C6F63F2 +:041B3D0031206E6D78 +:041B3E00727473202A +:041B3F0000000000A2 +:041B40002D6275534A +:041B4100756C6F63ED +:041B420032206E6D72 +:041B43007274732025 +:041B4400000000009D +:041B45002D62755345 +:041B4600756C6F63E8 +:041B470033206E6D6C +:041B48007274732020 +:041B49000000000098 +:041B4A002D62755340 +:041B4B00756C6F63E3 +:041B4C0034206E6D66 +:041B4D00727473201B +:041B4E000000000093 +:041B4F002D6275533B +:041B5000756C6F63DE +:041B510035206E6D60 +:041B52007274732016 +:041B5300000000008E +:041B54002D62755336 +:041B5500756C6F63D9 +:041B560036206E6D5A +:041B57007274732011 +:041B58000000000089 +:041B590073202E487F +:041B5A006C706D61DD +:041B5B0074617265DA +:041B5C000000006520 +:041B5D0073202E487B +:041B5E007461722E0E +:041B5F007266206525 +:041B600000006361BD +:041B610073202E4877 +:041B62006C636E79C9 +:041B630000006E65AB +:041B640062202E4885 +:041B6500706B6361DD +:041B66006863726FCF :041B6700000000007A -:041B680000315641B1 -:041B690000325641AF -:041B6A0063616C4205 -:041B6B000000006B0B -:041B6C0065756C42ED -:041B6D000000000074 -:041B6E0065657247F0 -:041B6F000000006E04 -:041B70006E617943E6 +:041B680061202E4882 +:041B690076697463C2 +:041B6A000000006512 +:041B6B0073202E565F +:041B6C006C636E79BF +:041B6D0000006E65A1 +:041B6E0062202E566D +:041B6F00706B6361D3 +:041B70006863726FC5 :041B71000000000070 -:041B72000064655254 -:041B73006567614DF4 -:041B74000061746E2A -:041B75006C6C6559D6 -:041B76000000776F85 -:041B770074696857CE -:041B78000000006504 -:041B790069726F48D6 -:041B7A00746E6F7A9C -:041B7B0000006C6199 -:041B7C0074726556C4 -:041B7D006C616369CB +:041B720061202E566A +:041B730076697463B8 +:041B74000000006508 +:041B7500706D6153DB +:041B7600676E696CC1 +:041B77006168702011 +:041B78000000657391 +:041B790000007332C3 +:041B7A0000007335BF +:041B7B000073303192 +:041B7C000066664F4A +:041B7D006C6C7546D1 :041B7E000000000063 -:041B7F0069726F48D0 -:041B80002B202E7A6E -:041B81007265562013 -:041B820000002E74BD -:041B830074737543BF -:041B840000006D6F81 -:041B850000706F5429 -:041B860074746F42C2 -:041B870000006D6F7E -:041B8800746C754DB7 -:041B8900696C7069AA -:041B8A0069746163B6 -:041B8B0000006E6F79 -:041B8C0074627553B7 -:041B8D0074636172AA -:041B8E00006E6F690D -:041B8F006F747541B9 -:041B90000000000051 -:041B910000006E4F93 -:041B9200494D44482D -:041B9300475228206D -:041B940000002942E2 -:041B9500494D44482A -:041B96004359282067 -:041B970034724362FF -:041B980000293434B8 -:041B99000049564465 -:041B9A0075657350AA -:041B9B0034206F641F -:041B9C004420333A74 -:041B9D0000005241B1 -:041B9E0020313A3187 -:041B9F00005241505F -:041BA0003032393175 -:041BA100383031782F -:041BA200000000300F -:041BA3003030363177 -:041BA4003032317832 -:041BA500000000300C -:041BA600303239316F -:041BA700303231782F -:041BA8000000003009 -:041BA900656E6547B9 -:041BAA0020636972D9 -:041BAB00393A36315C -:041BAC000000000035 -:041BAD00656E6547B5 -:041BAE0020636972D5 -:041BAF0000333A3491 -:041BB0007832313521 -:041BB100203034327A -:041BB2006974706F73 -:041BB30000002E6D93 -:041BB4007834383316 -:041BB5002030343276 -:041BB6006974706F6F -:041BB70000002E6D8F -:041BB800783032331C -:041BB9002030343272 -:041BBA006974706F6B -:041BBB0000002E6D8B -:041BBC007836353210 -:041BBD00203034326E -:041BBE006974706F67 -:041BBF0000002E6D87 -:041BC000737361508A -:041BC100757268745D -:041BC200000000001F -:041BC300656E694C96 -:041BC400282078322B -:041BC50029626F62C0 -:041BC600000000001B -:041BC700656E694C92 -:041BC800000078326F -:041BC900656E694C90 -:041BCA002820783324 -:041BCB006563616C81 -:041BCC000000296488 -:041BCD00656E694C8C -:041BCE00282078341F -:041BCF0029626F62B6 -:041BD0000000000011 -:041BD100656E694C88 -:041BD2003220783213 -:041BD30033783034FF -:041BD40000003036A7 -:041BD500656E694C84 -:041BD600322078330E -:041BD70033783034FB -:041BD80000003036A3 -:041BD900656E694C80 -:041BDA0047207833F5 -:041BDB0072656E655C -:041BDC000000636939 -:041BDD00656E694C7C -:041BDE000000783358 -:041BDF00656E694C7A -:041BE0000000783455 -:041BE100656E694C78 -:041BE2000000783552 -:041BE3004D382E3417 -:041BE40028207A48F3 -:041BE50056544448C6 -:041BE6002943502F10 -:041BE70000000000FA -:041BE8004D352E3019 -:041BE90028207A48EE -:041BEA0056544453B6 -:041BEB0000000029CD -:041BEC004D372E3112 -:041BED0028207A48EA -:041BEE0056544445C0 -:041BEF0000000029C9 -:041BF0004D352E320F -:041BF10028207A48E6 -:041BF2002978616D80 -:041BF30000000000EE -:041BF400484D3031F7 -:041BF5006D28207ABD -:041BF60000296465F9 -:041BF700484D3333EF -:041BF8006D28207ABA -:041BF90000296E69E8 -:041BFA0020414756E9 -:041BFB0078303436D4 -:041BFC004030303411 -:041BFD00000030377D -:041BFE0020414756E5 -:041BFF0078303237D1 -:041C0000403030340C -:041C01000000303778 -:041C020020565444D0 -:041C030070303834D1 -:041C040000000000DC -:041C050041534556AC -:041C06003034362020 -:041C070030383478C5 -:041C08000030364032 -:041C090020505350C4 -:041C0A0078303834C2 -:041C0B00003237323A -:041C0C002E6365528C -:041C0D00313036201C -:041C0E0000000000D2 -:041C0F002E63655289 -:041C10003930372010 -:041C110000000000CF -:041C1200484D3539CB -:041C13004828207AC3 -:041C140020565444BE -:041C15000029494910 -:041C1600484D3533CD -:041C17004828207ABF -:041C180020565444BA -:041C19000000294955 -:041C1A00484D3631CA -:041C1B004528207ABE -:041C1C0029565444AD -:041C1D0000000000C3 -:041C1E007A484D397A -:041C1F0044532820E2 -:041C200000295654ED -:041C2100616F4C3C67 -:041C22007270206458 -:041C23006C69666F13 -:041C2400003E2065F9 -:041C25007661533C55 -:041C26007270206553 -:041C27006C69666F0F -:041C2800003E2065F5 -:041C29007365523C51 -:041C2A00732074654A -:041C2B0069747465FF -:041C2C003E73676E2E -:041C2D0000000000B3 -:041C2E006B6E694C24 -:041C2F006F72702040 -:041C3000693E2D6676 -:041C31007475706EE8 -:041C320000000000AE -:041C33006B6E694C1F -:041C3400706E692045 -:041C35003E2D747557 -:041C3600666F7270F3 -:041C370000000000A9 -:041C380074696E4914 -:041C3900206C616951 -:041C3A0075706E69EA -:041C3B000000007431 -:041C3C006F7475410B -:041C3D006574656401 -:041C3E006920746342 -:041C3F007475706EDA -:041C400000000000A0 -:041C41006F74754106 -:041C420031564120B6 -:041C4300472F5920AE -:041C44000000007329 -:041C45006F74754102 -:041C460032564120B1 -:041C4700472F5920AA -:041C48000000007325 -:041C49006F747541FE -:041C4A0033564120AC -:041C4B00472F5920A6 -:041C4C000000007321 -:041C4D002044434CA0 -:041C4E0074204C4270 -:041C4F006F656D69E7 -:041C500000007475A7 -:041C51000044534FA9 -:041C52002044534F88 -:041C530074617473D1 -:041C54006420737520 -:041C55002E70736911 -:041C5600000000008A -:041C5700706D493C27 -:041C58002074726F13 -:041C590074746573C7 -:041C5A003E20202EDA -:041C5B000000000085 -:041C5C007078453C1B -:041C5D002074726F0E -:041C5E0074746573C2 -:041C5F003E20202ED5 -:041C60000000000080 -:041C61002E77463C58 -:041C62006470752015 -:041C63002065746123 -:041C64003E202020DE -:041C6500000000007B -:041C66006E776F44E2 -:041C67006D61732D0B -:041C68006E696C70C5 -:041C69000000006710 -:041C6A0070617753DB -:041C6B0066656C201E -:041C6C0069722F74F6 -:041C6D000074686730 -:041C6E002D6572501E -:041C6F002043444189 -:041C70006E696167D1 -:041C7100000000006F -:041C72006C6C7546DB -:041C73002058542081 -:041C740075746573AB -:041C750000000070FB -:041C76002033564180 -:041C770065746E69B9 -:041C780063616C72C6 -:041C790078696665BB -:041C7A000000000066 -:041C7B00203356417B -:041C7C0020657375F7 -:041C7D002E746C61F4 -:041C7E004247522067 -:041C7F000000000061 -:041C800061666544F0 -:041C810020746C75EA -:041C8200494D44483C -:041C8300434956205B -:041C8400000000005C -:041C8500616E6150DB -:041C8600696E6F73A1 -:041C8700616820630D -:041C880000006B638A -:041C890069726F48C5 -:041C8A00746E6F7A8B -:041C8B006D206C61FB -:041C8C00006B736115 -:041C8D0074726556B2 -:041C8E006C616369B9 -:041C8F0073616D20F0 -:041C90000000006BE5 -:041C91006B73614DC3 -:041C92006C6F6320F0 -:041C93000000726F6C -:041C94006B73614DC0 -:041C950069726220EE -:041C96006E74686799 -:041C970000737365FE -:041C980065766552B6 -:041C990020657372DD -:041C9A000046504C64 -:041C9B005949443C23 -:041C9C0074616C20E3 -:041C9D006574202E1C -:041C9E00003E74731D -:041C9F006E616353BC -:041CA000656E696C98 -:041CA10000000073CC -:041CA200202E6C5331 -:041CA300657274737F -:041CA4006874676E8B -:041CA500000000003B -:041CA600202E6C532D -:041CA7007262796884 -:041CA80073206469D8 -:041CA900002E727423 -:041CAA00202E6C5329 -:041CAB006874656D87 -:041CAC000000646F61 -:041CAD00202E6C5326 -:041CAE0065746C618C -:041CAF0074616E727C -:041CB00000676E69F2 -:041CB100202E6C5322 -:041CB20067696C6191 -:041CB3006E656D6E7F -:041CB40000000074B8 -:041CB500202E6C531E -:041CB60020746C61C9 -:041CB70065746E6979 -:041CB8006C61767273 -:041CB9000000000027 -:041CBA00202E6C5319 -:041CBB006570797463 -:041CBC000000000024 -:041CBD004320203C64 -:041CBE006F74737557 -:041CBF006C53206DD5 -:041CC0003E20202E74 -:041CC100000000001F -:041CC2007030343218 -:041CC3003838322F4C -:041CC40072702070AA -:041CC5000000636F49 -:041CC600703438330B -:041CC7003030342F56 -:041CC80072702070A6 -:041CC9000000636F45 -:041CCA006930383411 -:041CCB003637352F44 -:041CCC0072702069A9 -:041CCD000000636F41 -:041CCE007030383406 -:041CCF003637352F40 -:041CD000727020709E -:041CD1000000636F3D -:041CD2006930363906 -:041CD3003830312F45 -:041CD40070206930E3 -:041CD50000636F72C7 -:041CD600656E694C82 -:041CD7006D207832D2 -:041CD8000065646FD0 -:041CD900656E694C7F -:041CDA006D207833CE -:041CDB000065646FCD -:041CDC00656E694C7C -:041CDD006D207834CA -:041CDE000065646FCA -:041CDF00656E694C79 -:041CE0006D207835C6 -:041CE1000065646FC7 -:041CE200656E694C76 -:041CE30066207835CA -:041CE400616D726F4D -:041CE5000000007487 -:041CE60078363532E5 -:041CE7002030343243 -:041CE800657073614F -:041CE9000000746320 -:041CEA006D205854BD -:041CEB000065646FBD -:041CEC00494D4448D2 -:041CED0043544920F3 -:041CEE0000000000F2 -:041CEF006C616E4175 -:041CF0007320676F87 -:041CF10020636E7985 -:041CF2000046504C0C -:041CF3006C616E4171 -:041CF4005320676FA3 -:041CF5004C204354E8 -:041CF6000000465054 -:041CF7006C616E416D -:041CF8007320676F7F -:041CF90020636E797D -:041CFA0000687456B4 -:041CFB006E79734843 -:041CFC006F7420637E -:041CFD006172656C3F -:041CFE000065636EAC -:041CFF006E79735631 -:041D00006874206380 -:041D0100687365722C -:041D020000646C6F9E -:041D03004C502D48CB -:041D04007250204CAD -:041D05006F432D6596 -:041D06000074736191 -:041D07004C502D48C7 -:041D08006F50204CAC -:041D0900432D74737F -:041D0A007473616F1E -:041D0B0000000000D4 -:041D0C0070303834C7 -:041D0D00206E6920BB -:041D0E00706D617320 -:041D0F000072656C8D -:041D100070303034CB -:041D1100206E6920B7 -:041D1200706D61731C -:041D13000072656C89 -:041D14006F6C6C4143 -:041D15005654207789 -:041D160050482050C1 -:041D170078324C4C86 -:041D180000000000C7 -:041D19006F6C6C413E -:041D1A007075207749 -:041D1B00706D617313 -:041D1C007832656C48 -:041D1D0000000000C2 -:041D1E007664413C6A -:041D1F006974202E95 -:041D2000676E696D14 -:041D21003E20202020 -:041D220000000000BD -:041D23006564695634 -:041D2400504C206F90 -:041D25000000004674 -:041D2600506250595E -:041D27006E6920724F -:041D28006C6F432079 -:041D29000061705392 -:041D2A0072502F5272 -:041D2B0066666F2059 -:041D2C000074657367 -:041D2D0020592F47C3 -:041D2E007366666F03 -:041D2F0000007465D7 -:041D300062502F428C -:041D310066666F2053 -:041D32000074657361 -:041D330072502F5269 -:041D3400696167205A -:041D35000000006E3C -:041D360020592F47BA -:041D37006E69616709 -:041D380000000000A7 -:041D390062502F4283 -:041D3A006961672054 -:041D3B000000006E36 -:041D3C002D6572504F -:041D3D0020434441BA -:041D3E006E69614722 -:041D3F0000000000A0 -:041D40006D616C4322 -:041D41004C412F7072 -:041D4200666F204365 -:041D430074657366EA +:041B7F00706D6953C9 +:041B80000000656C90 +:041B810000007333BA +:041B82000073303389 +:041B830072727543C2 +:041B840020746E65F6 +:041B850075706E69A0 +:041B860000000074E7 +:041B8700206C6C4121 +:041B880075706E699D +:041B89000000737471 +:041B8A002066664F1C +:041B8B002073662835 +:041B8C003639203D89 +:041B8D00297A486BFE +:041B8E000000000053 +:041B8F002020783268 +:041B90002073662830 +:041B91003834203D87 +:041B9200297A486BF9 +:041B9300000000004E +:041B94000031564185 +:041B95000032564183 +:041B960063616C42D9 +:041B97000000006BDF +:041B980065756C42C1 +:041B99000000000048 +:041B9A0065657247C4 +:041B9B000000006ED8 +:041B9C006E617943BA +:041B9D000000000044 +:041B9E000064655228 +:041B9F006567614DC8 +:041BA0000061746EFE +:041BA1006C6C6559AA +:041BA2000000776F59 +:041BA30074696857A2 +:041BA40000000065D8 +:041BA50069726F48AA +:041BA600746E6F7A70 +:041BA70000006C616D +:041BA8007472655698 +:041BA9006C6163699F +:041BAA000000000037 +:041BAB0069726F48A4 +:041BAC002B202E7A42 +:041BAD0072655620E7 +:041BAE0000002E7491 +:041BAF007473754393 +:041BB00000006D6F55 +:041BB10000706F54FD +:041BB20074746F4296 +:041BB30000006D6F52 +:041BB400746C754D8B +:041BB500696C70697E +:041BB600697461638A +:041BB70000006E6F4D +:041BB800746275538B +:041BB900746361727E +:041BBA00006E6F69E1 +:041BBB006F7475418D +:041BBC000000000025 +:041BBD0000006E4F67 +:041BBE00494D444801 +:041BBF004752282041 +:041BC00000002942B6 +:041BC100494D4448FE +:041BC200435928203B +:041BC30034724362D3 +:041BC400002934348C +:041BC5000049564439 +:041BC600756573507E +:041BC70034206F64F3 +:041BC8004420333A48 +:041BC9000000524185 +:041BCA0020313A315B +:041BCB000052415033 +:041BCC003032393149 +:041BCD003830317803 +:041BCE0000000030E3 +:041BCF00303036314B +:041BD0003032317806 +:041BD10000000030E0 +:041BD2003032393143 +:041BD3003032317803 +:041BD40000000030DD +:041BD500656E65478D +:041BD60020636972AD +:041BD700393A363130 +:041BD8000000000009 +:041BD900656E654789 +:041BDA0020636972A9 +:041BDB0000333A3465 +:041BDC0078323135F5 +:041BDD00203034324E +:041BDE006974706F47 +:041BDF0000002E6D67 +:041BE00078343833EA +:041BE100203034324A +:041BE2006974706F43 +:041BE30000002E6D63 +:041BE40078303233F0 +:041BE5002030343246 +:041BE6006974706F3F +:041BE70000002E6D5F +:041BE80078363532E4 +:041BE9002030343242 +:041BEA006974706F3B +:041BEB0000002E6D5B +:041BEC00737361505E +:041BED007572687431 +:041BEE0000000000F3 +:041BEF00656E694C6A +:041BF00028207832FF +:041BF10029626F6294 +:041BF20000000000EF +:041BF300656E694C66 +:041BF4000000783243 +:041BF500656E694C64 +:041BF60028207833F8 +:041BF7006563616C55 +:041BF800000029645C +:041BF900656E694C60 +:041BFA0028207834F3 +:041BFB0029626F628A +:041BFC0000000000E5 +:041BFD00656E694C5C +:041BFE0032207832E7 +:041BFF0033783034D3 +:041C0000000030367A +:041C0100656E694C57 +:041C020032207833E1 +:041C030033783034CE +:041C04000000303676 +:041C0500656E694C53 +:041C060047207833C8 +:041C070072656E652F +:041C0800000063690C +:041C0900656E694C4F +:041C0A00000078332B +:041C0B00656E694C4D +:041C0C000000783428 +:041C0D00656E694C4B +:041C0E000000783525 +:041C0F004D382E34EA +:041C100028207A48C6 +:041C11005654444899 +:041C12002943502FE3 +:041C130000000000CD +:041C14004D352E30EC +:041C150028207A48C1 +:041C16005654445389 +:041C170000000029A0 +:041C18004D372E31E5 +:041C190028207A48BD +:041C1A005654444593 +:041C1B00000000299C +:041C1C004D352E32E2 +:041C1D0028207A48B9 +:041C1E002978616D53 +:041C1F0000000000C1 +:041C2000484D3031CA +:041C21006D28207A90 +:041C220000296465CC +:041C2300484D3333C2 +:041C24006D28207A8D +:041C250000296E69BB +:041C260020414756BC +:041C270078303436A7 +:041C280040303034E4 +:041C29000000303750 +:041C2A0020414756B8 +:041C2B0078303237A4 +:041C2C0040303034E0 +:041C2D00000030374C +:041C2E0020565444A4 +:041C2F0070303834A5 +:041C300000000000B0 +:041C31004153455680 +:041C320030343620F4 +:041C33003038347899 +:041C34000030364006 +:041C35002050535098 +:041C36007830383496 +:041C3700003237320E +:041C38002E63655260 +:041C390031303620F0 +:041C3A0000000000A6 +:041C3B002E6365525D +:041C3C0039303720E4 +:041C3D0000000000A3 +:041C3E00484D35399F +:041C3F004828207A97 +:041C40002056544492 +:041C410000294949E4 +:041C4200484D3533A1 +:041C43004828207A93 +:041C4400205654448E +:041C45000000294929 +:041C4600484D36319E +:041C47004528207A92 +:041C48002956544481 +:041C49000000000097 +:041C4A007A484D394E +:041C4B0044532820B6 +:041C4C0000295654C1 +:041C4D00616F4C3C3B +:041C4E00727020642C +:041C4F006C69666FE7 +:041C5000003E2065CD +:041C51007661533C29 +:041C52007270206527 +:041C53006C69666FE3 +:041C5400003E2065C9 +:041C55007365523C25 +:041C5600732074651E +:041C570069747465D3 +:041C58003E73676E02 +:041C59000000000087 +:041C5A006B6E694CF8 +:041C5B006F72702014 +:041C5C00693E2D664A +:041C5D007475706EBC +:041C5E000000000082 +:041C5F006B6E694CF3 +:041C6000706E692019 +:041C61003E2D74752B +:041C6200666F7270C7 +:041C6300000000007D +:041C640074696E49E8 +:041C6500206C616925 +:041C660075706E69BE +:041C67000000007405 +:041C68006F747541DF +:041C690065746564D5 +:041C6A006920746316 +:041C6B007475706EAE +:041C6C000000000074 +:041C6D006F747541DA +:041C6E00315641208A +:041C6F00472F592082 +:041C700000000073FD +:041C71006F747541D6 +:041C72003256412085 +:041C7300472F59207E +:041C740000000073F9 +:041C75006F747541D2 +:041C76003356412080 +:041C7700472F59207A +:041C780000000073F5 +:041C79002044434C74 +:041C7A0074204C4244 +:041C7B006F656D69BB +:041C7C00000074757B +:041C7D000044534F7D +:041C7E002044534F5C +:041C7F0074617473A5 +:041C800064207375F4 +:041C81002E707369E5 +:041C8200000000005E +:041C8300706D493CFB +:041C84002074726FE7 +:041C8500747465739B +:041C86003E20202EAE +:041C87000000000059 +:041C88007078453CEF +:041C89002074726FE2 +:041C8A007474657396 +:041C8B003E20202EA9 +:041C8C000000000054 +:041C8D002E77463C2C +:041C8E0064707520E9 +:041C8F0020657461F7 +:041C90003E202020B2 +:041C9100000000004F +:041C92006E776F44B6 +:041C93006D61732DDF +:041C94006E696C7099 +:041C950000000067E4 +:041C960070617753AF +:041C970066656C20F2 +:041C980069722F74CA +:041C99000074686704 +:041C9A002D657250F2 +:041C9B00204344415D +:041C9C006E696167A5 +:041C9D000000000043 +:041C9E006C6C7546AF +:041C9F002058542055 +:041CA000757465737F +:041CA10000000070CF +:041CA2002033564154 +:041CA30065746E698D +:041CA40063616C729A +:041CA500786966658F +:041CA600000000003A +:041CA700203356414F +:041CA80020657375CB +:041CA9002E746C61C8 +:041CAA00424752203B +:041CAB000000000035 +:041CAC0061666544C4 +:041CAD0020746C75BE +:041CAE00494D444810 +:041CAF00434956202F +:041CB0000000000030 +:041CB100616E6150AF +:041CB200696E6F7375 +:041CB30061682063E1 +:041CB40000006B635E +:041CB50069726F4899 +:041CB600746E6F7A5F +:041CB7006D206C61CF +:041CB800006B7361E9 +:041CB9007472655686 +:041CBA006C6163698D +:041CBB0073616D20C4 +:041CBC000000006BB9 +:041CBD006B73614D97 +:041CBE006C6F6320C4 +:041CBF000000726F40 +:041CC0006B73614D94 +:041CC10069726220C2 +:041CC2006E7468676D +:041CC30000737365D2 +:041CC400657665528A +:041CC50020657372B1 +:041CC6000046504C38 +:041CC7005949443CF7 +:041CC80074616C20B7 +:041CC9006574202EF0 +:041CCA00003E7473F1 +:041CCB006E61635390 +:041CCC00656E696C6C +:041CCD0000000073A0 +:041CCE00202E6C5305 +:041CCF006572747353 +:041CD0006874676E5F +:041CD100000000000F +:041CD200202E6C5301 +:041CD3007262796858 +:041CD40073206469AC +:041CD500002E7274F7 +:041CD600202E6C53FD +:041CD7006874656D5B +:041CD8000000646F35 +:041CD900202E6C53FA +:041CDA0065746C6160 +:041CDB0074616E7250 +:041CDC0000676E69C6 +:041CDD00202E6C53F6 +:041CDE0067696C6165 +:041CDF006E656D6E53 +:041CE000000000748C +:041CE100202E6C53F2 +:041CE20020746C619D +:041CE30065746E694D +:041CE4006C61767247 +:041CE50000000000FB +:041CE600202E6C53ED +:041CE7006570797437 +:041CE80000000000F8 +:041CE9004320203C38 +:041CEA006F7473752B +:041CEB006C53206DA9 +:041CEC003E20202E48 +:041CED0000000000F3 +:041CEE0070303432EC +:041CEF003838322F20 +:041CF000727020707E +:041CF1000000636F1D +:041CF20070343833DF +:041CF3003030342F2A +:041CF400727020707A +:041CF5000000636F19 +:041CF60069303834E5 +:041CF7003637352F18 +:041CF800727020697D +:041CF9000000636F15 +:041CFA0070303834DA +:041CFB003637352F14 +:041CFC007270207072 +:041CFD000000636F11 +:041CFE0069303639DA +:041CFF003830312F19 +:041D000070206930B6 +:041D010000636F729A +:041D0200656E694C55 +:041D03006D207832A5 +:041D04000065646FA3 +:041D0500656E694C52 +:041D06006D207833A1 +:041D07000065646FA0 +:041D0800656E694C4F +:041D09006D2078349D +:041D0A000065646F9D +:041D0B00656E694C4C +:041D0C006D20783599 +:041D0D000065646F9A +:041D0E00656E694C49 +:041D0F00662078359D +:041D1000616D726F20 +:041D1100000000745A +:041D120078363532B8 +:041D13002030343216 +:041D14006570736122 +:041D150000007463F3 +:041D16006D20585490 +:041D17000065646F90 +:041D1800494D4448A5 +:041D190043544920C6 +:041D1A0000000000C5 +:041D1B006C616E4148 +:041D1C007320676F5A +:041D1D0020636E7958 +:041D1E000046504CDF +:041D1F006C616E4144 +:041D20005320676F76 +:041D21004C204354BB +:041D22000000465027 +:041D23006C616E4140 +:041D24007320676F52 +:041D250020636E7950 +:041D26000068745687 +:041D27006E79734816 +:041D28006F74206351 +:041D29006172656C12 +:041D2A000065636E7F +:041D2B006E79735604 +:041D2C006874206354 +:041D2D006873657200 +:041D2E0000646C6F72 +:041D2F004C502D489F +:041D30007250204C81 +:041D31006F432D656A +:041D32000074736165 +:041D33004C502D489B +:041D34006F50204C80 +:041D3500432D747353 +:041D36007473616FF2 +:041D370000000000A8 +:041D3800703038349B +:041D3900206E69208F +:041D3A00706D6173F4 +:041D3B000072656C61 +:041D3C00703030349F +:041D3D00206E69208B +:041D3E00706D6173F0 +:041D3F000072656C5D +:041D40006F6C6C4117 +:041D4100565420775D +:041D42005048205095 +:041D430078324C4C5A :041D4400000000009B -:041D450020434C41AA -:041D46006966205654 -:041D47007265746CE1 -:041D48000000000097 -:041D490020434C41A6 -:041D4A00696620485E -:041D4B007265746CDD -:041D4C000000000093 -:041D4D00656469560A -:041D4E006E69206F2B -:041D4F006F7270201F -:041D50003E202063AE -:041D5100000000008E -:041D5200706D6153FC -:041D5300676E696CE2 -:041D540074706F2018 -:041D55003E20202EDE -:041D56000000000089 -:041D5700636E7953EB -:041D580074706F2014 -:041D59002020202EF8 -:041D5A003E202020E7 -:041D5B000000000084 -:041D5C007074754FDB -:041D5D006F2074750A -:041D5E00202E74704F -:041D5F003E202020E2 -:041D6000000000007F -:041D61006E616353F9 -:041D6200656E696CD5 -:041D630074706F2009 -:041D64003E20202ECF -:041D6500000000007A -:041D660074736F50D3 -:041D67006F72702DFA -:041D680020202E63A6 -:041D69003E202020D8 -:041D6A000000000075 -:041D6B00706D6F43E5 -:041D6C0062697461D3 -:041D6D0074696C69C0 -:041D6E003E2020797A -:041D6F000000000070 -:041D700069647541EC -:041D7100706F206F00 -:041D72006E6F6974B3 -:041D73003E2020737B +:041D45006F6C6C4112 +:041D4600707520771D +:041D4700706D6173E7 +:041D48007832656C1C +:041D49000000000096 +:041D4A007664413C3E +:041D4B006974202E69 +:041D4C00676E696DE8 +:041D4D003E202020F4 +:041D4E000000000091 +:041D4F006564695608 +:041D5000504C206F64 +:041D51000000004648 +:041D52005062505932 +:041D53006E69207223 +:041D54006C6F43204D +:041D55000061705366 +:041D560072502F5246 +:041D570066666F202D +:041D5800007465733B +:041D590020592F4797 +:041D5A007366666FD7 +:041D5B0000007465AB +:041D5C0062502F4260 +:041D5D0066666F2027 +:041D5E000074657335 +:041D5F0072502F523D +:041D6000696167202E +:041D61000000006E10 +:041D620020592F478E +:041D63006E696167DD +:041D6400000000007B +:041D650062502F4257 +:041D66006961672028 +:041D67000000006E0A +:041D68002D65725023 +:041D6900204344418E +:041D6A006E696147F6 +:041D6B000000000074 +:041D6C006D616C43F6 +:041D6D004C412F7046 +:041D6E00666F204339 +:041D6F0074657366BE +:041D7000000000006F +:041D710020434C417E +:041D72006966205628 +:041D73007265746CB5 :041D7400000000006B -:041D750074746553CA -:041D760073676E69B8 -:041D770074706F20F5 -:041D78003E202020C9 -:041D79000000000066 -:041D7A0074736554C5 -:041D7B0074617020FF -:041D7C006E726574AA +:041D750020434C417A +:041D76006966204832 +:041D77007265746CB1 +:041D78000000000067 +:041D790065646956DE +:041D7A006E69206FFF +:041D7B006F727020F3 +:041D7C003E20206382 :041D7D000000000062 -:041D7E005F3156413A -:041D7F005342475232 -:041D8000000000005F -:041D81005F31564137 -:041D8200427347520F -:041D8300000000005C -:041D84005F31564134 -:041D850050625059FF -:041D860000000072E7 -:041D87005F32564130 -:041D880050625059FC -:041D890000000072E4 -:041D8A005F3256412D -:041D8B004273475206 +:041D7E00706D6153D0 +:041D7F00676E696CB6 +:041D800074706F20EC +:041D81003E20202EB2 +:041D8200000000005D +:041D8300636E7953BF +:041D840074706F20E8 +:041D85002020202ECC +:041D86003E202020BB +:041D87000000000058 +:041D88007074754FAF +:041D89006F207475DE +:041D8A00202E747023 +:041D8B003E202020B6 :041D8C000000000053 -:041D8D005F33564129 -:041D8E00484247522E -:041D8F0000000056FA -:041D90005F33564126 -:041D91005342475220 -:041D9200000000004D -:041D93005F33564123 -:041D940042734752FD -:041D9500000000004A -:041D96005F33564120 -:041D970050625059ED -:041D980000000072D5 -:041D99007473614CB2 -:041D9A0065737520D8 -:041D9B0000000064E0 -:041D9C002D706F54E3 -:041D9D007466656C97 -:041D9E000000000041 -:041D9F00746E6543B6 -:041DA0000000726568 -:041DA10074746F42A5 -:041DA200722D6D6FC2 -:041DA3007468676990 -:041DA400000000003B -:041DA5000000003109 -:041DA6000000003207 -:041DA7000000003305 -:041DA8000000003403 -:041DA9000000003600 -:041DAA0000000037FE -:041DAB0000000038FC -:041DAC0000000039FA -:041DAD00554E454DFD -:041DAE000000000031 -:041DAF0000004B4F96 -:041DB0004B4341421E -:041DB100000000002E -:041DB2000000505588 -:041DB3004E574F44F4 -:041DB400000000002B -:041DB5005446454CFF -:041DB6000000000029 -:041DB70048474952FE -:041DB80000000054D3 -:041DB9004F464E49FA -:041DBA000000000025 -:041DBB005F44434CF2 -:041DBC004B43414212 -:041DBD004847494CFE -:041DBE0000000054CD -:041DBF004E414353FB -:041DC000454E494CF7 -:041DC100444F4D5FDF -:041DC20000000045D8 -:041DC3004E414353F7 -:041DC400454E494CF3 -:041DC5005059545FBE -:041DC60000000045D4 -:041DC7004E414353F3 -:041DC800454E494CEF -:041DC900544E495FCC -:041DCA000000002BEA -:041DCB004E414353EF -:041DCC00454E494CEB -:041DCD00544E495FC8 -:041DCE000000002DE4 -:041DCF00454E494CE8 -:041DD000544C554DCD -:041DD100444F4D5FCF -:041DD20000000045C8 -:041DD30053414850E0 -:041DD40000002B459B -:041DD50053414850DE -:041DD60000002D4597 -:041DD700464F5250D1 -:041DD8005F454C49CE -:041DD9004B544F48D0 -:041DDA000000594567 -:041DDB0003030200FC -:041DDC0002000102FE -:041DDD0000000003FF -:041DDE00000175E8A3 -:041DDF00000175F892 -:041DE0000001760484 -:041DE1000001761077 -:041DE2000001761C6A -:041DE300000176285D -:041DE4000001763450 -:041DE5000001764043 -:041DE6000001764C36 -:041DE7000001765829 -:041DE800000176641C -:041DE9000001703055 -:041DEA0000002000D5 -:041DEB0020002CE5C3 -:041DEC00E926F4FDF3 -:041DED0038BC2000DE -:041DEE0000000000F1 -:041DEF000001703C43 -:041DF00000002000CF -:041DF1002000323E5E -:041DF200F113FA04EB -:041DF3003B61200030 -:041DF40000000000EB -:041DF5000001904910 -:041DF60000000002E7 -:041DF70000011106D0 -:041DF8000001767000 -:041DF9000001767CF3 -:041DFA0000017684EA -:041DFB000D806000F7 -:041DFC000040201073 -:041DFD0008040220B4 -:041DFE00000040227F -:041DFF0000000000E0 -:041E00000DC06000B1 -:041E010000783C1118 -:041E0200070180E074 -:041E03000000180EB5 -:041E040000000000DA -:041E05000D806000EC -:041E0600003018048C -:041E07000201406034 -:041E080000001406BC -:041E090000000000D5 -:041E0A0000019057EC -:041E0B000000000EC5 -:041E0C00000122BAF5 -:041E0D0000017694C6 -:041E0E0000017698C1 -:041E0F000001769CBC -:041E1000000176A0B7 -:041E110000016B045D -:041E1200000176A4B1 -:041E1300000176A8AC -:041E1400000176ACA7 -:041E1500000176B0A2 -:041E160000016E94C5 -:041E1700000176B49C -:041E1800000176BC93 -:041E1900000176C08E -:041E1A00000176C885 -:041E1B00000176CC80 -:041E1C00000176D477 -:041E1D00000176DC6E -:041E1E00000176E465 -:041E1F00000176EC5C -:041E2000000176FC4B -:041E21000001770C39 -:041E22000001771C28 -:041E23000001772C17 -:041E24000001773C06 -:041E25000001774CF5 -:041E260000017754EC -:041E27000001775CE3 -:041E28003EA93E2968 -:041E29003EE93E69E7 -:041E2A003E993E1986 -:041E2B003ED93E5905 -:041E2C003EC93E3934 -:041E2D003E1D3E4DCB -:041E2E003E2D3EED1A -:041E2F003EAD3ECDB9 -:041E30003E653E6D60 -:041E31001C483E010A -:041E32001C501C180C -:041E33001CC81CD0DB -:041E34005ED85E58BE -:041E350000003EB9B2 -:041E360000000000A8 -:041E370000000001A6 -:041E380000000000A6 -:041E390000000000A5 -:041E3A0000000000A4 -:041E3B0000000100A2 -:041E3C00010101009F -:041E3D0000000100A0 -:041E3E0000000000A0 -:041E3F00010000009E -:041E4000060B00008D -:041E41000000004459 -:041E4200000000019B -:041E4300010000009A -:041E440080000C000E -:041E4500808009038D -:041E46001A1A1A80CA -:041E470000000A0885 -:041E480030303631CF -:041E49003034327887 -:041E4A00C8000000CC -:041E4B0007FE00F09E -:041E4C000FCA20C0D9 -:041E4D0022100396C6 -:041E4E00004000024E -:041E4F0030383231C4 -:041E50003034327880 -:041E5100A0000000ED -:041E5200061800F07E -:041E53000FAA20C0F2 -:041E5400221003480D -:041E55000002040281 -:041E56007830363971 -:041E570000303432F1 -:041E5800780000000E -:041E5900049200F0FF -:041E5A000F8020C015 -:041E5B002210033618 -:041E5C000000080278 -:041E5D007832313571 -:041E5E0000303432EA -:041E5F00400000003F -:041E600002AA00F0E2 -:041E61000E4D20C042 -:041E62002210033215 -:041E630000841010D7 -:041E64007834383363 -:041E650000303432E3 -:041E66003000000048 -:041E6700020000F085 -:041E68000E3B20C04D -:041E6900221003251B -:041E6A00010820202B -:041E6B007830323366 -:041E6C0000303432DC -:041E6D002800000049 -:041E6E0001AA00F0D5 -:041E6F000E3120C050 -:041E70002210031F1A -:041E710002104040DB -:041E72007836353257 -:041E730000303432D5 -:041E7400200000004A -:041E7500015500F023 -:041E76000E2720C053 -:041E77002210031919 -:041E78000420808042 -:041E7900703034325F -:041E7A000000000064 -:041E7B005A08000001 -:041E7C00035A00F015 -:041E7D000F3920C039 -:041E7E002210033EED -:041E7F000000000E51 -:041E80003030363197 -:041E8100303432784F -:041E8200C800004C48 -:041E830007FE00F066 -:041E840029CA270040 -:041E8500221003968E -:041E86000040000216 -:041E8700303832318C -:041E8800383832783C -:041E8900A0000000B5 -:041E8A000618012015 -:041E8B000FAA270073 -:041E8C0022100348D5 -:041E8D000002040249 -:041E8E007830363939 -:041E8F0000383832AD -:041E900078000000D6 -:041E91000492012096 -:041E92000F80270096 -:041E930022100336E0 -:041E94000000080240 -:041E95007832313539 -:041E96004C30343266 -:041E970040000042C5 -:041E980002AA00F0AA -:041E9900294D2700A8 -:041E9A0022100332DD -:041E9B00008410109F -:041E9C00783438332B -:041E9D004C3034325F -:041E9E0030000042CE -:041E9F00020000F04D -:041EA000293B2700B3 -:041EA10022100325E3 -:041EA20001082020F3 -:041EA300783032332E -:041EA4004C30343258 -:041EA50028000042CF -:041EA60001AA00F09D -:041EA70029312700B6 -:041EA8002210031FE2 -:041EA90002104040A3 -:041EAA00783635321F -:041EAB004C30343251 -:041EAC0020000042D0 -:041EAD00015500F0EB -:041EAE0029272700B9 -:041EAF0022100319E1 -:041EB000042080800A -:041EB100703838321B -:041EB200000000002C -:041EB3005A170000BA -:041EB40003600120A6 -:041EB50013452700AA -:041EB6002210033FB4 -:041EB7000000000E19 -:041EB8007830383412 -:041EB900003036338C -:041EBA003C000000E8 -:041EBB000258016860 -:041EBC000A3F2EE0CB -:041EBD0044100326A4 -:041EBE000000000E12 -:041EBF007830343211 -:041EC0000030363385 -:041EC10020000000FD -:041EC200012C016886 -:041EC3000A182EE0EB -:041EC40044100312B1 -:041EC5000001010017 -:041EC6007034383309 -:041EC7000000000017 -:041EC8003E000000D8 -:041EC9000280018012 -:041ECA001D32330092 -:041ECB004410033E7E -:041ECC000000000E04 -:041ECD00303036314A -:041ECE003030347804 -:041ECF00C800000047 -:041ED00007D00190A6 -:041ED100227838201B -:041ED200501002F0BA -:041ED3000000040007 -:041ED40078303237F9 -:041ED5000030303475 -:041ED6005A000000AE -:041ED70003840190EF -:041ED800224038204C -:041ED9005010026043 -:041EDA000000000CF8 -:041EDB0078303436F1 -:041EDC00003030346E -:041EDD0050000000B1 -:041EDE00032001904C -:041EDF002230382055 -:041EE000501002603C -:041EE1000000000CF1 -:041EE20078303436EA -:041EE300003438335C -:041EE40050000000AA -:041EE5000320018055 -:041EE6003F303D80CC -:041EE7005010026035 -:041EE8000000000EE8 -:041EE90069303834F0 -:041EEA0000000000F4 -:041EEB005A06000093 -:041EEC00035A00F0A5 -:041EED000F3941A0C8 -:041EEE006210033E3D -:041EEF000002040FDA -:041EF00070303834E2 -:041EF10000000000ED -:041EF2005A02000090 -:041EF300035A01E0AD -:041EF4001E3C41A0AF -:041EF5008410063E11 -:041EF6000000000CDC -:041EF70078303834D3 -:041EF800003237324B -:041EF9003C030000A6 -:041EFA00035A011076 -:041EFB0086B141A0CB -:041EFC008410063E0A -:041EFD0000000204DB -:041EFE0078303436CE -:041EFF000030383443 -:041F0000500100008C -:041F0100032001E0D8 -:041F0200213041A0A9 -:041F030090100260D8 -:041F04000000000CCD -:041F050078303436C6 -:041F0600003231353F -:041F07005000000086 -:041F080003200200B0 -:041F09001C30470041 -:041F0A0090100260D1 -:041F0B000000000CC6 -:041F0C0069363735C6 -:041F0D0000000000D0 -:041F0E005A15000060 -:041F0F00036001204A -:041F100013454E2007 -:041F11006210033F18 -:041F12000002040FB6 -:041F130070363735B8 -:041F140000000000C9 -:041F15005A1100005D -:041F16000360024022 -:041F170027444E20ED -:041F180084100540EC -:041F19000000000CB8 -:041F1A0078303038B3 -:041F1B00003030362C -:041F1C00640000005D -:041F1D000420025842 -:041F1E0017584E8082 -:041F1F00101004801A -:041F200000000004B9 -:041F210070303237B3 -:041F22000030355FF7 -:041F2300A013000007 -:041F240007BC02D024 -:041F250014DC5DC0AB -:041F26001810052862 -:041F270000000004B2 -:041F280070303237AC -:041F29000030365FEF -:041F2A00A00400000F -:041F2B00067202D068 -:041F2C0014DC5DC0A4 -:041F2D00181005285B -:041F2E0000000004AB -:041F2F0034323031E7 -:041F30003836377890 -:041F3100800000002C -:041F32000540030063 -:041F33001DA064C0C9 -:041F340010100688FB -:041F350000000004A4 -:041F360030383231DC -:041F3700323031789B -:041F3800A0000034D1 -:041F39000698040002 -:041F3A0026F88540C0 -:041F3B00101003700F -:041F3C00000000049D -:041F3D00783034368E -:041F3E006930363997 -:041F3F00500000004E -:041F4000032001E099 -:041F41002130834088 -:041F4200A410026085 -:041F43000000000D8D -:041F440030383031D0 -:041F450030355F696B -:041F4600F014000093 -:041F47000A50021C1E -:041F48000F948CA0C6 -:041F4900B810052C9B -:041F4A000000000D86 -:041F4B0030383031C9 -:041F4C0030365F6963 -:041F4D00F00500009B -:041F4E000898021CD1 -:041F4F0010948CA0BE -:041F5000B810052C94 -:041F51000000000D7F -:041F520030383031C2 -:041F530030355F7056 -:041F5400F01F00007A -:041F55000A500438F2 -:041F560024948CA0A3 -:041F57001810052C2D -:041F58000000000481 -:041F590030383031BB -:041F5A0030365F704E -:041F5B00F010000082 -:041F5C0008980438A5 -:041F5D0024948CA09C -:041F5E001810052C26 -:041F5F00000000047A -:041F600030303631B6 -:041F61003032317871 -:041F6200C800003083 -:041F6300087004B04E -:041F64002EFF9C4070 -:041F6500101003F164 -:041F66000000000473 -:041F67000001908065 -:041F6800000000284D -:041F69000001112042 -:041F6A007665642F05 -:041F6B006370652F0B -:041F6C006F635F71CF -:041F6D006F72746EAD -:041F6E0072656C6CC0 -:041F6F005F305F324E -:041F70005F6C7661CB -:041F7100006D656D2D -:041F72002B302D23C0 -:041F7300000000204A -:041F7400004C6C6849 -:041F750045676665F1 -:041F760000004746DA -:041F770033323130A0 -:041F7800373635348F -:041F79004241393870 -:041F7A004645444351 -:041F7B000000000062 -:041F7C00333231309B -:041F7D00373635348A -:041F7E00626139382B -:041F7F0066656463CC -:041F8000000000005D -:041F8100000162B247 -:041F8200000162C830 -:041F83000001628C6B -:041F84000001628C6A -:041F85000001628C69 -:041F86000001628C68 -:041F8700000162C82B -:041F88000001628C66 -:041F89000001628C65 -:041F8A000001628C64 -:041F8B000001628C63 -:041F8C000001642CC0 -:041F8D000001630AE2 -:041F8E00000163FEED -:041F8F000001628C5F -:041F90000001628C5E -:041F91000001646087 -:041F92000001628C5C -:041F93000001630ADC -:041F94000001628C5A -:041F95000001628C59 -:041F960000016406DC -:041F9700C896554B48 -:041F9800000000093C -:041F99000001805C67 -:041F9A000000000340 -:041F9B0000018110B0 -:041F9C00000000053C -:041F9D000001814C72 -:041F9E000000000B34 -:041F9F00000181B00C -:041FA0000000000934 -:041FA1000001828C2D -:041FA2000000000D2E -:041FA3000001834076 -:041FA4000000000633 -:041FA500000184446F -:041FA6000000000532 -:041FA700000184BCF5 -:041FA800000000092C -:041FA900000185208E -:041FAA000000001023 -:041FAB00000185D4D8 -:041FAC00000000072A -:041FAD000001871494 -:041FAE000000000C23 -:041FAF00000187A006 -:041FB000000000002D -:041FB100000000002C -:041FB20000017DA805 -:041FB300000000002A -:041FB4000000000029 -:041FB500000102EA3B -:041FB600000103A281 -:041FB700000101AA7A -:041FB800000101C85B -:041FB9000001022001 -:041FBA0000800000A3 -:041FBB000020000002 -:041FBC000000000021 -:041FBD000000000020 -:041FBE00000000001F -:041FBF00000000001E -:041FC000000000001D -:041FC100000000001C -:041FC200000000001B -:041FC300000000001A -:041FC4000000000019 -:041FC5000000000018 -:041FC6000000000017 -:041FC7000000000016 -:041FC8000000000015 -:041FC9000000000014 -:041FCA000000000013 -:041FCB000000000012 -:041FCC000000000011 -:041FCD000000000010 -:041FCE00000000000F -:041FCF00000000000E -:041FD000000000000D -:041FD100000000000C -:041FD200000000000B -:041FD300000000000A -:041FD4000000000009 -:041FD5000000000008 -:041FD6000000000007 -:041FD7000000000006 -:041FD8000000000005 -:041FD9000000000004 -:041FDA000000000003 -:041FDB000000000002 +:041D8D006E616353CD +:041D8E00656E696CA9 +:041D8F0074706F20DD +:041D90003E20202EA3 +:041D9100000000004E +:041D920074736F50A7 +:041D93006F72702DCE +:041D940020202E637A +:041D95003E202020AC +:041D96000000000049 +:041D9700706D6F43B9 +:041D980062697461A7 +:041D990074696C6994 +:041D9A003E2020794E +:041D9B000000000044 +:041D9C0069647541C0 +:041D9D00706F206FD4 +:041D9E006E6F697487 +:041D9F003E2020734F +:041DA000000000003F +:041DA100747465539E +:041DA20073676E698C +:041DA30074706F20C9 +:041DA4003E2020209D +:041DA500000000003A +:041DA6007473655499 +:041DA70074617020D3 +:041DA8006E7265747E +:041DA9000000000036 +:041DAA005F3156410E +:041DAB005342475206 +:041DAC000000000033 +:041DAD005F3156410B +:041DAE0042734752E3 +:041DAF000000000030 +:041DB0005F31564108 +:041DB10050625059D3 +:041DB20000000072BB +:041DB3005F32564104 +:041DB40050625059D0 +:041DB50000000072B8 +:041DB6005F32564101 +:041DB70042734752DA +:041DB8000000000027 +:041DB9005F335641FD +:041DBA004842475202 +:041DBB0000000056CE +:041DBC005F335641FA +:041DBD0053424752F4 +:041DBE000000000021 +:041DBF005F335641F7 +:041DC00042734752D1 +:041DC100000000001E +:041DC2005F335641F4 +:041DC30050625059C1 +:041DC40000000072A9 +:041DC5007473614C86 +:041DC60065737520AC +:041DC70000000064B4 +:041DC8002D706F54B7 +:041DC9007466656C6B +:041DCA000000000015 +:041DCB00746E65438A +:041DCC00000072653C +:041DCD0074746F4279 +:041DCE00722D6D6F96 +:041DCF007468676964 +:041DD000000000000F +:041DD10000000031DD +:041DD20000000032DB +:041DD30000000033D9 +:041DD40000000034D7 +:041DD50000000036D4 +:041DD60000000037D2 +:041DD70000000038D0 +:041DD80000000039CE +:041DD900554E454DD1 +:041DDA000000000005 +:041DDB0000004B4F6A +:041DDC004B434142F2 +:041DDD000000000002 +:041DDE00000050555C +:041DDF004E574F44C8 +:041DE00000000000FF +:041DE1005446454CD3 +:041DE20000000000FD +:041DE30048474952D2 +:041DE40000000054A7 +:041DE5004F464E49CE +:041DE60000000000F9 +:041DE7005F44434CC6 +:041DE8004B434142E6 +:041DE9004847494CD2 +:041DEA0000000054A1 +:041DEB004E414353CF +:041DEC00454E494CCB +:041DED00444F4D5FB3 +:041DEE0000000045AC +:041DEF004E414353CB +:041DF000454E494CC7 +:041DF1005059545F92 +:041DF20000000045A8 +:041DF3004E414353C7 +:041DF400454E494CC3 +:041DF500544E495FA0 +:041DF6000000002BBE +:041DF7004E414353C3 +:041DF800454E494CBF +:041DF900544E495F9C +:041DFA000000002DB8 +:041DFB00454E494CBC +:041DFC00544C554DA1 +:041DFD00444F4D5FA3 +:041DFE00000000459C +:041DFF0053414850B4 +:041E000000002B456E +:041E010053414850B1 +:041E020000002D456A +:041E0300464F5250A4 +:041E04005F454C49A1 +:041E05004B544F48A3 +:041E0600000059453A +:041E070003030200CF +:041E080002000102D1 +:041E090000000003D2 +:041E0A0000017698C5 +:041E0B00000176A8B4 +:041E0C00000176B4A7 +:041E0D00000176C09A +:041E0E00000176CC8D +:041E0F00000176D880 +:041E1000000176E473 +:041E1100000176F066 +:041E1200000176FC59 +:041E1300000177084B +:041E1400000177143E +:041E1500000170E078 +:041E160000002000A8 +:041E170020002CE596 +:041E1800E926F4FDC6 +:041E190038BC2000B1 +:041E1A0000000000C4 +:041E1B00000170EC66 +:041E1C0000002000A2 +:041E1D002000323E31 +:041E1E00F113FA04BE +:041E1F003B61200003 +:041E200000000000BE +:041E2100000190F933 +:041E220000000002BA +:041E230000011106A3 +:041E24000001772022 +:041E25000001772C15 +:041E2600000177340C +:041E27000D806000CA +:041E28000040201046 +:041E29000804022087 +:041E2A000000402252 +:041E2B0000000000B3 +:041E2C000DC0600085 +:041E2D0000783C11EC +:041E2E00070180E048 +:041E2F000000180E89 +:041E300000000000AE +:041E31000D806000C0 +:041E32000030180460 +:041E33000201406008 +:041E34000000140690 +:041E350000000000A9 +:041E3600000191070F +:041E37000000000E99 +:041E38000001232062 +:041E390000017744E9 +:041E3A0000017748E4 +:041E3B000001774CDF +:041E3C0000017750DA +:041E3D0000016BB481 +:041E3E0000017754D4 +:041E3F0000017758CF +:041E40000001775CCA +:041E410000017760C5 +:041E420000016F44E8 +:041E430000017764BF +:041E44000001776CB6 +:041E450000017770B1 +:041E460000017778A8 +:041E47000001777CA3 +:041E4800000177849A +:041E49000001778C91 +:041E4A000001779488 +:041E4B000001779C7F +:041E4C00000177AC6E +:041E4D00000177BC5D +:041E4E00000177CC4C +:041E4F00000177DC3B +:041E5000000177EC2A +:041E5100000177FC19 +:041E5200000178040F +:041E53000001780C06 +:041E54003EA93E293C +:041E55003EE93E69BB +:041E56003E993E195A +:041E57003ED93E59D9 +:041E58003EC93E3908 +:041E59003E1D3E4D9F +:041E5A003E2D3EEDEE +:041E5B003EAD3ECD8D +:041E5C003E653E6D34 +:041E5D001C483E01DE +:041E5E001C501C18E0 +:041E5F001CC81CD0AF +:041E60005ED85E5892 +:041E610000003EB986 +:041E6200000000007C +:041E6300000000017A +:041E6400000000007A +:041E65000000000079 +:041E66000000000078 +:041E67000000010076 +:041E68000101010073 +:041E69000000010074 +:041E6A000000000074 +:041E6B000100000072 +:041E6C00060B000061 +:041E6D00000000442D +:041E6E00000000016F +:041E6F00010000006E +:041E700080000C00E2 +:041E71008080090361 +:041E72001A1A1A809E +:041E730000000A0859 +:041E740030303631A3 +:041E7500303432785B +:041E7600C8000000A0 +:041E770007FE00F072 +:041E78000FCA20C0AD +:041E7900221003969A +:041E7A000040000222 +:041E7B003038323198 +:041E7C003034327854 +:041E7D00A0000000C1 +:041E7E00061800F052 +:041E7F000FAA20C0C6 +:041E800022100348E1 +:041E81000002040255 +:041E82007830363945 +:041E830000303432C5 +:041E840078000000E2 +:041E8500049200F0D3 +:041E86000F8020C0E9 +:041E870022100336EC +:041E8800000008024C +:041E89007832313545 +:041E8A0000303432BE +:041E8B004000000013 +:041E8C0002AA00F0B6 +:041E8D000E4D20C016 +:041E8E0022100332E9 +:041E8F0000841010AB +:041E90007834383337 +:041E910000303432B7 +:041E9200300000001C +:041E9300020000F059 +:041E94000E3B20C021 +:041E950022100325EF +:041E960001082020FF +:041E9700783032333A +:041E980000303432B0 +:041E9900280000001D +:041E9A0001AA00F0A9 +:041E9B000E3120C024 +:041E9C002210031FEE +:041E9D0002104040AF +:041E9E00783635322B +:041E9F0000303432A9 +:041EA000200000001E +:041EA100015500F0F7 +:041EA2000E2720C027 +:041EA30022100319ED +:041EA4000420808016 +:041EA5007030343233 +:041EA6000000000038 +:041EA7005A080000D5 +:041EA800035A00F0E9 +:041EA9000F3920C00D +:041EAA002210033EC1 +:041EAB000000000E25 +:041EAC00303036316B +:041EAD003034327823 +:041EAE00C800004C1C +:041EAF0007FE00F03A +:041EB00029CA270014 +:041EB1002210039662 +:041EB20000400002EA +:041EB3003038323160 +:041EB4003838327810 +:041EB500A000000089 +:041EB60006180120E9 +:041EB7000FAA270047 +:041EB80022100348A9 +:041EB900000204021D +:041EBA00783036390D +:041EBB000038383281 +:041EBC0078000000AA +:041EBD00049201206A +:041EBE000F8027006A +:041EBF0022100336B4 +:041EC0000000080214 +:041EC100783231350D +:041EC2004C3034323A +:041EC3004000004299 +:041EC40002AA00F07E +:041EC500294D27007C +:041EC60022100332B1 +:041EC7000084101073 +:041EC80078343833FF +:041EC9004C30343233 +:041ECA0030000042A2 +:041ECB00020000F021 +:041ECC00293B270087 +:041ECD0022100325B7 +:041ECE0001082020C7 +:041ECF007830323302 +:041ED0004C3034322C +:041ED10028000042A3 +:041ED20001AA00F071 +:041ED300293127008A +:041ED4002210031FB6 +:041ED5000210404077 +:041ED60078363532F3 +:041ED7004C30343225 +:041ED80020000042A4 +:041ED900015500F0BF +:041EDA00292727008D +:041EDB0022100319B5 +:041EDC0004208080DE +:041EDD0070383832EF +:041EDE000000000000 +:041EDF005A1700008E +:041EE000036001207A +:041EE100134527007E +:041EE2002210033F88 +:041EE3000000000EED +:041EE40078303834E6 +:041EE5000030363360 +:041EE6003C000000BC +:041EE7000258016834 +:041EE8000A3F2EE09F +:041EE9004410032678 +:041EEA000000000EE6 +:041EEB0078303432E5 +:041EEC000030363359 +:041EED0020000000D1 +:041EEE00012C01685A +:041EEF000A182EE0BF +:041EF0004410031285 +:041EF10000010100EB +:041EF20070343833DD +:041EF30000000000EB +:041EF4003E000000AC +:041EF50002800180E6 +:041EF6001D32330066 +:041EF7004410033E52 +:041EF8000000000ED8 +:041EF900303036311E +:041EFA0030303478D8 +:041EFB00C80000001B +:041EFC0007D001907A +:041EFD0022783820EF +:041EFE00501002F08E +:041EFF0000000400DB +:041F000078303237CC +:041F01000030303448 +:041F02005A00000081 +:041F030003840190C2 +:041F0400224038201F +:041F05005010026016 +:041F06000000000CCB +:041F070078303436C4 +:041F08000030303441 +:041F09005000000084 +:041F0A00032001901F +:041F0B002230382028 +:041F0C00501002600F +:041F0D000000000CC4 +:041F0E0078303436BD +:041F0F00003438332F +:041F1000500000007D +:041F11000320018028 +:041F12003F303D809F +:041F13005010026008 +:041F14000000000EBB +:041F150069303834C3 +:041F160000000000C7 +:041F17005A06000066 +:041F1800035A00F078 +:041F19000F3941A09B +:041F1A006210033E10 +:041F1B000002040FAD +:041F1C0070303834B5 +:041F1D0000000000C0 +:041F1E005A02000063 +:041F1F00035A01E080 +:041F20001E3C41A082 +:041F21008410063EE4 +:041F22000000000CAF +:041F230078303834A6 +:041F2400003237321E +:041F25003C03000079 +:041F2600035A011049 +:041F270086B141A09E +:041F28008410063EDD +:041F290000000204AE +:041F2A0078303436A1 +:041F2B000030383416 +:041F2C005001000060 +:041F2D00032001E0AC +:041F2E00213041A07D +:041F2F0090100260AC +:041F30000000000CA1 +:041F3100783034369A +:041F32000032313513 +:041F3300500000005A +:041F34000320020084 +:041F35001C30470015 +:041F360090100260A5 +:041F37000000000C9A +:041F3800693637359A +:041F390000000000A4 +:041F3A005A15000034 +:041F3B00036001201E +:041F3C0013454E20DB +:041F3D006210033FEC +:041F3E000002040F8A +:041F3F00703637358C +:041F4000000000009D +:041F41005A11000031 +:041F420003600240F6 +:041F430027444E20C1 +:041F440084100540C0 +:041F45000000000C8C +:041F46007830303887 +:041F47000030303600 +:041F48006400000031 +:041F49000420025816 +:041F4A0017584E8056 +:041F4B0010100480EE +:041F4C00000000048D +:041F4D007030323787 +:041F4E000030355FCB +:041F4F00A0130000DB +:041F500007BC02D0F8 +:041F510014DC5DC07F +:041F52001810052836 +:041F53000000000486 +:041F54007030323780 +:041F55000030365FC3 +:041F5600A0040000E3 +:041F5700067202D03C +:041F580014DC5DC078 +:041F5900181005282F +:041F5A00000000047F +:041F5B0034323031BB +:041F5C003836377864 +:041F5D008000000000 +:041F5E000540030037 +:041F5F001DA064C09D +:041F600010100688CF +:041F61000000000478 +:041F620030383231B0 +:041F6300323031786F +:041F6400A0000034A5 +:041F650006980400D6 +:041F660026F8854094 +:041F670010100370E3 +:041F68000000000471 +:041F69007830343662 +:041F6A00693036396B +:041F6B005000000022 +:041F6C00032001E06D +:041F6D00213083405C +:041F6E00A410026059 +:041F6F000000000D61 +:041F700030383031A4 +:041F710030355F693F +:041F7200F014000067 +:041F73000A50021CF2 +:041F74000F948CA09A +:041F7500B810052C6F +:041F76000000000D5A +:041F7700303830319D +:041F780030365F6937 +:041F7900F00500006F +:041F7A000898021CA5 +:041F7B0010948CA092 +:041F7C00B810052C68 +:041F7D000000000D53 +:041F7E003038303196 +:041F7F0030355F702A +:041F8000F01F00004E +:041F81000A500438C6 +:041F820024948CA077 +:041F83001810052C01 +:041F84000000000455 +:041F8500303830318F +:041F860030365F7022 +:041F8700F010000056 +:041F88000898043879 +:041F890024948CA070 +:041F8A001810052CFA +:041F8B00000000044E +:041F8C00303036318A +:041F8D003032317845 +:041F8E00C800003057 +:041F8F00087004B022 +:041F90002EFF9C4044 +:041F9100101003F138 +:041F92000000000447 +:041F93000001913088 +:041F94000000002821 +:041F95000001112016 +:041F96007665642FD9 +:041F97006370652FDF +:041F98006F635F71A3 +:041F99006F72746E81 +:041F9A0072656C6C94 +:041F9B005F305F3222 +:041F9C005F6C76619F +:041F9D00006D656D01 +:041F9E002B302D2394 +:041F9F00000000201E +:041FA000004C6C681D +:041FA10045676665C5 +:041FA20000004746AE +:041FA3003332313074 +:041FA4003736353463 +:041FA5004241393844 +:041FA6004645444325 +:041FA7000000000036 +:041FA800333231306F +:041FA900373635345E +:041FAA0062613938FF +:041FAB0066656463A0 +:041FAC000000000031 +:041FAD000001634488 +:041FAE000001635A71 +:041FAF000001631EAC +:041FB0000001631EAB +:041FB1000001631EAA +:041FB2000001631EA9 +:041FB3000001635A6C +:041FB4000001631EA7 +:041FB5000001631EA6 +:041FB6000001631EA5 +:041FB7000001631EA4 +:041FB800000164BE02 +:041FB9000001639C24 +:041FBA00000164902E +:041FBB000001631EA0 +:041FBC000001631E9F +:041FBD00000164F2C9 +:041FBE000001631E9D +:041FBF000001639C1E +:041FC0000001631E9B +:041FC1000001631E9A +:041FC200000164981E +:041FC300C896554B1C +:041FC4000000000910 +:041FC5000001810C8A +:041FC6000000000314 +:041FC700000181C0D4 +:041FC8000000000510 +:041FC900000181FC96 +:041FCA000000000B08 +:041FCB00000182602F +:041FCC000000000908 +:041FCD000001833C50 +:041FCE000000000D02 +:041FCF00000183F09A +:041FD0000000000607 +:041FD100000184F493 +:041FD2000000000506 +:041FD3000001856C18 +:041FD4000000000900 +:041FD500000185D0B2 +:041FD60000000010F7 +:041FD70000018684FB +:041FD80000000007FE +:041FD900000187C4B8 +:041FDA000000000CF7 +:041FDB000001885029 :041FDC000000000001 -:041FDD00000101748A -:041FDE00008000007F -:041FDF0000A000005E -:041FE00000020100FA -:041FE10000200000DC -:041FE20000000000FB -:041FE30000000020DA -:041FE40000010000F8 -:041FE50000000100F7 -:041FE60000000000F7 -:041FE70000016D4048 -:041FE80000016D5C2B -:041FE90000016D6C1A -:041FEA0000016D4045 -:041FEB0000016DA0E4 -:041FEC0000016DA4DF -:041FED00000175E892 -:041FEE00000175F881 -:041FEF000001760473 -:041FF0000001761066 -:041FF1000001761C59 -:041FF200000176284C -:041FF300000176343F -:041FF4000001764032 -:041FF5000001764C25 -:041FF6000001765818 -:041FF700000176640B -:041FF800020E0409C8 -:041FF9003D0E00C8D1 -:041FFA003F6E0383B0 -:041FFB003ED03DACEB -:041FFC00000003835B -:041FFD0000016EB4BD -:041FFE0000016EC0B0 -:041FFF0000016ED09F -:0420000000016EE08D -:0420010000016EF07C -:0420020000016EA4C7 -:0420030000016EB4B6 -:0420040000016EC0A9 -:0420050000016ED098 -:0420060000016EE087 -:0420070000016EF076 -:0420080000016E80E5 -:0420090000016E8CD8 -:04200A0000016E98CB -:04200B0000016D4023 -:04200C0000016D540E -:04200D0000016D3C25 -:04200E0000016D5808 -:04200F0000016DA8B7 -:0420100000016DB0AE -:0420110000016DB8A5 -:0420120000016DC09C -:0420130000016DC893 -:0420140000016DCC8E -:0420150000016DD485 -:0420160000016DDC7C -:0420170000016CB4A4 -:0420180000000002C2 -:0420190000019070C2 -:04201A000AF0012C9B -:04201B0000011B6243 -:04201C0000016CC48F -:04201D0000000002BD -:04201E0000019072BB -:04201F0000130000AA -:0420200000011B623E -:0420210000016CD47A -:0420220000000002B8 -:0420230000019074B4 -:0420240000FF000AAF -:0420250000011B6239 -:0420260000016CE069 -:0420270000000002B3 -:042028000001906EB5 -:0420290000FF0001B3 -:04202A0000011B6234 -:04202B0000016CF054 -:04202C0000000002AE -:04202D000001906CB2 -:04202E00078000C85F -:04202F0000011B622F -:0420300000016CFC43 -:0420310000000002A9 -:042032000001907C9D -:0420330000070001A1 -:0420340000011B622A -:0420350000016D0831 -:0420360000000002A4 -:042037000001907A9A -:0420380000EC0001B7 -:0420390000011B6225 -:04203A0000016D181C -:04203B00000000029F -:04203C000001907897 -:04203D0004B000A04B -:04203E0000011B6220 -:04203F0000016D240B -:04204000000000029A -:042041000001907694 -:04204200001F00007B -:0420430000011B621B -:04204400000171988E -:042045000000000097 -:0420460000018B9377 -:042047000001000193 -:04204800000189E822 -:04204900000171A879 -:04204A000000000092 -:04204B0000018B9471 -:04204C00000100018E -:04204D00000189F80D -:04204E00000171B864 -:04204F00000000018C -:0420500000018B956B -:042051000018000073 -:04205200000119D29E -:04205300000171C84F -:042054000000000088 -:0420550000018B8E6D -:042056000001000184 -:04205700000189F803 -:04205800000171D83A -:042059000000000083 -:04205A0000018B8F67 -:04205B00000100017F -:04205C00000189F8FE -:04205D00000171EC21 -:04205E00000000007E -:04205F0000018B9061 -:042060000002000179 -:0420610000017FA853 -:042062000001720007 -:042063000000000178 -:0420640000018B9656 -:04206500001F000058 -:04206600000119A4B8 -:0420670000017214EE -:042068000000000074 -:0420690000018B9156 -:04206A000001000170 -:04206B00000189F8EF -:04206C0000016BEC18 -:04206D00000000016E -:04206E0000018B647E -:04206F00001000005D -:0420700000011958FA -:0420710000016BFC03 -:042072000000000169 -:0420730000018B6578 -:042074000010000058 -:0420750000011958F5 -:0420760000016C0CED -:042077000000000164 -:0420780000018B6672 -:042079000010000053 -:04207A0000011958F0 -:04207B0000016C1CD8 -:04207C00000000015F -:04207D0000018B676C -:04207E00001000004E -:04207F0000011958EB -:0420800000016C2CC3 -:04208100000000015A -:0420820000018B6866 -:042083000010000049 -:0420840000011958E6 -:0420850000016C3CAE -:042086000000000155 -:0420870000018B6960 -:042088000010000044 -:0420890000011958E1 -:04208A0000016C5095 -:04208B000000000150 -:04208C0000018B6A5A -:04208D00001000003F -:04208E0000011958DC -:04208F0000016C647C -:04209000000000014B -:0420910000018B6B54 -:04209200001000003A -:0420930000011958D7 -:0420940000016C7863 -:042095000000000146 -:0420960000018B6C4E -:042097000010000035 -:0420980000011958D2 -:0420990000016C8C4A -:04209A000000000141 -:04209B0000018B6D48 -:04209C000010000030 -:04209D0000011958CD -:04209E0000016CA031 -:04209F00000000013C -:0420A00000018B6E42 -:0420A100001000002B -:0420A20000011958C8 -:0420A300000175348F -:0420A4000000000335 -:0420A50000017EB800 -:0420A6000000000036 -:0420A7000000000035 -:0420A8000001754876 -:0420A9000000000330 -:0420AA0000017E981B -:0420AB000000000031 -:0420AC000000000030 -:0420AD000001755C5D -:0420AE00000000032B -:0420AF0000017EB0FE -:0420B000000000002C -:0420B100000000002B -:0420B2000001757044 -:0420B3000000000326 -:0420B40000017E8821 -:0420B5000000000027 -:0420B6000000000026 -:0420B700000175842B -:0420B8000000000321 -:0420B90000017EA004 -:0420BA000000000022 -:0420BB000000000021 -:0420BC000001759812 -:0420BD00000000031C -:0420BE0000017E900F -:0420BF00000000001D -:0420C000000000001C -:0420C100000175ACF9 -:0420C2000000000317 -:0420C30000017E702A -:0420C4000000000018 -:0420C5000000000017 -:0420C600000175C0E0 -:0420C7000000000312 -:0420C80000017E682D -:0420C9000000000013 -:0420CA000000000012 -:0420CB00000175D4C7 -:0420CC00000000030D -:0420CD0000017EA8E8 -:0420CE00000000000E -:0420CF00000000000D -:0420D0000001730890 -:0420D100000000000B -:0420D20000018B7509 -:0420D3000004000104 -:0420D400000188C4BB -:0420D500000173187B -:0420D6000000000006 -:0420D70000018B7603 -:0420D80000040001FF -:0420D900000188D8A2 -:0420DA000001732866 -:0420DB000000000001 -:0420DC0000018B77FD -:0420DD0000030001FB -:0420DE00000188EC89 -:0420DF000001733851 -:0420E00000000000FC -:0420E10000018B78F7 -:0420E20000010001F8 -:0420E30000018A0C62 -:0420E400000173483C -:0420E50000000000F7 -:0420E60000018B79F1 -:0420E70000010001F3 -:0420E80000018A0465 -:0420E9000001735827 -:0420EA0000000000F2 -:0420EB0000018B70F5 -:0420EC0000040001EB -:0420ED0000017FF47B -:0420EE000001736416 -:0420EF0000000000ED -:0420F00000018B71EF -:0420F10000050001E5 -:0420F2000001800861 -:0420F3000001737005 -:0420F40000000000E8 -:0420F50000018B72E9 -:0420F60000040001E1 -:0420F70000017FF471 -:0420F8000001737CF4 -:0420F90000000000E3 -:0420FA0000018B73E3 -:0420FB0000040001DC -:0420FC0000017FF46C -:0420FD0000017388E3 -:0420FE0000000000DE -:0420FF0000018B74DD -:0421000000020001D8 -:042101000001802039 -:0421020000017398CD -:0421030000000000D8 -:0421040000018B7AD1 -:0421050000010001D4 -:04210600000189E06B -:04210700000173A8B8 -:0421080000000000D3 -:0421090000018B7FC7 -:04210A0000020001CE -:04210B000001894402 -:04210C00000173B0AB -:04210D0000000000CE -:04210E0000018B80C1 -:04210F0000010001CA -:04211000000189F849 -:042111000001722433 -:0421120000000001C8 -:0421130000018B7BC1 -:0421140000FF0000C8 -:04211500000119901C -:04211600000172341E -:0421170000000001C3 -:0421180000018B7CBB -:04211900003F000083 -:04211A000001199017 -:04211B000001724409 -:04211C0000000000BF -:04211D0000018B7EB4 -:04211E0000070000B6 -:04211F000001803CFF -:0421200000017250F8 -:0421210000000001B9 -:0421220000018B7DB0 -:04212300000F0000A9 -:04212400000119A4F9 -:0421250000017260E3 -:0421260000000001B4 -:0421270000018B9296 -:04212800001F000094 -:04212900000119A4F4 -:04212A000001726CD2 -:04212B0000000004AC -:04212C00000124C0CA -:04212D00000177D462 -:04212E0000000000AD -:04212F000001743007 -:0421300000000000AB -:0421310000018B819D -:0421320000030001A5 -:04213300000188FC23 -:0421340000017440F2 -:0421350000000000A6 -:0421360000018B8297 -:0421370000010001A2 -:0421380000018A1CFC -:0421390000017450DD -:04213A0000000000A1 -:04213B0000018B8391 -:04213C00000100019D -:04213D00000189F81C -:04213E0000017464C4 -:04213F00000000009C -:0421400000018B848B -:042141000001000198 -:04214200000189F817 -:0421430000017478AB -:042144000000000394 -:0421450000017E60B7 -:0421460000017D9C7B -:0421470000010A4049 -:042148000001727CA4 -:042149000000000092 -:04214A0000018B5CA9 -:04214B00000200018D -:04214C000001890CF9 -:04214D000001728893 -:04214E00000000018C -:04214F0000018B629E -:04215000000F00007C -:04215100000119343C -:04215200000172987E -:042153000000000187 -:0421540000018B5E9D -:04215500001C00006A -:042156000001197AF1 -:04215700000172A869 -:042158000000000083 -:0421590000018B5F97 -:04215A00000100017F -:04215B0000018A2CC9 -:04215C00000172B458 -:04215D00000000007E -:04215E0000018B6091 -:04215F00000100017A -:04216000000189F8F9 -:04216100000172C443 -:042162000000000079 -:0421630000018B6389 -:042164000001000175 -:0421650000018A24C7 -:04216600000172D42E -:042167000000000074 -:0421680000018B6186 -:042169000001000170 -:04216A00000189F8EF -:04216B00000172E815 -:04216C00000000006F -:04216D0000018B5D85 -:04216E000003000169 -:04216F0000018918CA -:04217000000172F404 -:042171000000000367 -:0421720000017E7872 -:042173000000000068 -:042174000000000067 -:042175000001708471 -:042176000000000461 -:0421770000012254ED -:0421780000017828C2 -:042179000000000062 -:04217A00000170945C -:04217B00000000045C -:04217C0000011F8CB3 -:04217D0000017828BD -:04217E00000000005D -:04217F00000170A447 -:042180000000000457 -:0421810000011894AD -:042182000000000059 -:042183000000000058 -:04218400000170B82E -:042185000000000155 -:0421860000018BA128 -:04218700000A010148 -:042188000001113A07 -:04218900000170CC15 -:04218A000000000051 -:04218B00000190556A -:04218C00000100014D -:04218D00000189F8CC -:04218E00000170E0FC -:04218F00000000004C -:04219000000190407A -:04219100000A00013F -:0421920000017FB415 -:04219300000170F0E7 -:042194000000000047 -:04219500000190377E -:042196000002000142 -:0421970000017F9C28 -:0421980000017104CD -:042199000000000042 -:04219A00000190357B -:04219B00000100013E -:04219C0000018A14A0 -:04219D0000017114B8 -:04219E00000000003D -:04219F00000189F0C2 -:0421A0000001000139 -:0421A10000018A149B -:0421A20000017124A3 -:0421A3000000000038 -:0421A4000001903670 -:0421A5000001000134 -:0421A60000018A1496 -:0421A700000171348E -:0421A8000000000033 -:0421A9000001904859 -:0421AA00000300012D -:0421AB000001802C83 -:0421AC000001714479 -:0421AD00000000002E -:0421AE0000018A00A2 -:0421AF000002000129 -:0421B000000188A8FA -:0421B1000001714870 -:0421B2000000000029 -:0421B30000018A019C -:0421B4000003000123 -:0421B500000188B4E9 -:0421B6000001715C57 -:0421B7000000000420 -:0421B80000013FEEF5 -:0421B9000000000022 -:0421BA000000000021 -:0421BB00000171703E -:0421BC00000000041B -:0421BD00000137BA2C -:0421BE00000000001D -:0421BF00000000001C -:0421C0000001718425 -:0421C1000000000416 -:0421C20000013BE8F5 -:0421C3000000000018 -:0421C4000000000017 -:0421C500000173BCE6 -:0421C6000000000015 -:0421C70000018B89FF -:0421C800000300010F -:0421C9000001893454 -:0421CA00000173CCD1 -:0421CB000000000010 -:0421CC0000018B8AF9 -:0421CD00000200010B -:0421CE00000189285B -:0421CF00000173DCBC -:0421D000000000010A -:0421D10000018B86F8 -:0421D200001F0000EA -:0421D300000118D01F -:0421D400000173ECA7 -:0421D5000000000105 -:0421D60000018B87F2 -:0421D70000FF000005 -:0421D800000118F2F8 -:0421D900000173FC92 -:0421DA000000000100 -:0421DB0000018B88EC -:0421DC0000C80A002D -:0421DD00000118F2F3 -:0421DE000001740C7C -:0421DF0000000001FB -:0421E00000018B8CE3 -:0421E10000050000F5 -:0421E2000001197C63 -:0421E3000001741C67 -:0421E40000000001F6 -:0421E50000018B8DDD -:0421E60000050000F0 -:0421E7000001197C5E -:0421E8000001748CF2 -:0421E90000000000F2 -:0421EA0000018B8BDA -:0421EB0000050001EA -:0421EC000001895015 -:0421ED0000017498E1 -:0421EE0000000000ED -:0421EF0000018B85DB -:0421F00000020001E8 -:0421F10000018968F8 -:0421F200000174A8CC -:0421F30000000001E7 -:0421F40000018B9AC1 -:0421F50000FF0000E7 -:0421F600000119A427 -:0421F700000174B4BB -:0421F80000000001E2 -:0421F90000018B9BBB -:0421FA0000FF0000E2 -:0421FB00000119A422 -:0421FC00000174C0AA -:0421FD0000000001DD -:0421FE0000018B9CB5 -:0421FF0000FF0000DD -:04220000000119A41C -:04220100000174CC98 -:0422020000000001D7 -:0422030000018B9DAE -:0422040000FF0000D7 -:04220500000119A417 -:04220600000174D887 -:0422070000000001D2 -:0422080000018B9EA8 -:0422090000FF0000D2 -:04220A00000119A412 -:04220B00000174E476 -:04220C0000000001CD -:04220D0000018B9FA2 -:04220E0000FF0000CD -:04220F00000119A40D -:04221000000174F065 -:0422110000000001C8 -:0422120000018BA09C -:04221300000F0000B8 -:04221400000119A408 -:04221500000175004F -:0422160000000001C3 -:0422170000018B97A0 -:0422180000E41C00C2 -:04221900000119B8EF -:04221A000001751436 -:04221B0000000001BE -:04221C0000018B9999 -:04221D00000A0000B3 -:04221E00000119ECB6 -:04221F000001752421 -:0422200000000001B9 -:0422210000018B9895 -:0422220000070000B1 -:0422230000011A0498 -:0422240000017E80B7 -:0422250000000000B5 -:0422260000000000B4 -:0422270000000000B3 -:0422280000000000B2 -:0422290000000000B1 -:04222A0000016D4002 -:04222B0000016D44FD -:04222C0000016D4CF4 -:04222D0000016D340B -:04222E0000016D3806 -:04222F0000016D3C01 -:0422300000016D40FC -:0422310000016F0039 -:0422320000016F1C1C -:0422330000016F74C3 -:0422340000016F7CBA -:0422350000016F84B1 -:0422360000016F0034 -:0422370000016F1C17 -:0422380000016F44EE -:0422390000016F54DD -:04223A0000016F64CC -:04223B0000016F002F -:04223C0000016F0C22 -:04223D0000016F2409 -:04223E0000016F34F8 -:04223F0000016E3CF0 -:042240000001700821 -:042241000001701414 -:042242000001702403 -:0422430000016D40E9 -:0422440000016E3CEB -:0422450000016E44E2 -:0422460000016DE442 -:0422470000016DF035 -:0422480000016DFC28 -:0422490000016E0C16 -:04224A0000016F8C94 -:04224B0000016FA07F -:04224C0000016FB06E -:04224D0000016FC05D -:04224E0000016FD04C -:04224F0000016FDC3F -:0422500000016D40DC -:0422510000016E48D2 -:0422520000016E54C5 -:0422530000016E64B4 -:0422540000016E3CDB -:0422550000016D40D7 -:0422560000017048CB -:0422570000017058BA -:0422580000017068A9 -:042259000001707898 -:04225A0000017030DF -:04225B000001703CD2 -:04225C0000016E3CD3 -:04225D00000000007D -:04225E00000000007C -:04225F00000000007B -:04226000000000007A -:042261000000000079 -:042262000000000078 -:042263000000000077 -:042264000000000076 -:042265000000000075 -:042266000000000074 -:042267000000000073 -:042268000000000072 -:042269000000000071 -:04226A000000000070 -:04226B00000000006F -:04226C00000000006E -:04226D00000000006D -:04226E00000000006C -:04226F00000000006B -:04227000000000006A -:042271000000000069 -:042272000000000068 -:042273000000000067 -:042274000000000066 -:04227500000189D407 -:04227600000189D406 -:042277000001668676 -:0422780000016E688B -:0422790000016E787A -:04227A0000016D787A -:04227B0000016D8C65 -:04227C00000000015D -:04227D0000108010BD -:04227E0000016D40AE -:04227F0000016E44A8 -:042280000000010158 -:0422810000016F00E9 -:0422820000016F0CDC -:0422830000016F00E7 -:0422840000016F1CCA -:0422850000017608D6 -:0422860000017614C9 -:0422870000016FE8FB -:0422880000016FF8EA -:0422890000016E14CE -:04228A0000016E18C9 -:04228B0000016E20C0 -:04228C0000016E30AF -:04228D00000189744F +:041FDD000000000000 +:041FDE0000017E5828 +:041FDF0000000000FE +:041FE00000000000FD +:041FE100000102EA0F +:041FE200000103A255 +:041FE300000101AA4E +:041FE400000101C82F +:041FE50000010220D5 +:041FE6000080000077 +:041FE70000200000D6 +:041FE80000000000F5 +:041FE90000000000F4 +:041FEA0000000000F3 +:041FEB0000000000F2 +:041FEC0000000000F1 +:041FED0000000000F0 +:041FEE0000000000EF +:041FEF0000000000EE +:041FF00000000000ED +:041FF10000000000EC +:041FF20000000000EB +:041FF30000000000EA +:041FF40000000000E9 +:041FF50000000000E8 +:041FF60000000000E7 +:041FF70000000000E6 +:041FF80000000000E5 +:041FF90000000000E4 +:041FFA0000000000E3 +:041FFB0000000000E2 +:041FFC0000000000E1 +:041FFD0000000000E0 +:041FFE0000000000DF +:041FFF0000000000DE +:0420000000000000DC +:0420010000000000DB +:0420020000000000DA +:0420030000000000D9 +:0420040000000000D8 +:0420050000000000D7 +:0420060000000000D6 +:0420070000000000D5 +:0420080000000000D4 +:04200900000101745D +:04200A000080000052 +:04200B0000A0000031 +:04200C0000020100CD +:04200D0000200000AF +:04200E0000000000CE +:04200F0000000020AD +:0420100000010000CB +:0420110000000100CA +:0420120000000000CA +:0420130000016DF06B +:0420140000016E0C4D +:0420150000016E1C3C +:0420160000016DF068 +:0420170000016E5006 +:0420180000016E5401 +:0420190000017698B4 +:04201A00000176A8A3 +:04201B00000176B496 +:04201C00000176C089 +:04201D00000176CC7C +:04201E00000176D86F +:04201F00000176E462 +:04202000000176F055 +:04202100000176FC48 +:04202200000177083A +:04202300000177142D +:04202400020E04099B +:042025003D0E00C8A4 +:042026003F6E038383 +:042027003ED03DACBE +:04202800000003832E +:0420290000016F64DF +:04202A0000016F70D2 +:04202B0000016F80C1 +:04202C0000016F90B0 +:04202D0000016FA09F +:04202E0000016F54EA +:04202F0000016F64D9 +:0420300000016F70CC +:0420310000016F80BB +:0420320000016F90AA +:0420330000016FA099 +:0420340000016F3008 +:0420350000016F3CFB +:0420360000016F48EE +:0420370000016DF047 +:0420380000016E0431 +:0420390000016DEC49 +:04203A0000016E082B +:04203B0000016E58DA +:04203C0000016E60D1 +:04203D0000016E68C8 +:04203E0000016E70BF +:04203F0000016E78B6 +:0420400000016E7CB1 +:0420410000016E84A8 +:0420420000016E8C9F +:0420430000016D64C7 +:042044000000000296 +:0420450000019120E5 +:042046000AF0012C6F +:0420470000011BC8B1 +:0420480000016D74B2 +:042049000000000291 +:04204A0000019122DE +:04204B00001300007E +:04204C0000011BC8AC +:04204D0000016D849D +:04204E00000000028C +:04204F0000019124D7 +:0420500000FF000A83 +:0420510000011BC8A7 +:0420520000016D908C +:042053000000000287 +:042054000001911ED8 +:0420550000FF000187 +:0420560000011BC8A2 +:0420570000016DA077 +:042058000000000282 +:042059000001911CD5 +:04205A00078000C833 +:04205B0000011BC89D +:04205C0000016DAC66 +:04205D00000000027D +:04205E000001912CC0 +:04205F000007000175 +:0420600000011BC898 +:0420610000016DB855 +:042062000000000278 +:042063000001912ABD +:0420640000EC00018B +:0420650000011BC893 +:0420660000016DC840 +:042067000000000273 +:0420680000019128BA +:0420690004B000A01F +:04206A0000011BC88E +:04206B0000016DD42F +:04206C00000000026E +:04206D0000019126B7 +:04206E00001F00004F +:04206F0000011BC889 +:0420700000017248B1 +:04207100000000006B +:0420720000018C439A +:042073000001000167 +:0420740000018A9845 +:04207500000172589C +:042076000000000066 +:0420770000018C4494 +:042078000001000162 +:0420790000018AA830 +:04207A000001726887 +:04207B000000000160 +:04207C0000018C458E +:04207D000018000047 +:04207E0000011A380B +:04207F000001727872 +:04208000000000005C +:0420810000018C3E90 +:042082000001000158 +:0420830000018AA826 +:04208400000172885D +:042085000000000057 +:0420860000018C3F8A +:042087000001000153 +:0420880000018AA821 +:042089000001729C44 +:04208A000000000052 +:04208B0000018C4084 +:04208C00000200014D +:04208D000001805876 +:04208E00000172B02B +:04208F00000000014C +:0420900000018C4679 +:04209100001F00002C +:0420920000011A0A25 +:04209300000172C412 +:042094000000000048 +:0420950000018C4179 +:042096000001000144 +:0420970000018AA812 +:0420980000016C9C3B +:042099000000000142 +:04209A0000018C14A1 +:04209B000010000031 +:04209C00000119BE68 +:04209D0000016CAC26 +:04209E00000000013D +:04209F0000018C159B +:0420A000001000002C +:0420A100000119BE63 +:0420A20000016CBC11 +:0420A3000000000138 +:0420A40000018C1695 +:0420A5000010000027 +:0420A600000119BE5E +:0420A70000016CCCFC +:0420A8000000000133 +:0420A90000018C178F +:0420AA000010000022 +:0420AB00000119BE59 +:0420AC0000016CDCE7 +:0420AD00000000012E +:0420AE0000018C1889 +:0420AF00001000001D +:0420B000000119BE54 +:0420B10000016CECD2 +:0420B2000000000129 +:0420B30000018C1983 +:0420B4000010000018 +:0420B500000119BE4F +:0420B60000016D00B8 +:0420B7000000000124 +:0420B80000018C1A7D +:0420B9000010000013 +:0420BA00000119BE4A +:0420BB0000016D149F +:0420BC00000000011F +:0420BD0000018C1B77 +:0420BE00001000000E +:0420BF00000119BE45 +:0420C00000016D2886 +:0420C100000000011A +:0420C20000018C1C71 +:0420C3000010000009 +:0420C400000119BE40 +:0420C50000016D3C6D +:0420C6000000000115 +:0420C70000018C1D6B +:0420C8000010000004 +:0420C900000119BE3B +:0420CA0000016D5054 +:0420CB000000000110 +:0420CC0000018C1E65 +:0420CD0000100000FF +:0420CE00000119BE36 +:0420CF00000175E4B3 +:0420D0000000000309 +:0420D10000017F6823 +:0420D200000000000A +:0420D3000000000009 +:0420D400000175F89A +:0420D5000000000304 +:0420D60000017F483E +:0420D7000000000005 +:0420D8000000000004 +:0420D9000001760C80 +:0420DA0000000003FF +:0420DB0000017F6021 +:0420DC000000000000 +:0420DD0000000000FF +:0420DE000001762067 +:0420DF0000000003FA +:0420E00000017F3844 +:0420E10000000000FB +:0420E20000000000FA +:0420E300000176344E +:0420E40000000003F5 +:0420E50000017F5027 +:0420E60000000000F6 +:0420E70000000000F5 +:0420E8000001764835 +:0420E90000000003F0 +:0420EA0000017F4032 +:0420EB0000000000F1 +:0420EC0000000000F0 +:0420ED000001765C1C +:0420EE0000000003EB +:0420EF0000017F204D +:0420F00000000000EC +:0420F10000000000EB +:0420F2000001767003 +:0420F30000000003E6 +:0420F40000017F1850 +:0420F50000000000E7 +:0420F60000000000E6 +:0420F70000017684EA +:0420F80000000003E1 +:0420F90000017F580B +:0420FA0000000000E2 +:0420FB0000000000E1 +:0420FC00000173B8B4 +:0420FD0000000000DF +:0420FE0000018C252C +:0420FF0000040001D8 +:0421000000018974DD +:04210100000173C89E +:0421020000000000D9 +:0421030000018C2625 +:0421040000040001D2 +:0421050000018988C4 +:04210600000173D889 +:0421070000000000D4 +:0421080000018C271F +:0421090000030001CE +:04210A000001899CAB +:04210B00000173E874 +:04210C0000000000CF +:04210D0000018C2819 +:04210E0000010001CB +:04210F0000018ABC85 +:04211000000173F85F +:0421110000000000CA +:0421120000018C2913 +:0421130000010001C6 +:0421140000018AB488 +:042115000001740849 +:0421160000000000C5 +:0421170000018C2017 +:0421180000040001BE +:04211900000180A49D +:04211A000001741438 +:04211B0000000000C0 +:04211C0000018C2111 +:04211D0000050001B8 +:04211E00000180B884 +:04211F000001742027 +:0421200000000000BB +:0421210000018C220B +:0421220000040001B4 +:04212300000180A493 +:042124000001742C16 +:0421250000000000B6 +:0421260000018C2305 +:0421270000040001AF +:04212800000180A48E +:042129000001743805 +:04212A0000000000B1 +:04212B0000018C24FF +:04212C0000020001AC +:04212D00000180D05D +:04212E0000017448F0 +:04212F0000000000AC +:0421300000018C2AF4 +:0421310000010001A8 +:0421320000018A908E +:0421330000017458DB +:0421340000000000A7 +:0421350000018C2FEA +:0421360000020001A2 +:04213700000189F426 +:0421380000017460CE +:0421390000000000A2 +:04213A0000018C30E4 +:04213B00000100019E +:04213C0000018AA86C +:04213D00000172D457 +:04213E00000000019C +:04213F0000018C2BE4 +:0421400000FF00009C +:04214100000119F68A +:04214200000172E442 +:042143000000000197 +:0421440000018C2CDE +:04214500003F000057 +:04214600000119F685 +:04214700000172F42D +:042148000000000093 +:0421490000018C2ED7 +:04214A00000700008A +:04214B00000180EC23 +:04214C00000173001B +:04214D00000000018D +:04214E0000018C2DD3 +:04214F00000F00007D +:0421500000011A0A66 +:042151000001731006 +:042152000000000188 +:0421530000018C42B9 +:04215400001F000068 +:0421550000011A0A61 +:042156000001731CF5 +:042157000000000480 +:042158000001252637 +:042159000001788485 +:04215A000000000081 +:04215B00000174E02B +:04215C00000000007F +:04215D0000018C31C0 +:04215E000003000179 +:04215F00000189AC46 +:04216000000174F016 +:04216100000000007A +:0421620000018C32BA +:042163000001000176 +:0421640000018ACC20 +:042165000001750000 +:042166000000000075 +:0421670000018C33B4 +:042168000001000171 +:0421690000018AA83F +:04216A0000017514E7 +:04216B000000000070 +:04216C0000018C34AE +:04216D00000100016C +:04216E0000018AA83A +:04216F0000017528CE +:042170000000000368 +:0421710000017F10DA +:0421720000017E4C9E +:0421730000010A401D +:042174000001732CC7 +:042175000000000066 +:0421760000018C0CCC +:042177000002000161 +:04217800000189BC1D +:0421790000017338B6 +:04217A000000000160 +:04217B0000018C12C1 +:04217C00000F000050 +:04217D000001199AAA +:04217E0000017348A1 +:04217F00000000015B +:0421800000018C0EC0 +:04218100001C00003E +:04218200000119E05F +:04218300000173588C +:042184000000000057 +:0421850000018C0FBA +:042186000001000153 +:0421870000018ADCED +:04218800000173647B +:042189000000000052 +:04218A0000018C10B4 +:04218B00000100014E +:04218C0000018AA81C +:04218D000001737466 +:04218E00000000004D +:04218F0000018C13AC +:042190000001000149 +:0421910000018AD4EB +:042192000001738451 +:042193000000000048 +:0421940000018C11A9 +:042195000001000144 +:0421960000018AA812 +:042197000001739838 +:042198000000000043 +:0421990000018C0DA8 +:04219A00000300013D +:04219B00000189C8EE +:04219C00000173A427 +:04219D00000000033B +:04219E0000017F2895 +:04219F00000000003C +:0421A000000000003B +:0421A1000001713494 +:0421A2000000000435 +:0421A300000122BA5B +:0421A400000178D8E6 +:0421A5000000000036 +:0421A600000171447F +:0421A7000000000430 +:0421A80000011FF221 +:0421A900000178D8E1 +:0421AA000000000031 +:0421AB00000171546A +:0421AC00000000042B +:0421AD00000118FA1B +:0421AE00000000002D +:0421AF00000000002C +:0421B0000001716851 +:0421B1000000000129 +:0421B20000018C514B +:0421B300000A01011C +:0421B4000001113ADB +:0421B5000001717C38 +:0421B6000000000025 +:0421B700000191058D +:0421B8000001000121 +:0421B90000018AA8EF +:0421BA00000171901F +:0421BB000000000020 +:0421BC00000190F09E +:0421BD00000A000113 +:0421BE000001806438 +:0421BF00000171A00A +:0421C000000000001B +:0421C100000190E7A2 +:0421C2000002000116 +:0421C3000001804C4B +:0421C400000171B4F1 +:0421C5000000000016 +:0421C600000190E59F +:0421C7000001000112 +:0421C80000018AC4C4 +:0421C900000171C4DC +:0421CA000000000011 +:0421CB0000018AA0E5 +:0421CC00000100010D +:0421CD0000018AC4BF +:0421CE00000171D4C7 +:0421CF00000000000C +:0421D000000190E694 +:0421D1000001000108 +:0421D20000018AC4BA +:0421D300000171E4B2 +:0421D4000000000007 +:0421D500000190F87D +:0421D6000003000101 +:0421D700000180DCA7 +:0421D800000171F49D +:0421D9000000000002 +:0421DA0000018AB0C6 +:0421DB0000020001FD +:0421DC00000189581D +:0421DD00000171F894 +:0421DE0000000000FD +:0421DF0000018AB1C0 +:0421E00000030001F7 +:0421E100000189640C +:0421E2000001720C7A +:0421E30000000004F4 +:0421E4000001408036 +:0421E50000000000F6 +:0421E60000000000F5 +:0421E7000001722061 +:0421E80000000004EF +:0421E9000001382099 +:0421EA0000000000F1 +:0421EB0000000000F0 +:0421EC000001723448 +:0421ED0000000004EA +:0421EE0000013C7A36 +:0421EF0000000000EC +:0421F00000000000EB +:0421F1000001746C09 +:0421F20000000000E9 +:0421F30000018C3922 +:0421F40000030001E3 +:0421F500000189E478 +:0421F6000001747CF4 +:0421F70000000000E4 +:0421F80000018C3A1C +:0421F90000020001DF +:0421FA00000189D87F +:0421FB000001748CDF +:0421FC0000000001DE +:0421FD0000018C361B +:0421FE00001F0000BE +:0421FF00000119368C +:042200000001749CC9 +:0422010000000001D8 +:0422020000018C3714 +:0422030000FF0000D8 +:042204000001195864 +:04220500000174ACB4 +:0422060000000001D3 +:0422070000018C380E +:0422080000C80A0000 +:04220900000119585F +:04220A00000174BC9F +:04220B0000000001CE +:04220C0000018C3C05 +:04220D0000050000C8 +:04220E00000119E2D0 +:04220F00000174CC8A +:0422100000000001C9 +:0422110000018C3DFF +:0422120000050000C3 +:04221300000119E2CB +:042214000001753C14 +:0422150000000000C5 +:0422160000018C3BFC +:0422170000050001BD +:0422180000018A0037 +:042219000001754803 +:04221A0000000000C0 +:04221B0000018C35FD +:04221C0000020001BB +:04221D0000018A181A +:04221E0000017558EE +:04221F0000000001BA +:0422200000018C4AE3 +:0422210000FF0000BA +:0422220000011A0A93 +:0422230000017564DD +:0422240000000001B5 +:0422250000018C4BDD +:0422260000FF0000B5 +:0422270000011A0A8E +:0422280000017570CC +:0422290000000001B0 +:04222A0000018C4CD7 +:04222B0000FF0000B0 +:04222C0000011A0A89 +:04222D000001757CBB +:04222E0000000001AB +:04222F0000018C4DD1 +:0422300000FF0000AB +:0422310000011A0A84 +:0422320000017588AA +:0422330000000001A6 +:0422340000018C4ECB +:0422350000FF0000A6 +:0422360000011A0A7F +:042237000001759499 +:0422380000000001A1 +:0422390000018C4FC5 +:04223A0000FF0000A1 +:04223B0000011A0A7A +:04223C00000175A088 +:04223D00000000019C +:04223E0000018C50BF +:04223F00000F00008C +:0422400000011A0A75 +:04224100000175B073 +:042242000000000197 +:0422430000018C47C3 +:0422440000E41C0096 +:0422450000011A1E5C +:04224600000175C45A +:042247000000000192 +:0422480000018C49BC +:04224900000A000087 +:04224A0000011A5223 +:04224B00000175D445 +:04224C00000000018D +:04224D0000018C48B8 +:04224E000007000085 +:04224F0000011A6A06 +:0422500000017F30DA +:042251000000000089 +:042252000000000088 +:042253000000000087 +:042254000000000086 +:042255000000000085 +:0422560000016DF026 +:0422570000016DF421 +:0422580000016DFC18 +:0422590000016DE42F +:04225A0000016DE82A +:04225B0000016DEC25 +:04225C0000016DF020 +:04225D0000016FB05D +:04225E0000016FCC40 +:04225F0000017024E6 +:042260000001702CDD +:0422610000017034D4 +:0422620000016FB058 +:0422630000016FCC3B +:0422640000016FF412 +:042265000001700400 +:0422660000017014EF +:0422670000016FB053 +:0422680000016FBC46 +:0422690000016FD42D +:04226A0000016FE41C +:04226B0000016EEC14 +:04226C00000170B845 +:04226D00000170C438 +:04226E00000170D427 +:04226F0000016DF00D +:0422700000016EEC0F +:0422710000016EF406 +:0422720000016E9465 +:0422730000016EA058 +:0422740000016EAC4B +:0422750000016EBC3A +:042276000001703CB7 +:0422770000017050A2 +:042278000001706091 +:042279000001707080 +:04227A00000170806F +:04227B000001708C62 +:04227C0000016DF000 +:04227D0000016EF8F6 +:04227E0000016F04E8 +:04227F0000016F14D7 +:0422800000016EECFF +:0422810000016DF0FB +:04228200000170F8EF +:0422830000017108DD +:0422840000017118CC +:0422850000017128BB +:04228600000170E003 +:04228700000170ECF6 +:0422880000016EECF7 +:042289000000000051 +:04228A000000000050 +:04228B00000000004F +:04228C00000000004E +:04228D00000000004D +:04228E00000000004C +:04228F00000000004B +:04229000000000004A +:042291000000000049 +:042292000000000048 +:042293000000000047 +:042294000000000046 +:042295000000000045 +:042296000000000044 +:042297000000000043 +:042298000000000042 +:042299000000000041 +:04229A000000000040 +:04229B00000000003F +:04229C00000000003E +:04229D00000000003D +:04229E00000000003C +:04229F00000000003B +:0422A000000000003A +:0422A10000018A842A +:0422A20000018A8429 +:0422A30000016718B7 +:0422A40000016F18AE +:0422A50000016F289D +:0422A60000016E289D +:0422A70000016E3C88 +:0422A8000000000131 +:0422A9000010801091 +:0422AA0000016DF0D2 +:0422AB0000016EF4CC +:0422AC00000001012C +:0422AD0000016FB00D +:0422AE0000016FBC00 +:0422AF0000016FB00B +:0422B00000016FCCEE +:0422B100000176B8FA +:0422B200000176C4ED +:0422B300000170981E +:0422B400000170A80D +:0422B50000016EC4F2 +:0422B60000016EC8ED +:0422B70000016ED0E4 +:0422B80000016EE0D3 +:0422B90000018A2472 :00000001FF diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index fa8c37b..4079c88 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2019 Markus Hiienkari +// Copyright (C) 2015-2022 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -993,7 +993,7 @@ int main() printf("### DIY VIDEO DIGITIZER / SCANCONVERTER INIT OK ###\n\n"); sniprintf(row1, LCD_ROW_LEN+1, "OSSC fw. %u.%.2u" FW_SUFFIX1 FW_SUFFIX2, FW_VER_MAJOR, FW_VER_MINOR); #ifndef DEBUG - strncpy(row2, "2014-2020 marqs", LCD_ROW_LEN+1); + strncpy(row2, "2014-2022 marqs", LCD_ROW_LEN+1); #else strncpy(row2, "** DEBUG BUILD *", LCD_ROW_LEN+1); #endif diff --git a/software/sys_controller/ossc/fat16_export.c b/software/sys_controller/ossc/fat16_export.c index 57c5ae4..70295ee 100644 --- a/software/sys_controller/ossc/fat16_export.c +++ b/software/sys_controller/ossc/fat16_export.c @@ -24,17 +24,12 @@ /* * The beginning of the boot sector, along with the BPB. - * Volume offsets 0x003 to 0x01a, inclusive. - * The BPB spans volume offsets 0x00b to 0x01c, inclusive. - * - * The jump instruction at volume offsets 0x000 to 0x002, inclusive, - * is left zeroed out to save a tiny bit of space. */ -static const alt_u8 bootsec_beg_bpb_16[24] = { - /* Three zeros */ 0x4d, 0x53, 0x57, 0x49, 0x4e, /* 0x003...0x007 */ - 0x34, 0x2e, 0x31, 0x00, 0x02, 0x04, 0x80, 0x00, /* 0x008...0x00f */ - 0x02, 0x00, 0x08, 0x00, 0x80, 0xf8, 0x20, 0x00, /* 0x010...0x017 */ - 0x3f, 0x00, 0xff, /* Zeros until 0x024 */ /* 0x018...0x01a */ +static const alt_u8 bootsec_beg_bpb_16[27] = { + 0xeb, 0x00, 0x90, /* 0x000: Code (x86 short jump + NOP) */ + 0x4d, 0x53, 0x57, 0x49, 0x4e, 0x34, 0x2e, 0x31, /* 0x003: OS Name */ + 0x00, 0x02, 0x04, 0x80, 0x00, 0x02, 0x00, 0x08, /* 0x00B: Bios Parameter Block */ + 0x00, 0x80, 0xf8, 0x20, 0x00, 0x3f, 0x00, 0xff, }; /* @@ -60,7 +55,7 @@ static const alt_u8 bootsec_after_bpb_16[26] = { */ void generate_boot_sector_16(alt_u8 *const buf) { /* Initial FAT16 boot sector contents + the BPB. */ - memcpy(buf + 3, bootsec_beg_bpb_16, 24); + memcpy(buf, bootsec_beg_bpb_16, sizeof(bootsec_beg_bpb_16)); /* * Then the rest of the boot sector. @@ -70,7 +65,7 @@ void generate_boot_sector_16(alt_u8 *const buf) { * be a deviation from the FAT16 spec, but should be harmless * for our purposes. */ - memcpy(buf + 36, bootsec_after_bpb_16, 26); + memcpy(buf + 36, bootsec_after_bpb_16, sizeof(bootsec_after_bpb_16)); /* RISC-V is little-endian, so do a 16-bit write instead. */ *((alt_u16*)(buf + 510)) = 0xaa55U; diff --git a/software/sys_controller/ossc/firmware.h b/software/sys_controller/ossc/firmware.h index f579c4e..2e1389d 100644 --- a/software/sys_controller/ossc/firmware.h +++ b/software/sys_controller/ossc/firmware.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2019 Markus Hiienkari +// Copyright (C) 2015-2022 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -24,7 +24,7 @@ #include "sysconfig.h" #define FW_VER_MAJOR 0 -#define FW_VER_MINOR 89 +#define FW_VER_MINOR 90 #define PROFILE_VER_MAJOR 0 #define PROFILE_VER_MINOR 88 diff --git a/software/sys_controller/ossc/userdata.c b/software/sys_controller/ossc/userdata.c index 4f08ce2..a80e65a 100644 --- a/software/sys_controller/ossc/userdata.c +++ b/software/sys_controller/ossc/userdata.c @@ -383,7 +383,7 @@ int export_userdata() "1=ジッコウスル 2=ヤメル" "\0" // [31..46] "ドチラカエランデクダサイ" // [47..60] ); - alt_u32 btn_vec; + alt_u32 btn_vec, sd_block_offset; _Static_assert(SD_BLK_SIZE == FAT16_SECTOR_SIZE, "Sector size mismatch"); @@ -419,9 +419,23 @@ eval_button: prompt_state = 3; } + usleep(100000U); + strncpy(menu_row1,"SD Format", LCD_ROW_LEN+1); + strncpy(menu_row2,"1=FAT16, 2=RAW", LCD_ROW_LEN+1); + ui_disp_menu(2); + if ((!poll_yesno(5000000U, &btn_vec)) || ((btn_vec != rc_keymap[RC_BTN1]) && (btn_vec != rc_keymap[RC_BTN2]))) { + retval = UDATA_EXPT_CANCELLED; + goto out; + } + sd_block_offset = (btn_vec == rc_keymap[RC_BTN1]) ? (PROF_16_DATA_OFS/SD_BLK_SIZE) : 1; + strncpy(menu_row2, LNG("Exporting...", "オマチクダサイ"), LCD_ROW_LEN+1); ui_disp_menu(2); + // RAW copy + if (btn_vec == rc_keymap[RC_BTN2]) + goto copy_start; + /* Zero out the boot sector, FATs and root directory. */ memset(databuf, 0, SD_BLK_SIZE); for (alt_u32 sector = 0; @@ -465,9 +479,10 @@ eval_button: if (retval) goto out; +copy_start: /* This may wear the SD card a bit more than necessary... */ retval = copy_flash_to_sd(USERDATA_OFFSET/PAGESIZE, - PROF_16_DATA_OFS/SD_BLK_SIZE, + sd_block_offset, (MAX_USERDATA_ENTRY + 1) * SECTORSIZE, databuf);