From 1cc42b808d23f8cc5517ccd2e7a9f427c4cd5d39 Mon Sep 17 00:00:00 2001 From: marqs Date: Thu, 22 Feb 2018 22:48:00 +0200 Subject: [PATCH 1/2] pcm1862: fix ADC clkdiv value and use low-latency IIR filter --- .../mem_init/sys_onchip_memory2_0.hex | 1668 ++++++++--------- software/sys_controller/pcm1862/pcm1862.c | 6 + .../sys_controller/pcm1862/pcm1862_regs.h | 7 + software/sys_controller_bsp/settings.bsp | 4 +- 4 files changed, 849 insertions(+), 836 deletions(-) diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 7d87cf3..f57c131 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/pcm1862/pcm1862.c b/software/sys_controller/pcm1862/pcm1862.c index bdf8952..3ade0ce 100644 --- a/software/sys_controller/pcm1862/pcm1862.c +++ b/software/sys_controller/pcm1862/pcm1862.c @@ -56,5 +56,11 @@ int pcm1862_init() //pcm1862_writereg(0x00, 0xff); pcm1862_writereg(PCM1862_CLKCONFIG, 0x90); + pcm1862_writereg(PCM1862_DSP1_CLKDIV, 0x00); + pcm1862_writereg(PCM1862_DSP2_CLKDIV, 0x00); + pcm1862_writereg(PCM1862_ADC_CLKDIV, 0x03); + pcm1862_writereg(PCM1862_PLLCONFIG, 0x00); + pcm1862_writereg(PCM1862_DSP_CTRL, 0x30); + return 1; } diff --git a/software/sys_controller/pcm1862/pcm1862_regs.h b/software/sys_controller/pcm1862/pcm1862_regs.h index d73f22b..0820426 100644 --- a/software/sys_controller/pcm1862/pcm1862_regs.h +++ b/software/sys_controller/pcm1862/pcm1862_regs.h @@ -47,5 +47,12 @@ #define PCM1862_DIN_RESAMPLE 0x1B #define PCM1862_CLKCONFIG 0x20 +#define PCM1862_DSP1_CLKDIV 0x21 +#define PCM1862_DSP2_CLKDIV 0x22 +#define PCM1862_ADC_CLKDIV 0x23 + +#define PCM1862_PLLCONFIG 0x28 + +#define PCM1862_DSP_CTRL 0x71 #endif /* PCM1862_REGS_H_ */ diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index ee226e4..d91aae0 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Dec 7, 2017 9:34:03 PM - 1512675243152 + Feb 22, 2018 9:52:06 PM + 1519329126233 ./ settings.bsp ../../sys.sopcinfo From bc1b7419f2141ee691975e8d39cfad52175e8130 Mon Sep 17 00:00:00 2001 From: marqs Date: Fri, 2 Mar 2018 23:11:52 +0200 Subject: [PATCH 2/2] pcm1862: add pre-ADC gain setting --- ossc.qsf | 2 +- .../mem_init/sys_onchip_memory2_0.hex | 2022 ++++++++--------- software/sys_controller/ossc/av_controller.c | 3 + software/sys_controller/ossc/avconfig.c | 1 + software/sys_controller/ossc/avconfig.h | 6 + software/sys_controller/ossc/menu.c | 2 + software/sys_controller/pcm1862/pcm1862.c | 7 + software/sys_controller/pcm1862/pcm1862.h | 4 +- software/sys_controller_bsp/settings.bsp | 4 +- sys.sopcinfo | 4 +- 10 files changed, 1038 insertions(+), 1017 deletions(-) diff --git a/ossc.qsf b/ossc.qsf index 8ff87f9..56535aa 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -225,7 +225,7 @@ set_global_assignment -name USE_SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name FITTER_EFFORT "AUTO FIT" -set_global_assignment -name SEED 2 +set_global_assignment -name SEED 20 diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index f57c131..ea2b4ae 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 68c2f11..239d975 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -348,6 +348,9 @@ status_t get_status(tvp_input_t input, video_format format) #endif (tc.audio_swap_lr != cm.cc.audio_swap_lr)) SetupAudio(tc.tx_mode); + + if (pcm1862_active && (tc.audio_gain != cm.cc.audio_gain)) + pcm_set_gain(tc.audio_gain-AUDIO_GAIN_0DB); #endif cm.cc = tc; diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 7143e8f..02a5ed9 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -57,6 +57,7 @@ const avconfig_t tc_default = { #ifdef ENABLE_AUDIO .audio_dw_sampl = DEFAULT_ON, .tx_mode = TX_HDMI, + .audio_gain = AUDIO_GAIN_0DB, #endif .col = { .r_f_gain = DEFAULT_FINE_GAIN, diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index 1b68504..37aead6 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -38,6 +38,11 @@ #define SL_MODE_MAX 2 #define SL_TYPE_MAX 2 +#define AUDIO_GAIN_M12DB 0 +#define AUDIO_GAIN_0DB 12 +#define AUDIO_GAIN_12DB 24 +#define AUDIO_GAIN_MAX AUDIO_GAIN_12DB + #define L5FMT_1920x1080 0 #define L5FMT_1600x1200 1 #define L5FMT_1920x1200 2 @@ -82,6 +87,7 @@ typedef struct { #ifdef ENABLE_AUDIO alt_u8 audio_dw_sampl; alt_u8 audio_swap_lr; + alt_u8 audio_gain; #endif color_setup_t col; } __attribute__((packed)) avconfig_t; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 11e09b1..3f13e1e 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -74,6 +74,7 @@ static void lines_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%u l static void pixels_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, LNG("%u pixels","%u ドット"), v); } static void value_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, " %u", v); } static void lt_disp(alt_u8 v) { strncpy(menu_row2, lt_desc[v], LCD_ROW_LEN+1); } +static void aud_db_disp(alt_u8 v) { sniprintf(menu_row2, LCD_ROW_LEN+1, "%d dB", ((alt_8)v-AUDIO_GAIN_0DB)); } static void vm_display_name (alt_u8 v) { strncpy(menu_row2, video_modes[v].name, LCD_ROW_LEN+1); } static const arg_info_t vm_arg_info = {&vm_sel, VIDEO_MODES_CNT-1, vm_display_name}; @@ -157,6 +158,7 @@ MENU(menu_compatibility, P99_PROTECT({ \ MENU(menu_audio, P99_PROTECT({ \ { LNG("Down-sampling","ダウンサンプリング"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.audio_dw_sampl, OPT_WRAP, SETTING_ITEM(audio_dw_sampl_desc) } } }, { LNG("Swap left/right","ヒダリ/ミギスワップ"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.audio_swap_lr, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { "Pre-ADC gain", OPT_AVCONFIG_NUMVALUE, { .num = { &tc.audio_gain, OPT_NOWRAP, 0, AUDIO_GAIN_MAX, aud_db_disp } } }, })) #define AUDIO_MENU { LNG("Audio options >","オーディオオプション >"), OPT_SUBMENU, { .sub = { &menu_audio, NULL, NULL } } }, #else diff --git a/software/sys_controller/pcm1862/pcm1862.c b/software/sys_controller/pcm1862/pcm1862.c index 3ade0ce..6d626f3 100644 --- a/software/sys_controller/pcm1862/pcm1862.c +++ b/software/sys_controller/pcm1862/pcm1862.c @@ -48,6 +48,13 @@ void pcm_source_sel(pcm_input_t input) { pcm1862_writereg(PCM1862_ADC1R, adc_ch); } +void pcm_set_gain(alt_8 db_gain) { + alt_8 gain_val = 2*db_gain; + + pcm1862_writereg(PCM1862_PGA1L, gain_val); + pcm1862_writereg(PCM1862_PGA1R, gain_val); +} + int pcm1862_init() { if (pcm1862_readreg(0x05) != 0x86) diff --git a/software/sys_controller/pcm1862/pcm1862.h b/software/sys_controller/pcm1862/pcm1862.h index b1bc434..13dccd7 100644 --- a/software/sys_controller/pcm1862/pcm1862.h +++ b/software/sys_controller/pcm1862/pcm1862.h @@ -30,7 +30,9 @@ typedef enum { PCM_INPUT4 = 3 } pcm_input_t; -void pcm_source_sel(pcm_input_t input) ; +void pcm_source_sel(pcm_input_t input); + +void pcm_set_gain(alt_8 db_gain); int pcm1862_init(); diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index d91aae0..1536eda 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - Feb 22, 2018 9:52:06 PM - 1519329126233 + Feb 24, 2018 7:18:24 PM + 1519492704534 ./ settings.bsp ../../sys.sopcinfo diff --git a/sys.sopcinfo b/sys.sopcinfo index 8d9e0cd..d89d7aa 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - + java.lang.Integer - 1516481684 + 1519489572 false true false