diff --git a/ossc.sdc b/ossc.sdc index d6a7281..aa72075 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -29,11 +29,10 @@ set_input_delay -clock pclk_hdtv -max $TVP_dmax $critinputs set_input_delay -clock pclk_sdtv -min $TVP_dmin $critinputs -add_delay set_input_delay -clock pclk_sdtv -max $TVP_dmax $critinputs -add_delay -# output delay constraints (TODO: add vsync) +# output delay constraints (TODO: investigate why adding vsync upsets timing analyzer) set IT_Tsu 1.0 set IT_Th -0.5 -#todo VS -set critoutputs_hdmi {HDMI_TX_RD* HDMI_TX_GD* HDMI_TX_BD* HDMI_TX_DE HDMI_TX_HS} +set critoutputs_hdmi [get_ports {HDMI_TX_RD* HDMI_TX_GD* HDMI_TX_BD* HDMI_TX_DE HDMI_TX_HS}] set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv -min $IT_Th $critoutputs_hdmi set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_hdtv -max $IT_Tsu $critoutputs_hdmi set_output_delay -reference_pin HDMI_TX_PCLK -clock pclk_2x -min $IT_Th $critoutputs_hdmi -add_delay diff --git a/rtl/scanconverter.v b/rtl/scanconverter.v index 3cb2549..5e8677f 100644 --- a/rtl/scanconverter.v +++ b/rtl/scanconverter.v @@ -415,7 +415,13 @@ end assign h_unstable = (warn_h_unstable != 0); assign pll_lock_lost = {(warn_pll_lock_lost != 0), (warn_pll_lock_lost_3x != 0)}; -//Check if TVP7002 is skipping VSYNCs (occurs with interlace on TTL sync). +//Detect if TVP7002 is skipping VSYNCs. This occurs for interlaced signals fed via digital sync inputs, +//causing TVP7002 not to regenerate VSYNC for field 1. Moreover, if leading edges of HSYNC and VSYNC are +//too far from each other for field 0, no VSYNC is regenerated at all. This can be avoided by disabling +//doubled sampling rates ("AV3 interlacefix") and/or minimizing VSYNC delay induced by RC filter on PCB. +//However, TVP7002 datasheet warns that HSYNC/VSYNC should not change simultaneously, so leaving out the +//filter may lead to stability issues and is not recommended. A combination of 220ohm resistor and 1nF +//capacitor seems to be optimal for 480i/576i, including doubled sampling rates. always @(posedge clk27 or negedge reset_n) begin if (!reset_n) begin diff --git a/software/sys_controller/it6613/it6613_drv.c b/software/sys_controller/it6613/it6613_drv.c index 736db30..392a173 100644 --- a/software/sys_controller/it6613/it6613_drv.c +++ b/software/sys_controller/it6613/it6613_drv.c @@ -3274,13 +3274,13 @@ DISABLE_MPG_INFOFRM_PKT() HDMITX_WriteI2C_Byte(REG_TX_MPG_INFOFRM_CTRL,0); } -void HDMITX_SetPixelRepetition(BYTE pixelrep, BYTE set_infoframe) { +void TX_SetPixelRepetition(BYTE pixelrep, BYTE via_infoframe) { BYTE pllpr; Switch_HDMITX_Bank(0); pllpr = HDMITX_ReadI2C_Byte(REG_TX_CLK_CTRL1) & 0x2F; - if (!set_infoframe) + if (!via_infoframe) pllpr |= (1<<4)|((pixelrep&0x3)<<6); HDMITX_WriteI2C_Byte(REG_TX_CLK_CTRL1, pllpr); diff --git a/software/sys_controller/it6613/it6613_drv.h b/software/sys_controller/it6613/it6613_drv.h index 8fdaab5..d1a2f4d 100644 --- a/software/sys_controller/it6613/it6613_drv.h +++ b/software/sys_controller/it6613/it6613_drv.h @@ -834,7 +834,7 @@ BOOL EnableAudioInfoFrame(BYTE bEnable,BYTE *pAudioInfoFrame); void SetAVMute(BYTE bEnable) ; void SetOutputColorDepthPhase(BYTE ColorDepth,BYTE bPhase) ; void Get6613Reg(BYTE *pReg) ; -void HDMITX_SetPixelRepetition(BYTE pixelrep, BYTE set_infoframe); +void TX_SetPixelRepetition(BYTE pixelrep, BYTE via_infoframe); //////////////////////////////////////////////////////////////////// // Required Interfance diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 326246b..58705fe 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex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diff --git a/software/sys_controller/ossc/av_controller.c b/software/sys_controller/ossc/av_controller.c index 5395a68..86a0150 100644 --- a/software/sys_controller/ossc/av_controller.c +++ b/software/sys_controller/ossc/av_controller.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -91,10 +91,10 @@ inline void SetupAudio(tx_mode_t mode) DisableAudioOutput(); EnableAudioInfoFrame(FALSE, NULL); - if (tc.tx_mode == TX_HDMI) { + if (mode == TX_HDMI) { alt_u32 pclk_out = (TVP_EXTCLK_HZ/cm.clkcnt)*video_modes[cm.id].h_total*cm.sample_mult*(cm.fpga_vmultmode+1); - pclk_out *= 1+cm.hdmitx_pixelrep; + pclk_out *= 1+cm.tx_pixelrep; printf("PCLK_out: %luHz\n", pclk_out); EnableAudioOutput4OSSC(pclk_out, tc.audio_dw_sampl, tc.audio_swap_lr); @@ -119,17 +119,19 @@ inline void TX_enable(tx_mode_t mode) DisableVideoOutput(); EnableAVIInfoFrame(FALSE, NULL); - // re-setup + //Setup TX configuration + //TODO: set pclk target and VIC dynamically EnableVideoOutput(PCLK_MEDIUM, COLOR_RGB444, COLOR_RGB444, !mode); - //TODO: set VIC based on mode + if (mode == TX_HDMI) { - HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixelrep); + HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr ? cm.tx_pixelrep : 0); cm.cc.hdmi_itc = tc.hdmi_itc; -#ifdef DIY_AUDIO - SetupAudio(mode); -#endif } +#ifdef DIY_AUDIO + SetupAudio(mode); +#endif + // start TX SetAVMute(FALSE); } @@ -215,7 +217,7 @@ status_t get_status(tvp_input_t input, video_format format) data2 = tvp_readreg(TVP_CLKCNT2); clkcnt = ((data2 & 0x0f) << 8) | data1; - // Read how many lines TVP7002 outputs in reality + // Read how many lines TVP7002 outputs in reality (valid only if output enabled) totlines_tvp = ((IORD_ALTERA_AVALON_PIO_DATA(PIO_2_BASE) >> 18) & 0x7ff)+1; // NOTE: "progressive" may not have correct value if H-PLL is not locked (!cm.sync_active) @@ -253,7 +255,8 @@ status_t get_status(tvp_input_t input, video_format format) } if (valid_linecnt) { - if ((totlines != cm.totlines) || (clkcnt != cm.clkcnt) || (progressive != cm.progressive)) { + // Line count reported in TVP7002 status registers is sometimes +-1 line off and may alternate with correct value. Ignore these events + if ((totlines > cm.totlines+1) || (totlines+1 < cm.totlines) || (clkcnt != cm.clkcnt) || (progressive != cm.progressive)) { printf("totlines: %lu (cur) / %lu (prev), clkcnt: %lu (cur) / %lu (prev). totlines_tvp: %u, VSM: %u\n", totlines, cm.totlines, clkcnt, cm.clkcnt, totlines_tvp, vsyncmode); /*if (!cm.sync_active) act_ctr = 0;*/ @@ -274,7 +277,8 @@ status_t get_status(tvp_input_t input, video_format format) (tc.l4_mode != cm.cc.l4_mode) || (tc.l5_mode != cm.cc.l5_mode) || (tc.l5_fmt != cm.cc.l5_fmt) || - (tc.tvp_hpll2x != cm.cc.tvp_hpll2x)) + (tc.tvp_hpll2x != cm.cc.tvp_hpll2x) || + (tc.vga_ilace_fix != cm.cc.vga_ilace_fix)) status = (status < MODE_CHANGE) ? MODE_CHANGE : status; if ((tc.s480p_mode != cm.cc.s480p_mode) && ((video_modes[cm.id].group == GROUP_DTV480P) || (video_modes[cm.id].group == GROUP_VGA480P))) @@ -507,20 +511,21 @@ void program_mode() set_lpf(cm.cc.video_lpf); cm.sample_sel = tvp_set_hpll_phase(cm.cc.sampler_phase, cm.sample_mult); - HDMITX_SetPixelRepetition(cm.hdmitx_pixelrep, (cm.cc.tx_mode==TX_HDMI) ? cm.hdmitx_pixr_ifr : 0); - if (cm.cc.tx_mode==TX_HDMI) - HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, cm.cc.hdmi_itc, cm.hdmitx_pixr_ifr ? cm.hdmitx_pixelrep : 0); - set_videoinfo(); - // TX re-init skipped to minimize mode switch delay - //TX_enable(cm.cc.tx_mode); + TX_SetPixelRepetition(cm.tx_pixelrep, (cm.cc.tx_mode==TX_HDMI) ? cm.hdmitx_pixr_ifr : 0); + // Full TX initialization increases mode switch delay, use only for compatibility + if (cm.cc.full_tx_setup) { + TX_enable(cm.cc.tx_mode); + } else if (cm.cc.tx_mode==TX_HDMI) { + HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, cm.cc.hdmi_itc, cm.hdmitx_pixr_ifr ? cm.tx_pixelrep : 0); #ifdef DIY_AUDIO #ifdef MANUAL_CTS - SetupAudio(cm.cc.tx_mode); + SetupAudio(cm.cc.tx_mode); #endif #endif + } } void load_profile_disp(alt_u8 code) { @@ -703,8 +708,7 @@ void enable_outputs() // enable TVP output tvp_enable_output(); - // enable and unmute HDMITX - // TODO: check pclk + // enable and unmute TX TX_enable(tc.tx_mode); } @@ -850,7 +854,7 @@ int main() if ((tc.tx_mode == TX_HDMI) && (tc.hdmi_itc != cm.cc.hdmi_itc)) { //EnableAVIInfoFrame(FALSE, NULL); printf("setting ITC to %d\n", tc.hdmi_itc); - HDMITX_SetAVIInfoFrame(0, 0, 0, tc.hdmi_itc, cm.hdmitx_pixelrep); + HDMITX_SetAVIInfoFrame(HDMI_Unkown, 0, 0, tc.hdmi_itc, cm.hdmitx_pixr_ifr ? cm.tx_pixelrep : 0); cm.cc.hdmi_itc = tc.hdmi_itc; } diff --git a/software/sys_controller/ossc/av_controller.h b/software/sys_controller/ossc/av_controller.h index 1cd747f..62f5141 100644 --- a/software/sys_controller/ossc/av_controller.h +++ b/software/sys_controller/ossc/av_controller.h @@ -34,9 +34,9 @@ // HDMI_TX definitions #define HDMITX_MODE_MASK 0x00040000 -#define HDMITX_PIXELREP_DISABLE 0 -#define HDMITX_PIXELREP_2X 1 -#define HDMITX_PIXELREP_4X 2 +#define TX_PIXELREP_DISABLE 0 +#define TX_PIXELREP_2X 1 +#define TX_PIXELREP_4X 3 // FPGA macros #define FPGA_V_MULTMODE_1X 0 @@ -94,7 +94,7 @@ typedef struct { alt_u8 sync_active; alt_u8 fpga_vmultmode; alt_u8 fpga_hmultmode; - alt_u8 hdmitx_pixelrep; + alt_u8 tx_pixelrep; alt_u8 hdmitx_pixr_ifr; alt_u8 sample_mult; alt_u8 sample_sel; diff --git a/software/sys_controller/ossc/avconfig.c b/software/sys_controller/ossc/avconfig.c index 5e2715f..82aefb0 100644 --- a/software/sys_controller/ossc/avconfig.c +++ b/software/sys_controller/ossc/avconfig.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -47,7 +47,6 @@ const avconfig_t tc_default = { .pm_480i = 1, .pm_1080i = 1, .tvp_hpll2x = 1, - .hdmi_itc = 1, .sampler_phase = DEFAULT_SAMPLER_PHASE, .sync_vth = DEFAULT_SYNC_VTH, .linelen_tol = DEFAULT_LINELEN_TOL, diff --git a/software/sys_controller/ossc/avconfig.h b/software/sys_controller/ossc/avconfig.h index c58d51a..450e292 100644 --- a/software/sys_controller/ossc/avconfig.h +++ b/software/sys_controller/ossc/avconfig.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -74,6 +74,8 @@ typedef struct { alt_u8 video_lpf; alt_u8 pre_coast; alt_u8 post_coast; + alt_u8 full_tx_setup; + alt_u8 vga_ilace_fix; #ifdef DIY_AUDIO alt_u8 audio_dw_sampl; alt_u8 audio_swap_lr; diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index 4d6d4cf..f17e737 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -135,6 +135,11 @@ MENU(menu_postproc, P99_PROTECT({ \ { LNG("Mask brightness","マスクアカルサ"), OPT_AVCONFIG_NUMVALUE, { .num = { &tc.mask_br, OPT_NOWRAP, 0, HV_MASK_MAX_BR, value_disp } } }, })) +MENU(menu_compatibility, P99_PROTECT({ \ + { "Full TX setup", OPT_AVCONFIG_SELECTION, { .sel = { &tc.full_tx_setup, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, + { "AV3 interlacefix", OPT_AVCONFIG_SELECTION, { .sel = { &tc.vga_ilace_fix, OPT_WRAP, SETTING_ITEM(off_on_desc) } } }, +})) + #ifdef DIY_AUDIO MENU(menu_audio, P99_PROTECT({ \ { LNG("Down-sampling","ダウンサンプリング"), OPT_AVCONFIG_SELECTION, { .sel = { &tc.audio_dw_sampl, OPT_WRAP, SETTING_ITEM(audio_dw_sampl_desc) } } }, @@ -152,6 +157,7 @@ MENU(menu_main, P99_PROTECT({ \ { LNG("Sync opt. >","ドウキオプション >"), OPT_SUBMENU, { .sub = { &menu_sync, NULL } } }, { LNG("Output opt. >","シュツリョクオプション >"), OPT_SUBMENU, { .sub = { &menu_output, NULL } } }, { LNG("Post-proc. >","アトショリ >"), OPT_SUBMENU, { .sub = { &menu_postproc, NULL } } }, + { "Compatibility >", OPT_SUBMENU, { .sub = { &menu_compatibility, NULL } } }, AUDIO_MENU { LNG("","<プロファイルロード >"), OPT_SUBMENU, { .sub = { NULL, load_profile_disp } } }, { LNG("","<プロファイルセーブ >"), OPT_SUBMENU, { .sub = { NULL, save_profile_disp } } }, diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index 9d532b8..1499fb0 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -80,7 +80,7 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t if ((typemask & mode_type) && (target_lm & video_modes[i].flags) && (progressive == !(video_modes[i].flags & MODE_INTERLACED)) && (totlines <= (video_modes[i].v_total+LINECNT_MAX_TOLERANCE))) { // defaults - cm.hdmitx_pixelrep = HDMITX_PIXELREP_DISABLE; + cm.tx_pixelrep = TX_PIXELREP_DISABLE; cm.hdmitx_pixr_ifr = 0; cm.sample_mult = 1; cm.hsync_cut = 0; @@ -90,21 +90,21 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t case MODE_PT: cm.fpga_vmultmode = FPGA_V_MULTMODE_1X; cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; - cm.hdmitx_pixelrep = ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_480I)) ? HDMITX_PIXELREP_2X : HDMITX_PIXELREP_DISABLE; - cm.hdmitx_pixr_ifr = cm.hdmitx_pixelrep; + cm.tx_pixelrep = ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_480I)) ? TX_PIXELREP_2X : TX_PIXELREP_DISABLE; + cm.hdmitx_pixr_ifr = cm.tx_pixelrep; break; case MODE_L2: cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; - if ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_384P) || (video_modes[i].group == GROUP_480I)) { + if ((!cm.cc.vga_ilace_fix) && ((video_modes[i].group == GROUP_240P) || (video_modes[i].group == GROUP_384P) || (video_modes[i].group == GROUP_480I))) { cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; cm.sample_mult = 2; } else { cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; } - cm.hdmitx_pixelrep = ((video_modes[i].group == GROUP_384P) || + cm.tx_pixelrep = ((video_modes[i].group == GROUP_384P) || (video_modes[i].group == GROUP_DTV480P) || (video_modes[i].group == GROUP_VGA480P) || - ((video_modes[i].group == GROUP_1080I) && (video_modes[i].h_total < 1200))) ? HDMITX_PIXELREP_2X : HDMITX_PIXELREP_DISABLE; + ((video_modes[i].group == GROUP_1080I) && (video_modes[i].h_total < 1200))) ? TX_PIXELREP_2X : TX_PIXELREP_DISABLE; break; case MODE_L2_256_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_2X; @@ -120,7 +120,7 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; if (video_modes[i].group == GROUP_480I) - cm.hdmitx_pixelrep = HDMITX_PIXELREP_2X; + cm.tx_pixelrep = TX_PIXELREP_2X; break; case MODE_L3_GEN_4_3: cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; @@ -140,7 +140,7 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.fpga_vmultmode = FPGA_V_MULTMODE_4X; cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; if (video_modes[i].group == GROUP_480I) - cm.hdmitx_pixelrep = HDMITX_PIXELREP_2X; + cm.tx_pixelrep = TX_PIXELREP_2X; break; case MODE_L4_320_COL: cm.fpga_vmultmode = FPGA_V_MULTMODE_4X; diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index f8b9e4f..aa0da11 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -1,5 +1,5 @@ // -// Copyright (C) 2015-2016 Markus Hiienkari +// Copyright (C) 2015-2017 Markus Hiienkari // // This file is part of Open Source Scan Converter project. // @@ -138,7 +138,7 @@ typedef struct { /* PS2 GSM 960i mode */ \ { "640x960i", 640, 480, 5994, 800, 1050, 48, 33, 96, 2, (VIDEO_EDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ /* 1080i/p HDTV modes */ \ - { "1080i", 1920, 540, 5994, 2200, 1125, 188, 16, 44, 5, VIDEO_HDTV, GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ + { "1080i", 1920, 540, 5994, 2200, 1125, 188, 16, 44, 5, (VIDEO_HDTV | VIDEO_PC), GROUP_1080I, (MODE_PT | MODE_L2 | MODE_INTERLACED) }, \ { "1080p", 1920, 1080, 5994, 2200, 1125, 188, 36, 44, 5, VIDEO_HDTV, GROUP_NONE, MODE_PT }, \ { "1920x1080", 1920, 1080, 6000, 2200, 1125, 148, 36, 44, 5, VIDEO_PC, GROUP_NONE, MODE_PT }, \ /* VESA UXGA with reduced h.backporch */ \ diff --git a/software/sys_controller_bsp/settings.bsp b/software/sys_controller_bsp/settings.bsp index a9a20a9..b81d8a4 100644 --- a/software/sys_controller_bsp/settings.bsp +++ b/software/sys_controller_bsp/settings.bsp @@ -2,8 +2,8 @@ hal default - May 17, 2017 10:57:24 PM - 1495051044048 + May 27, 2017 1:39:56 AM + 1495838396594 ./ settings.bsp ../../sys.sopcinfo