diff --git a/ossc.qsf b/ossc.qsf index 28bc576..7f11f6a 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -240,4 +240,18 @@ set_global_assignment -name SDC_FILE ossc.sdc set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp set_global_assignment -name QIP_FILE rtl/char_array.qip +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HDMI_TX_DE +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HDMI_TX_HS +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HDMI_TX_PCLK +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HDMI_TX_VS +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HDMI_TX_BD +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HDMI_TX_GD +set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to HDMI_TX_RD +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_DE +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_HS +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_PCLK +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_VS +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_BD +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_GD +set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_RD set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/ossc.sdc b/ossc.sdc index 3d3d83c..788c142 100644 --- a/ossc.sdc +++ b/ossc.sdc @@ -10,8 +10,8 @@ set_false_path -to {sys:sys_inst|sys_pio_1:pio_1|readdata*} ### Scanconverter clock constraints ### create_clock -period 108MHz -name pclk_1x [get_ports PCLK_in] -create_clock -period 33MHz -name pclk_2x_source [get_ports PCLK_in] -add -create_clock -period 33MHz -name pclk_3x_source [get_ports PCLK_in] -add +create_clock -period 54MHz -name pclk_2x_source [get_ports PCLK_in] -add +create_clock -period 54MHz -name pclk_3x_source [get_ports PCLK_in] -add create_clock -period 33MHz -name pclk_4x_source [get_ports PCLK_in] -add create_clock -period 33MHz -name pclk_5x_source [get_ports PCLK_in] -add @@ -54,8 +54,8 @@ foreach_in_collection c [get_clocks "pclk_1x pclk_*_source"] { } # output delay constraints -set IT_Tsu 1.0 -set IT_Th -0.5 +set IT_Tsu 1.5 +set IT_Th -0.3 set critoutputs_hdmi [get_ports {HDMI_TX_RD* HDMI_TX_GD* HDMI_TX_BD* HDMI_TX_DE HDMI_TX_HS HDMI_TX_VS}] foreach_in_collection c [get_clocks pclk_*_out] { set_output_delay -clock $c -min $IT_Th $critoutputs_hdmi -add diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index e8bf042..ac16dc9 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -45,9 +45,9 @@ :04002C000000A11718 :04002D00F5010113C5 :04002E000000871730 -:04002F0078470713F4 +:04002F005807071354 :04003000000097979E -:04003100D647879394 +:04003100B7C7879333 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 @@ -55,42 +55,42 @@ :040036001151004024 :04003700C4064501B5 :04003800200520057A -:04003900A60367E5CE -:04003A0067E583C72C -:04003B008407A5830E -:04003C0040A267E592 -:04003D008447A5034C +:04003900A60367E1D2 +:04003A0067E1638790 +:04003B0063C7A5836F +:04003C0040A267E196 +:04003D006407A503AC :04003E00206F0131FD -:04003F00A3A174C045 -:0400400067E580826E +:04003F00A39167E042 +:0400400067E1808272 :04004100000207377B -:0400420084E7A62386 +:0400420064E7A423A8 :0400430007B7656135 -:040044006765019C4F +:040044006761019C53 :04004500CC078793CA -:04004600C3C5051316 -:0400470084F72423F3 -:040048006765AE49F1 -:04004900848727037E -:04004A00A78367E53C -:04004B00557D84C794 +:04004600A3050513F6 +:0400470064F7222315 +:040048006761A679CD +:0400490064472703DE +:04004A00A78367E140 +:04004B00557D6487F4 :04004C004721CB116C :04004D006741C3D86C :04004E00C798177DBB :04004F004711C7D8B6 :040050004501C3D8CB -:0400510067E580825D -:040052008487A78375 +:0400510067E1808261 +:040052006447A783D5 :04005300CF91557D77 -:04005400A70367E5B2 -:04005500282384C711 +:04005400A70367E1B6 +:040055002823648771 :040056004B1C000738 :0400570007C24B4849 :0400580083C1054219 :0400590045138D5D61 :04005A008082FFF5AC -:04005B00A50367E5AD -:04005C008082848793 +:04005B00A50367E1B1 +:04005C0080826447F3 :04005D002703C93973 :04005E0011510C052B :04005F0000859793EE @@ -111,7 +111,7 @@ :04006E0040A2FD208F :04006F004482441271 :040070008082013158 -:0400710021414505DF +:0400710029B5450563 :040072005529B7E174 :0400730057A9808287 :04007400C991C9194C @@ -139,7 +139,7 @@ :04008A00C7018B051A :04008B00CA9C47853F :04008C00853E57ED69 -:04008D00C163808249 +:04008D00C06380824A :04008E00717912056D :04008F00D606D4229B :04009000832AD226C7 @@ -155,7 +155,7 @@ :04009A0000E66863B1 :04009B0000074663B1 :04009C008DFD17FDC2 -:04009D00CDF14281DE +:04009D00CDE94281E6 :04009E00542250B2E6 :04009F0061455492D1 :0400A00057FD808206 @@ -174,8547 +174,8418 @@ :0400AD00953E8626D0 :0400AE00CC3ACE1A60 :0400AF00C836CA166F -:0400B000718050EF1C -:0400B10007B3101071 -:0400B200C03E0096B6 -:0400B3008793678543 -:0400B400C23E8027A1 -:0400B500000227B767 -:0400B6001107879314 -:0400B70046C2C43E3B -:0400B80042D267A128 -:0400B90043724762E5 -:0400BA00020787931F -:0400BB008537C63E81 -:0400BC00478200086F -:0400BD0002F61463D0 -:0400BE000B83278306 -:0400BF0092A65602AD -:0400C000C39097A2B0 -:0400C1000C03278382 -:0400C20086138F050D -:0400C3004B9C01074A -:0400C400CF9D8B89B8 -:0400C500C21C478989 -:0400C600BFB9556DFC -:0400C7000006478365 -:0400C80043A2459278 -:0400C90085B30605F0 -:0400CA00F5B302B7D1 -:0400CB0043B20075C7 -:0400CC00027787B37D -:0400CD004405039350 -:0400CE000077F7B30D -:0400CF008DDD63C19F -:0400D00010138393F3 -:0400D100027585B37C -:0400D2000FA381C136 -:0400D300B755FEB669 -:0400D400FB05041113 -:0400D500B70D45011D -:0400D60080825529A6 -:0400D700FDC1011353 -:0400D800CE22D0065E -:0400D900C232CC263D -:0400DA00842AC15D56 -:0400DB00C24D552994 -:0400DC000B84270367 -:0400DD0087AE83B6B1 -:0400DE008633972EA0 -:0400DF00268300E68E -:0400E00077630BC473 -:0400E100756308D764 -:0400E200270308D612 -:0400E300C0020D0446 -:0400E40002E5D4B3AA -:0400E5000CC427031D -:0400E60000E4E463EB -:0400E700A88D45019A -:0400E800FE038EE3A2 -:0400E9000D0422835D -:0400EA0002B3470115 -:0400EB00F2B34050DC -:0400EC00846300F237 -:0400ED0087330057FE -:0400EE00859640575C -:0400EF00CA3A852262 -:0400F000C63EC81E22 -:0400F1003515C416E7 -:0400F2002303E521DE -:0400F30047520D045F -:0400F40042A243C21F -:0400F50040E30333AE -:0400F600F36347B2B7 -:0400F700831E006301 -:0400F80046924602E4 -:0400F9008596871A47 -:0400FA00852296B213 -:0400FB00C81E863E57 -:0400FC00C43EC61A1E -:0400FD00ED0935894B -:0400FE0047824332C0 -:0400FF00048543C26F -:04010000C03E979ACC -:0401010083B347A2DB -:04010200979A406325 -:040103005529B76162 -:04010400447250826F -:04010500011344E2BC -:0401060080820241B0 -:04010700C94157A9EA -:040108002783C6592A -:0401090027030B8538 -:04010A0095BE0BC5CE -:04010B0000B6833384 -:04010C00FE6357A98E -:04010D007C6306E524 -:04010E00873206E34B -:04010F0086361151CE -:04011000C222853A48 -:040111008436C40666 -:0401120050EFC026C4 -:04011300872A58E0FF -:0401140025B76605A0 -:04011500652100025E -:040116000613468105 -:040117008593802626 -:0401180005131105B5 -:0401190082B70205A2 -:04011A00C9630008AD -:04011B0040A2008678 -:04011C0047814412C1 -:04011D00853E448255 -:04011E0080820131A9 -:04011F0000D70333CF -:040120000003438312 -:04012100440284937D -:0401220087B3068514 -:0401230083B302C3DD -:040124008FED02A3B6 -:040125000093F3B39D -:040126000077E7B3C4 -:04012700839363C19A -:0401280087B3101376 -:0401290083C1027715 -:04012A0000F30023BB -:04012B00853EBF7DD1 -:04012C00CD4D8082B3 -:04012D000C05270393 -:04012E00CB5557B5A1 -:04012F000C85278391 -:040130004718EBA9D8 -:04013100771346B149 -:0401320007930FF729 -:04013300E963FEB7C7 -:0401340066D902F690 -:040135008693078A1C -:0401360097B6610611 -:040137008782439CDC -:040138002000079309 -:040139000D05268307 -:04013A000C45260347 -:04013B0002F685B390 -:04013C0000B6166390 -:04013D000CC5258345 -:04013E0004F58663DB -:04013F00020528236A -:04014000A0B557B55A -:0401410040000793E0 -:040142006785BFF11D -:040143008007879317 -:040144004358BFD18C -:04014500771347D90C -:040146000F630FF73D -:0401470047E100F795 -:0401480000F70F634A -:0401490057B546D18F -:04014A0004D7136360 -:04014B000200079314 -:04014C000793BF5501 -:04014D00B77D040076 -:04014E00080007930B -:04014F000793B765F6 -:04015000B74D100097 -:04015100C40611517E -:040152000CE52C2369 -:04015300470565E116 -:04015400D918DD5C7D -:0401550002052A2352 -:04015600C134DD10C3 -:0401570076C5859351 -:0401580040A222019E -:04015900853E478117 -:04015A00808201316D -:04015B00853E57A9DD -:04015C0047958082C1 -:04015D0002F606336D -:04015E000005242351 -:04015F00C91C4785EB -:0401600002C5D5B34C -:04016100F79315FDFE -:0401620081A10FF573 -:04016300F593C11C33 -:04016400C14C0FF586 -:0401650008000793F4 -:040166008082C51CB2 -:040167008A0505867A -:04016800C54C95B23B -:0401690009000793EF -:04016A000105071371 -:04016B00431CC91C4C -:04016C00FFF58B8987 -:04016D00811D49089F -:04016E0080828905FD -:04016F00010507136C -:04017000068007936B -:040171000793E19976 -:04017200C91C0200A2 -:040173008B89431C15 -:040174004548FFF506 -:04017500C54C808273 -:040176000105071365 -:0401770005000793E5 -:0401780047C1E21188 -:04017900431CC91C3E -:04017A00FFF58B8979 -:04017B00811D490891 -:04017C0080828905EF -:04017D00069347811D -:04017E00C36302C095 -:04017F00808200C7B3 -:04018000010503135F -:040181002703C91473 -:040182008B090003E2 -:04018300C599FF6DAE -:0401840000C523038C -:0401850000F58733C7 -:0401860000670023EB -:04018700BFF1078538 -:0401880046F1478174 -:0401890000C7C36385 -:04018A0087338082B5 -:04018B00470300F531 -:04018C000313000752 -:04018D00C55801054B -:04018E002703C91466 -:04018F008B090003D5 -:040190000785FF6D73 -:0401910047EDB7C5BA -:0401920002F505333A -:040193005533479DFC -:04019400E46302F529 -:04019500450100A779 -:040196000001808262 -:04019700BFD5078544 -:04019800451CC11928 -:0401990067E1EF919A -:04019A007687A7833A -:04019B001151C395A6 -:04019C009782C4067C -:04019D0047D940A25C -:04019E005529C11C02 -:04019F008082013128 -:0401A000C14C419C71 -:0401A100419CC11CA0 -:0401A200C188C3C885 -:0401A3008082450110 -:0401A4000513656575 -:0401A50047D9E2054F -:0401A6005529C11CFA -:0401A70067338082B8 -:0401A80053FD00B54E -:0401A900E7798B0D5A -:0401AA007F7F87B715 -:0401AB00F7F7879348 -:0401AC004194411029 -:0401AD0000F672B333 -:0401AE0000F66333C1 -:0401AF00E2B392BE67 -:0401B00097630062EF -:0401B10018630C7251 -:0401B200415006D6DC -:0401B30072B341D40E -:0401B400633300F6BB -:0401B50092BE00F600 -:0401B6000062E2B34E -:0401B7000A729863CD -:0401B80004D61B63EB -:0401B9004594451014 -:0401BA0000F672B326 -:0401BB0000F66333B4 -:0401BC00E2B392BE5A -:0401BD0091630062E8 -:0401BE001E630A7240 -:0401BF00455002D6CF -:0401C00072B345D4FD -:0401C100633300F6AE -:0401C20092BE00F6F3 -:0401C3000062E2B341 -:0401C40008729A63C0 -:0401C50002D61163EA -:0401C60049944910FF -:0401C70000F672B319 -:0401C80000F66333A7 -:0401C900E2B392BE4D -:0401CA0093630062D9 -:0401CB000551087260 -:0401CC000FE305D167 -:0401CD001713F6D638 -:0401CE0097930106FC -:0401CF001C630106A6 -:0401D000571300F7CA -:0401D100D7930106B9 -:0401D20005330106EA -:0401D300759340F7E9 -:0401D400E9910FF5A9 -:0401D5008341808260 -:0401D600053383C1A9 -:0401D700759340F7E5 -:0401D800E1910FF5AD -:0401D9007713808296 -:0401DA00F7930FF791 -:0401DB0005330FF7E2 -:0401DC00808240F7E6 -:0401DD0000054603D0 -:0401DE000005C683CF -:0401DF000585050588 -:0401E00000D61363CF -:0401E1000533FA6583 -:0401E200808240D601 -:0401E300059105116C -:0401E400FED612E34E -:0401E50080824501CE -:0401E60005A1052149 -:0401E700FCD61CE343 -:0401E80080824501CB -:0401E90005B1053126 -:0401EA00FCD616E346 -:0401EB0080824501C8 -:0401EC0005C1054103 -:0401ED00FCD610E349 -:0401EE0080824501C5 -:0401EF0006131111D1 -:0401F0001023282090 -:0401F100463500C1CE -:0401F20000C1012324 -:0401F3004605CC06EB -:0401F40004C58A6351 -:0401F5009A634609BA -:0401F600061304C523 -:0401F70001A305005B -:0401F800460300C1F9 -:0401F9006613003158 -:0401FA0001A3002637 -:0401FB00061300C126 -:0401FC00C2990400A0 -:0401FD00F8000613ED -:0401FE000323071EB2 -:0401FF00661300A1E2 -:0402000067130086FA -:040201008B8D00875A -:040202004505858A9F -:0402030000C1022311 -:0402040000E102A370 -:0402050000F103A35E -:04020600C602C40266 -:0402070040E22E455E -:04020800808201717E -:0402090003000613D5 -:04020A004641BF5D4D -:04020B001111BF4DC1 -:04020C001040079304 -:04020D0000F11023C9 -:04020E00012347A9D8 -:04020F00878A00F1E9 -:040210000713CC06FE -:0402110085BE00A105 -:04021200000781A3BD -:040213009DE30785DB -:040214004783FEE737 -:04021500450500316A -:04021600E7939BE1EE -:0402170001A3001728 -:04021800218900F147 -:04021900017140E24D -:04021A00115180827C -:04021B001437C222B0 -:04021C00C0260002F6 -:04021D0084AA460168 -:04021E0004C0059380 -:04021F0004040513BB -:040220003B29C406AC -:040221000FF4F5934E -:040222000513460179 -:0402230033A10404FB -:0402240004C005937A -:0402250004040513B5 -:04022600330946054D -:0402270004040513B3 -:0402280040A244129A -:040229004585448241 -:04022A00BB090131DA -:04022B00C422114197 -:04022C000002143781 -:04022D00C02AC226FB -:04022E00460184AE53 -:04022F0004C005936F -:0402300004040513AA -:0402310039D9C606EB -:0402320046014782B8 -:0402330004040513A7 -:040234000FF7F59338 -:040235000513330971 -:040236004422040456 -:0402370085A640B2A6 -:0402380046054492A1 -:04023900B9C5014101 -:04023A00C406115194 -:04023B0040A23FBDE1 -:04023C000FF5751332 -:04023D008082013189 -:04023E00C406115190 -:04023F0040A23F4555 -:040240000131450142 -:040241001131808275 -:04024200C426C622E6 -:0402430084AAC806BB -:04024400440187AE3C -:0402450000C4486346 -:04024600443240C23C -:04024700450144A287 -:04024800808201515E -:040249000087873370 -:04024A0000074583E1 -:04024B000084853373 -:04024C000FF5751322 -:04024D00C03EC232BB -:04024E0004053F95CF -:04024F00478246128A -:040250000793BFD180 -:0402510005333E80B3 -:04025200F06F02F552 -:040253001151FB4FFB -:04025400453D45815E -:04025500374DC40657 -:04025600458140A2FC -:040257000610051375 -:04025800BF59013158 -:04025900C22211515B -:04025A0004136465C0 -:04025B00458386044D -:04025C004515002420 -:04025D003749C40653 -:04025E0000244783AE -:04025F0003D0059330 -:04026000839D451124 -:0402610000279713C8 -:0402620000C447830A -:040263008FD99BEDA7 -:0402640000F4062379 -:04026500450537957F -:0402660045F5376DB6 -:040267003FA9451155 -:0402680003000593F7 -:040269000610051363 -:04026A0005933F8138 -:04026B0045250B20FA -:04026C00059337A11E -:04026D0045290F8090 -:04026E00059337813C -:04026F00452D0370A6 -:0402700045813F2560 -:040271003F0D453DBB -:0402720005134581AA -:04027300372D0C9087 -:0402740005134581A8 -:04027500370D0CA095 -:0402760005134581A6 -:040277003F290CB05F -:0402780005134581A4 -:040279003F090CC06D -:04027A0005134581A2 -:04027B0037290CD043 -:04027C0005134581A0 -:04027D0037090CE051 -:04027E00051345819E -:04027F003DED0CF055 -:04028000051345819C -:040281003DCD0D0062 -:04028200004445836C -:0402830040A244123F -:040284000E10051340 -:04028500B5CD0131C1 -:04028600C822112158 -:040287000413C62670 -:04028800CA063E80E4 -:04028900872A4685F5 -:04028A00543387AEB4 -:04028B00648D0285F7 -:04028C0000D59563A1 -:04028D008493648969 -:04028E00458580041E -:04028F00C432453DF3 -:04029000C03AC23E70 -:0402910045813D5511 -:04029200033005131D -:04029300D593357555 -:0402940005130084CA -:04029500354D0340A0 -:040296000513458186 -:040297003D6903506A -:040298000FF4759357 -:040299000300051346 -:04029A0055933D41FA -:04029B00F593008453 -:04029C0005130FF542 -:04029D0035490310CC -:04029E00010455936F -:04029F000FF5F593CF -:0402A000032005131F -:0402A10045813D95C1 -:0402A20035BD453DE4 -:0402A3000513458179 -:0402A400359D0C5028 -:0402A50064E54702C3 -:0402A6008693479262 -:0402A700C69886046B -:0402A80084934705EF -:0402A900440986047A -:0402AA008363462202 -:0402AB00442900E7FB -:0402AC00822347055D -:0402AD0083A300E443 -:0402AE0046850084FD -:0402AF000363473D61 -:0402B000470100D62C -:0402B10000E482A340 -:0402B2000C000593A4 -:0402B3000E00051321 -:0402B400351DC03EF6 -:0402B5004705478230 -:0402B6000590051397 -:0402B7000AE7976358 -:0402B80075933521E4 -:0402B900E5930FC5F5 -:0402BA000513001513 -:0402BB00352905904C -:0402BC003BDD4511D0 -:0402BD000EB5759372 -:0402BE003BFD4511AE -:0402BF000044C583AF -:0402C0000E10051304 -:0402C10005933BD591 -:0402C20005130E40D2 -:0402C30033ED0E20E9 -:0402C4000054C5839A -:0402C5000E300513DF -:0402C6003BF989BDBA -:0402C700051345A135 -:0402C8003BD90E40D0 -:0402C900453D4585E5 -:0402CA0045A13BC14E -:0402CB0009100513FE -:0402CC00458133E154 -:0402CD0009200513EC -:0402CE00458533C16E -:0402CF0009300513DA -:0402D00045813B65C4 -:0402D10009400513C8 -:0402D20085A23B4581 -:0402D3000980051386 -:0402D40045933365B6 -:0402D50005920FF48B -:0402D60000B5E593F7 -:0402D7000FB5F593D7 -:0402D8000990051371 -:0402D90045813B51CF -:0402DA003379453DF2 -:0402DB000C1005936B -:0402DC000E000513F8 -:0402DD0007933351FF -:0402DE008323FC106A -:0402DF0040D200F415 -:0402E00044B244429E -:0402E100016145056D -:0402E2003BB9808222 -:0402E3000FC575933B -:0402E4001151BFA94C -:0402E500C4064511F5 -:0402E60065933B8160 -:0402E700F593008506 -:0402E80045110FF5B8 -:0402E90040A23B9163 -:0402EA000300059375 -:0402EB0006100513E1 -:0402EC00B399013190 -:0402ED004511115155 -:0402EE00333DC406D2 -:0402EF00659340A231 -:0402F000F59300453D -:0402F10045110FF5AF -:0402F200B33D0131E6 -:0402F300C4061151DB -:0402F400E911C22228 -:0402F5000513458127 -:0402F6003B390CD0B4 -:0402F70040A24505D7 -:0402F800013144127A -:0402F900842E80824D -:0402FA00D9F54501EC -:0402FB00453D4585B3 -:0402FC0045833321E2 -:0402FD0005130034B1 -:0402FE0039FD058041 -:0402FF0000444583EF -:04030000059005134C -:04030100458339D522 -:04030200051300548B -:0403030031ED05A033 +:0400B00010102EE915 +:0400B100009607B3FB +:0400B2006785C03E60 +:0400B3008027879388 +:0400B40027B7C23E6A +:0400B500879300022B +:0400B600C43E11072C +:0400B70067A146C235 +:0400B800476242D287 +:0400B9008793437274 +:0400BA00C63E020735 +:0400BB00000885377D +:0400BC001463478200 +:0400BD00278302F69D +:0400BE0056020B8358 +:0400BF0097A292A6CC +:0400C0002783C3903F +:0400C1008F050C0398 +:0400C2000107861399 +:0400C3008B894B9C3E +:0400C4004789CF9DFC +:0400C500556DC21C97 +:0400C6004783B78530 +:0400C7004592000658 +:0400C800060543A244 +:0400C90002B785B342 +:0400CA000075F5B315 +:0400CB0087B343B202 +:0400CC000393027721 +:0400CD00F7B344053C +:0400CE0063C1007793 +:0400CF0083938DDDAD +:0400D00085B31013D1 +:0400D10081C1027572 +:0400D200FEB60FA3C4 +:0400D3000411B75508 +:0400D4004501FB0DDA +:0400D5005529B715DD +:0400D6000113808210 +:0400D700D006FDC191 +:0400D800CC26CE2242 +:0400D900C15DC23211 +:0400DA005529842AF6 +:0400DB002703C24DE8 +:0400DC0083B60B8458 +:0400DD00972E87AE25 +:0400DE0000E686337F +:0400DF000BC42683A5 +:0400E00008D7776363 +:0400E10008D6756365 +:0400E2000D042703DF +:0400E300D4B3C002D0 +:0400E400270302E507 +:0400E500E4630CC400 +:0400E600450100E4EC +:0400E7008EE3A88D6F +:0400E8002283FE036E +:0400E90047010D04BA +:0400EA00405002B3CD +:0400EB0000F2F2B37A +:0400EC0000578463D2 +:0400ED0040578733BE +:0400EE00852285964C +:0400EF00C81ECA3A23 +:0400F000C416C63E2E +:0400F100E521351DB3 +:0400F2000D042303D3 +:0400F30043C247526B +:0400F400033342A2EE +:0400F50047B240E3EB +:0400F6000063F3634D +:0400F7004602831E1C +:0400F800871A46928B +:0400F90096B28596A0 +:0400FA00863E852297 +:0400FB00C61AC81E3B +:0400FC003591C43E38 +:0400FD004332ED0994 +:0400FE0043C2478230 +:0400FF00979A048543 +:0401000047A2C03E14 +:04010100406383B321 +:04010200B761979AB0 +:0401030050825529A8 +:0401040044E244721B +:04010500024101139F +:0401060057A98082F3 +:04010700C651C559BF +:040108000B852783B9 +:040109000BC52703F8 +:04010A00833395BEE8 +:04010B0057A900B63A +:04010C0006E5FD63A4 +:04010D0006E37B6327 +:04010E0011518732D2 +:04010F00853A863671 +:04011000C406C2223D +:04011100C02684364A +:04011200872A2C8983 +:0401130025B76605A1 +:04011400652100025F +:040115000613468106 +:040116008593802627 +:0401170005131105B6 +:0401180082B70205A3 +:04011900C9630008AE +:04011A0040A2008679 +:04011B0047814412C2 +:04011C00853E448256 +:04011D0080820131AA +:04011E0000D70333D0 +:04011F000003438313 +:04012000440284937E +:0401210087B3068515 +:0401220083B302C3DE +:040123008FED02A3B7 +:040124000093F3B39E +:040125000077E7B3C5 +:04012600839363C19B +:0401270087B3101377 +:0401280083C1027716 +:0401290000F30023BC +:04012A00853EBF7DD2 +:04012B00CD4D8082B4 +:04012C000C05270394 +:04012D00CB5557B5A2 +:04012E000C85278392 +:04012F004718EBA9D9 +:04013000771346B14A +:0401310007930FF72A +:04013200E963FEB7C8 +:0401330066D902F691 +:040134008693078A1D +:0401350097B63646FD +:040136008782439CDD +:04013700200007930A +:040138000D05268308 +:040139000C45260348 +:04013A0002F685B391 +:04013B0000B6166391 +:04013C000CC5258346 +:04013D0004F58663DC +:04013E00020528236B +:04013F00A0B557B55B +:0401400040000793E1 +:040141006785BFF11E +:040142008007879318 +:040143004358BFD18D +:04014400771347D90D +:040145000F630FF73E +:0401460047E100F796 +:0401470000F70F634B +:0401480057B546D190 +:0401490004D7136361 +:04014A000200079315 +:04014B000793BF5502 +:04014C00B77D040077 +:04014D00080007930C +:04014E000793B765F7 +:04014F00B74D100098 +:04015000C40611517F +:040151000CE52C236A +:04015200470565E117 +:04015300D918DD5C7E +:0401540002052A2353 +:04015500C134DD10C4 +:040156005705859331 +:0401570040A222019F +:04015800853E478118 +:04015900808201316E +:04015A00853E57A9DE +:04015B0047958082C2 +:04015C0002F606336E +:04015D000005242352 +:04015E00C91C4785EC +:04015F0002C5D5B34D +:04016000F79315FDFF +:0401610081A10FF574 +:04016200F593C11C34 +:04016300C14C0FF587 +:0401640008000793F5 +:040165008082C51CB3 +:040166008A0505867B +:04016700C54C95B23C +:0401680009000793F0 +:040169000105071372 +:04016A00431CC91C4D +:04016B00FFF58B8988 +:04016C00811D4908A0 +:04016D0080828905FE +:04016E00010507136D +:04016F00068007936C +:040170000793E19977 +:04017100C91C0200A3 +:040172008B89431C16 +:040173004548FFF507 +:04017400C54C808274 +:040175000105071366 +:0401760005000793E6 +:0401770047C1E21189 +:04017800431CC91C3F +:04017900FFF58B897A +:04017A00811D490892 +:04017B0080828905F0 +:04017C00069347811E +:04017D00C36302C096 +:04017E00808200C7B4 +:04017F000105031360 +:040180002703C91474 +:040181008B090003E3 +:04018200C599FF6DAF +:0401830000C523038D +:0401840000F58733C8 +:0401850000670023EC +:04018600BFF1078539 +:0401870046F1478175 +:0401880000C7C36386 +:0401890087338082B6 +:04018A00470300F532 +:04018B000313000753 +:04018C00C55801054C +:04018D002703C91467 +:04018E008B090003D6 +:04018F000785FF6D74 +:0401900047EDB7C5BB +:0401910002F505333B +:040192005533479DFD +:04019300E46302F52A +:04019400450100A77A +:040195000001808263 +:04019600BFD5078545 +:04019700451CC11929 +:0401980067E1EF919B +:0401990056C7A7831B +:04019A001151C395A7 +:04019B009782C4067D +:04019C0047D940A25D +:04019D005529C11C03 +:04019E008082013129 +:04019F00C14C419C72 +:0401A000419CC11CA1 +:0401A100C188C3C886 +:0401A2008082450111 +:0401A3000513656576 +:0401A40047D9C385EF +:0401A5005529C11CFB +:0401A600832A8082A6 +:0401A7008383CA097B +:0401A800002300052B +:0401A900167D00734C +:0401AA0005850305BF +:0401AB008082FA6DE7 +:0401AC000005460301 +:0401AD000005C68300 +:0401AE0005850505B9 +:0401AF0000D6136300 +:0401B0000533FA65B4 +:0401B100808240D632 +:0401B200832AC215C5 +:0401B30067634685B3 +:0401B40056FD00B53F +:0401B500FFF6071337 +:0401B60095BA933A29 +:0401B7000005838339 +:0401B80000730023AD +:0401B9009336167DE6 +:0401BA00FA6D95B68F +:0401BB00111180821C +:0401BC0028200613DE +:0401BD0000C110234A +:0401BE00012346359E +:0401BF00CC0600C1A9 +:0401C0008A63460503 +:0401C100460904C522 +:0401C20004C59A6373 +:0401C300050006131A +:0401C40000C101A3D2 +:0401C50000314603BC +:0401C6000026661396 +:0401C70000C101A3CF +:0401C8000400061316 +:0401C9000613C299BE +:0401CA00071EF80014 +:0401CB0000A1032369 +:0401CC000086661330 +:0401CD00008767132D +:0401CE00858A8B8D06 +:0401CF0002234505BD +:0401D00002A300C1C5 +:0401D10003A300E1A3 +:0401D200C40200F172 +:0401D3002E45C602ED +:0401D400017140E293 +:0401D500061380820B +:0401D600BF5D030006 +:0401D700BF4D464191 +:0401D8000793111167 +:0401D900102310409F +:0401DA0047A900F140 +:0401DB0000F101230B +:0401DC00CC06878A3C +:0401DD0000A1071363 +:0401DE0081A385BEB6 +:0401DF000785000789 +:0401E000FEE79DE3B6 +:0401E100003147831F +:0401E2009BE1450553 +:0401E3000017E79387 +:0401E40000F101A382 +:0401E50040E221894A +:0401E60080820171A1 +:0401E700C2221151CE +:0401E80000021437C6 +:0401E9004601C026E5 +:0401EA00059384AA4B +:0401EB00051304C034 +:0401EC00C40604043D +:0401ED00F59333D57E +:0401EE0046010FF4C3 +:0401EF0004040513EC +:0401F00005933D092D +:0401F100051304C02E +:0401F20046050404B6 +:0401F300051333F1CC +:0401F40044120404A9 +:0401F500448240A25E +:0401F6000131458509 +:0401F7001141BBF106 +:0401F8001437C422D2 +:0401F900C226000218 +:0401FA0084AEC02AE5 +:0401FB000593460121 +:0401FC00051304C023 +:0401FD00C60604042A +:0401FE0047823345BC +:0401FF00051346019D +:04020000F59304046A +:0402010033F10FF7CF +:0402020004040513D8 +:0402030040B244229F +:04020400449285A6F5 +:040205000141460568 +:040206001151BB6D6A +:040207003FBDC4062D +:04020800751340A288 +:0402090001310FF5BB +:04020A00115180828C +:04020B003F45C406A1 +:04020C00450140A2C6 +:04020D0080820131B9 +:04020E00C6221131C2 +:04020F00C806C42633 +:04021000872E87AA04 +:04021100440184B26E +:0402120000944863A9 +:04021300443240C26F +:04021400450144A2BA +:040215008082015191 +:04021600008706B3A4 +:040217000006C58395 +:0402180000878533A3 +:040219000FF5751355 +:04021A00C03EC23AE6 +:04021B0047123F8DBA +:04021C00040547820C +:04021D000793BFD1B3 +:04021E0005333E80E6 +:04021F00F06F02F585 +:040220001151881FD1 +:04022100453D458191 +:040222003745C40692 +:04022300458140A22F +:0402240006100513A8 +:04022500BF51013193 +:04022600C22211518E +:0402270004136461F7 +:04022800458365C4E1 +:040229004515002453 +:04022A003741C4068E +:04022B0000244783E1 +:04022C0003D0059363 +:04022D00839D451157 +:04022E0000279713FB +:04022F0000C447833D +:040230008FD99BEDDA +:0402310000F40623AC +:040232004505378DBA +:0402330045F5376DE9 +:040234003FA1451190 +:04023500030005932A +:040236000610051396 +:04023700059337B93B +:0402380045250B202D +:040239000593379959 +:04023A0045290F80C3 +:04023B0005933F3DAB +:04023C00452D0370D9 +:04023D0045813F1D9B +:04023E003F05453DF6 +:04023F0005134581DD +:0402400037250C90C2 +:0402410005134581DB +:0402420037050CA0D0 +:0402430005134581D9 +:040244003F210CB09A +:0402450005134581D7 +:040246003F010CC0A8 +:0402470005134581D5 +:0402480037210CD07E +:0402490005134581D3 +:04024A0037010CE08C +:04024B0005134581D1 +:04024C003DE50CF090 +:04024D0005134581CF +:04024E003DC50D009D +:04024F00004445839F +:0402500040A2441272 +:040251000E10051373 +:04025200B5C50131FC +:04025300C82211218B +:040254000413C626A3 +:04025500CA063E8017 +:040256005433468552 +:0402570084AA0285EE +:04025800670D87AEF9 +:0402590000D59563D4 +:04025A000713670916 +:04025B00458580074E +:04025C00C432453D26 +:04025D00C03AC23EA3 +:04025E0045813D4D4C +:04025F000330051350 +:040260004702356DAF +:04026100034005133E +:040262000087559329 +:0402630045813D791B +:04026400035005132B +:0402650075933D59F7 +:0402660005130FF479 +:0402670035710300EA +:040268000084559326 +:040269000FF5F59305 +:04026A000310051365 +:04026B0055933DBDAD +:04026C00F593010401 +:04026D0005130FF571 +:04026E003D850320A7 +:04026F00453D458143 +:04027000458135ADE2 +:040271000C50051315 +:040272006761358DFE +:040273000693479215 +:04027400C68465C710 +:040275004622468552 +:0402760065C70493C1 +:040277008363440950 +:04027800442900D73E +:0402790083A3468590 +:04027A008223008457 +:04027B00473D00D427 +:04027C0000D6036342 +:04027D0082A3470110 +:04027E00059300E400 +:04027F0005130C0057 +:04028000C03E0E006E +:040281004782351D5E +:040282000513470514 +:0402830097630590E8 +:0402840035210AE72F +:040285000FC5759399 +:040286000015E593E7 +:0402870005900513C6 +:0402880045113529BE +:0402890075933BDD51 +:04028A0045110EB557 +:04028B00C5833BFDEF +:04028C000513004412 +:04028D003BD50E103F +:04028E000E40059386 +:04028F000E20051325 +:04029000C58333ED02 +:0402910005130054FD +:0402920089BD0E30E4 +:0402930045A13BF94D +:040294000E40051300 +:0402950045853BD987 +:040296003BC1453DE6 +:04029700051345A165 +:0402980033E1091035 +:040299000513458183 +:04029A0033C1092043 +:04029B00051345857D +:04029C003B65093085 +:04029D00051345817F +:04029E003B45094093 +:04029F00051385A21C +:0402A0003365098039 +:0402A1000FF445937E +:0402A200E593059249 +:0402A300F59300B51A +:0402A40005130FB57A +:0402A5003B51099030 +:0402A600453D45810C +:0402A700059333790F +:0402A80005130C101E +:0402A90033510E00BF +:0402AA00FC100793AA +:0402AB0000F48323B5 +:0402AC00444240D2B6 +:0402AD00450544B20D +:0402AE0080820161E8 +:0402AF0075933BB94F +:0402B000BFA90FC50E +:0402B1004511115191 +:0402B2003B81C406C2 +:0402B30000856593CA +:0402B4000FF5F593BA +:0402B5003B91451123 +:0402B600059340A2CA +:0402B7000513030028 +:0402B80001310610FA +:0402B9001151B39993 +:0402BA00C406451120 +:0402BB0040A2333DED +:0402BC000045659301 +:0402BD000FF5F593B1 +:0402BE0001314511B4 +:0402BF001151B33DE9 +:0402C000C222C4068C +:0402C1004581E91179 +:0402C2000CD0051344 +:0402C30045053B3979 +:0402C400441240A2FE +:0402C5008082013101 +:0402C6004501842E3C +:0402C7004585D9F59B +:0402C8003321453D5C +:0402C9000034458335 +:0402CA000580051393 +:0402CB00458339FD31 +:0402CC0005130044D2 +:0402CD0039D505908A +:0402CE000054458310 +:0402CF0005A005136E +:0402D000458331ED44 +:0402D10005130064AD +:0402D20031C505B07D +:0402D30000744583EB +:0402D40005C0051349 +:0402D500458339D94B +:0402D6000513008488 +:0402D70031F105E01C +:0402D80000944583C6 +:0402D90005F0051314 +:0402DA00458331C95E +:0402DB00051300A463 +:0402DC00396506007A +:0402DD0000B44583A1 +:0402DE0006100513EE +:0402DF004583317DA5 +:0402E000051300C43E +:0402E100315506206D +:0402E20000D445837C +:0402E30006300513C9 +:0402E40045833969AC +:0402E500051300E419 +:0402E6003941064054 +:0402E70000F4458357 +:0402E80006500513A4 +:0402E90007933159ED +:0402EA004581003416 +:0402EB00C703044100 +:0402EC00078500077B +:0402ED00F5938D995F +:0402EE009AE30FF58B +:0402EF008593FE876E +:0402F000F59306F587 +:0402F10005130FF5ED +:0402F200318505D07D +:0402F300453D4581BF +:0402F400458D39A952 +:0402F5001151BF15CF +:0402F600C222C40656 +:0402F7004581E91143 +:0402F8000CE00513FE +:0402F90045053199ED +:0402FA00441240A2C8 +:0402FB0080820131CB +:0402FC004501842E06 +:0402FD004585D9F565 +:0402FE003905453D3C +:0402FF0000344583FF +:04030000068005135B +:040301004583311DE2 +:04030200051300449B +:0403030039310690F6 :0403040000644583C9 -:0403050005B0051327 -:04030600458331C535 +:0403050006B0051326 +:0403060045833909E9 :040307000513007466 -:0403080039D905C01A -:0403090000844583A4 -:04030A0005E00513F2 -:04030B00458331F104 -:04030C000513009441 -:04030D0031C905F0FD -:04030E0000A445837F -:04030F0006000513CC -:040310004583396583 -:04031100051300B41C -:04031200317D061023 -:0403130000C445835A -:0403140006200513A7 -:040315004583315596 -:04031600051300D4F7 -:04031700396906300A -:0403180000E4458335 -:040319000640051382 -:04031A00458339419D -:04031B00051300F4D2 -:04031C0031590650FD -:04031D00003407930E -:04031E0004414581D0 -:04031F000007C70309 -:040320008D99078527 -:040321000FF5F5934C -:04032200FEF41AE3E8 -:0403230006F58593C3 -:040324000FF5F59349 -:0403250005D00513E7 -:040326004581318557 -:0403270039A9453D6E -:04032800BF15458D2B -:04032900C4061151A4 -:04032A00E911C222F1 -:04032B0005134581F0 -:04032C0031990CE017 -:04032D0040A24505A0 -:04032E000131441243 -:04032F00842E808216 -:04033000D9F54501B5 -:04033100453D45857C -:0403320045833905C1 -:04033300051300347A -:04033400311D0680F1 -:0403350000444583B8 -:040336000690051315 -:040337004583393190 -:040338000513006445 -:04033900390906B0C8 -:04033A000074458383 -:04033B0006C00513E0 -:04033C0045833121A3 -:04033D0047830034BE -:04033E00051300445F -:04033F0097AE06D09F -:04034000071005930A -:0403410047838D9DC4 -:040342008D9D005439 -:040343000064478388 -:0403440047838D9DC1 -:040345008D9D007416 -:040346000FF5F59327 -:0403470045813EF1BD -:040348003ED9453D18 -:04034900B761458DC6 -:04034A00C222115169 -:04034B00842A45813A -:04034C00C406453D61 -:04034D00051336D18D -:04034E00367D0C10DC -:04034F0000803433C3 -:040350000FE57593AD -:0403510005138DC142 -:04035200367D0C10D8 -:0403530040A244126E -:040354000513458DBB -:0403550001310C6006 -:040356001121B64576 -:04035700C22AC626CA -:04035800451184AE19 -:04035900C82245F57C -:04035A008432C036F3 -:04035B003669CA062F -:04035C006765468209 -:04035D0086070613F6 -:04035E0097938A8562 -:04035F0046830016BB -:040360009AF500C644 -:0403610007938EDD93 -:0403620006238607E1 -:04036300C03E00D6C2 -:04036400C2998A8927 -:040365003F494505C2 -:0403660005134782B2 -:04036700C783070041 -:04036800C43E003758 -:04036900F7133691BF -:04036A004685003490 -:04036B000035759351 -:04036C00076347A23A -:04036D00468908D7DE -:04036E0008D7076342 -:04036F000087F6937A -:04037000E593C299B6 -:04037100F6930205F8 -:04037200C299001715 -:040373000105E59308 -:040374000027F693D5 -:04037500E593C299B1 -:040376008B910085E2 -:04037700E593C399AE -:040378000513004524 -:04037900C43A07007B -:04037A0047223E01D7 -:04037B001A071863E2 -:04037C004785880D1C -:04037D0004F40C6315 -:04037E001163478937 -:04037F0097931AF442 -:0403800087E101848C -:04038100D4634401FC -:040382000413000759 -:04038300F4930A00E5 -:0403840007930304D4 -:040385008A63020085 -:04038600079314F4D1 -:040387008363030089 -:0403880047C104F471 -:040389009F6365E128 -:04038A00460D14F414 -:04038B00774585939A -:04038C00073005131E -:04038D0065E13CC921 -:04038E0085934649C4 -:04038F00A835D405B4 -:040390000405E593E8 -:04039100E593BFA58C -:04039200BF8D08050E -:0403930001849793B7 -:04039400F41387E1F6 -:04039500DCE304049D -:040396006413FA07EB -:040397007413FA04DD -:04039800B7750FF432 -:04039900460D65E1C7 -:04039A00778585934B -:04039B00073005130F -:04039C0065E13C5982 -:04039D0085934649B5 -:04039E000513D54529 -:04039F00F0EF076014 -:0403A0004489A89F45 -:0403A1000720051319 -:0403A200A61FF0EFB3 -:0403A30001C5759388 -:0403A4008DC18C4536 -:0403A5000720051315 -:0403A600A61FF0EFAF -:0403A70045854782BF -:0403A80000C7C78340 -:0403A900E3918B89C8 -:0403AA000513458171 -:0403AB00F0EF0C0063 -:0403AC0045D5A4BFD0 -:0403AD00F0EF451117 -:0403AE0045C1A43F62 -:0403AF00061005131C -:0403B000A39FF0EF28 -:0403B100478947121F -:0403B2000CF71D63C4 -:0403B3000880059326 -:0403B4000620051307 -:0403B500A25FF0EF64 -:0403B600051345C125 -:0403B700F0EF06302D -:0403B8000593A1BF49 -:0403B90005130840E0 -:0403BA00F0EF06401A -:0403BB004505A0FF55 -:0403BC00A53FF0EF7A -:0403BD00F0EF451107 -:0403BE0075939F3F55 -:0403BF00451101D50E -:0403C0009F9FF0EF1C -:0403C10006400513DA -:0403C200A3BFF0EFF6 -:0403C300F0EF451101 -:0403C40075939DBFD1 -:0403C5004511015588 -:0403C6009E1FF0EF97 -:0403C70006400413D5 -:0403C800F0EF4539D4 -:0403C90089419C7F4B -:0403CA00147DE901B4 -:0403CB00450504429E -:0403CC00F0EF80418D -:0403CD00F46DA11F0B -:0403CE0045314581EF -:0403CF009BDFF0EFD1 -:0403D000040005938D -:0403D100F0EF4535CF -:0403D20045859B3F83 -:0403D300F0EF4539C9 -:0403D40045819ABF06 -:0403D500F0EF4539C7 -:0403D600F0EF9A3F6B -:0403D70040D29F5F12 -:0403D80044B24442A5 -:0403D9000161450574 -:0403DA0065E18082D7 -:0403DB008593460DB3 -:0403DC000513778509 -:0403DD00F0EF073006 -:0403DE0065E1991F1D -:0403DF008593464973 -:0403E000BDE5D2C5E0 -:0403E1008593460DAD -:0403E2000513774543 -:0403E300F0EF073000 -:0403E40065E1979F99 -:0403E500859346496D -:0403E600B5C5D18543 -:0403E7004481440108 -:0403E80045E1B5D561 -:0403E90006200513D2 -:0403EA00951FF0EF7C -:0403EB00051345C1F0 -:0403EC00F0EF0630F8 -:0403ED0045B1947F03 -:0403EE001151B73DB5 -:0403EF00C026C22240 -:0403F00084AE842A29 -:0403F1004581453DC0 -:0403F200F0EFC4065E -:0403F300051392FF5D -:0403F400F0EF059091 -:0403F5007593917FEC -:0403F600E49902F58F -:0403F7008DC1041A96 -:0403F8000FF5F59375 -:0403F9000105E59382 -:0403FA0040A24412C7 -:0403FB000513448220 -:0403FC000131059036 -:0403FD00905FF06FAE -:0403FE00879367E199 -:0403FF006765C3C7A4 -:0404000086F7282330 -:040401000C87A703BA -:04040200F38005136B -:04040300A703CB017F -:0404040007930D4706 -:04040500136310006D -:04040600450100F7B5 -:0404070067E58082A3 -:0404080085AA86AE8D -:040409008707A503B9 -:04040A00C4061151C2 -:04040B00BF0FF0EF40 -:04040C000513C119FA -:04040D0040A2F370A6 -:04040E0080820131B6 -:04040F00C8221121CD -:04041000C626843246 -:040411007793CA060D -:0404120086AA0FF4B3 -:040413000622872E08 -:04041400C38564E553 -:040415008704A503B0 -:04041600F0047593E6 -:04041700F0EF05A25B -:04041800C1199D8FDA -:04041900F350051384 -:04041A00444240D246 -:04041B00016144B285 -:04041C00C22A8082EE -:04041D008704A503A8 -:04041E0085B2C42EB1 -:04041F00F0EFC03208 -:04042000460296CF2B -:040421004722469296 -:040422000513D57178 -:04042300BFE9F360DA -:04042400C6221131AA -:04042500C426C8061B -:04042600842E87AAEF -:04042700E41987321B -:0404280040C2450188 -:0404290044A2443273 -:04042A00808201517A -:04042B001000069324 -:04042C00F46384A24F -:04042D0004930086AE -:04042E00863A1000FA -:04042F00853E85A6DB -:04043000C03EC23ACE -:04043100FD713FA575 -:0404320047124782A4 -:0404330097A68C05F7 -:04043400B7F1070510 -:04043500C8221121A7 -:04043600CA06C62606 -:04043700C032842E1D -:0404380047014481B3 -:040439000084ED63EB -:04043A0045014782AF -:04043B0000F704635F -:04043C00F340051371 -:04043D00444240D223 -:04043E00016144B262 -:04043F00073380827D -:0404400006134094CB -:0404410074631000D0 -:04044200071300E6B6 -:0404430086361000E9 -:04044400852685BACA -:04044500C23AC436BD -:04044600FD693719FC -:0404470046A2471270 -:040448000014B613D3 -:04044900853685BAB5 -:04044A0030EFC23697 -:04044B00872A40A01C -:04044C001004849381 -:04044D00B77D46929F -:04044E00C422114172 -:04044F00C02A6465F6 -:0404500087440513C5 -:0404510040EFC606AC -:0404520045825B4044 -:040453000713E90999 -:0404540046812000BD -:040455000513460144 -:0404560040EF8744A8 -:0404570040B26E80C1 -:0404580001414422F8 -:04045900112180826B -:04045A00C626C822C8 -:04045B00842ACA061F -:04045C0084B283AE35 -:04045D00E099C0362C -:04045E00A815450197 -:04045F00200007135F -:040460007463832618 -:0404610003130097EA -:0404620045822000AF -:040463000103171367 -:04046400834167E584 -:040465008622468124 -:04046600874785132C -:04046700C21AC41ED3 -:040468006A2040EFD7 -:0404690043A2431255 -:04046A000533C9018C -:04046B0040D240A09B -:04046C0044B2444210 -:04046D008082016127 -:04046E00861E45029F -:04046F00C41E859A88 -:0404700035F9C21A7E -:040471004312F56DD0 -:04047200040543A298 -:040473000083571398 -:0404740084B393BA00 -:04047500B7454064E3 -:04047600A78367E110 -:04047700114177C7F1 -:040478006465C422D1 -:0404790088C405939B -:04047A00851346415F -:04047B00C60600476A -:04047C00C03EC22696 -:04047D00157040EFC7 -:04047E0064E5478268 -:04047F008C44859391 -:040480008513464159 -:0404810040EF014700 -:0404820005131450FA -:04048300442288C4C3 -:04048400859340B26A -:0404850044928C44CD -:04048600306F014191 -:0404870067E1584091 -:0404880077C7A78308 -:04048900C422114137 -:04048A00059364650D -:04048B0046418A0458 -:04048C00004785138D -:04048D00C226C606B7 -:04048E0040EFC03E3D -:04048F00478211107F -:04049000859364E507 -:040491004641940448 -:040492000147851386 -:040493000FF040EF37 -:040494008A040513BE -:0404950040B244220B -:0404960094048593B2 -:040497000141449249 -:0404980053E0306F8E -:04049900C406115133 -:04049A00842AC222CC -:04049B00949FF0EF4B -:04049C004501458150 -:04049D00A31FF0EFBA -:04049E000563478922 -:04049F00646502F49A -:0404A0009584041328 -:0404A100460367E5C2 -:0404A2004583038407 -:0404A300A503037436 -:0404A400F0EF8847A6 -:0404A5004503F86FA4 -:0404A6004412037485 -:0404A700013140A23D -:0404A800D8EFF06F2A -:0404A900441240A217 -:0404AA00808201311A -:0404AB00C026115105 -:0404AC00450584AAD4 -:0404AD00C222C4069D -:0404AE00A71FF0EFA5 -:0404AF008D7FF0EF5E -:0404B000450145813C -:0404B100909FF0EF39 -:0404B20007936465E3 -:0404B300C5038D846C -:0404B4004785010770 -:0404B5008D8404131B -:0404B60000A035333A -:0404B70046010505F0 -:0404B80000F4936356 -:0404B90086934609D7 -:0404BA0036B3FFE472 -:0404BB00458100D0A7 -:0404BC00A6BFF0EFF8 -:0404BD00896347897F -:0404BE00636502F47C -:0404BF00958303130B -:0404C00000F447837A -:0404C10002434703A8 -:0404C20001144503D9 -:0404C300009035B3BD -:0404C4004601468126 -:0404C500F0EF0586C9 -:0404C60067E5CA6FAD -:0404C700958783137F -:0404C8000243478321 -:0404C90004F4012313 -:0404CA003F2D852617 -:0404CB0040A24412F5 -:0404CC004501448220 -:0404CD00F06F01319A -:0404CE0047899F3F7C -:0404CF000EA7EA6327 -:0404D000C4221141F0 -:0404D10027836461B8 -:0404D200C60678449E -:0404D300C703C22673 -:0404D40004130037D6 -:0404D500830D7844D7 -:0404D60006638B3DF1 -:0404D70047510CA7D6 -:0404D80002E50733FF -:0404D900859365D9C9 -:0404DA0084AA74C5B7 -:0404DB0085134651EE -:0404DC00C03E0047D7 -:0404DD0040EF95BA9D -:0404DE0047826620CB -:0404DF0000F4F5131D -:0404E000C483050AC2 -:0404E100F493000789 -:0404E2008D45FC3414 -:0404E300802364E529 -:0404E400879300A753 -:0404E500C7838D84B8 -:0404E600849301C733 -:0404E700C3858D84B8 -:0404E800578367656A -:0404E90045298B47CF -:0404EA0007C29BF5B5 -:0404EB001A2383C18C -:0404EC0017378AF73D -:0404ED0020230002C6 -:0404EE00E0EF0EF736 -:0404EF0017B7D45F08 -:0404F000A7830002DC -:0404F10097130D0749 -:0404F200406300C79C -:0404F300401C04079E -:0404F4000037C70303 -:0404F500CB11831D87 -:0404F6000007C70331 -:0404F700671345053D -:0404F8008023001746 -:0404F900E0EF00E749 -:0404FA004018D19F36 -:0404FB0047834529C5 -:0404FC009BF9000761 -:0404FD0000F70023E1 -:0404FE0047834018D8 -:0404FF00E793000778 -:0405000000230027AD -:04050100E0EF00F730 -:04050200C783CF9F3D -:04050300CF8101C4DF -:04050400578367654D -:04050500E7938B47A6 -:040506001A2300278D -:0405070017378AF721 -:0405080020230002AA -:0405090040B20EF7F7 -:04050A0044924422B1 -:04050B0080820141A8 -:04050C001151808287 -:04050D00C222C4063C -:04050E0067E5E5595F -:04050F008D878793BA -:04051000043743D495 -:040511000413019C32 -:040512005433CC048E -:04051300868302D405 -:04051400467100A785 -:04051500879367E57C -:0405160086B3A10700 -:0405170097B602C6CB -:0405180000E7D7839E -:04051900043346A1C0 -:04051A0067E502F49B -:04051B008B27C783E0 -:04051C0002D78D6312 -:04051D00896346C1E7 -:04051E00471100D7AA -:04051F0004E79163F9 -:04052000147030EF34 -:04052100A8294505BB -:0405220001C9C7B78D -:0405230037F787938C -:04052400E363872ADC -:04052500473D0087C7 -:0405260030EF853AF3 -:04052700450D12D09C -:0405280040A2441297 -:04052900306F0131FD -:04052A0030EF4E2040 -:04052B00B53711D0FF -:04052C00051304C4EB -:04052D0035333FF52E -:04052E000509008536 -:04052F0030EFB7D51D -:0405300045011090E1 -:0405310067E5BFF1CA -:04053200958787130F -:0405330002F7468302 -:0405340084134709DC -:04053500453D958724 -:0405360000E68363F5 -:0405370030EF45015B -:0405380047830E9057 -:04053900470902F478 -:04053A007BE3450D0D -:04053B004515FAF771 -:04053C0075138D1D89 -:04053D00B76D0FF592 -:04053E00F863478592 -:04053F0067E500A7C5 -:040540008B27C5033D -:0405410035131561F8 -:0405420047E1001578 -:0405430002F5053385 -:04054400879367DD55 -:04054500953E774721 -:040546007B60306F37 -:0405470026036761BF -:04054800110178879E -:04054900CE0667E192 -:04054A00CA26CC22CF -:04054B006A07879321 -:04054C0000364683AC -:04054D00E299829D10 -:04054E00FBFD17FD9D -:04054F00343030EF25 -:04055000869367E542 -:04055100C5838D874A -:04055200C23E00B6EF -:040553008D87849379 -:0405540082AA67E12F -:040555007887871309 -:040556004314C591F4 -:040557000026C78330 -:040558000037F593E0 -:040559000370051313 -:04055A00C62EC816CB -:04055B0049A030EF94 -:04055C000513C02A99 -:04055D0030EF0380F8 -:04055E00430249000B -:04055F001693660584 -:0405600006130085F9 -:040561008EF1F00621 -:0405620000655613C7 -:040563000066E6B395 -:04056400842A8A0556 -:0405650003900513E7 -:0405660084A3C03674 -:0405670030EF00C4AD -:04056800C42A4680DB -:0405690003A00513D3 -:04056A0045E030EF49 -:04056B00871367E1AA -:04056C004310788739 -:04056D00468245B2CB -:04056E000026470319 -:04056F00531342C21E -:040570004703002716 -:040571008B7D003648 -:0405720000671613F5 -:040573000066673384 -:04057400876346094A -:04057500C60302C5F2 -:04057600E60900B4DE -:0405770018F006135F -:0405780002D67063D4 -:040579009A63460536 -:04057A00069300C51F -:04057B00B59300171D -:04057C00C593190604 -:04057D00478100159D -:04057E007713A8093E -:04057F00DB65020432 -:040580000C86B5939D -:040581000015C59309 -:04058200C3034785E3 -:04058300666500B4F5 -:04058400061367658E -:0405850004138506D0 -:0405860018639587DA -:04058700836328035F -:0405880081634C023D -:0405890005834C0595 -:04058A00430900061B -:04058B0026B35A63D6 -:04058C000006002342 -:04058D0046054711C7 -:04058E0000C485A37D -:04058F001313C03A48 -:040590004722008579 -:0405910005136505E4 -:040592007333F005CA -:04059300633300A32B -:04059400471200E327 -:04059500260365E5EF -:0405960005138D8735 -:040597006D6300167A -:04059800851300D5F2 -:04059900696300167C -:04059A0040D000C588 -:04059B00006616637D -:04059C000084C6030E -:04059D0046F60B63B0 -:04059E0092058E2311 -:04059F0001944583FB -:0405A0000374C60317 -:0405A1000AC596638E -:0405A20001A44583E8 -:0405A3000384C60304 -:0405A4000AC5906391 -:0405A50001B44583D5 -:0405A6000394C603F1 -:0405A70008C59A6386 -:0405A80001C44583C2 -:0405A90003A4C603DE -:0405AA0008C5946389 -:0405AB0001D44583AF -:0405AC0003B4C603CB -:0405AD0006C59E637E -:0405AE00014445833C -:0405AF000324C60358 -:0405B00006C5986381 -:0405B1000154458329 -:0405B2000334C60345 -:0405B30006C5926384 -:0405B4000164458316 -:0405B5000344C60332 -:0405B60004C59C6379 -:0405B7000174458303 -:0405B8000354C6031F -:0405B90004C596637C -:0405BA0001844583F0 -:0405BB000364C6030C -:0405BC0004C590637F -:0405BD0002744583FC -:0405BE000454C60318 -:0405BF0002C59A6374 -:0405C00002844583E9 -:0405C1000464C60305 -:0405C20002C5946377 -:0405C3000334458335 -:0405C4000514C60351 -:0405C50000C59E636C -:0405C60003A44583C2 -:0405C7000584C603DE -:0405C80000C598636F -:0405C90003B44583AF -:0405CA000594C603CB -:0405CB0000C58C6378 -:0405CC004589470214 -:0405CD0000014603E0 -:0405CE0000B773639C -:0405CF00771346094F -:0405D000C03A0FF628 -:0405D1000254458308 -:0405D2000434C60324 -:0405D30002C58D636D -:0405D40000A48603F6 -:0405D500063345F1B3 -:0405D60065E502B61F -:0405D700A105859362 -:0405D8004A10962E01 -:0405D90020D0059396 -:0405DA0076138215FD -:0405DB001C637FF628 -:0405DC00470200B61C -:0405DD004603458903 -:0405DE007363000142 -:0405DF00460900B712 -:0405E0000FF6771388 -:0405E1004583C03A54 -:0405E200C6030264E6 -:0405E3008D630444DC -:0405E400860302C5C3 -:0405E50045F100A438 -:0405E60002B6063320 -:0405E700859365E5AE -:0405E800962EA105A5 -:0405E90005934A101C -:0405EA0082151C104A -:0405EB007FF676130E -:0405EC0000B61C63D6 -:0405ED0045894702F3 -:0405EE0000014603BF -:0405EF0000B773637B -:0405F000771346092E -:0405F100C03A0FF607 -:0405F2002603666115 -:0405F300C5837846FE -:0405F400460301D4E5 -:0405F500820D00363D -:0405F6008C638A3D4B -:0405F700470200C5F2 -:0405F80046034589E8 -:0405F9007363000127 -:0405FA00460900B7F7 -:0405FB000FF677136D -:0405FC006765C03A35 -:0405FD0095274603F5 -:0405FE008383CE41E4 -:0405FF00467100A49D -:0406000083B362E579 -:04060100861302C397 -:04060200C583A10209 -:040603004515012474 -:040604000454C08357 -:04060500A60393B203 -:040606008A7D0103E5 -:0406070002B60633FE -:0406080002A606330D -:04060900064005138F -:04060A0003260613AA -:04060B0002A64633CA -:04060C0000E3D5032F -:04060D0002A585338A -:04060E00010315933C -:04060F00953281C1DE -:0406100081410542DD -:0406110000A49B2383 -:0406120086634601B4 -:04061300A60300003A -:0406140082050183D7 -:04061500C61A8A0572 -:04061600C83EC436E0 -:04061700362030EF6A -:0406180000A48603B1 -:0406190067E545F15B -:04061A0002B60633EB -:04061B00A10782931E -:04061C000124C5836D -:04061D00C50392B2CD -:04061E0030EF016256 -:04061F00470261A08D -:0406200000A489A306 -:04062100433246A278 -:04062200E31947C2CF -:04062300C03A47058D -:04062400A2234712B4 -:0406250084230064C6 -:040626002C2300F48D -:04062700A03D8CD78F -:040628000023058521 -:04062900C00200B655 -:04062A008463BB59D1 -:04062B009B630002CB -:04062C00030322059D -:04062D0053F100067F -:04062E00227351637F -:04062F00002347114C -:0406300085A3000698 -:04063100C03A0004C7 -:04063200D6059BE36B -:04063300C60367E5AE -:04063400C68395875D -:040635001A6301E45F -:0406360046030CD695 -:04063700C683001462 -:04063800146301F452 -:0406390046030CD692 -:04063A00C68300244F -:04063B001E63020434 -:04063C0046030AD691 -:04063D00C68300343C -:04063E001863021427 -:04063F0046030AD68E -:04064000C683006409 -:0406410012630244FA -:0406420065E50AD68A -:04064300461565658E -:040644008FE5859326 -:0406450096050513FE -:0406460009A040EFD8 -:0406470065E5E55927 -:040648004619656585 -:0406490090358593D0 -:04064A0096550513A9 -:04064B00086040EF14 -:04064C004603ED2D47 -:04064D00C68300441C -:04064E001863022407 -:04064F00460306D682 -:04065000C683005409 -:0406510012630234FA -:04065200460306D67F -:04065300C6830074E6 -:040654001C630254CD -:04065500460304D67E -:04065600C68301F462 -:04065700166303D44F -:04065800460304D67B -:04065900C68302044E -:04065A00106303E442 -:04065B00460304D678 -:04065C00C68302143B -:04065D001A6303F425 -:04065E00460302D677 -:04065F00C683022428 -:040660001463040417 -:04066100460302D674 -:04066200C68301E466 -:040663001E6303C44B -:04066400460300D673 -:04066500C6830364E1 -:0406660018630544CC -:04066700460300D670 -:04066800C6830354EE -:0406690006630534EB -:04066A00478200D6ED -:04066B004785E39943 -:04066C004503C03E44 -:04066D00C68302A49A -:04066E008463048419 -:04066F0030EF00A6C2 -:04067000450350E00E -:04067100C68302B486 -:040672008463049405 -:0406730030EF00A6BE -:0406740045031760C3 -:04067500C68302C472 -:04067600846304A4F1 -:0406770030EF00A6BA -:04067800450317001F -:04067900C68303042D -:04067A00458304E4CC -:04067B00966303146B -:04067C00C68300A68B -:04067D00846304F49A -:04067E0030EF00B6A3 -:04067F00450312C05D -:04068000C683029497 -:040681008463047416 -:04068200F0EF00A6EF -:040683004503AEFF7E -:04068400C68302F433 -:04068500846304D4B2 -:04068600F0EF00A6EB -:040687004503A19FE7 -:04068800C68302D44F -:04068900846304B4CE -:04068A0030EF00A6A7 -:04068B0045033C00E7 -:04068C00C68302E43B -:04068D00846304C4BA -:04068E0030EF00A6A3 -:04068F00458343203C -:04069000C68303C456 -:04069100450305A474 -:04069200966303D494 -:04069300C68300B664 -:04069400846305B4C2 -:0406950030EF00A69C -:0406960066E54EA027 -:04069700851365E57D -:04069800461D9966FC -:04069900934585936D -:04069A0074B030EF19 -:04069B00C50966E542 -:04069C0099668513C3 -:04069D000E2030EF0C -:04069E000374460398 -:04069F000554C683B5 -:0406A00000D6186305 -:0406A1000384460385 -:0406A2000564C683A2 -:0406A30000D6066314 -:0406A40002344503D4 -:0406A500FD0FF0EF66 -:0406A600C68366E5BC -:0406A700CE81953635 -:0406A800039445036F -:0406A9000574C7030A -:0406AA0000A707633B -:0406AB00056215517E -:0406AC0020EF856155 -:0406AD0067E53A10B3 -:0406AE009587859314 -:0406AF0001E48513CA -:0406B00004600613C9 -:0406B100715030EF65 -:0406B200446240F26C -:0406B300892367E54B -:0406B4004502940760 -:0406B500610544D2C5 -:0406B600137D8082AE -:0406B70000660023B6 -:0406B800B3DDC002EC -:0406B9000006002314 -:0406BA00C603BFE5CF -:0406BB00450593C599 -:0406BC00B8A606E3F3 -:0406BD0076130605A5 -:0406BE008E230FF682 -:0406BF001FE392C5DE -:0406C0004702B6A691 -:0406C100460345891E -:0406C200736300015D -:0406C300460900B72D -:0406C4000FF67713A3 -:0406C500B69DC03AE4 -:0406C600FC8101139F -:0406C700DA2267E5E7 -:0406C8008713D82696 -:0406C90004838D8792 -:0406CA00467100A7CE -:0406CB0086336565A8 -:0406CC00069302C4CB -:0406CD004583A105BB -:0406CE00428301F76B -:0406CF0087930127E5 -:0406D00003138D87FC -:0406D10096B2A10537 -:0406D20000C6D60385 -:0406D3000146C40315 -:0406D400C683CC32DB -:0406D500C002013628 -:0406D6004683CE3653 -:0406D700470302379C -:0406D800D43600C74D -:0406D900F713C43A15 -:0406DA00EB150FD538 -:0406DB000257C703F8 -:0406DC004685C299F4 -:0406DD004622A0050C -:0406DE000B63468DD7 -:0406DF00452200D6DA -:0406E0000963461153 -:0406E100468910C571 -:0406E200FED515E349 -:0406E300B7D507067A -:0406E400070646A21D -:0406E50000E6973361 -:0406E6000FF7771380 -:0406E7008693C03AFC -:0406E800F693FFF591 -:0406E90047050FF6BC -:0406EA006063C20285 -:0406EB00469102D75B -:0406EC000257C603E8 -:0406ED000E56E3635F -:0406EE00FFF28693FE -:0406EF0002C686B306 -:0406F00000D716B366 -:0406F1000FF6F713F6 -:0406F200C703C23A3E -:0406F30046810247F3 -:0406F400CA3AC60236 -:0406F5009513438D89 -:0406F6009A630026DD -:0406F70087330C75C4 -:0406F800470300D7DD -:0406F900061302677B -:0406FA008A3DFFF73F -:0406FB0000A616330C -:0406FC003733453219 -:0406FD00173300E0CF -:0406FE008E4900D74A -:0406FF004602C632B7 -:0407000077138F518B -:04070100C03A0FF7F4 -:04070200471506850C -:04070300FCE695E398 -:04070400C802468160 -:040705009513438D78 -:0407060091630026D5 -:0407070087330A75B5 -:04070800470300D7CC -:04070900061302B71A -:04070A008A3DFFF72E -:04070B0000A61633FB -:04070C0037334542F8 -:04070D00173300E0BE -:04070E008E4900D739 -:04070F004612C83294 -:0407100077138F517B -:04071100C23A0FF7E2 -:0407120047190685F8 -:04071300FCE695E388 -:04071400873347716F -:04071500971A02E449 -:04071600D0024F18A6 -:04071700CB018B0582 -:04071800C71147229C -:040719000227C703E9 -:04071A00001737137A -:04071B00C703D03A06 -:04071C00468501E726 -:04071D0004D71B637F -:04071E00CB11472292 -:04071F008733477164 -:04072000469102E418 -:040721004B58971A80 -:040722001163837567 -:04072300C20204D733 -:04072400A82DC0023A -:0407250002D70733BD -:040726008513BDF585 -:040727000633FFE2B4 -:04072800450D02C5B4 -:0407290000C51633BE -:04072A000FF677133C -:04072B004752BF3141 -:04072C0000A71533DA -:04072D008F49473277 -:04072E00B7B9C63A57 -:04072F0015334752E5 -:04073000474200A795 -:04073100C83A8F49EA -:04073200D371B74187 -:0407330067114F8C6F -:0407340030E58B63BE -:0407350002B766633E -:040736001000069316 -:040737008D63471176 -:04073800668902D5F7 -:040739008963470D7C -:04073A00069302D54B -:04073B009A630800B5 -:04073C00C68332D569 -:04073D008F1503C74A -:04073E007713070620 -:04073F00A8290FF7DF -:040740000010073767 -:040741002EE58663B8 -:040742000200073773 -:040743002EE58663B6 -:04074400471966A14A -:0407450030D59763B1 -:04074600C60366E59B -:0407470046A18B2616 -:0407480000D615635F -:04074900044204065C -:04074A00C6838041A1 -:04074B004605014717 -:04074C00F763CA3253 -:04074D0006B3008669 -:04074E0006C240D4CB -:04074F00CA3682C163 -:040750008533457137 -:0407510086B302A4C5 -:04075200D63640E275 -:04075300C38346090D -:0407540074E10367E2 -:0407550044D295A64F -:0407560033B313FDA9 -:04075700951A00707F -:0407580000852303F2 -:0407590084B303F66C -:04075A0053130292A1 -:04075B00B5930153FE -:04075C0005F200158D -:04075D0002D306B30A -:04075E000FF4F4930D -:04075F00C6B304D247 -:0407600006C202C605 -:04076100D23682C149 -:0407620003D7C68370 -:0407630044035612E3 -:0407640006B30125B2 -:040765008B1D02D70F -:0407660096B20742FE -:0407670000D7C603EE -:040768000253033302 -:040769007FF6F6938E -:04076A006633067A72 -:04076B0006CE007640 -:04076C008ED98DD1C4 -:04076D008DC547529D -:04076E000137C60386 -:04076F00028283B3CC -:040770007FF373138D -:0407710006368A1DA1 -:0407720046038ED1DB -:04077300C503015564 -:040774008A1D05478E -:04077500F393064EA6 -:0407760003AE1FF3BC -:040777000075E5B371 -:040778000065E33302 -:040779000072F59382 -:04077A00008702B33F -:04077B0005AA573242 -:04077C0082B38ECDE9 -:04077D00571202E22B -:04077E00051E897D4E -:04077F00F29392BAA5 -:04078000C7033FF27A -:04078100E2B303E7F5 -:0407820046A2005635 -:0407830003F77713EE -:0407840006F6075A14 -:0407850046838F55C3 -:040786008F5101C1CD -:0407870003F7C603AB -:0407880000B695938F -:040789008F4D46E268 -:04078A00F6938A3D1B -:04078B0064337FF65E -:04078C00C68300D749 -:04078D008D5104077F -:04078E000207C58316 -:04078F0006928A9DA7 -:0407900046B28D558B -:040791000537C7035E -:04079200961389FD34 -:04079300468200C6D4 -:0407940005E68231C3 -:0407950006D28AFD01 -:04079600C6038ED137 -:040797008B050217B5 -:040798008A05073295 -:0407990001E61793CB -:04079A0000B6E6338C -:04079B0057828E5D96 -:04079C0005378F4945 -:04079D004513800080 -:04079E0007FEFFF55E -:04079F008E5D8E6974 -:0407A000769347C243 -:0407A10094930FF32B -:0407A20047920087F3 -:0407A300F79380A1A7 -:0407A40007E203F76E -:0407A50057A28CDDEE -:0407A60007FE8CE9D5 -:0407A70067E18CDD9D -:0407A8007887A78324 -:0407A90000C7C5833D -:0407AA0000D78623CB -:0407AB0000835693DE -:0407AC000FF6F693BB -:0407AD0000D7C58329 -:0407AE0000D786A347 -:0407AF000103569359 -:0407B0000FF6F693B7 -:0407B10000E7C58315 -:0407B20000D78723C2 -:0407B30000F7C68302 -:0407B4000183531357 -:0407B500006787A3AF -:0407B6000FF2F693B5 -:0407B7000107C583EE -:0407B80000D78823BB -:0407B9000082D69351 -:0407BA000FF6F693AD -:0407BB000117C583DA -:0407BC0000D788A337 -:0407BD000102D693CC -:0407BE000FF6F693A9 -:0407BF000127C583C6 -:0407C00000D78923B2 -:0407C1000137C683B3 -:0407C2000182D2934B -:0407C300005789A3AF -:0407C4000FF4769325 -:0407C5000147C583A0 -:0407C60000D78A23AB -:0407C70000845693C1 -:0407C8000FF6F6939F -:0407C9000157C5838C -:0407CA0000D78AA327 -:0407CB00010456933C -:0407CC000FF6F6939B -:0407CD000167C58378 -:0407CE0000D78B23A2 -:0407CF000177C68365 -:0407D0008BA3806116 -:0407D1007693008794 -:0407D200C5830FF7D5 -:0407D3008C230187EB -:0407D400569300D761 -:0407D500C583008751 -:0407D6008CA3019758 -:0407D700C68300D7FE -:0407D800836101A791 -:0407D90000078D2365 -:0407DA0001B7C6831A -:0407DB0000E78DA303 -:0407DC000FF677138A -:0407DD0001C7C68307 -:0407DE0000E78E237F -:0407DF000086571326 -:0407E0000FF7771385 -:0407E10001D7C683F3 -:0407E20000E78EA3FB -:0407E30001065713A1 -:0407E4000FF7771381 -:0407E50001E7C683DF -:0407E60000E78F2376 -:0407E70001F7C7034C -:0407E8008FA38261F8 -:0407E900F71300C73B -:0407EA00C6830FF4BF -:0407EB00802302075E -:0407EC00D71302E736 -:0407ED0077130084FA -:0407EE00C6830FF7B8 -:0407EF0080A30217CA -:0407F000D71302E732 -:0407F1007713010475 -:0407F200C6830FF7B4 -:0407F30080E1022778 -:0407F40002E7812374 -:0407F5000237C703FD -:0407F600029781A342 -:0407F70054C2545242 -:0407F8000381011365 -:0407F900C6838082B1 -:0407FA00471103C7D9 -:0407FB00B3318F1572 -:0407FC0003C7C683E6 -:0407FD00BFDD471500 -:0407FE0003C7C683E4 -:0407FF008F154719F2 -:040800000FF7771364 -:040801000367C68340 -:04080200D00698E3A1 -:0408030016A146E212 -:0408040082C106C2E5 -:0408050046F2CC36B5 -:0408060006C206B16F -:04080700CE3682C1A6 -:0408080006B7B9E591 -:04080900871600202E -:04080A00FCD5FEE338 -:04080B0067E5B1F5F7 -:04080C008E234705EB -:04080D0067E592E722 -:04080E00871311013A -:04080F00CC228D87E3 -:04081000CA26434071 -:04081100C23ECE060F -:040812008D878493B7 -:040813002E040C6340 -:040814008D87A70322 -:040815002E0708633F -:04081600A0EEC7B7D2 -:04081700B00787930C -:0408180002E7D7B369 -:040819000084C7038D -:04081A00019C02B784 -:04081B00CC028293F6 -:04081C000282D2B3CF -:04081D002C0706633B -:04081E000287D43346 -:04081F0003B005130A -:0408200020EFC416EB -:04082100C62A18507B -:0408220003C00513F7 -:0408230017B020EFFB -:0408240001C4C783C1 -:040825000084C60382 -:04082600971342A240 -:0408270067D9002766 -:0408280069878793C2 -:04082900439497BAA3 -:04082A00A703479247 -:04082B0007938D871B -:04082C00E2190700C6 -:04082D000690079397 -:04082E000001663728 -:04082F0006136565E2 -:0408300045C57886BC -:040831008A0505131C -:0408320030EFC816C5 -:0408330042C21E702F -:0408340006400793E0 -:040835003E80069368 -:0408360045C56565EA -:0408370002F457333D -:04083800940505130B -:0408390002D2F633BE -:04083A007433C43A15 -:04083B0087BA02F482 -:04083C0057334729BE -:04083D00663702E632 -:04083E00C0220001D3 -:04083F00790606131D -:0408400004136461D8 -:04084100D6B377C4EF -:0408420030EF02D2BF -:0408430067E51A70DB -:040844009EF7C783D1 -:040845004018EB91DB -:0408460000074783DD -:040847000027E7930C -:0408480000F7002392 -:040849008FAFF0EF8E -:04084A006765479205 -:04084B009517468334 -:04084C008D87A503EC -:04084D00C5834622F7 -:04084E0020EF008413 -:04084F0057FD789049 -:04085000086367656D -:04085100852320F5E6 -:04085200878300A4F4 -:0408530066E500A4B2 -:04085400872346713F -:0408550087B39EF6D1 -:04085600636502C70D -:04085700A1030613E0 -:040858000124C6832E -:0408590095174703A5 -:04085A000454C283FD -:04085B004B8C97B279 -:04085C0089FD4615B7 -:04085D0002D585B388 -:04085E0002C585B397 -:04085F000640061336 -:040860000325859354 -:0408610002C5C5B354 -:0408620000E7D603D2 -:0408630002C686B390 -:0408640095B640D035 -:0408650081C105C286 -:0408660000B49B231C -:040867000177C5034D -:04086800A10306934F -:04086900897DC2368D -:04086A0067658D79B8 -:04086B008AA709232C -:04086C004681473248 -:04086D000FF77713F7 -:04086E0002B7073393 -:04086F0002C7573332 -:040870008241064279 -:040871000002856399 -:0408720082854F9498 -:04087300C7838A8528 -:04087400771305945D -:0408750087930FF75F -:0408760007E2F80796 -:0408770020EF87E106 -:04087800C50357302D -:04087900F0EF04D4C4 -:04087A00C503A4CF3F -:04087B00F0EF047422 -:04087C008783B0AF0F -:04087D00477100A41B -:04087E000124C58309 +:04030800312106C0D9 +:0403090000344583F4 +:04030A0000444783E1 +:04030B0006D0051300 +:04030C00059397AE10 +:04030D008D9D0710AB +:04030E0000544783CD +:04030F0047838D9DF6 +:040310008D9D00645B +:0403110000744783AA +:04031200F5938D9D35 +:040313003EF10FF5B3 +:04031400453D45819D +:04031500458D3ED9FB +:040316001151B76169 +:040317004581C22238 +:04031800453D842AB1 +:0403190036D1C4060F +:04031A000C100513AB +:04031B003433367DC4 +:04031C007593008055 +:04031D008DC10FE59A +:04031E000C100513A7 +:04031F004412367DD1 +:04032000458D40A225 +:040321000C60051354 +:04032200B6450131AA +:04032300CA221111C8 +:04032400842EC22A37 +:0403250045F5451144 +:04032600C432C826EF +:04032700CC0684B6C6 +:04032800676136696A +:0403290065C706138B +:04032A0000C647833F +:04032B000014F69331 +:04032C009BF50686B1 +:04032D0007138FD54E +:04032E00062365C776 +:04032F00C03A00F6DA +:04033000C3998B8959 +:040331003F514505EE +:0403320005134782E6 +:0403330074930700B8 +:04033400C7030034C7 +:04033500C63A00378D +:040336004685368939 +:040337007593473241 +:0403380087630035A2 +:04033900468908D415 +:04033A0008D48763F9 +:04033B00008776932E +:04033C00E593C299EA +:04033D0076930205AC +:04033E00C299001749 +:04033F000105E5933C +:040340000027769389 +:04034100E593C299E5 +:040342008B11008596 +:04034300E593C31962 +:040344000513004558 +:040345003E1107005E +:040346001A049E6394 +:04034700470547A27D +:040348000037F61371 +:0403490004E60C6357 +:04034A004781468918 +:04034B0008D6166357 +:04034C0001841713FE +:04034D00546387610D +:04034E00049300070D +:04034F0074130A0019 +:040350000713030488 +:040351000E63020035 +:04035200071314E495 +:04035300046303003C +:04035400474104E435 +:04035500136365E1E8 +:04035600460D16E456 +:0403570057858593AE +:040358000730051352 +:0403590065E13CD14D +:04035A0085934649F8 +:04035B00A081B34585 +:04035C000405E5931C +:04035D00E593BFA5C0 +:04035E00BF8D080542 +:04035F00040474938B +:040360000493C099A9 +:04036100171304006A +:04036200876101842A +:04036300FA0759E359 +:040364000A04E49310 +:0403650065E1B76D2A +:040366008593460D28 +:04036700051357C55E +:04036800F0EF07307B +:0403690065E1A97F22 +:04036A0085934649E8 +:04036B000513B4853D +:04036C00F0EF076047 +:04036D004789A87F95 +:04036E00072005134C +:04036F00F0EFC43EA9 +:0403700047A2A5DF1C +:0403710001C57593BA +:040372000720051348 +:040373008DDD8FC5C8 +:04037400A5BFF0EF42 +:0403750045854782F1 +:0403760000C7C78372 +:04037700E3918B89FA +:0403780005134581A3 +:04037900F0EF0C0095 +:04037A0045D5A45F62 +:04037B00F0EF451149 +:04037C0045C1A3DFF5 +:04037D00061005134E +:04037E00A33FF0EFBA +:04037F004789471251 +:040380000CF71D63F6 +:040381000880059358 +:040382000620051339 +:04038300A1FFF0EFF7 +:04038400051345C157 +:04038500F0EF06305F +:040386000593A15FDB +:040387000513084012 +:04038800F0EF06404C +:040389004505A09FE7 +:04038A00A4FFF0EFED +:04038B00F0EF451139 +:04038C0075939EDFE8 +:04038D00451101D540 +:04038E009F3FF0EFAE +:04038F00064005130C +:04039000A37FF0EF68 +:04039100F0EF451133 +:0403920075939D5F63 +:0403930045110155BA +:040394009DBFF0EF2A +:040395000640041307 +:04039600F0EF453906 +:0403970089419C1FDD +:04039800147DE901E6 +:0403990045050442D0 +:04039A00F0EF8041BF +:04039B00F46DA0DF7E +:04039C004531458121 +:04039D009B7FF0EF63 +:04039E0004000593BF +:04039F00F0EF453501 +:0403A00045859ADF16 +:0403A100F0EF4539FB +:0403A20045819A5F98 +:0403A300F0EF4539F9 +:0403A400F0EF99DFFE +:0403A50040E29F1F74 +:0403A60044C24452B7 +:0403A7000171450596 +:0403A80065E1808209 +:0403A9008593460DE5 +:0403AA00051357C51B +:0403AB00F0EF073038 +:0403AC0065E198BFB0 +:0403AD0085934649A5 +:0403AE00BDD5B20502 +:0403AF008593460DDF +:0403B0000513578555 +:0403B100F0EF073032 +:0403B20065E1973F2B +:0403B300859346499F +:0403B400BDF1B0C522 +:0403B50047814481B7 +:0403B60045E1B5C5A3 +:0403B7000620051304 +:0403B80094BFF0EF0F +:0403B900051345C122 +:0403BA00F0EF06302A +:0403BB0045B1941F95 +:0403BC001151B73DE7 +:0403BD00C026C22272 +:0403BE0084AE842A5B +:0403BF004581453DF2 +:0403C000F0EFC40690 +:0403C1000513929FEF +:0403C200F0EF0590C3 +:0403C3007593911F7E +:0403C400E49902F5C1 +:0403C5008DC1041AC8 +:0403C6000FF5F593A7 +:0403C7000105E593B4 +:0403C80040A24412F9 +:0403C9000513448252 +:0403CA000131059068 +:0403CB008FFFF06F41 +:0403CC00879367E1CB +:0403CD006761A307BA +:0403CE0066F7262385 +:0403CF000C87A703ED +:0403D000F38005139E +:0403D100A703CB01B2 +:0403D20007930D4739 +:0403D30013631000A0 +:0403D400450100F7E8 +:0403D50067E18082DA +:0403D60085AA86AEC0 +:0403D70066C7A5034D +:0403D800C4061151F5 +:0403D900CB6FF0EF07 +:0403DA000513C1192D +:0403DB0040A2F370D9 +:0403DC0080820131E9 +:0403DD00C822112100 +:0403DE00C626843279 +:0403DF007793CA0640 +:0403E00086AA0FF4E6 +:0403E1000622872E3B +:0403E200C38D64E182 +:0403E30066C4A50344 +:0403E400F00474139A +:0403E50000841593E8 +:0403E600A9EFF0EF9C +:0403E7000513C11920 +:0403E80040D2F350BC +:0403E90044B2444294 +:0403EA0080820161AB +:0403EB00A503C22A7A +:0403EC00C42E66C4F1 +:0403ED00C03285B2E3 +:0403EE00A32FF0EF5A +:0403EF0046924602EA +:0403F000D569472262 +:0403F100F36005139D +:0403F2001131BFE91D +:0403F300C426C62234 +:0403F40084AAC80609 +:0403F500E419842E55 +:0403F60040C24501BB +:0403F70044A24432A6 +:0403F80080820151AD +:0403F9001000079356 +:0403FA00F46385A281 +:0403FB0005930087DF +:0403FC008526100042 +:0403FD00C02EC2321A +:0403FE00F1653FB5B1 +:0403FF0046124582DB +:040400008C0D94AE1D +:04040100BFC106056C +:04040200C8221121DA +:04040300CA06C62639 +:04040400C232C02E12 +:04040500440184B674 +:0404060047824701E1 +:0404070000F46D632D +:0404080045014792D1 +:0404090000F7046391 +:04040A00F3400513A3 +:04040B00444240D255 +:04040C00016144B294 +:04040D004782808220 +:04040E0010000713C0 +:04040F00408785B3EA +:0404100000B774635A +:04041100100005933F +:040412008522862693 +:040413003721C42E9B +:0404140045A2FD718F +:040415000014361386 +:0404160030EF852618 +:04041700872A37E019 +:0404180010040413B5 +:040419001141BF5D71 +:04041A006461C42233 +:04041B000513C02ADB +:04041C00C6066704A5 +:04041D00572040EF35 +:04041E00E909458221 +:04041F00200007139F +:0404200046014681CA +:040421006704051354 +:040422006A8040EFBD +:04042300442240B27D +:040424008082014190 +:04042500C8221121B7 +:04042600CA06C62616 +:0404270082AE842AF3 +:04042800C03684B2A4 +:040429004501E09910 +:04042A000713A815F7 +:04042B0083A6200084 +:04042C00009774635E +:04042D002000039315 +:04042E009713458259 +:04042F0067E101037D +:04043000468183413D +:040431008513862287 +:04043200C41667077E +:0404330040EFC21EB6 +:040434004392662069 +:04043500C90142A215 +:0404360040A00533AA +:04043700444240D229 +:04043800016144B268 +:040439004502808276 +:04043A00859E8616FF +:04043B00C21EC41603 +:04043C00F56D3DE934 +:04043D0042A2439202 +:04043E00D7130405C7 +:04043F0092BA0083EA +:04044000407484B3CD +:0404410067E1B74573 +:040442005807A7832D +:04044300C42211417D +:040444000593646157 +:040445004641688440 +:0404460000478513D3 +:04044700C226C606FD +:0404480040EFC03E83 +:040449004782045092 +:04044A00859364E151 +:04044B0046416C04B6 +:04044C0001478513CC +:04044D00033040EF49 +:04044E0068840513A6 +:04044F0040B2442251 +:040450006C04859320 +:04045100014144928F +:040452004F40306F78 +:04045300A78367E133 +:0404540011415807F3 +:040455006461C422F8 +:0404560069C40593DD +:040457008513464182 +:04045800C60600478D +:04045900C03EC226B9 +:04045A007FE040EF10 +:04045B0064E147828F +:04045C0073C485934D +:04045D00851346417C +:04045E0040EF014723 +:04045F0005137EC043 +:04046000442269C405 +:04046100859340B28D +:04046200449273C489 +:04046300306F0141B4 +:0404640011514AE008 +:04046500C222C406E5 +:04046600F0EF842A05 +:04046700458194DF58 +:04046800F0EF45016B +:040469004789A35FBD +:04046A0002F4056330 +:04046B0004136461B1 +:04046C0067E175448B +:04046D0003844603BB +:04046E00037445834B +:04046F006807A50372 +:04047000F8CFF0EFE2 +:0404710003744503C8 +:0404720040A244124E +:04047300F06F0131F4 +:0404740040A2D92F9A +:0404750001314412FB +:04047600115180821E +:04047700842AC222EF +:04047800C40645056C +:04047900F0EFC026BA +:04047A00F0EFA75F99 +:04047B0045818DBF6B +:04047C00F0EF450157 +:04047D0064E190DFC7 +:04047E006D448793AF +:04047F000107C503A9 +:040480008493478595 +:0404810035336D445E +:04048200050500A0CC +:0404830013634601B8 +:04048400460900F431 +:04048500FFE40693F7 +:0404860000D036B3B9 +:04048700F0EF4581CC +:040488004789A6FFFB +:0404890002F409630D +:04048A000313636194 +:04048B00C78375436B +:04048C00470300F42E +:04048D00C50302435E +:04048E0035B301146D +:04048F004681008022 +:040490000586460196 +:04049100CAAFF0EF0F +:04049200831367E188 +:0404930047837547DF +:04049400812302437B +:04049500852204F4C4 +:0404960044123F2DA0 +:04049700448240A2B9 +:0404980001314501E8 +:040499009F7FF06FE2 +:04049A00EB63478940 +:04049B0011410EA756 +:04049C0064E1C2262F +:04049D005884A703D5 +:04049E00C606C422A8 +:04049F000037478358 +:0404A0008493842A93 +:0404A100838D58846B +:0404A20086638BBD25 +:0404A30047D10CA78A +:0404A40002F507B3A3 +:0404A500859365D9FD +:0404A6000513548561 +:0404A7004651004773 +:0404A800883DC03A91 +:0404A90095BE040AEE +:0404AA00BF2FF0EF81 +:0404AB00478347023A +:0404AC00F7930007BB +:0404AD008C5DFC372F +:0404AE0000870023A0 +:0404AF0007936461EA +:0404B000C7836D444D +:0404B100041301C768 +:0404B200C3856D444D +:0404B30057836761A3 +:0404B40045296B0764 +:0404B50007C29BF5EA +:0404B600182383C1C3 +:0404B70017376AF792 +:0404B80020230002FB +:0404B900E0EF0EF76B +:0404BA0017B7E19FF0 +:0404BB00A783000211 +:0404BC0097130D077E +:0404BD00426300C7CF +:0404BE004098040757 +:0404BF000037478338 +:0404C0000FF7F793A8 +:0404C100CB91839DBB +:0404C2000007478365 +:0404C300E793450571 +:0404C40000230017FA +:0404C500E0EF00F76D +:0404C6004098DE9FDD +:0404C70047834529F9 +:0404C8009BF9000795 +:0404C90000F7002315 +:0404CA00478340988C +:0404CB00E7930007AC +:0404CC0000230027E2 +:0404CD00E0EF00F765 +:0404CE004783DC9FE5 +:0404CF00CF8101C414 +:0404D0005783676186 +:0404D100E7936B073B +:0404D20018230027C4 +:0404D30017376AF776 +:0404D40020230002DF +:0404D50040B20EF72C +:0404D60044924422E6 +:0404D70080820141DD +:0404D80011518082BC +:0404D900C222C40671 +:0404DA0066E1E55999 +:0404DB006D46869351 +:0404DC00043742D8C7 +:0404DD000413019C67 +:0404DE005433CC04C3 +:0404DF00868302E42A +:0404E000467100A6BB +:0404E1000713676531 +:0404E20086B380C796 +:0404E300973602C680 +:0404E40000E75703D3 +:0404E500043346A1F5 +:0404E600676102E464 +:0404E7006AE7470376 +:0404E80002D70D63C7 +:0404E900096346C19C +:0404EA00479100D75F +:0404EB0004F711639E +:0404EC000AD030EF13 +:0404ED00A8294505F0 +:0404EE0001C9C73742 +:0404EF0037F70713C1 +:0404F000636387AA11 +:0404F10047BD00877C +:0404F20030EF853E24 +:0404F300450D09307A +:0404F40040A24412CC +:0404F500306F013132 +:0404F60030EF44A0FF +:0404F700B5370830DD +:0404F800051304C420 +:0404F90035333FF563 +:0404FA00050900856B +:0404FB0030EFB7D552 +:0404FC00450106F0C0 +:0404FD0067E1BFF103 +:0404FE0075478713A4 +:0404FF0002F7468337 +:040500008413470910 +:04050100453D7547B8 +:0405020000E6836329 +:0405030030EF45018F +:04050400478304F035 +:04050500470902F4AC +:040506007BE3450D41 +:040507004515FAF7A5 +:0405080075138D1DBD +:04050900B76D0FF5C6 +:04050A00F8634785C6 +:04050B0067E100A7FD +:04050C006AE7C503D2 +:04050D00351315612C +:04050E0047E10015AC +:04050F0002F50533B9 +:04051000879367DD89 +:04051100953E4E873E +:0405120071C0306F15 +:04051300A60367E1F3 +:04051400111158C7A2 +:04051500CC06676148 +:04051600C826CA2207 +:040517006A07071355 +:0405180000364683E0 +:040519000FF6F69350 +:04051A00E299829D43 +:04051B00FB6D177DE0 +:04051C0029F030EFA3 +:04051D0007136461FB +:04051E0046036D44DF +:04051F0067E100B7D9 +:040520000413832A13 +:0405210087936D440B +:04052200C60958C7E7 +:0405230046034398B0 +:040524008A0D002715 +:040525000370051347 +:04052600C432C61AFB +:04052700404030EF31 +:040528000513C02ACD +:0405290030EF03802C +:04052A0047023FA0A5 +:04052B00169384AAF5 +:04052C0065050085DC +:04052D00F0050513BD +:04052E008ED98EE9EB +:04052F000064D7137A +:0405300005138B051F +:04053100C23603903B +:0405320000E404A33A +:040533003D4030EF28 +:040534000513C02AC1 +:0405350030EF03A000 +:0405360067E13CA09D +:0405370058C7879387 +:040538004622438C88 +:04053900C7834382AF +:04053A0046920025C0 +:04053B00F7934332BD +:04053C00D7130FF7CB +:04053D00C783002749 +:04053E008BFD0035FC +:04053F008FD9079AAF +:0405400007634709FD +:04054100470302E684 +:04054200E70900B411 +:0405430018F0071392 +:0405440002D7706307 +:040545001A634705E9 +:04054600869300E6B2 +:04054700B593001751 +:04054800C593190638 +:0405490042810015D6 +:04054A00F493A80975 +:04054B00D8E50204E9 +:04054C000C86B593D1 +:04054D000015C5933D +:04054E004783428518 +:04054F00666100B42D +:0405500064C6061364 +:0405510067E1C03E60 +:0405520075478493D2 +:0405530094634782E4 +:04055400026328070F +:0405550080634C0370 +:0405560007034C0546 +:0405570045890006CC +:0405580026E5D7635A +:04055900479147057A +:04055A000006002374 +:04055B0000E405A310 +:04055C004010C03E4D +:04055D000522670507 +:04055E00F007071388 +:04055F0005138F6988 +:0405600067330016E7 +:0405610065E10077D9 +:0405620000D56D63F0 +:0405630000168513E6 +:0405640000C5696302 +:040565001663405089 +:04056600460300E662 +:040567000F6300849A +:040568008C23465644 +:04056900C5837205CF +:04056A0046030194AF +:04056B00966303741C +:04056C00C5830AC574 +:04056D00460301A49C +:04056E00906303840F +:04056F00C5830AC571 +:04057000460301B489 +:040571009A630394F2 +:04057200C58308C570 +:04057300460301C476 +:04057400946303A4E5 +:04057500C58308C56D +:04057600460301D463 +:040577009E6303B4C8 +:04057800C58306C56C +:0405790046030144F0 +:04057A00986303245B +:04057B00C58306C569 +:04057C0046030154DD +:04057D00926303344E +:04057E00C58306C566 +:04057F0046030164CA +:040580009C63034431 +:04058100C58304C565 +:0405820046030174B7 +:040583009663035424 +:04058400C58304C562 +:0405850046030184A4 +:040586009063036417 +:04058700C58304C55F +:0405880046030274B0 +:040589009A63045419 +:04058A00C58302C55E +:04058B00460302849D +:04058C00946304640C +:04058D00C58302C55B +:04058E0046030334E9 +:04058F009E6305144E +:04059000C58300C55A +:04059100460303A476 +:0405920098630584E1 +:04059300C58300C557 +:04059400460303B463 +:040595008C630594DA +:04059600478200C5D3 +:040597004603458949 +:04059800F363000108 +:04059900460900B758 +:04059A000FF677934E +:04059B00C583C03E16 +:04059C0046030254BC +:04059D008D63043432 +:04059E00058302C50A +:04059F00457100A4FE +:0405A00085B3666554 +:0405A100061302A596 +:0405A200962E80C64B +:0405A30005934A1062 +:0405A400821520D0CC +:0405A5007FF6761354 +:0405A60000B61C631C +:0405A70045894782B9 +:0405A8000001460305 +:0405A90000B7F36341 +:0405AA0077934609F4 +:0405AB00C03E0FF649 +:0405AC000264C5839D +:0405AD0004444603B9 +:0405AE0002C58D6392 +:0405AF0000A405831C +:0405B00066654571C6 +:0405B10002A585B367 +:0405B20080C60613E6 +:0405B3004A10962E26 +:0405B4001C1005937F +:0405B5007613821522 +:0405B6001C637FF64D +:0405B700478200B6C1 +:0405B8004603458928 +:0405B900F3630001E7 +:0405BA00460900B737 +:0405BB000FF677932D +:0405BC006661C03E76 +:0405BD005886260333 +:0405BE0001D445839C +:0405BF0000364603B9 +:0405C0008A3D820DE1 +:0405C10000C58C6382 +:0405C200458947829E +:0405C30000014603EA +:0405C40000B7F36326 +:0405C50077934609D9 +:0405C600C03E0FF62E +:0405C700C60367E11F +:0405C800C24D74E7C5 +:0405C90000A4060381 +:0405CA0063654571AF +:0405CB0002A606334B +:0405CC0080C3039352 +:0405CD00012445833D +:0405CE00408345150C +:0405CF0093B204548B +:0405D0000103A6037A +:0405D10006338A7DE6 +:0405D200063302B634 +:0405D300051302A664 +:0405D40006130640C4 +:0405D5004533032681 +:0405D600D60302A6A0 +:0405D70085B300E305 +:0405D800460102C511 +:0405D900951395AA37 +:0405DA008141010555 +:0405DB00010715936C +:0405DC0000A41B2339 +:0405DD00866381C1EF +:0405DE00A603000070 +:0405DF00820501830D +:0405E000C6168A05AC +:0405E100C236C43A20 +:0405E2002D0030EFC9 +:0405E30000A4060367 +:0405E40067E545F191 +:0405E50002B6063321 +:0405E60080C7831334 +:0405E7000124458323 +:0405E8004503933202 +:0405E90030EF01638B +:0405EA0047825800EC +:0405EB0000A409A3BC +:0405EC0000014603C1 +:0405ED0047224692C9 +:0405EE00E39142B2A1 +:0405EF0077934605B3 +:0405F000C03E0FF604 +:0405F100C058C0141A +:0405F200005404238A +:0405F3000705A03523 +:0405F40000E60023FA +:0405F5000463BB7967 +:0405F6009E630003FD +:0405F70007032205CF +:0405F8005371000635 +:0405F90022675463BE +:0405FA000023479102 +:0405FB0005A300064E +:0405FC00C03E0004F9 +:0405FD00D6059FE39D +:0405FE000004C683AC +:0405FF0001E44703C9 +:040600000CE69A6307 +:040601000014C68398 +:0406020001F44703B5 +:040603000CE694630A +:040604000024C68385 +:0406050002044703A1 +:040606000AE69E63FF +:040607000034C68372 +:04060800021447038E +:040609000AE6986302 +:04060A000064C6833F +:04060B00024447035B +:04060C000AE6926305 +:04060D00656165E1DD +:04060E008593461575 +:04060F0005136FA5BB +:0406100040EF75C57D +:04061100E559064061 +:04061200656165E1D8 +:04061300859346196C +:0406140005136FF566 +:0406150040EF761527 +:04061600ED2D0500C1 +:040617000044C68352 +:04061800022447036E +:0406190006E69863F6 +:04061A000054C6833F +:04061B00023447035B +:04061C0006E69263F9 +:04061D000074C6831C +:04061E000254470338 +:04061F0004E69C63EE +:0406200001F4C68398 +:0406210003D44703B4 +:0406220004E69663F1 +:040623000204C68384 +:0406240003E44703A1 +:0406250004E69063F4 +:040626000214C68371 +:0406270003F447038E +:0406280002E69A63E9 +:040629000224C6835E +:04062A00040447037A +:04062B0002E69463EC +:04062C0001E4C6839C +:04062D0003C44703B8 +:04062E0000E69E63E1 +:04062F000364C68317 +:040630000544470333 +:0406310000E69863E4 +:040632000354C68324 +:040633000534470340 +:0406340000E68A63EF +:0406350047034782AE +:04063600E39100014B +:040637007793470569 +:04063800C03E0FF7BA +:0406390002A4C5034F +:04063A0004844703EA +:04063B0000A70463AD +:04063C0046E030EF75 +:04063D0002B4C5033B +:04063E0004944703D6 +:04063F0000A70463A9 +:040640000DE030EFAA +:0406410002C4C50327 +:0406420004A44703C2 +:0406430000A70463A5 +:040644000D8030EF06 +:040645000304C503E2 +:0406460004E447037E +:040647000314C58350 +:0406480000A716638E +:0406490004F447036B +:04064A0000B704638E +:04064B00094030EF43 +:04064C000294C5034C +:04064D0004744703E7 +:04064E0000A704639A +:04064F00AEDFF0EF3B +:0406500002F4C503E8 +:0406510004D4470383 +:0406520000A7046396 +:04065300A17FF0EFA4 +:0406540002D4C50304 +:0406550004B447039F +:0406560000A7046392 +:04065700324030EF0E +:0406580002E4C503F0 +:0406590004C447038B +:04065A0000A704638E +:04065B00394030EF03 +:04065C0003C4C5838B +:04065D0005A44703A6 +:04065E0003D4C503F9 +:04065F0000B7166367 +:0406600005B4470393 +:0406610000A7046387 +:04066200448030EFB1 +:0406630065E1676185 +:0406640079270513DA +:040665008593461D16 +:0406660030EF7305F9 +:04066700676170D087 +:040668000513C509A8 +:0406690030EF7927CE +:04066A00C68304A09F +:04066B0047030374CA +:04066C009863055436 +:04066D00C68300E65A +:04066E0047030384B7 +:04066F008663056435 +:04067000C50300E6D8 +:04067100F0EF023470 +:040672006761FCCFF1 +:0406730074F74703CE +:04067400C503CF01EA +:040675004783039420 +:04067600876305741D +:04067700155100A772 +:040678008561056231 +:0406790030F020EF4E +:04067A00859367E11C +:04067B0005137547A7 +:04067C00061301E47C +:04067D00E0EF046046 +:04067E0040E2CA5F2D +:04067F0067E1445299 +:040680007407872351 +:0406810044C2450228 +:040682008082017100 +:040683000023177DBC +:04068400C00200E6CA +:040685000023B3C5D6 +:04068600BFE50006C6 +:040687007385C603AE +:0406880002E345053F +:040689000605B8A604 +:04068A000FF67613DE +:04068B0072C58C2385 +:04068C00B6A61BE310 +:04068D0045894782D2 +:04068E00000146031E +:04068F0000B7F3635A +:04069000779346090D +:04069100C03E0FF662 +:040692000113BEB9D9 +:040693006761FD415D +:04069400D226D42274 +:040695006D47079313 +:0406960000A7840332 +:0406970065E545715F +:0406980002A4053380 +:0406990080C58693FF +:04069A000127C6036B +:04069B006D4707138D +:04069C0096AA438156 +:04069D0000C6D503BB +:04069E000146C483CA +:04069F00C683C82A1C +:0406A000C503013657 +:0406A100CA3601F75D +:0406A2000237C683D2 +:0406A30000C7C78342 +:0406A4008693D03633 +:0406A500C23E80C50C +:0406A6007793CC3644 +:0406A700EB950FD5EB +:0406A80047835682AC +:0406A900C299025799 +:0406AA00A0054685DC +:0406AB00468D4592A1 +:0406AC0000D58B6387 +:0406AD00459143121E +:0406AE0010B30A6318 +:0406AF0015E3468980 +:0406B0000786FED3E8 +:0406B1004692B7D5E1 +:0406B20097B307866D +:0406B300F39300F6C7 +:0406B40006930FF7A3 +:0406B500F693FFF5C4 +:0406B60047850FF66F +:0406B700E063C0023A +:0406B800469102D78E +:0406B900025745831C +:0406BA000EC6E56320 +:0406BB00FFF60693AD +:0406BC0002B686B349 +:0406BD0000D796B319 +:0406BE000FF6F793A9 +:0406BF004283C03E74 +:0406C0004681024726 +:0406C100478DC4029B +:0406C2000026931368 +:0406C3000CF51D63B2 +:0406C40000D707B3A1 +:0406C5000267C7837E +:0406C600FFF7859322 +:0406C70095B389BDA1 +:0406C8004322006564 +:0406C90000F037B353 +:0406CA0000D797B30B +:0406CB0000B365B360 +:0406CC000077E7B319 +:0406CD00F393C42EB1 +:0406CE0006850FF797 +:0406CF0094E34795D4 +:0406D0004681FCF66D +:0406D100478DC60289 +:0406D2000026931358 +:0406D3000AF51463AD +:0406D40000D707B391 +:0406D50002B7C7831E +:0406D600FFF7859312 +:0406D70095B389BD91 +:0406D8004332006544 +:0406D90000F037B343 +:0406DA0000D797B3FB +:0406DB0000B365B350 +:0406DC004582C62E5F +:0406DD00F7938FCD33 +:0406DE00C03E0FF714 +:0406DF0047990685AC +:0406E000FCF693E3AE +:0406E10007B347F123 +:0406E20046E202F4F6 +:0406E3004F9C97B6DB +:0406E4008B85CE0232 +:0406E5004792CB81EC +:0406E6004783C791EE +:0406E700B79302279C +:0406E800CE3E0017EB +:0406E90001E747835B +:0406EA009C63468542 +:0406EB00479204D757 +:0406EC0047F1CB996E +:0406ED0002F407B359 +:0406EE0097B646E293 +:0406EF0046914BDC09 +:0406F000916383F59A +:0406F100C00204D768 +:0406F200A82D43816B +:0406F30002D787B3F0 +:0406F4000313BDED42 +:0406F50005B3FFE664 +:0406F600430D02B3FB +:0406F70000B315B384 +:0406F8000FF5F79370 +:0406F90047A2BF2134 +:0406FA0000629333D4 +:0406FB000067E7B3FA +:0406FC00B7A1C43EA0 +:0406FD00933347B23A +:0406FE00E7B30062FC +:0406FF00C63E00678C +:04070000D3F1BFB5BD +:0407010067A14F1489 +:0407020032F68363E5 +:040703002ED7E863A2 +:040704001000059349 +:040705008B6347912A +:04070600659100B643 +:040707008763478D30 +:04070800059300B69F +:040709008D630800F4 +:04070A0087B22EB6CE +:04070B00C50365E1DC +:04070C0045A16AE5B4 +:04070D0000B51563BB +:04070E0004C2048697 +:04070F00458380C1DD +:0407100042850147D6 +:040711000095F763F5 +:0407120040B482B3BA +:04071300D29302C2B9 +:0407140045F10102A8 +:0407150002B405B372 +:0407160044094562EB +:04071700A30395AAF9 +:040718000533008520 +:04071900531340F640 +:04071A00053301534F +:04071B00453302A3BD +:04071C00440302850B +:04071D00843303D747 +:04071E000542028707 +:04071F00942A814156 +:04072000C403CC2220 +:040721000333012578 +:0407220004B302C357 +:0407230073130286C4 +:04072400F4937FF3D8 +:0407250004AE1FF40B +:040726000064E4B3D4 +:040727000256033340 +:04072800043392A262 +:040729008A1D40F6EF +:04072A008B9D062A73 +:04072B0082B307C2CC +:04072C0073130282BF +:04072D0003520FF371 +:04072E000064E3334D +:04072F0096A674C155 +:040730000016B69366 +:04073100633306F236 +:04073200468300D327 +:0407330092AA03671C +:040734003FF2F2930B +:0407350000C2E2B369 +:04073600013746033E +:0407370003F745037C +:040738008A1D16FD03 +:04073900E2B30636EB +:04073A00E2B300C264 +:04073B0047E200F29F +:04073C00014146032E +:04073D00F793893D68 +:04073E0007CE7FF76C +:04073F0000F2E2B32F +:04074000062E47C278 +:0407410000D036B3FB +:040742007FF7F793B3 +:04074300C6038FD189 +:040744004583015593 +:0407450006F603E7CA +:04074600064E8A1DB4 +:0407470046128FD1F6 +:0407480003F5F5932D +:040749008FCD05DA71 +:04074A0001D615932C +:04074B0045838FCD86 +:04074C004603040755 +:04074D0063330537D6 +:04074E00899D00D3AE +:04074F008D4D059235 +:040750000547458391 +:0407510006328A05DD +:04075200059E89FD7A +:0407530045228DC9E5 +:04075400F5938E4D3E +:04075500053201F375 +:0407560005D2813116 +:0407570045038DC900 +:0407580046830207CB +:04075900540200D76F +:04075A000566897D2A +:04075B0045838D4DF8 +:04075C0047720217C7 +:04075D0089854482C4 +:04075E008D4D05FABE +:04075F00139345B2F9 +:04076000073701F75F +:04076100177D0100FF +:04076200F4938DF986 +:04076300041E03F479 +:04076400D4938C4559 +:040765008DF9018584 +:04076600270367619D +:0407670006FA58C76F +:0407680000D366B3A1 +:040769000404F493FD +:04076A000FF3731303 +:04076B0044838C45F2 +:04076C00062300C799 +:04076D00D31300673B +:04076E00731300867B +:04076F0044830FF3BD +:0407700006A300D705 +:04077100D313006737 +:0407720073130106F6 +:0407730044830FF3B9 +:0407740082E100E737 +:0407750000670723EF +:0407760000F7430342 +:0407770000D707A3FD +:040778000FF2F693F3 +:04077900010743032E +:04077A0000D7082379 +:04077B000082D6938F +:04077C000FF6F693EB +:04077D00011743031A +:04077E0000D708A3F5 +:04077F000102D6930A +:040780000FF6F693E7 +:040781000127430306 +:0407820000D7092370 +:040783000137468371 +:040784000182D29389 +:04078500005709A36D +:040786000FF7F693E0 +:0407870001474303E0 +:0407880000D70A2369 +:040789000087D6937C +:04078A000FF6F693DD +:04078B0001574303CC +:04078C0000D70AA3E5 +:04078D000107D693F7 +:04078E000FF6F693D9 +:04078F004303064ECC +:04079000824D01672E +:0407910000D70B235F +:04079200468383E136 +:040793000BA301773C +:04079400779300F760 +:0407950046830FF692 +:040796000C230187A8 +:04079700579300F77D +:04079800468300860E +:040799000CA3019715 +:04079A00478300F79A +:04079B00826101A7CF +:04079C0000070D2322 +:04079D0001B74783D6 +:04079E0000C70DA3E0 +:04079F0001C74783C4 +:0407A000007563B3CA +:0407A1000083D79367 +:0407A2000FF57513C7 +:0407A30000A70E237A +:0407A4000FF7F793C1 +:0407A50001D74683AF +:0407A60000F70EA3A7 +:0407A7000103D793E0 +:0407A8000FF7F793BD +:0407A90001E746839B +:0407AA000183D39361 +:0407AB0000F70F2321 +:0407AC0001F7478387 +:0407AD0000770FA31F +:0407AE000207478374 +:0407AF008C4D046207 +:0407B00000845793D7 +:0407B1000FF5F593B8 +:0407B20002B7002367 +:0407B3000FF7F793B2 +:0407B400021746835F +:0407B50002F700A3A4 +:0407B6000104579350 +:0407B7000FF7F793AE +:0407B800022746834B +:0407B9000123806137 +:0407BA00478302F778 +:0407BB0001A302375D +:0407BC00542202873A +:0407BD00011354923E +:0407BE00808202C172 +:0407BF00004007B738 +:0407C00002F68C634E +:0407C100080007B76E +:0407C20002F68C634C +:0407C300479965C12C +:0407C400D0B68EE33A +:0407C500008005B7F4 +:0407C600F96387B29A +:0407C700B33902B68A +:0407C80003C745839B +:0407C90007868F8D83 +:0407CA000FF7F7939B +:0407CB004583B301AE +:0407CC00479103C787 +:0407CD00BFCD8F8D80 +:0407CE0003C7458395 +:0407CF00BFDD4795AE +:0407D00003C7458393 +:0407D1008F8D479928 +:0407D2000FF7F79393 +:0407D30003674583F0 +:0407D400CC059EE3CF +:0407D50015A145C263 +:0407D60081C105C216 +:0407D70045D2C82E11 +:0407D80005C205B1A0 +:0407D900CA2E81C1E2 +:0407DA0067E1B1D151 +:0407DB00470563610A +:0407DC008C23112138 +:0407DD00079372E725 +:0407DE00C6266D437B +:0407DF00C82243C425 +:0407E0000413CA062E +:0407E10085636D437C +:0407E20040182E0489 +:0407E3002E07026378 +:0407E400A0EEC7B705 +:0407E500B00787933F +:0407E60002E7D7B39C +:0407E7000084470340 +:0407E800019C02B7B7 +:0407E900CC02829329 +:0407EA000292D2B3F2 +:0407EB002C07006374 +:0407EC000297D4B3E9 +:0407ED0003B005133D +:0407EE0020EFC4161E +:0407EF00C22A0E709C +:0407F00003C005132A +:0407F1000DD020EF18 +:0407F20001C4478374 +:0407F3000084460335 +:0407F400971342A273 +:0407F50067D9002799 +:0407F60051C78793CD +:0407F700439497BAD6 +:0407F800079340180B +:0407F900E2190700FA +:0407FA0006900793CB +:0407FB006561665975 +:0407FC003986061321 +:0407FD00051345C5D6 +:0407FE00C41669C5EF +:0407FF000D1030EFBA +:04080000069342A277 +:0408010006133E801C +:04080200472906403C +:040803000513656113 +:04080400F5B373C510 +:04080500D7B302D291 +:04080600F4B302C481 +:04080700665902C468 +:040808003A06061393 +:04080900D733C43EDF +:04080A00C02602E51D +:04080B0064E145C59A +:04080C005804849375 +:04080D0002D2D6B38A +:04080E00095030EF6E +:04080F0047036761D3 +:0408100047A27EB7C6 +:040811004094EB190B +:040812000006C70312 +:040813000027671340 +:0408140000E6802357 +:040815008F8FF0EFE2 +:04081600676147A22D +:0408170074D74683C9 +:040818000084458390 +:04081900863E4008CF +:04081A006EB020EFAD +:04081B00676157FDBD +:04081C0020F5076359 +:04081D0000A405230B +:04081E0000A40583AA +:04081F00457167E1D7 +:040820007EB78523F7 +:0408210002A585B3F4 +:04082200879366E56D +:04082300460380C642 +:040824004515012451 +:0408250074D747033A +:0408260004544283B1 +:0408270080C686936E +:040828004B8C97AEB0 +:0408290085B389FD0D +:04082A0085B302C5CB +:04082B00051302A50A +:04082C00859306406A +:04082D00C5B3032527 +:04082E00D50302A547 +:04082F00063300E7A5 +:0408300095B202A6D5 +:0408310081C105C2BA +:0408320000B41B23D0 +:040833000177C50381 +:04083400897D40502A +:0408350067618D79F1 +:040836006AA7072383 +:04083700C23647126C +:04083800771346816B +:0408390007330FF77B +:04083A00573302B777 +:04083B00064202C7A8 +:04083C00856382410D +:04083D004F940002D2 +:04083E008A858285A0 +:04083F000594478352 +:040840000FF7771324 +:04084100F80787939A +:0408420087E107E261 +:040843004D5020EF05 +:0408440004D4450390 +:04084500A4EFF0EF3D +:0408460004744503EE +:04084700B0CFF0EF4F +:0408480000A407837E +:04084900458347712B +:04084A0087B301244B +:04084B00471202E767 +:04084C00C50397BA8F +:04084D0020EF016730 +:04084E0067E13F100F +:04084F005887A6839D +:0408500000C4478316 +:0408510000A409A353 +:040852000017B713C1 +:040853000006C78351 +:040854008FD99BF9A4 +:0408550000F6802306 +:0408560000C4478310 +:040857006463470D82 +:04085800470512F747 +:0408590012F76363CC +:04085A0000E79563BB +:04085B000EA347851C +:04085C00450300F45C +:04085D00F0EF01D4E3 +:04085E0047038F2F8E +:04085F00186300C456 +:0408600007831007F3 +:04086100477100A437 +:0408620002E787B36F +:0408630097BA4712E7 +:0408640000C7D7836F +:040865002BD7B79343 +:040866000017C7931D +:04086700409086BE79 +:04086800078E8B8DDF +:04086900001647032B +:04086A009B1D069636 +:04086B0000A38FD97E +:04086C00409800F6BA +:04086D0000174783A6 +:04086E00F9F7F7930C +:04086F0000A38FD57E +:04087000F0EF00F7AE +:040871004703889F12 +:04087200478904149A +:0408730000E4450355 +:040874000763458150 +:04087500458300F7C0 +:040876008D8900F474 +:040877000015B59320 +:04087800D13FE0EF9D +:0408790007B7405825 +:04087A008793019CC3 +:04087B00D7B3CC071C +:04087C00570302E735 +:04087D0046030164C9 +:04087E00468D00D4CF :04087F0002E787B352 -:0408800097BA4712CA -:040881000167C50343 -:0408820048D020EF4B -:04088300A68367E100 -:04088400C783784767 -:0408850089A300C47F -:04088600B71300A400 -:04088700C78300170C -:040888009BF90006D2 -:0408890080238FD960 -:04088A00C78300F62A -:04088B00470D00C451 -:04088C0012F7656397 -:04088D00F4634709C0 -:04088E00470512E721 -:04088F0000E7956386 -:040890008EA3478567 -:04089100C50300F4A7 -:04089200F0EF01D4AE -:04089300C7038F0FF9 -:04089400196300C420 -:04089500878310073E -:04089600477100A402 -:0408970002E787B33A -:0408980097BA4712B2 -:0408990000C7D7833A -:04089A002BD7B7930E -:04089B000017C793E8 -:04089C00401086BEC4 -:04089D00078E8B8DAA -:04089E0000164703F6 -:04089F009B1D069601 -:0408A00000A38FD949 -:0408A100401800F605 -:0408A2000017478371 -:0408A300F9F7F793D7 -:0408A40000A38FD549 -:0408A500F0EF00F779 -:0408A600C703883FBD -:0408A7004789041465 -:0408A80000E4C503A0 -:0408A900076345811B -:0408AA00C58300F70B -:0408AB008D8900F43F -:0408AC000015B593EB -:0408AD00D07FE0EF29 -:0408AE0007B740D870 -:0408AF008793019C8E -:0408B000D7B3CC07E7 -:0408B100D70302E780 -:0408B200C603016414 -:0408B300468D00D49A -:0408B40002E787B31D -:0408B50000C4C703B1 -:0408B60087B30705F8 -:0408B700C70302E78A -:0408B800070500E44C -:0408B90002E787B318 -:0408BA002223676529 -:0408BB001E6388F739 -:0408BC00838508D652 -:0408BD0088F7222373 -:0408BE0088472683BE -:0408BF0005110737E1 -:0408C000F40707131F -:0408C1006B63478599 -:0408C200773700D7AD -:0408C300071304789B -:0408C40047818BF7E6 -:0408C50000D7746381 -:0408C6000104C783DF -:0408C7000504C7035A -:0408C8000414C583CC -:0408C900C703E70971 -:0408CA0007630104BB -:0408CB00446206F786 -:0408CC0000F4882389 -:0408CD0044D240F2DF -:0408CE006105852E0D -:0408CF00F71FE06FC0 -:0408D000BB1D0786BF -:0408D10062916405C7 -:0408D2007704041390 -:0408D300D5428293F5 -:0408D4004791B33560 -:0408D50000F4852383 -:0408D6004711BBCD3E -:0408D7008EA3B5C572 -:0408D800B5D500E4AE -:0408D90000D4C783FD -:0408DA0000E4C683ED -:0408DB00B79317F5C3 -:0408DC008F950017DD -:0408DD0000178693E7 -:0408DE000037379315 -:0408DF000017C793A4 -:0408E000F79397B63D -:0408E10046810FF746 -:0408E2004585B5EDA6 -:0408E300F6B616E36C -:0408E400D7B3078AF5 -:0408E500BFB902D7BE -:0408E6008263478959 -:0408E700C50302F54E -:0408E800C1910114A5 -:0408E9004462458997 -:0408EA0000F4C783CC -:0408EB000424C70317 -:0408EC0044D240F2C0 -:0408ED0046014681F9 -:0408EE00E06F610551 -:0408EF0040F2C02FE4 -:0408F00044D2446248 -:0408F100808261059B -:0408F200C0261151BA -:0408F300C50364E5F0 -:0408F400458188248E -:0408F500C406C22251 -:0408F60056F010EFB9 -:0408F700E121842A4D -:0408F8008824C68307 -:0408F900472967E53F -:0408FA008CD78AA36A -:0408FB00C78367E563 -:0408FC00856399D7A0 -:0408FD00676500E744 -:0408FE0088F704A3D0 -:0408FF00C70367E5DF -:0409000047818D6737 -:0409010067E5C701DE -:040902008897C78388 -:04090300071367650A -:0409040097BA8B878C -:040905008023453DC9 -:0409060010EF00D717 -:0409070085223A907B -:04090800441240A2B3 -:0409090001314482F2 -:04090A001151808285 -:04090B0064E5C026B9 -:04090C008824C50373 -:04090D00C406C22238 -:04090E0038B010EFFE -:04090F00E51D842A34 -:040910008824C683EE -:040911008AA367E569 -:0409120067E58CD732 -:040913008D67C70322 -:04091400C70147814F -:04091500C78367E548 -:0409160067658F473B -:040917008B870713B0 -:04091800453D97BA08 -:0409190000D7802360 -:04091A0035B010EFF5 -:04091B0040A285224F -:04091C0044824412BB -:04091D0080820131A2 -:04091E00C4061151A9 -:04091F00C026C2220A -:040920000002143786 -:04092100202347C583 -:0409220067E10EF487 -:040923007887A783A7 -:040924000E0420237A -:04092500C703650996 -:04092600862300C75D -:04092700C7030007FB -:0409280086A300D7CB -:04092900C7030007F9 -:04092A00872300E738 -:04092B00C7030007F7 -:04092C0087A300F7A6 -:04092D00C7030007F5 -:04092E008A230147D0 -:04092F00C7030007F3 -:040930008AA301573E -:04093100C7030007F1 -:040932008B230167AB -:04093300C7030007EF -:04093400051301772F -:040935008BA371051A -:04093600D0EF0007F7 -:040937000713C25F81 -:0409380067E51D1042 -:040939008AE79A238C -:04093A001D100793F2 -:04093B000EF4202373 -:04093C00E0EF4501A2 -:04093D001537E49FE7 -:04093E00051300039A -:04093F00D0EFD4051C -:040940002637C01F77 -:0409410005B70006F0 -:040942000513019CFC -:04094300061304048F -:040944008593A806E9 -:04094500E0EFCC050E -:0409460020EF85CF4A -:0409470020EF1E403F -:04094800547942603C -:040949001205076329 -:04094A0020EF450154 -:04094B0007934DC001 -:04094C0054750FF0DF -:04094D0010F50F632F -:04094E000DB020EFD9 -:04094F00E0EF450987 -:0409500047CDBAAF26 -:040951001663547164 -:04095200E0EF10F5CD -:0409530020EFC1AF21 -:04095400C50912605F -:04095500470567E506 -:0409560094E789A3F6 -:04095700A9DFE0EF45 -:040958001E63842A6C -:0409590000EF0E0598 -:04095A0064E50570DB -:04095B008D848513EF -:04095C00061365DD3C -:04095D00859304601A -:04095E00057983058F -:04095F0045C030EF70 -:04096000656565DD87 -:040961000360061316 -:040962009C45859398 -:040963009A050513D9 -:04096400448030EFAC -:04096500453D458146 -:040966003AF010EF64 -:04096700C50367E578 -:0409680045818D57E1 -:040969008D84849362 -:04096A0039F010EF61 -:04096B00C78367E1F6 -:04096C00666578073D -:04096D0008A366E590 -:04096E0067E18AF6BD -:04096F007817C783AB -:040970008CF68BA3D3 -:04097100879367E120 -:04097200438C77C774 -:040973000015C703A1 -:0409740080A39B1DA4 -:04097500438C00E5CA -:040976000015C7039E -:04097700F9F7771302 -:0409780000E580A373 -:04097900C703438CE1 -:04097A008B7D00056C -:04097B0006076713F1 -:04097C0000E58023EF -:04097D00C703438CDD -:04097E009B61001564 -:04097F0000376713C3 -:0409800000E580A36B -:040981004703438C59 -:0409820076138B1647 -:04098300C70300178F -:040984009B79000556 -:0409850080238F51EB -:04098600439000E5B5 -:040987008D76C7831F -:0409880097138B8DA9 -:040989004783003769 -:04098A009B9D00062B -:04098B0000238FD9DD -:04098C0017B700F6A3 -:04098D00A78300023A -:04098E0097130D07A7 -:04098F00446300E7D6 -:0409900000EF00076D -:0409910047897B60B7 -:0409920088A34501F0 -:04099300E0EF00F49D -:040994008522C5FFF4 -:04099500441240A226 -:040996000131448265 -:04099700547D808289 -:0409980067E5BFCD83 -:040999008807C78381 -:04099A00FDC1011387 -:04099B000785CE22DC +:0408800000C4470366 +:0408810087B307052D +:04088200470302E73F +:04088300070500E481 +:0408840002E787B34D +:040885002023676164 +:040886001D6368F78F +:04088700838508D687 +:0408880068F72023CA +:040889006807268353 +:04088A000511073716 +:04088B00F407071354 +:04088C006B634785CE +:04088D00773700D7E2 +:04088E0007130478D0 +:04088F0047818BF71B +:0408900000D77463B6 +:040891000104478394 +:04089200050447030F +:040893000414458381 +:040894004703E70926 +:0408950006630104F1 +:04089600082306F736 +:04089700444200F4E3 +:0408980044B240D254 +:040899000161852E46 +:04089A00F73FE06FD5 +:04089B00B389078690 +:04089C00629164857C +:04089D0077048493C5 +:04089E00D54282932A +:04089F004791BB259D +:0408A00000F4052338 +:0408A1004711BBD56B +:0408A2004789B5C508 +:0408A3004783B5CD05 +:0408A400468300D4B3 +:0408A50017F500E45F +:0408A6000017B793ED +:0408A70086938F9510 +:0408A800379300176B +:0408A900C7930037BA +:0408AA0097B60017E6 +:0408AB000FF7F793B9 +:0408AC00B5F54681D7 +:0408AD0017E3458583 +:0408AE00078AF6B609 +:0408AF0002D7D7B3E2 +:0408B0004789B78538 +:0408B10002F5826367 +:0408B20001144503E5 +:0408B3004589C19121 +:0408B40000F4478382 +:0408B50004244703CD +:0408B60040D24442A6 +:0408B700468144B280 +:0408B8000161460193 +:0408B900C0AFE06F7D +:0408BA00444240D2A2 +:0408BB00016144B2E1 +:0408BC0011518082D4 +:0408BD0064E1C0260C +:0408BE0067E4C50323 +:0408BF00C22245818B +:0408C00010EFC4066B +:0408C100842A4FD066 +:0408C200C703E12166 +:0408C30067E167E49E +:0408C40088A346A916 +:0408C50067E16CE794 +:0408C6007997C783D4 +:0408C70000D785636E +:0408C80082A366E1C0 +:0408C90067E168F685 +:0408CA006D27C7834C +:0408CB00C78146811A +:0408CC00C68367E197 +:0408CD0067E1685720 +:0408CE006B4787935A +:0408CF00453D97B656 +:0408D00000E780239A +:0408D100339010EF61 +:0408D200852240A299 +:0408D3004482441205 +:0408D40080820131EC +:0408D500C0261151D7 +:0408D600C50364E111 +:0408D700C22267E4EE +:0408D80010EFC40653 +:0408D900842A31B08C +:0408DA00C703E51D4E +:0408DB0067E167E486 +:0408DC0088A3468126 +:0408DD0067E16CE77C +:0408DE006D27C78338 +:0408DF0067E1C78185 +:0408E0006F07C68355 +:0408E100879367E1B1 +:0408E20097B66B4713 +:0408E3008023453DEC +:0408E40010EF00E72A +:0408E50040A22EB04F +:0408E6004412852211 +:0408E7000131448215 +:0408E80011518082A8 +:0408E900C222C4065D +:0408EA001437C026D9 +:0408EB0047C50002FB +:0408EC000EF42023C3 +:0408ED00A78367E195 +:0408EE00202358C7A4 +:0408EF0065090E0485 +:0408F00000C7C70373 +:0408F1000007862353 +:0408F20000D7C70361 +:0408F300000786A3D1 +:0408F40000E7C7034F +:0408F500000787234E +:0408F60000F7C7033D +:0408F700000787A3CC +:0408F8000147C703EA +:0408F90000078A2347 +:0408FA000157C703D8 +:0408FB0000078AA3C5 +:0408FC000167C703C6 +:0408FD0000078B2342 +:0408FE000177C703B4 +:0408FF007105051367 +:0409000000078BA3BE +:04090100CFBFD0EFA5 +:040902001D1007932A +:0409030018236761ED +:0409040020236AF74B +:0409050045010EF4A6 +:04090600E51FE0EF1A +:04090700000315379D +:04090800D4050513FA +:04090900CDBFD0EF9F +:04090A000006263786 +:04090B00019C05B78F +:04090C0004040513C7 +:04090D00A80606131F +:04090E00CC058593FC +:04090F00932FE0EF53 +:0409100015C020EFFF +:04091100398020EF1A +:0409120007635479AA +:040913004501120583 +:04091400450020EF8B +:040915000FF0079345 +:040916000F635475A2 +:0409170020EF10F5C8 +:0409180045090490F9 +:04091900BB6FE0EFE1 +:04091A00547147CD00 +:04091B0010F516635A +:04091C00C28FE0EFB7 +:04091D0009E020EFDE +:04091E0067E1C509BF +:04091F0087A347055E +:04092000E0EF74E7A9 +:04092100842AAAFF7B +:040922000E051E633D +:04092300061000EFCB +:04092400851364E1F2 +:0409250065D96D44DF +:040926000460061350 +:040927005845859317 +:04092800E0EF05797E +:0409290065DD9F8F5A +:04092A0006136561EA +:04092B00859303604D +:04092C0005138185A9 +:04092D00E0EF79C5B9 +:04092E0045819E4F12 +:04092F0010EF453D43 +:0409300067E1341037 +:040931006D17C50376 +:0409320084934581E4 +:0409330010EF6D4410 +:0409340067E1331034 +:040935005847C783D5 +:0409360066E16661AF +:040937006AF606A3B3 +:04093800C78367E129 +:0409390089A35857DF +:04093A0067E16CF60F +:04093B00580787933F +:04093C00C703438C1E +:04093D009B1D0015E9 +:04093E0000E580A3AD +:04093F00C703438C1B +:040940007713001514 +:0409410080A3F9F79F +:04094200438C00E5FD +:040943000005C703E1 +:0409440067138B7D2D +:0409450080230607FE +:04094600438C00E5F9 +:040947000015C703CD +:0409480067139B6135 +:0409490080A3003750 +:04094A00438C00E5F5 +:04094B006AD647031E +:04094C000017761307 +:04094D000005C703D7 +:04094E008F519B79B1 +:04094F0000E580231C +:04095000C783439086 +:040951008B8D6D36E7 +:0409520000379713C0 +:0409530000064783D0 +:040954008FD99B9DFF +:0409550000F6002385 +:04095600000217B7CD +:040957000D07A7835E +:0409580000E797130A +:0409590000074463EC +:04095A007C0000EF2E +:04095B004501478982 +:04095C0000F488A378 +:04095D00C67FE0EF82 +:04095E00852240A20C +:04095F004482441278 +:04096000808201315F +:04096100BFCD547D35 +:04096200C78367E1FF +:04096300011367C74E +:04096400D022FD811F +:04096500F793078578 +:0409660007B20FF7CE +:0409670083C107C27F +:040968006461C83EC0 +:040969006B04578341 +:04096A00D206474228 +:04096B008FD9CE262C +:04096C008FD9776147 +:04096D0083C107C279 +:04096E006AF41823EC +:04096F000002173734 +:04097000C402C202F9 +:0409710064E166597E +:040972000EF7202339 +:040973003B860613A6 +:04097400851345C5DD +:0409750030EF6C04EF +:04097600E0EF2F601F +:040977006741B2DF43 +:040978004785177D1B +:040979001737CA3A28 +:04097A0026830002CE +:04097B0046520D07CC +:04097C00C6368EF1FC +:04097D000C079F6361 +:04097E0066E1CEE977 +:04097F0079C686931C +:040980000166D60333 +:04098100578345B2A1 +:0409820018636B0487 +:0409830076F512B63D +:040984008FF516FDD8 +:040985006AF41823D5 +:040986000EF7202325 +:040987006C04802359 +:04098800AE7FE0EF6F +:040989000006253708 +:04098A00A8050513A4 +:04098B00AD3FD0EFBD +:04098C006B0457831E +:04098D0066914742E6 +:04098E003E8005138F +:04098F008FD58FD998 +:0409900083C107C256 +:040991006AF41823C9 +:040992000002173711 +:040993000EF7202318 +:0409940020C030EF60 +:04099500232030EFFC +:0409960066E147854A +:0409970004F51263EE +:0409980058C6A60394 +:04099900008647038A +:04099A0000964783F9 +:04099B000FF77713C8 :04099C000FF7F793C7 -:04099D0007C207B2D4 -:04099E00C83E83C10B -:04099F0057836465B1 -:0409A00047428B44FB -:0409A100CC26D0068A -:0409A20067218FD961 -:0409A3001A238FD9AB -:0409A40017378AF483 -:0409A500C202000288 -:0409A6006637C402EA -:0409A70064E5000102 -:0409A8000EF7202303 -:0409A9006C4606137F -:0409AA00851345C5A7 -:0409AB0030EF8C4459 -:0409AC00E0EF402018 -:0409AD006741B27F6D -:0409AE004785177DE5 -:0409AF001737CA3AF2 -:0409B0002683000298 -:0409B10046520D0796 -:0409B200C6368EF1C6 -:0409B300C6F1E7F9A9 -:0409B400869366E5DB -:0409B500D6039A06C5 -:0409B60045B20166DF -:0409B7008B44578393 -:0409B80012B61463FC -:0409B90016FD76F5BC -:0409BA001A238FF578 -:0409BB0020238AF477 -:0409BC0082230EF78D -:0409BD00E0EF8C04D7 -:0409BE002537AE3FEC -:0409BF000513000616 -:0409C000D0EFA805C7 -:0409C10057839FDFDA -:0409C20047428B44D9 -:0409C3000513669121 -:0409C4008FD93E8009 -:0409C5001A238FD58D -:0409C60017378AF461 -:0409C70020230002E7 -:0409C80030EF0EF707 -:0409C90030EF246087 -:0409CA00478526C077 -:0409CB001C6366E162 -:0409CC00A60302F587 -:0409CD00478378865E -:0409CE004703008655 -:0409CF000722009665 -:0409D00047838F5D6D -:0409D10007C200A6B3 -:0409D20047838F5D6B -:0409D30007E200B681 -:0409D40097138FD90D -:0409D5008341010752 -:0409D6009713C23A77 -:0409D7008351004701 -:0409D800D2E3C43A68 -:0409D90030EFFC07F8 -:0409DA0047922440DC -:0409DB006637EF95F7 -:0409DC0006130001FD -:0409DD0045C56D0699 -:0409DE008C448513AD -:0409DF00334030EF82 -:0409E000A59FE0EF00 -:0409E1008B445703E9 -:0409E20017FD77F195 -:0409E3001A238FF94B -:0409E40017378AF443 -:0409E50020230002C9 -:0409E60065090EF79A -:0409E700710505137E -:0409E80095FFD0EFB8 -:0409E900BF2147B231 -:0409EA004752479297 -:0409EB0000E7976327 -:0409EC000001663769 -:0409ED006E06061379 -:0409EE004712BF7D70 -:0409EF00660545A2B2 -:0409F0000640079323 -:0409F10056B3167D66 -:0409F200773302F75E -:0409F3009C6302F708 -:0409F400663700C59D -:0409F50006130001E4 -:0409F60045C56E86FF -:0409F7008C44851394 -:0409F8002D0030EFAF -:0409F9004622BF7162 -:0409FA00851345C557 -:0409FB0076338C447F -:0409FC00C03202F60D -:0409FD0057B3462284 -:0409FE00663702F660 -:0409FF0006130001DA -:040A000030EF6F461E -:040A0100BFAD2AE07B -:040A02000186D68310 -:040A03009BE34632F9 -:040A040007D2F6C659 -:040A05001A2383D15C -:040A060050828AF49C -:040A070020234472F2 -:040A080044E20EF7BF -:040A0900011345018F -:040A0A0080820241A3 -:040A0B00C4061151BB -:040A0C002C0020EFAB -:040A0D00FFAFF0EF58 -:040A0E0040A267E5B6 -:040A0F0097B7C503CD -:040A1000E06F013161 -:040A11000113A6BF68 -:040A1200D806FCC145 -:040A1300D426D622ED -:040A140091DFD0EFAF -:040A1500F0EF842A50 -:040A16006761C23F13 -:040A170077C7079303 -:040A1800C03E86AAAC -:040A190064E56565C6 -:040A1A001206CD6390 -:040A1B000001663739 -:040A1C0007134681F5 -:040A1D000613056057 -:040A1E0045C57086D4 -:040A1F008A0505132C -:040A2000230030EF90 -:040A2100000165B7B4 -:040A2200859346452D -:040A2300851373853F -:040A240030EF940417 -:040A25004782146090 -:040A26004398802948 -:040A270000074783FA -:040A28000027E79329 -:040A290000F70023AF -:040A2A00977FE0EFE3 -:040A2B000007A537E4 -:040A2C001205051397 -:040A2D0084BFD0EFC3 -:040A2E0086BFD0EFC0 -:040A2F0012C0079357 -:040A300002F407B312 -:040A3100C80264652E -:040A3200C402C60232 -:040A33000413C202E4 -:040A3400CE3E8D84A1 -:040A3500CA3E4799D5 -:040A3600000217B7EC -:040A37000D07A7837D -:040A38006565666525 -:040A39000107971307 -:040A3A00C69383419B -:040A3B002023FFF77E -:040A3C0083E19EE6CE -:040A3D000003073774 -:040A3E00F5938F7528 -:040A3F0066E50FF762 -:040A40009EE6A22369 -:040A41009EB5042337 -:040A4200863685323D -:040A4300CF8166E514 -:040A4400FFE58793B0 -:040A45000FF7F7931D -:040A46007663430D83 -:040A4700C78300F36E -:040A480094639DC650 -:040A4900202300B7AF -:040A4A0067E59E05B9 -:040A4B009CB68E23A4 -:040A4C009D87A68359 -:040A4D009CE7AC2353 -:040A4E006765E2D521 -:040A4F0095474783FD -:040A5000CBF164E59D -:040A510001C4478312 -:040A520066E5C7F995 -:040A530000B4478321 -:040A54009EF6C683C1 -:040A5500E3E18FD575 -:040A5600FEEFD0EFF0 -:040A57006C6347F293 -:040A580047420AF512 -:040A590006300793C9 -:040A5A000AE7E7635D -:040A5B004683676502 -:040A5C0047859547EE -:040A5D00876347055F -:040A5E0047D200F685 -:040A5F00FFA7871353 -:040A600000E0373348 -:040A610001C4478302 -:040A620017FD46A195 -:040A63000FF7F793FF -:040A640006F6E1634E -:040A6500078A66D9BD -:040A660064468693C9 -:040A6700439C97B65F -:040A680066378782E4 -:040A6900061300016F -:040A6A0045C571C647 -:040A6B008A050513E0 -:040A6C00100030EF57 -:040A6D00000175B758 -:040A6E0085934645E1 -:040A6F008513D00516 -:040A700030EF9404CB -:040A71004782188020 -:040A720047834398DB -:040A7300E7930007FE -:040A74000023002734 -:040A7500E0EF00F7B7 -:040A7600A001849FB8 -:040A77009E06222392 -:040A780067E5BFA9C6 -:040A79008B67C7833D -:040A7A0000F037B39E -:040A7B0084A30789C0 -:040A7C0047C288F4F1 -:040A7D000785475250 -:040A7E000FF7F793E4 -:040A7F004799C83E8D -:040A800000F7076311 -:040A810000170793C0 -:040A82000FF7F793E0 -:040A8300D0EFCA3EA8 -:040A84004785F14F62 -:040A85002305C63E41 -:040A8600C78367E5D6 -:040A8700CC2A9EF7E0 -:040A88004501C781DC -:040A89002D6010EFDD -:040A8A008894C78302 -:040A8B0001C4470358 -:040A8C0000F7046308 -:040A8D00E7114762C4 -:040A8E00CB1547320B -:040A8F0000B4470365 -:040A90006765C71DB2 -:040A91008D67470323 -:040A9200C315C63A88 -:040A93000713676579 -:040A940097BA8B87FB -:040A95000007C5038E -:040A9600C70367E546 -:040A9700C6028D57AF -:040A980000A7076349 -:040A99008AA3458166 -:040A9A0010EF8CA726 -:040A9B00C7836DC0E0 -:040A9C0047038894F0 -:040A9D0064E501C447 -:040A9E009584849324 -:040A9F0000F71C63DD -:040AA000470946922A -:040AA10018D7786387 -:040AA2000344C683C0 -:040AA30005244703DC -:040AA40018E682636B -:040AA5006365473D01 -:040AA60094E308A32A -:040AA7007863470D1C -:040AA800C70308F781 -:040AA9004685034437 -:040AAA0000D71863F6 -:040AAB00FFA7869388 -:040AAC000FF6F693B8 -:040AAD0006D77D6388 -:040AAE00F063469516 -:040AAF00468908F676 -:040AB00006D71B63E7 -:040AB100FFA7871301 -:040AB2000FF77713B0 -:040AB300F66346851B -:040AB400450906E604 -:040AB50085934709D5 -:040AB600F613FFF73D -:040AB70042A10FF554 -:040AB80006C2E1632E -:040AB900060A62D9EE -:040ABA00668282933B -:040ABB004210961639 -:040ABC0047858602E2 -:040ABD00EE071DE340 -:040ABE00C78367E1A2 -:040ABF00B79377B7BB -:040AC0000791001783 -:040AC1004799B5EDAF -:040AC200EE0703E355 -:040AC3008894C783C9 -:040AC400479DBDF994 -:040AC50067E5BDE93B -:040AC6008887C783D3 -:040AC70000F037B351 -:040AC800B5F107A1DC -:040AC90014E3479952 -:040ACA004785EC0769 -:040ACB00468DB5C9D6 -:040ACC004701450594 -:040ACD004685B74D56 -:040ACE004689BF692D -:040ACF00BFD5450149 -:040AD000F593C402D4 -:040AD10046210FF5B6 -:040AD2006963C20290 -:040AD300665900B6AA -:040AD40068C60613D7 -:040AD5004603962E10 -:040AD600C232000622 -:040AD70000F40E23F6 -:040AD80004D4478378 -:040AD900000405A36D -:040ADA00458D4605FB -:040ADB0000F6766348 -:040ADC008D9D459512 -:040ADD000FF5F59389 -:040ADE00D03AD23602 -:040ADF00643010EF80 -:040AE000713010EF72 -:040AE100830FE0EFB0 -:040AE200C78367E57A -:040AE30057029537EA -:040AE400C7895692D6 -:040AE50010EF853653 -:040AE600570229701A -:040AE70045924622CC -:040AE80020EF853A3C -:040AE90047834400FB -:040AEA00656501C479 -:040AEB0097134645D2 -:040AEC0067D900279F -:040AED0069878793FB -:040AEE00438C97BAE4 -:040AEF008A0505135C -:040AF00000042223B9 -:040AF100787020EF0A -:040AF200000165B7E3 -:040AF30046456565AA -:040AF40072C58593AF -:040AF500940505134C -:040AF600773020EF46 -:040AF700C78367E565 -:040AF800EB999EF7E1 -:040AF9004398478255 -:040AFA000007478327 -:040AFB000027E79356 -:040AFC0000F70023DC -:040AFD00E2AFE0EF95 -:040AFE00CF8947E273 -:040AFF00C70367E5DD -:040B000047A9881762 -:040B010000F7156381 -:040B020010EF453D6E -:040B0300D0EF3B8074 -:040B0400C802D14F03 -:040B05000234C703EC -:040B06000414478309 -:040B070002F7056389 -:040B0800011445038C -:040B090047014781D8 -:040B0A0046014681D9 -:040B0B00D0EF458161 -:040B0C00C503B8FF66 -:040B0D00E0EF0234DF -:040B0E00C783E76F43 -:040B0F002223023467 -:040B100000A300043A -:040B1100C58304F4A0 -:040B120047890234D9 -:040B130002F58663FE -:040B14000244C703CD -:040B150004244783EA -:040B160002E780630F -:040B1700011445037D -:040B18004589C191B9 -:040B190000F447831A -:040B1A0046014681C9 -:040B1B00B51FD0EF43 -:040B1C000244C78345 -:040B1D0004F40123B8 -:040B1E000344C78342 -:040B1F00052447035F -:040B200000F7046373 -:040B210004F40923AC -:040B220067E16761BF -:040B23007817468376 -:040B2400C7836765B7 -:040B25004583780785 -:040B260066658B175E -:040B270000F59663DC -:040B28008D764583FE -:040B290002D58D6301 -:040B2A008AF708A39B -:040B2B000BA34702CF -:040B2C0043148CD60C -:040B2D000017F713A3 -:040B2E000006C78373 -:040B2F008FD99BF9C6 -:040B300000F6802328 -:040B31004394478220 -:040B32008D764783F2 -:040B330097138B8DFC -:040B3400C78300373C -:040B35009B9D00067E -:040B360080238FD9B0 -:040B3700478300F6FA -:040B3800C3B501C47C -:040B3900E0EF451292 -:040B3A004789837FE5 -:040B3B000CF5026350 -:040B3C000A63479170 -:040B3D00478502F5F1 -:040B3E0004F5176340 -:040B3F0000B4478334 -:040B4000E0EFC3B966 -:040B4100A081E17F2F -:040B4200C43246096A -:040B4300460DBD1D81 -:040B4400C603BFED38 -:040B4500428503449E -:040B460014E3C416DA -:040B47004641E2063B -:040B480094C308A3A7 -:040B49004783BD39E8 -:040B4A00C39D00B493 -:040B4B005783676500 -:040B4C00E7938B4759 -:040B4D001A23002740 -:040B4E0017378AF7D4 -:040B4F00202300025D -:040B5000F0EF0EF7BD -:040B51000513AEBF1B -:040B5200D0EF12C00E -:040B5300B669BB4F75 -:040B54000004222354 -:040B550053F010EF5A -:040B560001C447830C -:040B57004645656545 -:040B580000279713C8 -:040B5900879367D93E -:040B5A0097BA698756 -:040B5B000513438CAF -:040B5C0020EF8A05F7 -:040B5D0065B75D908B -:040B5E0065650001C8 -:040B5F0085934645EF -:040B6000051372C542 -:040B610020EF9405E8 -:040B620067E55C5097 -:040B63009EF7C783AF -:040B64004782EB9940 -:040B650047834398E7 -:040B6600E79300070A -:040B67000023002740 -:040B6800E0EF00F7C3 -:040B6900D0EFC7CF33 -:040B6A00CA02B7CF35 -:040B6B00BF61C8029C -:040B6C0000B4478307 -:040B6D00F0EFDBC901 -:040B6E00B771A78F25 -:040B6F00656565DD76 -:040B70000613115106 -:040B71008593046004 -:040B720005138305DF -:040B7300C40695859A -:040B7400409020EF9E -:040B7500656565DD70 -:040B760040C0061362 -:040B77007CC5859321 -:040B7800A1050513BB -:040B79003F5020EFDA -:040B7A0067E540A249 -:040B7B00892347057E -:040B7C00450194E7B4 -:040B7D008082013140 -:040B7E001111675D8D -:040B7F0095870793BC -:040B8000CC06C826B1 -:040B8100C002CA22C2 -:040B8200C43E4481A8 -:040B830075B7646579 -:040B840046450001E1 -:040B85009FC58593F0 -:040B860088C4051307 -:040B870052F020EF19 -:040B8800971347A2D6 -:040B8900656500247A -:040B8A00430C973E43 -:040B8B0005134645C3 -:040B8C0020EF8C4585 -:040B8D00E0EF5190B4 -:040B8E004681BA2FB3 -:040B8F001737C22230 -:040B900025830002B7 -:040B910067C10D0724 -:040B9200F63317FD22 -:040B9300646500F5A0 -:040B94009EC42023B8 -:040B95000D0727031E -:040B9600000305371C -:040B9700471367E5B4 -:040B98008F69FFF76B -:040B99009EE7A2230E -:040B9A00CA15C63E74 -:040B9B000863478222 -:040B9C00676502F691 -:040B9D000014951398 -:040B9E009A07071398 -:040B9F00EABD972AEA -:040BA0001023479245 -:040BA10075B700B76D -:040BA20046450001C3 -:040BA300A045859351 -:040BA40088C7851366 -:040BA5004B7020EF82 -:040BA600B40FE0EFB9 -:040BA70067654685B3 -:040BA800260347B227 -:040BA900A3039D877E -:040BAA0087BA9E4721 -:040BAB006741E605B3 -:040BAC0002E31263EB -:040BAD0065DDE0BD65 -:040BAE000613656560 -:040BAF0085930360C7 -:040BB00005139C4548 -:040BB100C01A9A05C7 -:040BB200311020EFEF -:040BB300468943022A -:040BB40067E544EDC0 -:040BB5009E04270370 -:040BB6009C67AC2369 -:040BB700C03AC63644 -:040BB8008F634709F7 -:040BB900650902E6E2 -:040BBA0071050513A9 -:040BBB00A12FD0EFA7 -:040BBC00B7B146B2D5 -:040BBD0000075703D3 -:040BBE0002E346897F -:040BBF004792FAE679 -:040BC000000175B704 -:040BC100859346458D -:040BC2008513A0C532 -:040BC30020EF88C7D0 -:040BC400E0EF43D04B -:040BC5004681AC6F4A -:040BC60014F9B7590E -:040BC700BF5D46893F -:040BC80047690485F0 -:040BC900EE9754E36C -:040BCA0040E244526F -:040BCB00453D44C29E -:040BCC00106F017134 -:040BCD000113090007 -:040BCE000793FBC1CD -:040BCF00CE3E0131E4 -:040BD000879367E5BB -:040BD100D03E971764 -:040BD200879367E5B9 -:040BD300D23E972750 -:040BD400879367E5B7 -:040BD500D43E97373C -:040BD600879367E5B5 -:040BD700D63E974728 -:040BD80067E5D83EB7 -:040BD9009757879310 -:040BDA0027B7DA3E21 -:040BDB0087930F03EA -:040BDC00CA3EF01706 -:040BDD00303007931A -:040BDE001C2363650C -:040BDF00478D00F14D -:040BE00000F10D23F0 -:040BE1008D83079366 -:040BE20001C7C783FD -:040BE300C086DE22C8 -:040BE40009A3DC265F -:040BE500472500019F -:040BE6008D830313E5 -:040BE70086634405D8 -:040BE800841300E78B -:040BE900741300176A -:040BEA0067E50FF4B8 -:040BEB00A603676591 -:040BEC0007139E0746 -:040BED0047819A079B -:040BEE00568345E9FC -:040BEF001C6300077C -:040BF000476900D67B -:040BF10010F7626334 -:040BF200078A675DAA -:040BF30087870713D6 -:040BF400439C97BACD -:040BF50007098782E3 -:040BF60050B78C6305 -:040BF700BFF10785BE -:040BF80066E54785E2 -:040BF9008B46D7034D -:040BFA001007471386 -:040BFB008AE69A23C9 -:040BFC0027036765FF -:040BFD0016939E4766 -:040BFE00D36300F7C6 -:040BFF0087A20006C3 -:040C000000E7169360 -:040C01000006DE63A8 -:040C02004683676559 -:040C03004585958707 -:040C0400E56346015D -:040C0500068500D58B -:040C06000FF6F613DC -:040C070094C70C235F -:040C08004501472932 -:040C090000E7866317 -:040C0A0004A3676573 -:040C0B00450588F71C -:040C0C00D70367E5BE -:040C0D0066E58B47C6 -:040C0E009EF6C68305 -:040C0F0007429B4DB0 -:040C10009A2383415F -:040C1100EA818AE703 -:040C1200C68366E54A -:040C1300068A8C269B -:040C14009A238F553B -:040C1500D7038AE790 -:040C160040868B4742 -:040C170017B7547245 -:040C1800A023000213 -:040C190054E20EE7AC -:040C1A00044101137D -:040C1B004789808203 -:040C1C00478DBF8DB4 -:040C1D004791B7BD87 -:040C1E004795B7AD92 -:040C1F004799B79D9D -:040C2000479DB78DA8 -:040C210047A1BFB96F -:040C220047A5BFA97A -:040C230066E5BF992A -:040C24009EF6C783EE -:040C250026036761DA -:040C2600B79377C742 -:040C270087A3001788 -:040C280047039EF6EA -:040C2900078A000630 -:040C2A008FD99B6D56 -:040C2B0000F60023AC -:040C2C00C70367E5AE -:040C2D0067E58D5793 -:040C2E0088E78123AF -:040C2F009EF6C783E3 -:040C30004505C7911E -:040C3100437000EF1D -:040C3200BF2147A9EE -:040C3300952FE0EF2A -:040C340067E1BFE5D0 -:040C35007887A70312 -:040C3600000176370C -:040C37004483656528 -:040C380047830007E7 -:040C39000613001787 -:040C3A0045C58F46D7 -:040C3B008FC507A2B8 -:040C3C0000274483C6 -:040C3D0088C505134E -:040C3E008FC504C298 -:040C3F0000374483B3 -:040C40008CDD04E261 -:040C410000B4D69392 -:040C42000016F7930E -:040C43007FF4F393B4 -:040C4400C61EC43EC6 -:040C4500004746839B -:040C4600005742838E -:040C4700E6B302A26C -:040C4800428300D211 -:040C490047030067F6 -:040C4A0002C200776B -:040C4B0000D2E2B33E -:040C4C0000A3068378 -:040C4D0002B2477137 -:040C4E0002E686B381 -:040C4F0007136765BB -:040C5000D293A10793 -:040C5100C21600C205 -:040C520066E5973686 -:040C53008D56C68371 -:040C5400161020EF67 -:040C5500831367E5B9 -:040C560047038D873C -:040C5700C72100B3FE -:040C580047A243B2BA -:040C590006900713E7 -:040C5A00001386936A -:040C5B0000F696B356 -:040C5C00979396BE16 -:040C5D00429201447A -:040C5E000007C46364 -:040C5F000700071370 -:040C6000000307B7CF -:040C610007938CFD6C -:040C6200E09902A073 -:040C630002000793F1 -:040C640000017637DE -:040C6500C0166565EB -:040C660090060613DB -:040C6700051345C567 -:040C680020EF8C45A8 -:040C690067E110F03F -:040C6A0077C7A7039E -:040C6B0000074783B4 -:040C6C000047E793C3 -:040C6D0000F7002369 -:040C6E00820FE0EF22 -:040C6F006765B731CD -:040C70008B475783D4 -:040C71000107C7931D -:040C72008AF71A23C0 -:040C730067E5BDF57F -:040C74009587C70396 -:040C75004681460569 -:040C760000E66563CC -:040C77007693070564 -:040C78008C230FF7C3 -:040C7900B5CD94D78A -:040C7A00871367E590 -:040C7B00470395870F -:040C7C004605001712 -:040C7D00958787933D -:040C7E0065634681E3 -:040C7F00070500E67F -:040C80000FF7769361 -:040C810000D780A375 -:040C82006765B5C12C -:040C830095870793B7 -:040C84000067C783BB -:040C85009587071335 -:040C860017FDC7810E -:040C87000FF7F793D9 -:040C880000F703234B -:040C89006765B55591 -:040C8A009587071330 -:040C8B000067478334 -:040C8C00F36346B90F -:040C8D0047B900F66D -:040C8E00B7DD078542 -:040C8F00000175B734 -:040C9000464565650B -:040C910091058593B1 -:040C920088C50513F9 -:040C93000FF020EF4F -:040C940075B762E5E9 -:040C950085130001C2 -:040C960046458C4201 -:040C970092058593AA -:040C98000EB020EF8B -:040C9900A68364E1E9 -:040C9A00C78377C4D1 -:040C9B00E7930006D5 -:040C9C00802300476A -:040C9D00D0EF00F69E -:040C9E006765F63F51 -:040C9F0062E567E5BE -:040CA000A10707138E -:040CA1008D878313A5 -:040CA200000217B77E -:040CA3000D07A60390 -:040CA400879367E5E6 -:040CA50006429A0762 -:040CA60046818241C0 -:040CA700D50345ED3F -:040CA80006630007D8 -:040CA900068500C5F7 -:040CAA009AE3078939 -:040CAB000783FEB607 -:040CAC00467100A3EA -:040CAD0002C787B340 -:040CAE0097BA461596 -:040CAF0083F54BDCA2 -:040CB00002F6646381 -:040CB1004163461144 -:040CB200183806D612 -:040CB3004703973E1E -:040CB4005733FDC7EE -:040CB5008B0540D794 -:040CB600078AC70DD5 -:040CB70097BA183898 -:040CB800FE47A783C9 -:040CB90000D78023BD -:040CBA0077C4A70351 -:040CBB000007478364 -:040CBC0000239BED89 -:040CBD00D0EF00F77D -:040CBE0067E5F29F55 -:040CBF009E0787A362 -:040CC0007637B3E1EF -:040CC10006850001A3 -:040CC20092C60613BD -:040CC300851345C58B -:040CC40020EF8C424F -:040CC500D0EF79E013 -:040CC600A537EC3F23 -:040CC700051300070A -:040CC800C0EF120562 -:040CC900B7C9DDDFEB -:040CCA008FE347B1BC -:040CCB006509FAF6C7 -:040CCC007105051396 -:040CCD00DCBFC0EFD9 -:040CCE00871367E53C -:040CCF0067E5A1072D -:040CD0008D87831376 -:040CD100B78962E598 -:040CD20000A30683F2 -:040CD30067E546711A -:040CD40002C686339B -:040CD500A1078713D9 -:040CD600879345813A -:040CD7009732A107A8 -:040CD8000167470366 -:040CD9006563467990 -:040CDA00070500E624 -:040CDB000FF7759307 -:040CDC0086334671A4 -:040CDD00676502C67F -:040CDE008B2397B21B -:040CDF00460500B70F -:040CE00094C7092389 -:040CE10047036765F9 -:040CE2009FE39F8766 -:040CE300C703D2E68B -:040CE40067E5016758 -:040CE5009EE79623CD -:040CE6000683BB05C1 -:040CE700467100A3AF -:040CE800863367E503 -:040CE900871302C6A5 -:040CEA0045FDA1071C -:040CEB00A107879343 -:040CEC0047039732F1 -:040CED00DF4D01676F -:040CEE00BF4D177D62 -:040CEF004481478570 -:040CF0006565C23E36 -:040CF100000177B7D0 -:040CF200859346455B -:040CF300051393C78B -:040CF40020EF88C5A0 -:040CF50046A9778015 -:040CF60002D486B3EB -:040CF70047924615C5 -:040CF8005363873685 -:040CF900471500D6C5 -:040CFA00763762E502 -:040CFB00851300015C -:040CFC0007258C42FA -:040CFD0094C6061380 -:040CFE00C63E45C5E4 -:040CFF006B4020EF37 -:040D0000A60366E1FF -:040D0100470377C667 -:040D0200671300066D -:040D03000023004782 -:040D0400D0EF00E646 -:040D050066E1DC7F48 -:040D0600C23647B2F8 -:040D070066C162E57A -:040D080016FDC416FA -:040D09000002173796 -:040D0A000D072703A7 -:040D0B00EF998F7558 -:040D0C0067E5CF11B7 -:040D0D009A078613A8 -:040D0E00478145EDE7 -:040D0F000006550382 -:040D100002E5026393 -:040D11000609078543 -:040D1200FEB79AE3AB -:040D13000513650956 -:040D1400C63A710565 -:040D1500CABFC0EFA2 -:040D160087BA47321F -:040D17000693674197 -:040D1800B7C9FFF761 -:040D19008763462581 -:040D1A00461100C7B7 -:040D1B004625E091F8 -:040D1C0004C7DE63C7 -:040D1D0007854729D6 -:040D1E0002E7E7B34E -:040D1F0002E4833334 -:040D2000979A6765D2 -:040D210088F701232B -:040D2200F41FE0EFEB -:040D230076B7C91DB9 -:040D240086930001B1 -:040D250047A28EC68D -:040D2600000176371B -:040D2700CF060613DA -:040D2800851345C525 -:040D290020EF8C47E4 -:040D2A00D0EF60A006 -:040D2B00A537D2FF17 -:040D2C0005130007A4 -:040D2D00C0EF1205FC -:040D2E004792C49F85 -:040D2F0077C7A703D8 -:040D300076B7B535A8 -:040D310086930001A4 -:040D3200B7F18E4641 -:040D33009663466914 -:040D3400C49300C79D -:040D350087BA001465 -:040D36004631B5E5A8 -:040D3700F6C798E380 -:040D380067E5BFE9C3 -:040D39009EF7C783D7 -:040D3A00BE0790E37D -:040D3B00BCD587A2FA -:040D3C00BCFD47A90A -:040D3D00DBC1011302 -:040D3E00202318282E -:040D3F002E2324112A -:040D40002C232281BD -:040D4100D0EF22913C -:040D4200C22AC33FBF -:040D4300424020EF1B -:040D4400440D479281 -:040D45004611EBC5A3 -:040D46000828182C35 -:040D470062E020EF57 -:040D4800000175B77A -:040D49008593461137 -:040D4A000828BA8536 -:040D4B005F2020EF16 -:040D4C0006400793C3 -:040D4D005783E9419E -:040D4E00059303C145 -:040D4F00462103E155 -:040D500001E10513A5 -:040D510000F11E236C -:040D5200602020EF0E -:040D5300046157835D -:040D5400020102A3F3 -:040D550000F11A236C -:040D5600048157833A -:040D570000F11B2369 -:040D580000EF455211 -:040D590057837AF052 -:040D5A00D42A04A1F2 -:040D5B0000F11A2366 -:040D5C0004C15783F4 -:040D5D0000F11B2363 -:040D5E0000EF45520B -:040D5F0057837970CD -:040D6000D62A04E1AA -:040D610000F11A2360 -:040D620005015783AD -:040D630000F11B235D -:040D640000EF455205 -:040D6500D82A77F021 -:040D660023412503FD -:040D670000EFCA2AA5 -:040D680055A27730E9 -:040D69000793DA2AE8 -:040D6A0087131E20AD -:040D6B00E063FE65DE -:040D6C00460514E73D -:040D6D0000EF182853 -:040D6E0057D277F0F1 -:040D6F0006F50B6317 -:040D7000066007937F -:040D710020EFC23E6F -:040D7200479236A0CE -:040D73008963470544 -:040D740047632AE7C0 -:040D7500071326F743 -:040D76008863F3504B -:040D770044632AE7C0 -:040D7800071324F742 -:040D79008763F34059 -:040D7A0075B72AE738 -:040D7B00859300015B -:040D7C00C23EAF457F -:040D7D00851367E58E -:040D7E0046458C4713 -:040D7F0054E020EF2D -:040D8000BD9FD0EF54 -:040D8100000F4537E3 -:040D8200240505132C -:040D8300AF3FC0EFCF -:040D8400DA63479255 -:040D850058632A077E -:040D860076372A8012 -:040D8700656500019D -:040D8800C146061347 -:040D8900051345C544 -:040D8A0020EF88C509 -:040D8B00147D48602B -:040D8C006465A2B93F -:040D8D0000017637B4 -:040D8E00BB06061387 -:040D8F00051345C53E -:040D900020EF88C404 -:040D910056B246E030 -:040D9200763767E564 -:040D930085130001C3 -:040D940006138C476F -:040D950045C5BC068E -:040D9600458020EF85 -:040D9700B7DFD0EF03 -:040D980054B257C238 -:040D9900C23E430112 -:040D9A00C422450129 -:040D9B0008936463F2 -:040D9C009263479285 -:040D9D0047831AA7C7 -:040D9E00468301E1A6 -:040D9F00470301C144 -:040DA000CFD501D1D9 -:040DA100000177B71F -:040DA200AC07879380 -:040DA30006134522CC -:040DA400C03201E177 -:040DA500000176379C -:040DA600BCC60613AE -:040DA700051345C526 -:040DA80020EF88C5EB -:040DA90067E540E0DA -:040DAA00000175B718 -:040DAB0085934645A1 -:040DAC008513C245A4 -:040DAD0020EF8C4760 -:040DAE00644132204A -:040DAF00B1DFD0EFF1 -:040DB00014B7147DE3 -:040DB100A783000212 -:040DB20067650D0460 -:040DB3009A075683C2 -:040DB4008B638FE1DD -:040DB500071306F624 -:040DB60057039A073E -:040DB70000630027AE -:040DB800650914F7BE -:040DB90071050513A8 -:040DBA00A17FC0EF66 -:040DBB000793BFD902 -:040DBC00BDC9065057 -:040DBD00406482B359 -:040DBE002000079377 -:040DBF000057F46382 -:040DC000200002937A -:040DC100041367E5CB -:040DC2009713200360 -:040DC3008513010291 -:040DC4008341874799 -:040DC50056134681FA -:040DC600182C009451 -:040DC700C616C81A6A -:040DC800122020EFE6 -:040DC900166387AA7C -:040DCA0043420E058D -:040DCB00182842B2F0 -:040DCC0000133613C7 -:040DCD0000EF859618 -:040DCE0083225FF02D -:040DCF0077B7BF052E -:040DD0008793000104 -:040DD100B799D007F7 -:040DD20034A010EF4A -:040DD3005783676576 -:040DD40065098B47DB -:040DD500710505138C -:040DD6000027E79378 -:040DD7008AF71A235A -:040DD8000EF4A02352 -:040DD90099BFC0EF0F -:040DDA00000175B7E8 -:040DDB0046456565BF -:040DDC00BD858593B9 -:040DDD0088C50513AD -:040DDE003D2020EFA5 -:040DDF0067E5440D73 -:040DE000000174B7E3 -:040DE1008C478513A3 -:040DE200859346456A -:040DE30020EFBE44FB -:040DE400D0EF3BC051 -:040DE5005632A47F5F -:040DE60045811834F7 -:040DE700D0EF4505FF -:040DE80087AA9C9F9B -:040DE900E20510E32C -:040DEA0075B767650D -:040DEB0005130001EB -:040DEC00464588C729 -:040DED00BF458593E6 -:040DEE00392020EF99 -:040DEF00BE448593E6 -:040DF000464564E52B -:040DF1008C44851396 -:040DF200382020EF96 -:040DF300A0DFD0EFBE -:040DF40055B256425C -:040DF5004501183468 -:040DF6008FDFD0EFCC -:040DF70013E387AAD1 -:040DF80020EFDE0505 -:040DF900676514E036 -:040DFA00000175B7C8 -:040DFB008593464551 -:040DFC000513C38593 -:040DFD0020EF88C794 -:040DFE0075B71E2087 -:040DFF004645000164 -:040E0000C0458593D1 -:040E01008C44851385 -:040E0200342020EF89 -:040E03009CDFD0EFB1 -:040E0400440DA001F8 -:040E0500440DBB4598 -:040E060006700793D8 -:040E0700440DB3657E -:040E080006800793C6 -:040E09000713B345D3 -:040E0A008A63F360A4 -:040E0B00071306E7DC -:040E0C009CE3F37000 -:040E0D0075B7DAE7F4 -:040E0E0085930001C7 -:040E0F00BB55B545D5 -:040E1000066007135E -:040E110006E782630B -:040E120000F74F6333 -:040E1300064007137B -:040E140006E7816309 -:040E15000650071369 -:040E1600D8E799E39D -:040E1700000175B7AA -:040E1800B0C5859349 -:040E19000713B3798F -:040E1A008963067072 -:040E1B00071304E7CE -:040E1C009CE30680CD -:040E1D0075B7D6E7E8 -:040E1E0085930001B7 -:040E1F00BB95B405C6 -:040E2000000175B7A1 -:040E2100AFC5859341 -:040E220075B7B3AD40 -:040E230085930001B2 -:040E2400B385B74596 -:040E2500000175B79C -:040E2600B8458593B3 -:040E270075B7BB9947 -:040E280085930001AD -:040E2900B3B1B64566 -:040E2A00000175B797 -:040E2B00B1C5859335 -:040E2C0075B7B3895A -:040E2D0085930001A8 -:040E2E00BB25B985A2 -:040E2F00000175B792 -:040E3000B2C585932F -:040E31002083B33D2A -:040E32002403240170 -:040E3300248323C130 -:040E3400557D238144 -:040E35002441011340 -:040E360067E580826A -:040E37009EE7C70368 -:040E380067E546F133 -:040E39009EE78C2381 -:040E3A0002D70733A1 -:040E3B00879367E54D -:040E3C0097BAA107B9 -:040E3D0000E7D68371 -:040E3E001B236765A6 -:040E3F004B989ED757 -:040E40008B7D66E55B -:040E41009EE69E2368 -:040E42000147C6831B -:040E43001F2367659D -:040E4400C6839ED7EC -:040E450067650127B5 -:040E46009ED71523FB -:040E470066E547987D -:040E48009A23835511 -:040E4900C6839EE6D8 -:040E4A006765015780 -:040E4B009ED71823F3 -:040E4C000137C68321 -:040E4D001D23676595 -:040E4E00D6839ED7D2 -:040E4F00676500C70C -:040E50009ED71923ED -:040E51000167C7036B -:040E5200962367E597 -:040E530080829EE714 -:040E5400C58367E506 -:040E550067E59F8727 -:040E56009F67D68339 -:040E5700D28367E5F6 -:040E580067E59FC7E4 -:040E59009FE7D783B5 -:040E5A00CA26111182 -:040E5B0067E5C03E49 -:040E5C009EA7C78303 -:040E5D006765CC22D7 -:040E5E0067E5C23E44 -:040E5F009F47D7834F -:040E6000A1070713CC -:040E610067E5C43E3F -:040E62009F07D7838C -:040E630067E5C63E3B -:040E64009FA7C783FA -:040E650067E5C83E37 -:040E66009F27D4836B -:040E6700D30367E565 -:040E680067E59EC7D5 -:040E69008D87879357 -:040E6A0000B7C60304 -:040E6B008603C241F7 -:040E6C001D6300A75B -:040E6D0047F106B68D -:040E6E0002F607B3CE -:040E6F00D38397BAD8 -:040E7000916300E7A3 -:040E7100A38306D37E -:040E7200F41301076D -:040E7300F3930FF2F4 -:040E7400996301F38A -:040E7500C3830483AC -:040E760044020147EA -:040E770004839463F9 -:040E78000127C38308 -:040E79009F6344121D -:040E7A00A3830283C9 -:040E7B004422008786 -:040E7C000153D393B8 -:040E7D0002839863F1 -:040E7E000157C383D2 -:040E7F009363443203 -:040E8000C3830283A3 -:040E810044420137AF -:040E820000839E63E8 -:040E830000C7D7834A -:040E840000979A63D6 -:040E8500063347F1F8 -:040E8600963A02F6A0 -:040E87000166478336 -:040E88000067866316 -:040E8900460567E5CE -:040E8A0094C789235D -:040E8B0087B347F1F1 -:040E8C00F29302F5E6 -:040E8D0097BA01F21D -:040E8E000107D6037F -:040E8F0000D79723CE -:040E900062B39A01AE -:040E9100982300564C -:040E920046020057BD -:040E930000C78A23E7 -:040E94008923461256 -:040E9500462200C72A -:040E9600005612935D -:040E970000A7D603D7 -:040E980066338A7DB6 -:040E99009523005647 -:040E9A00463200C715 -:040E9B0000C78AA35F -:040E9C009623464211 -:040E9D008B2300970C -:040E9E0089A30067BD -:040E9F00666500C7BD -:040EA0009EC60613D1 -:040EA100166367E588 -:040EA200069302C5EC -:040EA300033346507F -:040EA400069302D3DC -:040EA5007637064056 -:040EA600061300012E -:040EA70046B3714697 -:040EA800446202D3CB -:040EA90045C544D225 -:040EAA008C478513D9 -:040EAB00206F017142 -:040EAC006665002057 -:040EAD009F66061323 -:040EAE0000C5076311 -:040EAF00061366655B -:040EB00017639FC65F -:040EB100467102C5BF -:040EB20002C585B33D -:040EB300446246153A -:040EB400851344D28C -:040EB500972E8C47A1 -:040EB60045C54B18CB -:040EB70007338B7DF5 -:040EB800763702C7C0 -:040EB900061300011B -:040EBA00017171C68B -:040EBB007C50106FE8 -:040EBC000001763784 -:040EBD000005568353 -:040EBE007046061361 -:040EBF000693B75D82 -:040EC00006B327103E -:040EC100079302D5BC -:040EC2007637064039 -:040EC3006565000160 -:040EC400CFC606137C -:040EC500051345C507 -:040EC600C6B38C45DE -:040EC700106F02F6B0 -:040EC800F06F79301E -:040EC9000505FDDF3F -:040ECA0096B3468510 -:040ECB00763700A6D0 -:040ECC006565000157 -:040ECD00C8C606137A -:040ECE00051345C5FE -:040ECF00106F8C45CF -:040ED00046857730AC -:040ED10000A696B32E -:040ED200000176376E -:040ED3000613656538 -:040ED40045C5C986C1 -:040ED5008C45051330 -:040ED6007590106F94 -:040ED700F805069381 -:040ED800763706E281 -:040ED900656500014A -:040EDA00061386E194 -:040EDB0045C5CF46F4 -:040EDC008C45051329 -:040EDD0073D0106F4F -:040EDE00763786AA33 -:040EDF006565000144 -:040EE000700606137F -:040EE100051345C5EB -:040EE200106F8C45BC -:040EE30086AA7270F9 -:040EE400000176375C -:040EE5000613656526 -:040EE60045C5C986AF -:040EE7008C4505131E -:040EE8007110106F06 -:040EE900000F46B7F9 -:040EEA0024068693C1 -:040EEB0002D50533F4 -:040EEC0087936789F8 -:040EED00071396470A -:040EEE0036B73E8055 -:040EEF008693006383 -:040EF0007637EA0661 -:040EF10006130001E3 -:040EF20045C5CAC662 -:040EF30002F557B3FA -:040EF40002E7F7B367 -:040EF50056B3472980 -:040EF600656502D557 -:040EF7008C4505130E -:040EF80002E7D73303 -:040EF9006CD0106F3A -:040EFA0046700693A5 -:040EFB0002D506B363 -:040EFC000640079312 -:040EFD000001763743 -:040EFE00061365650D -:040EFF0045C56F86F0 -:040F00008C45051304 -:040F010002F6C6B37B -:040F02006A90106F72 -:040F0300271006931A -:040F040005330505A7 -:040F0500069302D578 -:040F060076370640F4 -:040F070006130001CC -:040F080045C5CFC646 -:040F090002D546B314 -:040F0A000513656501 -:040F0B00106F8C4592 -:040F0C0086AA683019 -:040F0D000001763732 -:040F0E0006136565FC -:040F0F0045C5C8C646 -:040F10008C450513F4 -:040F110066D0106F27 -:040F120001851693AC -:040F1300763786E1C6 -:040F1400656500010E -:040F1500061316D1D8 -:040F160045C5CA46BD -:040F17008C450513ED -:040F18006510106FE1 -:040F1900053345F166 -:040F1A0065E502B5D2 -:040F1B00A105859314 -:040F1C0095AA464507 -:040F1D0005136565EE -:040F1E00106F8C457F -:040F1F0017936D10A7 -:040F2000655D0025E6 -:040F2100CCC5051323 -:040F2200410C953EAB -:040F23004645656575 -:040F24008C450513E0 -:040F25006B70106F6E -:040F26000F6347A965 -:040F2700179300F527 -:040F280065610025DA -:040F2900D8050513CF -:040F2A00410C953EA3 -:040F2B00464565656D -:040F2C008C450513D8 -:040F2D006970106F68 -:040F2E00000175B792 -:040F2F00CB85859356 -:040F30001141B7F5BF -:040F3100C606458526 -:040F320029B5C02AF3 -:040F33004783676524 -:040F34004682A0074A -:040F3500A0070713F7 -:040F36007737E78999 -:040F3700071300019B -:040F380040B2CE47AE -:040F39000001763706 -:040F3A0006136565D0 -:040F3B0045C5CEC614 -:040F3C008C450513C8 -:040F3D00106F0141EF -:040F3E0067E55BB058 -:040F3F009E07A7035F -:040F4000879367E547 -:040F410046AD9A0718 -:040F4200D5834645C8 -:040F4300926301674D -:040F4400F69306E535 -:040F4500E1190FF6A9 -:040F46002A0704630F -:040F4700C42611317A -:040F4800C28364E517 -:040F4900C622851423 -:040F4A000793646144 -:040F4B0095936344D3 -:040F4C0097AE00322A -:040F4D00A303C8062C -:040F4E00C78300074E -:040F4F0046510047C0 -:040F5000FF568513B0 -:040F510002C7873319 -:040F5200004326032F -:040F53000FF575130E -:040F540084934395AA -:040F550004138514E8 -:040F5600963A634420 -:040F57000046470306 -:040F580002A3E863A5 -:040F5900050A63DD45 -:040F5A00C4C38393F6 -:040F5B004108951E96 -:040F5C00068585027F -:040F5D009AE3078983 -:040F5E004681F8C60A -:040F5F00E399BF69EA -:040F600000034783C0 -:040F6100F79317FDEE -:040F620095A20FF74E -:040F630000F58223F0 -:040F6400468147017A -:040F65004703A89105 -:040F660007850003F8 -:040F6700E7B395A2B5 -:040F6800B7ED02E7F8 -:040F69000002876398 -:040F6A00FFF2879378 -:040F6B0000F48023EB -:040F6C0067E5B7C5B9 -:040F6D009E0787A3B1 -:040F6E00A70367E18D -:040F6F00478377C776 -:040F70009BED0007EE -:040F710000F7002362 -:040F720040C2443203 -:040F7300015144A242 -:040F7400C4EFD06F87 -:040F75000E63478D33 -:040F7600479104F7A4 -:040F7700FAF71AE388 -:040F78009782461CFA -:040F79004685872AF8 -:040F7A000004C78325 -:040F7B00464565651D -:040F7C00943E078E0A -:040F7D000044478362 -:040F7E004451400496 -:040F7F00028784332E -:040F8000051340DC39 -:040F8100C23A88C523 -:040F820097A2C0363C -:040F830010EF438C9C -:040F840040DC53D02A -:040F850097A2459159 -:040F86000047C60357 -:040F870014C5E36347 -:040F8800060A65DD13 -:040F8900C645859341 -:040F8A004210962E4D -:040F8B004712468241 -:040F8C004A1C860273 -:040F8D009782C391F3 -:040F8E000004C78311 -:040F8F0085934351B2 -:040F9000078E0017B1 -:040F910000F407332E -:040F920047034314BA -:040F930042D0004701 -:040F940002670733B6 -:040F950000359693FA -:040F960000D405334B -:040F9700000523032B -:040F9800471897322D -:040F990000E304630A -:040F9A000005022329 -:040F9B00C78397A2CF -:040F9C004751004772 -:040F9D0087B396A2DE -:040F9E00802302E7C3 -:040F9F0097B200B451 -:040FA000C29C479C0C -:040FA1004791B7318C -:040FA200F0E7E4E3AD -:040FA300879367DDEC -:040FA400070AC787EA -:040FA500431C973E14 -:040FA600460C8782EC -:040FA7004503433D7E -:040FA800470300C635 -:040FA900C78300D624 -:040FAA0046030005F5 -:040FAB009D6300E65C -:040FAC007863006600 -:040FAD0017FD00F735 -:040FAE000FF7F61330 -:040FAF0000C58023D6 -:040FB000FD6DBDC155 -:040FB100BFDD863AE0 -:040FB20000C7F86319 -:040FB300F7130785A4 -:040FB40080230FF790 -:040FB500BD6D00E529 -:040FB6008732FD6D14 -:040FB7004608BFDD4C -:040FB80000C6558397 -:040FB90000E65703F4 -:040FBA005783463DD6 -:040FBB009E6300052C -:040FBC00F76300C611 -:040FBD0017FD00F527 -:040FBE00010797137D -:040FBF00A0198341B1 -:040FC000872EC19126 -:040FC10000E5102314 -:040FC200F463B561BE -:040FC300078500E7B7 -:040FC400F9EDB7E5A7 -:040FC500B7FD47012C -:040FC60045BD46508F -:040FC7004783421802 -:040FC8009963000722 -:040FC900C78100B626 -:040FCA00F79317FD85 -:040FCB0000230FF7F9 -:040FCC00BDB900F7B4 -:040FCD000046468311 -:040FCE0000D7F563F0 -:040FCF00F693078509 -:040FD00000230FF7F4 -:040FD100B5A900D7E7 -:040FD2004B9C479855 -:040FD3004703656506 -:040FD4004645000787 -:040FD5008C4505132F -:040FD60097BA070AB5 -:040FD70010EF438C48 -:040FD80044323ED091 -:040FD90044A240C22C -:040FDA00D06F015182 -:040FDB004798A6EF9E -:040FDC0045034B9CE2 -:040FDD0097820007F0 -:040FDE004B98B7ED88 -:040FDF0097024788A6 -:040FE00067E5B7CD3D -:040FE1008C078223D4 -:040FE200C695BFE908 -:040FE30076B7C30515 -:040FE40086930001EF -:040FE50076378EC607 -:040FE600656500013C -:040FE700CF06061318 -:040FE800051345C5E3 -:040FE90010EF8C4534 -:040FEA00BF6530B0FF -:040FEB00000176B7D4 -:040FEC008E46869314 -:040FED0047DCB7CD59 -:040FEE004398D7E964 -:040FEF00BF55479C07 -:040FF00047BD8082F7 -:040FF10016A7EF63ED -:040FF200445257B757 -:040FF300EF410113B6 -:040FF4003557879353 -:040FF50057B7C03EEC -:040FF600879300419C -:040FF700C23E44179B -:040FF80000F5379336 -:040FF900108122231E -:040FFA0000F10523DA -:040FFB0001050413D5 -:040FFC001011242389 -:040FFD00109120230C -:040FFE00042247B9C9 -:040FFF000CA7F363E5 -:0410000087936795D6 -:0410010065E550074A -:0410020000F11423C2 -:0410030007934629E0 -:04100400859304A02C -:0410050005138B85BF -:0410060005A300D16D -:04100700062300F1CB -:0410080010EF0001E4 -:0410090067E51B700C -:04100A008897C78379 -:04100B00061365E57E -:04100C000C2303604E -:04100D0067E500F1A2 -:04100E008817C783F5 -:04100F009A05859326 -:0410100002110513B1 -:0410110000F10CA33B -:04101200C78367E544 -:041013000BA38D6737 -:0410140067E500F19B -:041015008C27C783DA -:0410160000F10D23B5 -:04101700C78367E53F -:041018000DA3954748 -:0410190067E500F196 -:04101A008B67C78396 -:04101B0000F10E23AF -:04101C00C78367E13E -:04101D000EA377B7F0 -:04101E0067E500F191 -:04101F008887C78374 -:0410200000F10F23A9 -:04102100C78367E139 -:041022000FA3780799 -:0410230067E100F190 -:041024007817C783EF -:0410250002F10023B1 -:04102600141010EFA3 -:041027000593862285 -:04102800850A0570C0 -:04102900F99FC0EF7C -:04102A0000A03533BA -:04102B0040A00533A9 -:04102C00108120838C -:04102D001041240347 -:04102E001001248306 -:04102F0010C10113D8 -:0410300067958082BE -:04103100600787933A -:0410320000F1142392 -:04103300040C07B7EB -:041034000467879333 -:04103500CC3E64E564 -:04103600A004C783C8 -:041037007637EB918C -:04103800061300019A -:0410390045B576C67D -:04103A00A004851376 -:04103B001C5010EF46 -:04103C00859346351D -:04103D000513A004F3 -:04103E0010EF00B1FE -:04103F0065E525102E -:04104000046006132F -:041041009585859379 -:0410420010EF08683B -:0410430065E50CF063 -:0410440009E00613A6 -:04104500A1058593E9 -:041046000621051367 -:041047000BD010EFCB -:041048000593862264 -:04104900850A100004 -:04104A00F15FC0EFA3 -:04104B0006136565BE -:04104C0005930014F4 -:04104D00051336E071 -:04104E00C0EFAAE560 -:04104F004501F57FE3 -:04105000557DBF8586 -:0410510067E580824D -:04105200A007802350 -:04105300F56347BD3D -:0410540057FD00A79D -:041055008082853ED2 -:04105600EE81011313 -:0410570001050793F5 -:041058009513C22EFC -:04105900007001071B -:04105A0010000593EA -:04105B002A23C03E46 -:04105C002823101124 -:04105D0026231081B5 -:04105E00C0EF10913E -:04105F0057FDEA5FF0 -:0410600075B7E10D72 -:041061008593000172 -:041062000068764567 -:04106300D12FC0EFDA -:041064001D6387AAD7 -:0410650047031C051C -:04106600CF11016144 -:041067000E63468549 -:0410680020830ED7FC -:04106900240311410A -:04106A0024831101C9 -:04106B00853E10C1ED -:04106C0011810113DA -:04106D004703808233 -:04106E001B630141BE -:04106F0046831A0793 -:041070000713015110 -:04107100956305502E -:0410720047031AE630 -:04107300468301812E -:0410740007220171DD -:0410750006938F55FA -:0410760014E304A0DB -:041077004712FCD749 -:0410780066E5F369CD -:041079004781007833 -:04107A0086934539DB -:04107B0045A98B8672 -:04107C0000D7460350 -:04107D0000C56663E1 -:04107E0000D78333E1 -:04107F0000C3002387 -:0410800007050785D4 -:04108100FEB796E33D -:04108200025147834D -:0410830000A36765FA -:04108400472588F77D -:0410850000F76663A7 -:0410860004A36765F3 -:04108700A02988F71D -:041088000241478357 -:04108900FEF77AE311 -:04108A0002714703A5 -:04108B00656567E54B -:04108C0094E78A2338 -:04108D000281470392 -:04108E00061367E5F9 -:04108F008B2303604C -:0410900047038AE7A1 -:0410910067E1029180 -:0410920002D10593EF -:0410930076E78DA3CC -:0410940002A147036B -:04109500051367E5F3 -:0410960084239A0510 -:04109700470388E79C -:0410980067E102B159 -:0410990078E7802351 -:04109A0002C1470345 -:04109B0080A367E1E6 -:04109C00470378E7A7 -:04109D0067E50231D0 -:04109E008CE78B232D -:04109F00C70367E537 -:0410A00067E58B87EE -:0410A1008CE78AA3AB -:0410A200026147039D -:0410A300812367E559 -:0410A40010EF8CE7D6 -:0410A50047817460AB -:0410A6004483B7299F -:0410A700E8E9014132 -:0410A8000151468329 -:0410A90005600713C4 -:0410AA000CE6946359 -:0410AB000241568325 -:0410AC0004600713C2 -:0410AD00EEE697E3F1 -:0410AE000261540384 -:0410AF0040C0071323 -:0410B000EEE411E376 -:0410B100C42A67E501 -:0410B2008513463527 -:0410B3000593A007FA -:0410B40010EF0171C7 -:0410B5004712079047 -:0410B60014E347A256 -:0410B7006565EC0778 -:0410B80004600613B7 -:0410B9000513102CDF -:0410BA0010EF958519 -:0410BB0047826EE01A -:0410BC00470166E59D -:0410BD00C03E07A288 -:0410BE00A10686936E -:0410BF00062007936D -:0410C0001000061303 -:0410C10000D705331C -:0410C2008E1DC43A81 -:0410C300C23E0078B1 -:0410C40000F705B379 -:0410C50004C44863B4 -:0410C6006C0010EFBB -:0410C70047224792E3 -:0410C800943E0485C9 -:0410C90047828F1DAE -:0410CA0010070713F1 -:0410CB000FF4F49397 -:0410CC0000F4853374 -:0410CD008341074212 -:0410CE00F004041313 -:0410CF000593007015 -:0410D00005221000E5 -:0410D1000442C23AD9 -:0410D200CD7FC0EF1F -:0410D300804166E50D -:0410D40047124781F7 -:0410D500A106869357 -:0410D60067E5F44591 -:0410D700892347051D -:0410D800BF1594E7C5 -:0410D90010EF86226C -:0410DA00BFC5672007 -:0410DB00BD15478573 -:0410DC00BD0547897E -:0410DD00DD8101139D -:0410DE002223086859 -:0410DF002023221197 -:0410E0002E23228118 -:0410E100C0EF2091AB -:0410E200C02ADB3F06 -:0410E3005A4010EF70 -:0410E4009A63478242 -:0410E5006465160721 -:0410E600000175B7D9 -:0410E7008593464562 -:0410E800051373C5B4 -:0410E90064E588C46E -:0410EA00630010EFA0 -:0410EB008C048223CC -:0410EC00E29FC0EFD0 -:0410ED0064C1C626EE -:0410EE0014FDC42207 -:0410EF00000217B72D -:0410F0000D07A783BE -:0410F1005683676556 -:0410F2008FE59A07E5 -:0410F30000F68E6312 -:0410F4009A0707133D -:0410F5000027570376 -:0410F60012F7076383 -:0410F700051365096F -:0410F800B0EF7105DF -:0410F900BFD9D1DFAB -:0410FA0075B747A2DD -:0410FB004645000165 -:0410FC00750585935E -:0410FD0088C7851308 -:0410FE005E0010EF91 -:0410FF0075B747B2C8 -:04110000464500015F -:04110100BE458593CF -:041102008C4785137E -:0411030073E010EF96 -:04110400DC9FC0EFBD -:0411050044014481DC -:0411060001041713B6 -:0411070020070713A3 -:041108004097579322 -:04110900863EC23E1E -:04110A00472D67E521 -:04110B00080C468105 -:04110C008747851379 -:04110D0040E010EFBF -:04110E0075B7E57953 -:04110F0085930001C3 -:041110000808764510 -:04111100A5AFC0EFD7 -:041112004703ED1D85 -:04111300EF05018162 -:04111400019146837C -:041115000560071357 -:0411160002E69763F3 -:0411170001A1468369 -:0411180062634705C2 -:04111900660502D78E -:04111A0000841593A5 -:04111B00061395B270 -:04111C00846346E0C2 -:04111D00061300E6CF -:04111E004512057001 -:04111F00C0EF0874A1 -:04112000E151CE9F2C -:041121000405048538 -:0411220017E3474147 -:0411230010EFF8E4ED -:0411240045814A2097 -:04112500F0EF453D65 -:0411260067E5CB1F8F -:041127008897C7835B -:0411280007136765DD -:0411290097BA8B875F -:04112A000007C503F2 -:04112B0067E54581AE -:04112C008CA78AA35F -:04112D00C93FF0EFD7 -:04112E00763747A227 -:04112F0086A600018F -:0411300072460613EA -:04113100851345C518 -:0411320010EF88C76B -:0411330047B25E6001 -:04113400000175B78A -:041135008593464513 -:0411360085137305A5 -:0411370010EF8C47E2 -:04113800C0EF66C0DE -:041139004537CF7FE8 -:04113A000513000F8A -:04113B00B0EF2405E8 -:04113C002083C11F2C -:04113D002403224124 -:04113E004502220143 -:04113F0021C1248323 -:0411400022810113F4 -:0411410010EF8082A9 -:0411420057FD42A073 -:04114300B7D5C03E1E -:0411440001855713B7 -:041145000185179376 -:0411460006B78FD980 -:04114700171300FF7B -:041148008F7500851A -:0411490067418FD992 -:04114A00F007071390 -:04114B008D798121F8 -:04114C0080828D5DB3 -:04114D0017B7C60901 -:04114E00A0230002D8 -:04114F00470100074D -:04115000FFC5F6934E -:04115100000216374B -:0411520000E507B3FA -:0411530002D76463F8 -:04115400470D898D2D -:0411550002E59463B8 -:041156000007D68335 -:041157000002173744 -:0411580000D7122387 -:041159000027C78321 -:04115A0000F7022375 -:04115B00000217B7C0 -:04115C0080824B88BA -:04115D000711439C97 -:04115E00B7F9C25CBF -:04115F009963470940 -:04116000D70300E5CC -:0411610017B70007B5 -:0411620092230002D2 -:04116300BFF900E7E9 -:041164009DE34705BB -:04116500C703FCE5DB -:0411660017B70007B0 -:0411670082230002DD -:04116800B7E900E7FC -:04116900C22211513C -:04116A000002143734 -:04116B004601C02653 -:04116C00059384AAB9 -:04116D00051304A0C2 -:04116E00C4060404AB -:04116F00FE1FB0EFC0 -:04117000460185A609 -:04117100040405135A -:0411720080EFC0EF5B -:0411730004A005933C -:041174000404051357 -:04117500B0EF46058C -:041176000513FC7FE2 -:041177004412040416 -:04117800448240A2CB -:041179000131458576 -:04117A00FD5FB06FF6 -:04117B00C422114138 -:04117C000002143722 -:04117D00C02AC2269C -:04117E00460184AEF4 -:04117F0004A0059330 -:04118000040405134B -:04118100B0EFC606FF -:041182004782F97F28 -:041183000513460109 -:0411840085BE04041C -:04118500FC3FB0EF8C -:041186000404051345 -:0411870040B244220C -:04118800449285A662 -:0411890001414605D5 -:04118A00FAFFB06F49 -:04118B00C22211511A -:04118C0014334405CF -:04118D00741300A433 -:04118E0085A20FF433 -:04118F00C406451934 -:04119000FADFF0EFA3 -:04119100441285A2DD -:04119200451D40A215 -:04119300F06F0131C7 -:041194001151F9FFFD -:04119500C222050667 -:041196000FF57413CA -:04119700450585A2E3 -:04119800F0EFC406AA -:0411990085A2F8BF74 -:04119A0040A2441219 -:04119B0001314509D0 -:04119C00F7DFF06F1A -:04119D004515115192 -:04119E00F0EFC406A4 -:04119F000713F2BF81 -:0411A000478108601B -:0411A10004E51363EB -:0411A20009000593A8 -:0411A300020005132E -:0411A400F5DFF0EF94 -:0411A5000513458168 -:0411A600F0EF021054 -:0411A7004581F53F4A -:0411A8000220051309 -:0411A900F49FF0EFD0 -:0411AA000513458D57 -:0411AB00F0EF02302F -:0411AC004581F3FF87 -:0411AD0002800513A4 -:0411AE00F35FF0EF0C -:0411AF0003000593A1 -:0411B000071005130C -:0411B100F29FF0EFCA -:0411B20040A247858B -:0411B3000131853E43 -:0411B40011418082E3 -:0411B50000A101A3F1 -:0411B60000021537E7 -:0411B7004605C42203 -:0411B8000513842E69 -:0411B9000593040591 -:0411BA00C606003134 -:0411BB00F35FB0EF3F -:0411BC00B0EF8522E9 -:0411BD0040B2A0DFBD -:0411BE000141442285 -:0411BF0011518082C8 -:0411C0006465C2227E -:0411C1008B44578381 -:0411C200C026C40679 -:0411C300F9F7F793AE -:0411C40083C107C21A -:0411C5008AF41A236B -:0411C600000214B758 -:0411C7000EF4A0235F -:0411C800B0EF4551EE -:0411C90045D19DDF90 -:0411CA000380051386 -:0411CB00FA7FF0EFC8 -:0411CC00051345D1F1 -:0411CD00F0EF0390AC -:0411CE0045D1F9DF2F -:0411CF00F0EF4551A7 -:0411D00045D1F95FAD -:0411D10007100513EB -:0411D200F8BFF0EF83 -:0411D300051345D1EA -:0411D400F0EF05E053 -:0411D50045D1F81FE9 -:0411D60006D0051327 -:0411D700F77FF0EFBF -:0411D800453145D187 -:0411D900F6FFF0EF3E -:0411DA003200059347 -:0411DB00F0EF4505E7 -:0411DC0045D1F65FA4 -:0411DD00F0EF4519D1 -:0411DE000593F5DFA1 -:0411DF00450932008C -:0411E000F53FF0EFF8 -:0411E1008B44578361 -:0411E200E79340A2AD -:0411E3001A230407C0 -:0411E40044128AF433 -:0411E5000EF4A02341 -:0411E600013144820D -:0411E70011218082D0 -:0411E8006465C82250 -:0411E9008B44578359 -:0411EA001737CA06E3 -:0411EB00F793000274 -:0411EC0007C2F9F746 -:0411ED001A2383C17D -:0411EE00C6268AF493 -:0411EF002023C02ECB -:0411F00005930EF75E -:0411F10084AA32009A -:0411F200F0EF4505D0 -:0411F3000513F09F51 -:0411F400B0EF19003F -:0411F500578392DFAB -:0411F60017378B44D8 -:0411F70045C10002EC -:0411F8000207E79370 -:0411F9008AF41A2337 -:0411FA000EF72023A9 -:0411FB0010EF852646 -:0411FC00771338002D -:0411FD00EB110FF5EE -:0411FE00000185B7B0 -:0411FF008593464549 -:041200008526BFC5BB -:04120100346010EF56 -:0412020047814705D4 -:0412030000F486B3BA -:041204000006C50318 -:04120500C43A45D1D1 -:04120600F0EFC23E05 -:041207004792EB9F80 -:0412080007854722ED -:041209000FF7F69352 -:04120A00FEE6E2E337 -:04120B008B44578336 -:04120C00000214B711 -:04120D00F79345D13D -:04120E0007C2FDF71F -:04120F001A2383C15A -:04121000A0238AF499 -:0412110005130EF4BF -:04121200F0EF0C00ED -:041213005783E89F76 -:0412140045028B44C0 -:04121500E79345C155 -:041216001A2302078E -:04121700A0238AF492 -:0412180010EF0EF4D1 -:04121900779330C0D7 -:04121A00EB910FF550 -:04121B0085B745024C -:04121C004645000142 -:04121D00BFC5859331 -:04121E002D2010EF80 -:04121F00448147853A -:041220004782C23E01 -:04122100873345D1F9 -:0412220045030097E9 -:041223000485000737 -:04122400E43FF0EFC4 -:04122500F7134792E2 -:0412260063E30FF47B -:041227005783FEF7F4 -:0412280040D28B44E1 -:041229000002173771 -:04122A000407E7933B -:04122B008AF41A2304 -:04122C0020234442F5 -:04122D0044B20EF7C2 -:04122E008082016158 -:04122F00C222115175 -:04123000000214376D -:041231004601C0268C -:04123200059384AAF2 -:04123300051302C0DD -:04123400C4060404E4 -:04123500CC9FB0EFAB -:04123600460585A63E -:041237000404051393 -:04123800CF7FB0EFC5 -:0412390002C0059357 -:04123A000404051390 -:04123B00B0EF4605C5 -:04123C000513CAFFCD -:04123D00441204044F -:04123E00448240A204 -:04123F0001314585AF -:04124000CBDFB06FE1 -:04124100C422114171 -:04124200000214375B -:04124300C02AC226D5 -:04124400460184AE2D -:0412450002C005934B +:04099D008F5D07A2C1 +:04099E0000A64783E5 +:04099F000FF7F793C4 +:0409A0008FD907C222 +:0409A10000B6470352 +:0409A2008F5D0762FC +:0409A30083C107C243 +:0409A4001793C23EA5 +:0409A50083D10047B3 +:0409A600CC3AC43E45 +:0409A700FA075CE30C +:0409A8001FE030EF2D +:0409A900EF8D4792F5 +:0409AA000613665971 +:0409AB0045C53C46BC +:0409AC006C0485133F +:0409AD00218030EF86 +:0409AE00A4FFE0EFD3 +:0409AF006B0457037B +:0409B00017FD77F1C7 +:0409B10018238FF97F +:0409B20017376AF495 +:0409B30020230002FB +:0409B40065090EF7CC +:0409B50071050513B0 +:0409B600A27FD0EF5D +:0409B700B72147B26B +:0409B80047524792C9 +:0409B90000E796635A +:0409BA000613665961 +:0409BB00B7C13D463D +:0409BC0045A24712F7 +:0409BD000793660531 +:0409BE00167D06405C +:0409BF0002F756B332 +:0409C00002F7773390 +:0409C10000C59B636F +:0409C2000613665959 +:0409C30045C53DC623 +:0409C4006C04851327 +:0409C5001B8030EF74 +:0409C6004622B745C9 +:0409C700851345C58A +:0409C80076336C0412 +:0409C900C03202F640 +:0409CA0057B34622B7 +:0409CB00665902F671 +:0409CC003E8606134A +:0409CD00198030EF6E +:0409CE00D683B741D4 +:0409CF004632018625 +:0409D000F6C69EE3E6 +:0409D10083D107D2F5 +:0409D2006AF4182388 +:0409D30054025092E8 +:0409D4000EF72023D7 +:0409D500450144F2A2 +:0409D6000281011386 +:0409D70011518082B8 +:0409D80020EFC40642 +:0409D900F0EF228099 +:0409DA0067E1805FF2 +:0409DB00C50340A26E +:0409DC0001317777F7 +:0409DD00A67FE06FA2 +:0409DE00FCC1011344 +:0409DF00D622D8063E +:0409E000D0EFD4265A +:0409E100842A9EBF07 +:0409E200C1BFF0EFB2 +:0409E300879367E1AE +:0409E40086AA580780 +:0409E5006561C03E4A +:0409E600CE6364E197 +:0409E7006659120635 +:0409E800071346812A +:0409E900061305608C +:0409EA0045C53FC6FA +:0409EB0069C50513C2 +:0409EC0011C030EF17 +:0409ED00464565D93D +:0409EE0042C58593E6 +:0409EF0073C4851335 +:0409F000EDBFD0EF98 +:0409F1008029478290 +:0409F200478343985C +:0409F300E79300077F +:0409F40000230027B5 +:0409F500E0EF00F738 +:0409F600A537977F0B +:0409F70005130007DD +:0409F800D0EF120525 +:0409F900D0EF91DFCB +:0409FA00051393DF6F +:0409FB0007B312C06C +:0409FC00646102A48C +:0409FD00C602C80264 +:0409FE00C202C4026B +:0409FF006D4404132C +:040A00004799CE3E06 +:040A010017B7CA3E1B +:040A0200A7830002C4 +:040A030066610D0714 +:040A0400971365E1FE +:040A05008341010721 +:040A0600FFF7C6939D +:040A07007CE62E2338 +:040A080000030737A9 +:040A0900D3138F75FF +:040A0A0066E1018719 +:040A0B007E6582235F +:040A0C007EE6A023BF +:040A0D00879A85320D +:040A0E00666185B6E2 +:040A0F0000030D6370 +:040A1000FFE3069367 +:040A11000FF6F69353 +:040A12007663430DB7 +:040A1300468300D343 +:040A140094637D86E4 +:040A15002E2300F696 +:040A16000C237C052C +:040A170067E17CF621 +:040A18007D47A683ED +:040A19007CE7AA23A9 +:040A1A006761E2D559 +:040A1B007507478391 +:040A1C00CBF164E1D5 +:040A1D0001C4478346 +:040A1E0066E1C7F9CD +:040A1F0000B4478355 +:040A20007EB6C68355 +:040A2100F7938FD5E3 +:040A2200EFD50FF706 +:040A23008BBFD0EFC6 +:040A24006A6347F2C8 +:040A250047420AF545 +:040A260006300793FC +:040A27000AE7E56392 +:040A28004683676139 +:040A29004785750781 +:040A2A008763470592 +:040A2B0047D200F6B8 +:040A2C00FFA7871386 +:040A2D0000E037337B +:040A2E0001C4478335 +:040A2F0017FD46A1C8 +:040A30000FF7F79332 +:040A310004F6EF6375 +:040A3200078A66D9F0 +:040A3300440686935C +:040A3400439C97B692 +:040A350066598782F5 +:040A3600410606135C +:040A3700051345C599 +:040A380020EF69C57D +:040A390065DD7EB049 +:040A3A008593464515 +:040A3B0085139CC5BE +:040A3C0030EF73C460 +:040A3D0047820740A5 +:040A3E00478343980F +:040A3F00E793000732 +:040A40000023002768 +:040A4100E0EF00F7EB +:040A4200A001847F0C +:040A43007E05A02369 +:040A440067E1BFA9FE +:040A45006B27C783D1 +:040A460000F037B3D2 +:040A470082A30789F6 +:040A480047C268F445 +:040A49000785475284 +:040A4A000FF7F79318 +:040A4B004799C83EC1 +:040A4C0000F7076345 +:040A4D0000170793F4 +:040A4E000FF7F79314 +:040A4F00D0EFCA3EDC +:040A50004785FE4F89 +:040A51002B29C63E49 +:040A5200C78367E10E +:040A5300CC2A7EB774 +:040A54004501C78110 +:040A5500250010EF79 +:040A56006854C70316 +:040A570001C447830C +:040A580000E78463CC +:040A5900E79147E2F8 +:040A5A00CB9547B23F +:040A5B0000B4478319 +:040A5C0067E1C79DEA +:040A5D006D27C783B7 +:040A5E00C395C63E38 +:040A5F00879367E131 +:040A600097BA6B478F +:040A61000007C503C2 +:040A6200C70367E17E +:040A6300C6026D1743 +:040A640000A707637D +:040A650088A345819C +:040A660010EF6CA77A +:040A6700C78366409B +:040A68004703685484 +:040A690064E101C47F +:040A6A0075448493B8 +:040A6B0000F71C6311 +:040A6C00470946925E +:040A6D0018D77963BA +:040A6E000344C683F4 +:040A6F000524470310 +:040A700018E683639E +:040A71006361473D39 +:040A720074E306A380 +:040A73007763470D51 +:040A7400C50308F7B7 +:040A750047050344EA +:040A760000E518631C +:040A7700FFA787133B +:040A78000FF77713EA +:040A790008E57063B9 +:040A7A007263471547 +:040A7B00470908F728 +:040A7C0006E51C630C +:040A7D00FFA7871335 +:040A7E000FF77713E4 +:040A7F00F86346854D +:040A8000470906E636 +:040A8100FFF7859363 +:040A82000FF5F61363 +:040A8300E46342A145 +:040A840062D906C26B +:040A85008293060A48 +:040A86009616464238 +:040A87008602421091 +:040A88001EE347859D +:040A890067E1EE072C +:040A8A0057F7C783D0 +:040A8B000017B79306 +:040A8C00B5F5079124 +:040A8D0004E347999E +:040A8E00C783EE0725 +:040A8F00B5C568542D +:040A9000BDF1479DD0 +:040A9100C78367E1CF +:040A920037B36847C7 +:040A930007A100F0C7 +:040A94004799B5F9D0 +:040A9500EC0715E372 +:040A9600B5D147850A +:040A97004505468D3E +:040A9800B74D47010E +:040A9900BFED468DDA +:040A9A00450946853F +:040A9B004689BF5970 +:040A9C00B7FD45015C +:040A9D00F593C40207 +:040A9E0046210FF5E9 +:040A9F006963C202C3 +:040AA000665900B6DD +:040AA10051060613E1 +:040AA2004603962E43 +:040AA300C232000655 +:040AA40000F40E2329 +:040AA50004D44783AB +:040AA600000405A3A0 +:040AA700458D46052E +:040AA80000F676637B +:040AA9008D9D459545 +:040AAA000FF5F593BC +:040AAB00D03AD23635 +:040AAC005A9010EF5D +:040AAD00679010EF4F +:040AAE0082EFE0EF04 +:040AAF00C78367E1B1 +:040AB000570274F77E +:040AB100C789569209 +:040AB20010EF853686 +:040AB3005702207056 +:040AB40045924622FF +:040AB50020EF853A6F +:040AB600478339C079 +:040AB700656101C4B0 +:040AB8009713464505 +:040AB90067D90027D2 +:040ABA0051C7879306 +:040ABB00438C97BA17 +:040ABC0069C50513F0 +:040ABD0000042223EC +:040ABE0066F020EFCF +:040ABF00656165D92F +:040AC000859346458F +:040AC10005134205D2 +:040AC20020EF73C5E9 +:040AC30067E165D0B2 +:040AC4007EB7C783AF +:040AC5004782EB99E0 +:040AC6004783439887 +:040AC700E7930007AA +:040AC80000230027E0 +:040AC900E0EF00F763 +:040ACA0047E2E26FAE +:040ACB0067E1CF8987 +:040ACC0067D7C7031E +:040ACD00156347A9BD +:040ACE00453D00F7AB +:040ACF00340010EFF0 +:040AD000DE2FD0EF56 +:040AD100C703C8028D +:040AD2004783023420 +:040AD300056304149F +:040AD400450302F7DD +:040AD5004781011440 +:040AD600468147010D +:040AD700458146010E +:040AD800B8FFD0EFA4 +:040AD9000234C5031B +:040ADA00E72FE0EF33 +:040ADB000234C78397 +:040ADC0000042223CD +:040ADD0004F400A37A +:040ADE000234C58396 +:040ADF00866347895A +:040AE000C70302F551 +:040AE1004783024401 +:040AE2008063042405 +:040AE300450302E7DE +:040AE400C1910114A7 +:040AE5004783458975 +:040AE600468100F451 +:040AE700D0EF460105 +:040AE800C783B51FEC +:040AE900012302449F +:040AEA00C78304F4C6 +:040AEB004703034476 +:040AEC000463052476 +:040AED00092300F7E2 +:040AEE00676104F444 +:040AEF00585746838B +:040AF000676167E1F2 +:040AF1005847C78318 +:040AF2006AD74583F7 +:040AF300966366613F +:040AF400458300F541 +:040AF5008D636D366A +:040AF60006A302D57C +:040AF70047026AF751 +:040AF8006CD609A30C +:040AF900F713431498 +:040AFA00C783001797 +:040AFB009BF900065D +:040AFC0080238FD9EB +:040AFD00478200F636 +:040AFE004783439453 +:040AFF008B8D6D3638 +:040B00000037971310 +:040B01000006C783A0 +:040B02008FD99B9D4F +:040B030000F6802355 +:040B040001C447835E +:040B05004512C3B51D +:040B0600835FE0EF3A +:040B070001634789B6 +:040B080047910CF510 +:040B090002F50A6384 +:040B0A0017634785A1 +:040B0B00478304F523 +:040B0C00C3B900B4B5 +:040B0D00E17FE0EFB5 +:040B0E004609A08173 +:040B0F00BD25C4320A +:040B1000BFED460DE2 +:040B11000344C603D0 +:040B1200C41642853E +:040B1300E20615E3FE +:040B140006A34641AD +:040B1500B50574C3EB +:040B160000B447835D +:040B17006761C39DB2 +:040B18006B0757838D +:040B19000027E79337 +:040B1A006AF718233B +:040B1B000002173786 +:040B1C000EF720238D +:040B1D00AEBFF0EF88 +:040B1E0012C00513E9 +:040B1F00C82FD0EF1C +:040B20002223B6597D +:040B210010EF0004CD +:040B220047834A704B +:040B2300656101C443 +:040B24009713464598 +:040B250067D9002765 +:040B260051C7879399 +:040B2700438C97BAAA +:040B280069C5051383 +:040B29004C3020EF3D +:040B2A00656165D9C3 +:040B2B008593464523 +:040B2C000513420566 +:040B2D0020EF73C57D +:040B2E0067E14B1020 +:040B2F007EB7C78343 +:040B30004782EB9974 +:040B3100478343981B +:040B3200E79300073E +:040B33000023002774 +:040B3400E0EF00F7F7 +:040B3500D0EFC7AF87 +:040B3600CA02C4CF5C +:040B3700BF69C802C8 +:040B380000B447833B +:040B3900F0EFDBD12D +:040B3A00B779A84F90 +:040B3B00656165D9B2 +:040B3C00061311513A +:040B3D008593046038 +:040B3E0005135845FE +:040B3F00C40675452E +:040B400099BFD0EF9A +:040B4100656565DDA4 +:040B420042800613D4 +:040B4300540585933D +:040B440080C5051350 +:040B4500987FD0EFD6 +:040B460067E140A281 +:040B470087234705B4 +:040B4800450174E708 +:040B49008082013174 +:040B4A0011116759C5 +:040B4B007AC70793CB +:040B4C00CC06C826E5 +:040B4D00C002CA22F6 +:040B4E00C43E4481DC +:040B4F0065D964619F +:040B500085934645FE +:040B510005135CC567 +:040B520020EF6884A4 +:040B530047A241D0A4 +:040B540000249713CF +:040B5500973E656101 +:040B56004645430CC1 +:040B57006C05051311 +:040B5800407020EFDA +:040B5900BA2FE0EFE0 +:040B5A00C2224681EC +:040B5B000002173746 +:040B5C000D072583D9 +:040B5D0017FD67C158 +:040B5E0000F5F63375 +:040B5F002E2364617C +:040B600027037CC427 +:040B610005370D0740 +:040B620067E1000344 +:040B6300FFF747133E +:040B6400A0238F69D2 +:040B6500C63E7EE723 +:040B66004782CA0DEB +:040B670002F6076328 +:040B680007136761A7 +:040B6900951379C7A0 +:040B6A00972A0014B2 +:040B6B004792EAB50E +:040B6C0000B710239B +:040B6D00464565D9BB +:040B6E005D458593C9 +:040B6F0068878513FB +:040B70003A7020EFC8 +:040B7100B42FE0EFCE +:040B720067614685EC +:040B7300260347B25C +:040B7400A3037D4713 +:040B750087BA7E07B6 +:040B76006741E605E8 +:040B770002E3126320 +:040B780065DDE0B5A2 +:040B79000613656199 +:040B7A0085930360FC +:040B7B000513818558 +:040B7C00C01A79C55D +:040B7D008A7FD0EFAC +:040B7E00468943025F +:040B7F0067E144EDF9 +:040B80007DC4270306 +:040B81007C67AA23C0 +:040B8200C03AC63679 +:040B83008E6347092D +:040B8400650902E617 +:040B850071050513DE +:040B8600AE6FD0EF8F +:040B8700B7B946B202 +:040B88000007570308 +:040B890002E34689B4 +:040B8A004792FAE6AE +:040B8B00464565D99D +:040B8C005DC585932B +:040B8D0068878513DD +:040B8E0032F020EF32 +:040B8F00ACAFE0EF38 +:040B9000B761468182 +:040B9100468914F984 +:040B92000485BF65B2 +:040B930057E3476974 +:040B94004452EE9742 +:040B950044C240E234 +:040B96000171453D67 +:040B97000200106FD9 +:040B9800FB41011309 +:040B990001B107930C +:040B9A0067E1D23EFF +:040B9B0076D78793EF +:040B9C0067E1D43EFB +:040B9D0076E78793DD +:040B9E0067E1D63EF7 +:040B9F0076F78793CB +:040BA00065D9D83EFD +:040BA100879367E1EE +:040BA200461D77076E +:040BA30066058593CB +:040BA400C2A2086879 +:040BA500DC3EDA3E1A +:040BA600C0A6C4869B +:040BA70000010DA399 +:040BA800FFAFD0EFDC +:040BA900879362E1EB +:040BAA00C7836D424E +:040BAB00468501C7B3 +:040BAC00C2364725E1 +:040BAD006D428413FE +:040BAE0000E7866373 +:040BAF00F79307852C +:040BB000C23E0FF73B +:040BB100676167E130 +:040BB2007DC7A60352 +:040BB30079C70713E4 +:040BB40045E9478147 +:040BB500000756835C +:040BB60000D61C63E6 +:040BB70064634769C3 +:040BB800675910F772 +:040BB9000713078A8D +:040BBA0097BA6687F9 +:040BBB008782439C4E +:040BBC00846307093E +:040BBD00078550B7A1 +:040BBE004785BFF1B7 +:040BBF00D70366E111 +:040BC00047136B0666 +:040BC100982310075E +:040BC20067616AE617 +:040BC3007E0727037F +:040BC40000F716938D +:040BC5000006D363F0 +:040BC60016934792A9 +:040BC700D06300E710 +:040BC8006761020659 +:040BC90075474683A3 +:040BCA000713458543 +:040BCB004601754723 +:040BCC0000D5E56308 +:040BCD00F613068590 +:040BCE0000230FF6FB +:040BCF00472900C7EB +:040BD00086634501F2 +:040BD100676100E771 +:040BD20068F702A31B +:040BD30067E145058C +:040BD4006B07D703D1 +:040BD500C68366E18C +:040BD6009B4D7EB6FF +:040BD700834107420D +:040BD8006AE798230D +:040BD90066E1EA8166 +:040BDA006BE6C6837D +:040BDB008F55068AA2 +:040BDC006AE7982309 +:040BDD006B07D703C8 +:040BDE00441640A6D3 +:040BDF00000217B742 +:040BE0000EE7A02359 +:040BE1000113448632 +:040BE200808204C148 +:040BE300B7BD4789CA +:040BE400B7AD478DD5 +:040BE500B79D4791E0 +:040BE600B78D4795EB +:040BE700BFB94799B2 +:040BE800BFA9479DBD +:040BE900BF9947A1C8 +:040BEA00BF8947A5D3 +:040BEB00C78366E175 +:040BEC0067617EB609 +:040BED00580726037C +:040BEE000017B793A2 +:040BEF007EF685A366 +:040BF00000064703B1 +:040BF1009B6D078A67 +:040BF20000238FD974 +:040BF30067E100F6C0 +:040BF4006D17C703AF +:040BF5008F2367E102 +:040BF600C78366E764 +:040BF700C7917EB66E +:040BF80000EF4505C0 +:040BF90047A93C309C +:040BFA00E0EFBF1158 +:040BFB00BFE5962F8D +:040BFC00A70367E103 +:040BFD00665958C716 +:040BFE004783656163 +:040BFF004483000724 +:040C000006130017C0 +:040C0100F7935FC640 +:040C0200F4930FF761 +:040C030004A20FF444 +:040C040044838FC5D1 +:040C050045C50027BA +:040C060068850513E5 +:040C07000FF4F4935F +:040C08008CDD04C2B9 +:040C090000374783E6 +:040C0A008FC507E2A9 +:040C0B0000B7D393C8 +:040C0C000013F3934B +:040C0D00C61EC83EF9 +:040C0E0000474683D2 +:040C0F000057430344 +:040C10007FF4F493E6 +:040C11000FF6F69351 +:040C12000FF3731356 +:040C130066B303229F +:040C1400430300D3C3 +:040C1500470300672A +:040C160073130077DD +:040C170003420FF392 +:040C180000D363336F +:040C190000A40683AA +:040C1A0003324771E9 +:040C1B0002E686B3B4 +:040C1C0007136765EE +:040C1D00531380C726 +:040C1E00C41A00C331 +:040C1F0066E19736BD +:040C20006D16C68304 +:040C2100049020EF2C +:040C220000B44703D0 +:040C230043B2C721F0 +:040C2400869347C2AA +:040C250096B300146E +:040C2600CA3E00764C +:040C270001479613D8 +:040C2800969E43222F +:040C29000690071317 +:040C2A000006446319 +:040C2B0007000713A4 +:040C2C000003063784 +:040C2D0007938E7D1E +:040C2E00E21902A025 +:040C2F000200079325 +:040C3000656166593B +:040C31000613C01ACC +:040C320045C56086CE +:040C33006C05051334 +:040C34007FC020EF6E +:040C3500A70367E1C9 +:040C36004783580791 +:040C3700E793000738 +:040C3800002300474E +:040C3900E0EF00F7F1 +:040C3A00BDF5820F73 +:040C3B005783676113 +:040C3C00C7936B07E8 +:040C3D001823010770 +:040C3E00B5F56AF7A7 +:040C3F00C70367E19F +:040C400046057547A9 +:040C410075478793D9 +:040C4200656346811F +:040C4300070500E6BB +:040C44000FF776939D +:040C450000D7802331 +:040C460067E1B5F9B4 +:040C47007547871353 +:040C48000017470347 +:040C49008793460542 +:040C4A004681754723 +:040C4B0000E66563F7 +:040C4C00769307058F +:040C4D0080A30FF77A +:040C4E00B57500D7A1 +:040C4F00079367613F +:040C5000C78375479A +:040C5100071300671E +:040C5200C78175479A +:040C5300F79317FDFF +:040C540003230FF770 +:040C5500BD4100F7A6 +:040C560007136761B8 +:040C57004783754713 +:040C580046B9006732 +:040C59000FF7F61388 +:040C5A0000C6F3637A +:040C5B00078547B909 +:040C5C0065D9B7CDD2 +:040C5D004645656142 +:040C5E006185859394 +:040C5F00688505138C +:040C60007E6020EFA3 +:040C610065D963618D +:040C62006C03051307 +:040C630085934645EA +:040C640020EF628596 +:040C650064E17D4089 +:040C66005804A68305 +:040C67000006C78339 +:040C68000047E793C7 +:040C690000F68023EE +:040C6A00F5FFD0EFD3 +:040C6B0063616765F5 +:040C6C0080C7071323 +:040C6D00000217B7B3 +:040C6E000D07A603C5 +:040C6F00879367E11F +:040C7000064279C7F8 +:040C710046818241F5 +:040C7200D50345ED74 +:040C7300066300070D +:040C7400068500C52C +:040C75009AE307896E +:040C76000783FEB63C +:040C7700467100A41E +:040C780002C787B375 +:040C790097BA4615CB +:040C7A0083F54BDCD7 +:040C7B0002F66463B6 +:040C7C00406346117A +:040C7D00009806D6FF +:040C7E004703973E53 +:040C7F005733FDC723 +:040C80008B0540D7C9 +:040C8100078AC70D0A +:040C820097BA009885 +:040C8300FE47A783FE +:040C840000D78023F2 +:040C85005804A70365 +:040C86000007478399 +:040C870000239BEDBE +:040C8800D0EF00F7B2 +:040C890067E1F2BF6E +:040C8A007E0785A3B9 +:040C8B006659BB6D7E +:040C8C0006130685C0 +:040C8D0045C56346B0 +:040C8E006C030513DB +:040C8F00690020EFE9 +:040C9000EC7FD0EF36 +:040C91000007A5377C +:040C9200120505132F +:040C9300EB3FC0EF84 +:040C940047B1B7D1DC +:040C9500FCF680E306 +:040C960005136509D4 +:040C9700C0EF710534 +:040C980067E5EA1F03 +:040C990080C7871376 +:040C9A00B7A9636132 +:040C9B0000A4068328 +:040C9C0067E5467151 +:040C9D0002C68633D2 +:040C9E0080C7871371 +:040C9F008793458171 +:040CA000973280C740 +:040CA100016747039D +:040CA20065634679C7 +:040CA300070500E65B +:040CA4000FF775933E +:040CA50086334671DB +:040CA600676102C6BA +:040CA7008B2397B252 +:040CA800460500B746 +:040CA90074C70723E2 +:040CAA004703676134 +:040CAB009CE37F4700 +:040CAC00C703D2E6C2 +:040CAD0067E1016793 +:040CAE007EE7942326 +:040CAF000683B32DD8 +:040CB000467100A4E5 +:040CB100863367E53A +:040CB200871302C6DC +:040CB30045FD80C7B4 +:040CB40080C78793DB +:040CB5004703973228 +:040CB600DF4D0167A6 +:040CB700BF4D177D99 +:040CB800440144852A +:040CB900656167D931 +:040CBA006447859373 +:040CBB000513464592 +:040CBC0020EF688538 +:040CBD0046A967409D +:040CBE0002D406B3A3 +:040CBF008736479598 +:040CC00000D7D36323 +:040CC10063E147158F +:040CC20085136659D7 +:040CC30007256C0392 +:040CC4006546061368 +:040CC50020EF45C512 +:040CC60067615B60A7 +:040CC7005807268321 +:040CC8000006C783D8 +:040CC9000047E79366 +:040CCA0000F680238D +:040CCB00DDBFD0EFCA +:040CCC0063E1676118 +:040CCD00C43A87A6F8 +:040CCE0016B7C61E71 +:040CCF00A2830002FA +:040CD00067410D0665 +:040CD100F4B3177DE4 +:040CD200EF9900E2B4 +:040CD30067E1CC9178 +:040CD40079C78693C3 +:040CD5004781466DA0 +:040CD6000006D583BC +:040CD70000958D6394 +:040CD80006890785FD +:040CD900FEC79AE3D5 +:040CDA000513650990 +:040CDB00C0EF7105F0 +:040CDC0087A6D91FEF +:040CDD0046A5B7D998 +:040CDE0000D7876351 +:040CDF00E011469149 +:040CE000DB6346A5E7 +:040CE100472904D7C4 +:040CE200E7B30785E8 +:040CE300033302E7EE +:040CE400676102E45E +:040CE5000F23979AA8 +:040CE600E0EF66F7DE +:040CE700C90DF59F9F +:040CE800869366D9B0 +:040CE90047B25F4669 +:040CEA000613665D2A +:040CEB0045C5A286D3 +:040CEC006C078513F9 +:040CED00518020EF23 +:040CEE00D4FFD0EF70 +:040CEF000007A5371E +:040CF00012050513D1 +:040CF100D3BFC0EFBE +:040CF200A70347A26B +:040CF300B5A9580740 +:040CF400869366D9A4 +:040CF500BFC15EC657 +:040CF600956346E9D3 +:040CF700441300D7CB +:040CF800B709001424 +:040CF90091E346B18C +:040CFA00BFF9F8D76F +:040CFB00C78367E163 +:040CFC009AE37EB742 +:040CFD004792BE0755 +:040CFE0047A9B6113B +:040CFF000113B639EE +:040D00001828DBC113 +:040D01002411202376 +:040D020022812E23F9 +:040D030022912C23EA +:040D0400C57FD0EFE8 +:040D050020EFC22AEF +:040D0600479240E0F0 +:040D0700E7D5440DDB +:040D0800182C46114C +:040D090020EF0828A7 +:040D0A0065DD54004F +:040D0B008593461175 +:040D0C0008289045DE +:040D0D00506020EF23 +:040D0E000640079301 +:040D0F005783E559C8 +:040D1000059303C183 +:040D1100462103E193 +:040D120001E10513E3 +:040D130000F11E23AA +:040D1400516020EF1B +:040D1500046157839B +:040D1600020102A331 +:040D170000F11A23AA +:040D18000481578378 +:040D190000F11B23A7 +:040D1A0000EF45524F +:040D1B00578374B0D6 +:040D1C00D42A04A130 +:040D1D0000F11A23A4 +:040D1E0004C1578332 +:040D1F0000F11B23A1 +:040D200000EF455249 +:040D21005783733051 +:040D2200D62A04E1E8 +:040D230000F11A239E +:040D240005015783EB +:040D250000F11B239B +:040D260000EF455243 +:040D2700D82A71B0A5 +:040D2800234125033B +:040D2900711000EF56 +:040D2A00DA2A55A2CA +:040D2B001E200793EC +:040D2C00FE658713C6 +:040D2D0010E7E36385 +:040D2E001828460536 +:040D2F0071D000EF90 +:040D3000046357D22F +:040D3100079304F52B +:040D3200C23E066057 +:040D3300358020EFF8 +:040D340007134792C8 +:040D3500466306808B +:040D3600071302F7A6 +:040D370044630630DB +:040D3800071320F786 +:040D39008363F3607D +:040D3A00071328E78C +:040D3B00DA63F37014 +:040D3C00071324E78E +:040D3D008F63F3408D +:040D3E00071326E78A +:040D3F008F63F3507B +:040D400065DD26E760 +:040D4100870585930A +:040D42006461AAF549 +:040D43000613665DD0 +:040D440045C590C64B +:040D450068840513A6 +:040D46003B4020EF1F +:040D470067E156B258 +:040D48008513665D4C +:040D490006136C071A +:040D4A0045C591C644 +:040D4B003A0020EF5B +:040D4C00BD7FD0EFA8 +:040D4D0054B257C283 +:040D4E00C23E43015D +:040D4F00C422450174 +:040D50000893606341 +:040D510098634792CA +:040D5200478318A714 +:040D5300468301E1F1 +:040D5400470301C18F +:040D5500CBD501D128 +:040D5600879367D93F +:040D57004522778733 +:040D580001E106139C +:040D5900665DC032E1 +:040D5A009286061364 +:040D5B00051345C572 +:040D5C0020EF688597 +:040D5D0067E135A075 +:040D5E00464565DDC4 +:040D5F0098058593DB +:040D60006C07851384 +:040D6100916FD0EFCF +:040D6200D0EF646109 +:040D63000413B7DFDF +:040D640014B779C483 +:040D6500A78300025E +:040D660057030D041E +:040D670007C20004BB +:040D6800086383C1D8 +:040D6900570306F72F +:040D6A000A630024F4 +:040D6B00650912F70D +:040D6C0071050513F5 +:040D6D00B4BFC0EF60 +:040D6E000793BFE93F +:040D6F00B731065042 +:040D7000406482B3A6 +:040D710020000793C4 +:040D72000057F463CF +:040D730020000293C7 +:040D7400041367E11C +:040D750097132003AD +:040D760085130102DE +:040D77008341670746 +:040D78005613468147 +:040D7900182C00949E +:040D7A00C616C81AB7 +:040D7B00144020EF11 +:040D7C00106387AACF +:040D7D0043420E05DA +:040D7E00182842B23D +:040D7F000013361314 +:040D800000EF859665 +:040D810083225D70FC +:040D820067DDBF2545 +:040D83009CC78793EF +:040D840010EFB7B9FC +:040D8500676131A0D1 +:040D86006B0757831D +:040D870005136509E2 +:040D8800E793710577 +:040D89001823002704 +:040D8A00A0236AF741 +:040D8B00C0EF0EF4B3 +:040D8C0065DDAD1F55 +:040D8D004645656111 +:040D8E009345859371 +:040D8F00688505135B +:040D9000326020EFBE +:040D910067E1440DC5 +:040D9200851364DD84 +:040D930046456C075E +:040D940094048593AB +:040D9500312020EFFA +:040D9600AAFFD0EFF1 +:040D97001834563284 +:040D98004505458147 +:040D9900A31FD0EFD5 +:040D9A0010E387AA31 +:040D9B006761E605A1 +:040D9C00051365DDF9 +:040D9D0046456887D8 +:040D9E00950585939F +:040D9F002EA020EF73 +:040DA000940485939F +:040DA100464564E17E +:040DA2006C04851345 +:040DA3002DA020EF70 +:040DA400A77FD0EF66 +:040DA50055B25642AB +:040DA60045011834B7 +:040DA70096DFD0EF14 +:040DA80014E387AA1F +:040DA90020EFE20550 +:040DAA00676117E086 +:040DAB00464565DD77 +:040DAC00994585934D +:040DAD00688705133B +:040DAE00FE3FC0EF55 +:040DAF00464565DD73 +:040DB000960585938C +:040DB1006C04851336 +:040DB20029E020EF25 +:040DB300A3BFD0EF1B +:040DB400440DA00149 +:040DB500440DBBDD51 +:040DB6000670079329 +:040DB700440DB3FD37 +:040DB8000680079317 +:040DB9008713B3DD0C +:040DBA00468DF9B7B2 +:040DBB0008E6EC63F7 +:040DBC00070A66DDDF +:040DBD009A868693F9 +:040DBE004318973609 +:040DBF0065DD870265 +:040DC000860585938C +:040DC10067E1C23EE6 +:040DC2006C07851322 +:040DC30020EF464592 +:040DC400D0EF2580C7 +:040DC50045379F5FB0 +:040DC6000513000F02 +:040DC700C0EF240550 +:040DC80047929E1F91 +:040DC9000607D863DE +:040DCA0006805663E6 +:040DCB006561665D9B +:040DCC00970606136D +:040DCD00051345C500 +:040DCE0020EF688525 +:040DCF00147D192056 +:040DD0008563B71967 +:040DD100470504E7E7 +:040DD200DAE79DE3DC +:040DD300859365DDC2 +:040DD400BF4D8E057C +:040DD500859365DDC0 +:040DD600B76D8785E9 +:040DD700859365DDBE +:040DD800B74D888506 +:040DD900859365DDBC +:040DDA00BF6989C59F +:040DDB00859365DDBA +:040DDC00BF498D0579 +:040DDD00859365DDB8 +:040DDE00B7698F055D +:040DDF00859365DDB6 +:040DE000B7498C057E +:040DE100859365DDB4 +:040DE200BFAD8B0511 +:040DE300859365DDB2 +:040DE400BF8D850535 +:040DE5002401208342 +:040DE60023C12403FE +:040DE70023812483BD +:040DE8000113557D21 +:040DE900808224419F +:040DEA00C70367E1F3 +:040DEB0046F17EA7A8 +:040DEC008A2367E10E +:040DED0007337EE763 +:040DEE0067E502D7DC +:040DEF0080C787939F +:040DF000D68397BA55 +:040DF100676100E74F +:040DF2007ED719236C +:040DF30066E14B98D2 +:040DF4009C238B7D34 +:040DF500C6837EE64D +:040DF60067610147E9 +:040DF7007ED71D2363 +:040DF8000127C68386 +:040DF90013236761F8 +:040DFA0047987ED7C1 +:040DFB00835566E1D5 +:040DFC007EE69823D4 +:040DFD000157C68351 +:040DFE0016236761F0 +:040DFF00C6837ED752 +:040E000067610137EE +:040E01007ED71B235A +:040E020000C7D683CC +:040E030017236761E9 +:040E0400C7037ED7CB +:040E050067E1016739 +:040E06007EE79423CC +:040E070067E180829D +:040E08007F47C583D8 +:040E0900D68367E144 +:040E0A0067E17F27F6 +:040E0B007F87D28388 +:040E0C00D78367E140 +:040E0D0011117FA799 +:040E0E00C03ECA26F2 +:040E0F00C78367E14D +:040E1000CC227E670B +:040E1100C23E676511 +:040E1200D78367E13A +:040E130007137F073B +:040E1400C43E80C791 +:040E1500D78367E137 +:040E1600C63E7EC78F +:040E1700C78367E145 +:040E1800C83E7F67EA +:040E1900D48367E136 +:040E1A0067E17EE727 +:040E1B007E87D303F8 +:040E1C00879367E170 +:040E1D00C6036D4754 +:040E1E00C24100B716 +:040E1F0000A786039F +:040E200006B61D6392 +:040E210007B347F1DB +:040E220097BA02F683 +:040E230000E7D3838E +:040E240006D39163FD +:040E25000107A3839B +:040E26000FF2F413C0 +:040E270001F3F3934D +:040E28000483996343 +:040E29000147C38337 +:040E2A009463440287 +:040E2B00C3830483F6 +:040E2C004412012744 +:040E2D0002839F633A +:040E2E000087A38313 +:040E2F00D3934422F3 +:040E3000986301536F +:040E3100C3830283F2 +:040E320044320157EE +:040E33000283936340 +:040E34000137C3833C +:040E35009E63444232 +:040E3600D7830083DB +:040E37009A6300C7F3 +:040E380047F10097E7 +:040E390002F6063384 +:040E3A004783963A1A +:040E3B008663016663 +:040E3C0067E1006703 +:040E3D0087234605BC +:040E3E0047F174C73D +:040E3F0002F587B37E +:040E400001F2F29336 +:040E4100D60397BA83 +:040E420097230107EA +:040E43009A0100D739 +:040E4400005662B33F +:040E45000057982397 +:040E46008A234602B3 +:040E4700461200C788 +:040E480000C7892333 +:040E49001293462298 +:040E4A00D603005675 +:040E4B008A7D00A7F5 +:040E4C0000566633B3 +:040E4D0000C7952322 +:040E4E008AA34632FB +:040E4F00464200C750 +:040E5000009796234E +:040E510000678B2388 +:040E520000C789A3A9 +:040E530006136661BB +:040E540067E17E864E +:040E550002C515635A +:040E56004650069369 +:040E570002D303338C +:040E580006400693B7 +:040E59000613665DB9 +:040E5A0046B39B867A +:040E5B00446202D318 +:040E5C0045C544D272 +:040E5D006C07851386 +:040E5E00106F01719F +:040E5F006661753023 +:040E60007F260613D0 +:040E610000C507635E +:040E620006136661AC +:040E630016637F860D +:040E6400467102C50C +:040E650002C585B38A +:040E66004462461587 +:040E6700851344D2D9 +:040E6800972E6C074E +:040E690045C54B1818 +:040E6A0007338B7D42 +:040E6B00665D02C7F7 +:040E6C009C060613C7 +:040E6D00106F017190 +:040E6E00665D7170DC +:040E6F0000055683A1 +:040E70009F46061380 +:040E71000693B76DC0 +:040E7200053327100D +:040E7300069302D50B +:040E7400665D064071 +:040E75009C8606133E +:040E760046B345C575 +:040E7700656102D5DA +:040E78006C050513ED +:040E79006E90106FF8 +:040E7A00FDFFF06F19 +:040E7B00468505059E +:040E7C0000A696B383 +:040E7D006561665DE8 +:040E7E009D060613B4 +:040E7F00051345C54D +:040E8000106F6C057E +:040E810046856CB086 +:040E820000A696B37D +:040E83006561665DE2 +:040E84009DC60613EE +:040E8500051345C547 +:040E8600106F6C0578 +:040E870006936B3033 +:040E880006E2F80581 +:040E89006561665DDC +:040E8A00061386E1E4 +:040E8B0045C59E8635 +:040E8C006C050513D9 +:040E8D006990106FE9 +:040E8E00665D86AA6D +:040E8F000613656180 +:040E900045C59F06AF +:040E91006C050513D4 +:040E92006850106F25 +:040E9300665D86AA68 +:040E9400061365617B +:040E950045C59DC6EC +:040E96006C050513CF +:040E97006710106F61 +:040E9800000F46B74A +:040E99002406869312 +:040E9A0002D5053345 +:040E9B008793678949 +:040E9C00071396475B +:040E9D0036B73E80A6 +:040E9E0086930063D4 +:040E9F00665DEA069C +:040EA0009F86061310 +:040EA10057B345C539 +:040EA200F7B302F5AB +:040EA300472902E7F2 +:040EA40002D556B36A +:040EA500051365616B +:040EA600D7336C05CD +:040EA700106F02E7DF +:040EA800069362F05B +:040EA9000533467057 +:040EAA00069302D5D4 +:040EAB00665D06403A +:040EAC00A046061343 +:040EAD0046B345C53E +:040EAE00656102D5A3 +:040EAF006C050513B6 +:040EB00060D0106F8F +:040EB100271006936D +:040EB20005330505FA +:040EB300069302D5CB +:040EB400665D064031 +:040EB5009C860613FE +:040EB60046B345C535 +:040EB700656102D59A +:040EB8006C050513AD +:040EB9005E90106FC8 +:040EBA00665D86AA41 +:040EBB000613656154 +:040EBC0045C59D0685 +:040EBD006C050513A8 +:040EBE005D50106F04 +:040EBF000185169300 +:040EC000665D86E104 +:040EC10016D1656180 +:040EC200A0C60613AD +:040EC300051345C509 +:040EC400106F6C053A +:040EC50045F15BB0E8 +:040EC60002B5053339 +:040EC700859365E5C5 +:040EC800464580C556 +:040EC900656195AA20 +:040ECA006C0505139B +:040ECB0063B0106F91 +:040ECC000025179353 +:040ECD000513655D47 +:040ECE00953E4745C1 +:040ECF006561410C0C +:040ED000051346457B +:040ED100106F6C052D +:040ED20047A96210BA +:040ED30000F50E63B5 +:040ED400050A67E1C3 +:040ED500B747879301 +:040ED600410C953EF8 +:040ED70046456561C6 +:040ED8006C0505138D +:040ED9006030106F06 +:040EDA00859365DDBA +:040EDB00B7FDA14579 +:040EDC0045851141F6 +:040EDD00C02AC6065B +:040EDE0067612159CE +:040EDF007FC74783FF +:040EE000071346822C +:040EE100E7817FC75F +:040EE2000713675D2E +:040EE30040B2A1C7B1 +:040EE4006561665D81 +:040EE500A246061308 +:040EE600051345C5E6 +:040EE70001416C0554 +:040EE80052D0106F65 +:040EE900A70367E113 +:040EEA0067E17DC778 +:040EEB0079C78793A9 +:040EEC0046C5462D84 +:040EED000167D58341 +:040EEE0006E5926320 +:040EEF000FF6761371 +:040EF0000C63E11995 +:040EF10067612A0704 +:040EF20064D74383FB +:040EF300C4261131CF +:040EF400879364E19B +:040EF500951342848B +:040EF600C6220033DD +:040EF70097AAC806E8 +:040EF8000007A283CA +:040EF9000047C78364 +:040EFA00A58346D1B5 +:040EFB0086B3004278 +:040EFC00441502D7C0 +:040EFD0064D707139C +:040EFE004284849313 +:040EFF00059396AE13 +:040F0000F593FF5610 +:040F0100C3030FF522 +:040F020069630046D9 +:040F0300645D02B473 +:040F04000413058A43 +:040F050095A2A2C44B +:040F06008582418C13 +:040F0700078906054B +:040F0800F8D61AE31A +:040F0900BF69460175 +:040F0A00C783E3991D +:040F0B0017FD0002CC +:040F0C000FF7F79351 +:040F0D0000A486B303 +:040F0E0000F6822344 +:040F0F0046814401D2 +:040F1000C603A8B1BB +:040F1100078500024E +:040F120000A486B3FE +:040F130002C7E7B377 +:040F14008763B7E553 +:040F150087930003BB +:040F16000023FFF3C2 +:040F1700BFF900F727 +:040F180085A367E165 +:040F190067E17E0707 +:040F1A005807A703CA +:040F1B000007478301 +:040F1C0000239BED26 +:040F1D00443200F763 +:040F1E0044A240C2E7 +:040F1F00D06F01513D +:040F2000478DCCEF3E +:040F210006F301636F +:040F220019E34791F7 +:040F2300469CFAF3FB +:040F240067E1978268 +:040F25004685842A4F +:040F260064D78713F2 +:040F270000074783F5 +:040F28004645656174 +:040F290094BE078EDD +:040F2A000044C78335 +:040F2B0044D14098D5 +:040F2C00029784B3F1 +:040F2D000513435C09 +:040F2E00C03A6885D8 +:040F2F0097A6C23689 +:040F300010EF438CEF +:040F310047024A50D9 +:040F3200435C4611C5 +:040F3300C70397A6B3 +:040F340068630047A7 +:040F3500665D14E6FB +:040F36000613070A8D +:040F37009732A44603 +:040F38004692431882 +:040F39004A9C870245 +:040F3A009782C7894A +:040F3B00871367E1D0 +:040F3C00478364D7AC +:040F3D0042D1000796 +:040F3E000017851300 +:040F3F0086B3078EE0 +:040F4000429000F4E7 +:040F41000046C6831D +:040F420086B3424CE4 +:040F43001613025629 +:040F440083330035BE +:040F4500228300C43F +:040F460096AE000360 +:040F470084634694E5 +:040F4800022300D2AE +:040F490097A6000364 +:040F4A000047C78312 +:040F4B00962646D1CF +:040F4C0002D787B38E +:040F4D0000A70023D6 +:040F4E00479C97AE77 +:040F4F00BDFDC21C06 +:040F50000E63478D58 +:040F5100E96302F35B +:040F52004789026762 +:040F530006F3076337 +:040F540042BD4688CC +:040F550000C6C3030C +:040F560000D6C58379 +:040F570000054783C7 +:040F580000E6C68366 +:040F590002561F63BA +:040F5A0002F5F96340 +:040F5B00F69317FDF5 +:040F5C0000230FF768 +:040F5D00B5D900D52D +:040F5E0011E34791C3 +:040F5F0046CCECF39D +:040F60004194453D36 +:040F61000006C7833C +:040F620006A6166366 +:040F630017FDC7812E +:040F64000FF7F793F9 +:040F650000F68023EF +:040F66001CE3B5557E +:040F670086AEFC0353 +:040F6800F863BFC9A2 +:040F6900078500D721 +:040F6A000FF7F593F5 +:040F6B0000B50023AA +:040F6C001DE3B5715B +:040F6D0085B6FE0344 +:040F6E004688BFD51D +:040F6F00D583433DA6 +:040F7000578300C6DD +:040F7100D68300051E +:040F72001E6300E614 +:040F7300F7630066BA +:040F740017FD00F570 +:040F75000107969347 +:040F7600A01982C17B +:040F770086AEC191F0 +:040F780000D510236D +:040F7900F463BDA1BF +:040F7A00078500D710 +:040F7B00F9EDB7E5F0 +:040F7C00B7FD4681F6 +:040F7D000045C60362 +:040F7E0000C7F56350 +:040F7F00F6130785D9 +:040F800080230FF7C4 +:040F8100BD1D00C6CC +:040F82004B9C4798A5 +:040F8300470365615A +:040F840046450007D7 +:040F85006C050513DF +:040F860097BA070A05 +:040F870010EF438C98 +:040F8800443234902B +:040F890044A240C27C +:040F8A00D06F0151D2 +:040F8B004798ADCF07 +:040F8C0045034B9C32 +:040F8D009782000740 +:040F8E004B98B7EDD8 +:040F8F0097024788F6 +:040F900067E1B7CD91 +:040F91006C07802346 +:040F9200C29DBFE954 +:040F930066D9CC113E +:040F94005F4686939B +:040F95006561665DCF +:040F9600A286061316 +:040F9700051345C534 +:040F980010EF6C05E5 +:040F9900BF7526B04A +:040F9A00869366D9FB +:040F9B00B7DD5EC69A +:040F9C00DBE147DC72 +:040F9D00479C439892 +:040F9E008082BF6D21 +:040F9F006E63473DF9 +:040FA00057B716A782 +:040FA10001134452A2 +:040FA2008793EF4101 +:040FA300C03E3557C0 +:040FA400004157B7FA +:040FA50044178793D3 +:040FA6003793C23E7D +:040FA700222300F50C +:040FA800052310818C +:040FA900242300F10C +:040FAA0020231011DF +:040FAB00842A1091F3 +:040FAC0014636795CE +:040FAD0087930CE535 +:040FAE0065E15007A2 +:040FAF0000F1142316 +:040FB0000793462934 +:040FB100859304A080 +:040FB20005136B4573 +:040FB30005A300D1C1 +:040FB400062300F11F +:040FB500C0EF000188 +:040FB60067E1FC4FA4 +:040FB7006857C7832D +:040FB800061365E1D6 +:040FB9000C230360A2 +:040FBA0067E100F1FA +:040FBB0067D7C783AA +:040FBC0079C58593DB +:040FBD000211051305 +:040FBE0000F10CA38F +:040FBF00C78367E19C +:040FC0000BA36D27EB +:040FC10067E100F1F3 +:040FC2006BE7C7838F +:040FC30000F10D2309 +:040FC400C78367E197 +:040FC5000DA37507FC +:040FC60067E100F1EE +:040FC7006B27C7834A +:040FC80000F10E2303 +:040FC900C78367E192 +:040FCA000EA357F724 +:040FCB0067E100F1E9 +:040FCC006847C78328 +:040FCD0000F10F23FD +:040FCE00C78367E18D +:040FCF000FA35847CD +:040FD00067E100F1E4 +:040FD1005857C78323 +:040FD20002F1002305 +:040FD300F4EFC0EF88 +:040FD4000613660991 +:040FD5000593F0068A +:040FD600850A057013 +:040FD700818FD0EF47 +:040FD80000A035330D +:040FD90040A00533FC +:040FDA0010812083DF +:040FDB00104124039A +:040FDC001001248359 +:040FDD0010C101132B +:040FDE0087938082F3 +:040FDF001423600770 +:040FE00007B700F15E +:040FE10087930428C6 +:040FE20064E104675B +:040FE300C783CC3EB6 +:040FE400EB897FC452 +:040FE5000613665D2C +:040FE60045B549863E +:040FE7007FC485132B +:040FE80012D010EF24 +:040FE9008593463571 +:040FEA0005137FC4A8 +:040FEB0010EF00B152 +:040FEC0065E11B9010 +:040FED000460061383 +:040FEE00754585932D +:040FEF00C0EF0868DF +:040FF00065E5EDCFF7 +:040FF10009E00613FA +:040FF20080C585939E +:040FF30006210513BB +:040FF400C0EF044105 +:040FF5000422EC8F57 +:040FF60005938622B7 +:040FF700850A100057 +:040FF800F95FC0EFEE +:040FF9000613656511 +:040FFA000593001447 +:040FFB00051338A002 +:040FFC00C0EF8AA513 +:040FFD004501FD9F0E +:040FFE00557DBF85D9 +:040FFF0001138082D8 +:0410000067E1EE8135 +:041001007E078E23B5 +:0410020010112A237C +:04100300108128230D +:0410040010912623FE +:0410050047BDC22EF3 +:0410060000A7FD63DF +:04100700208357FDEE +:04100800240311416B +:04100900248311012A +:04100A00853E10C14E +:04100B00118101133B +:04100C000793808244 +:04100D000070010569 +:04100E001000059336 +:04100F00010795132D +:04101000C0EFC03E2F +:04101100F979F15F19 +:04101200859365DD80 +:0410130000684A0522 +:04101400E60FC0EF34 +:04101500146387AA2F +:0410160047031C056B +:04101700CB6901613F +:041018001EE3468508 +:041019004483FAD73B +:04101A009C63014191 +:04101B0046831A04EA +:04101C000713015164 +:04101D009663056071 +:04101E0056831AE6F5 +:04101F000713024170 +:041020009EE30460E7 +:041021005403F8E696 +:04102200071302614D +:0410230018E342800C +:0410240067E1F8E4A4 +:041025004635C42A5E +:041026007FC78513E8 +:0410270001710593BB +:041028000C7010EF49 +:0410290047A2471281 +:04102A006561FB3DC4 +:04102B000460061344 +:04102C000513102C6C +:04102D00C0EF754556 +:04102E004782DE4FC8 +:04102F00470166E52A +:04103000C03E07A215 +:0410310080C686935C +:0410320006200793FA +:041033001000061390 +:0410340000D70533A9 +:041035008E1DC43A0E +:04103600C23E00783E +:0410370000F705B306 +:0410380012C44B6330 +:04103900DB6FC0EFBA +:04103A004722479270 +:04103B00943E048556 +:04103C0047828F1D3B +:04103D00100707137E +:04103E000FF4F49324 +:04103F0000F4853301 +:04104000834107429F +:04104100F0040413A0 +:0410420005930070A2 +:041043000522100072 +:041044000442C23A66 +:04104500E43FC0EFD5 +:04104600804166E59A +:041047004781471284 +:0410480080C6869345 +:0410490067E1F44522 +:04104A0087234705AC +:04104B00A0D574E7D1 +:04104C000141470314 +:04104D000E07176310 +:04104E000151468383 +:04104F00055007132E +:041050000EE69163B4 +:0410510001814703CF +:04105200017146835F +:041053008F5507228C +:0410540004A006935B +:04105500ECD715E3DC +:0410560012E3471248 +:0410570066E1EC075B +:041058004781007854 +:0410590086934539FC +:04105A0045A96B46F3 +:04105B0000D7460371 +:04105C0000C5666302 +:04105D0000D7833302 +:04105E0000C30023A8 +:04105F0007050785F5 +:04106000FEB796E35E +:04106100025147836E +:041062000EA3676111 +:04106300472566F7C0 +:0410640000F76663C8 +:0410650002A367611A +:04106600A02968F75E +:041067000241478378 +:04106800FEF77AE332 +:0410690002714703C6 +:04106A00656167E174 +:04106B0074E788237B +:04106C0002814703B3 +:04106D00061367E11E +:04106E00892303606F +:04106F0047036AE7E2 +:0410700067E10291A1 +:0410710002D1059310 +:0410720056E78FA30B +:0410730002A147038C +:04107400051367E118 +:04107500822379C594 +:04107600470368E7DD +:0410770067E102B17A +:0410780058E7822390 +:0410790002C1470366 +:04107A0082A367E105 +:04107B00470358E7E8 +:04107C0067E10231F5 +:04107D006CE7892370 +:04107E00C70367E15C +:04107F0067E16B4773 +:041080006CE788A3EE +:0410810002614703BE +:041082008F2367E170 +:04108300C0EF6AE769 +:041084004781C8CF09 +:041085008622B529E1 +:04108600C82FC0EFC0 +:041087004785B729B9 +:041088004789BBFDDC +:041089000113BBEDA7 +:04108A000868DD8194 +:04108B0022112223E9 +:04108C00228120237A +:04108D0020912E235D +:04108E00E2FFC0EFCE +:04108F0010EFC02A74 +:0410900047825E60D5 +:041091001607936348 +:0410920065DD646153 +:0410930085934645B6 +:0410940005134C05EF +:0410950064E1688426 +:04109600C42FC0EFB4 +:041097006C04802342 +:04109800EA7FC0EF3C +:0410990064E1C62622 +:04109A008493C42255 +:04109B0017B779C446 +:04109C00A783000224 +:04109D00D7030D0761 +:04109E0007C2000481 +:04109F000C6383C19A +:0410A000D70300F77B +:0410A10002630024C2 +:0410A200650912F7D3 +:0410A30071050513BB +:0410A400E6FFB0EFC4 +:0410A50047A2BFE9B6 +:0410A600464565DD79 +:0410A7004D4585939B +:0410A80068878513BD +:0410A900BF6FC0EF66 +:0410AA0065DD47B207 +:0410AB00859346459E +:0410AC00851394050F +:0410AD0010EF6C07CD +:0410AE00C0EF6B0024 +:0410AF004481E4DFB5 +:0410B00017134401CD +:0410B100071301041C +:0410B2005793200729 +:0410B300C23E409762 +:0410B40067E1863E2C +:0410B5004681472DFC +:0410B6008513080C8A +:0410B70010EF6707C8 +:0410B800E561452089 +:0410B900859365DDD9 +:0410BA0008084A05D3 +:0410BB00BC4FC0EF77 +:0410BC004703ED1DDC +:0410BD00EF050181B9 +:0410BE0001914683D3 +:0410BF0005600713AE +:0410C00002E697634A +:0410C10001A14683C0 +:0410C2006263470519 +:0410C300660502D7E5 +:0410C40000841593FC +:0410C500061395B2C7 +:0410C600846348A057 +:0410C700061300E626 +:0410C8004512057058 +:0410C900C0EF0874F8 +:0410CA00E141D6FF2B +:0410CB00040504858F +:0410CC0018E347419D +:0410CD0010EFF8E444 +:0410CE0045814EE02A +:0410CF00F0EF453DBC +:0410D0006761CC1F69 +:0410D1006857470312 +:0410D200879367E1B8 +:0410D30097BA6B4716 +:0410D4000007C50349 +:0410D50067E1458109 +:0410D6006CA788A3D8 +:0410D700CA3FF0EF2D +:0410D800665D47A268 +:0410D900061386A6CE +:0410DA0045C54A8638 +:0410DB00688785138A +:0410DC0055C010EFFC +:0410DD0065DD47B2D4 +:0410DE00859346456B +:0410DF0085134B45E5 +:0410E00010EF6C079A +:0410E100C0EF5E40BE +:0410E2004537D81F97 +:0410E3000513000FE2 +:0410E400B0EF240540 +:0410E5002083D6DFAF +:0410E600240322417C +:0410E700450222019B +:0410E80021C124837B +:0410E900228101134C +:0410EA0010EF808201 +:0410EB0057FD47A0C6 +:0410EC00B7D5C03E76 +:0410ED00018557130F +:0410EE0001851793CE +:0410EF0006B78FD9D8 +:0410F000171300FFD3 +:0410F1008F75008572 +:0410F20067418FD9EA +:0410F300F0070713E8 +:0410F4008D79812150 +:0410F50080828D5D0B +:0410F60017B7C60959 +:0410F700A023000230 +:0410F80047010007A5 +:0410F900FFC5F693A6 +:0410FA0000021637A3 +:0410FB0000E507B352 +:0410FC0002D7646350 +:0410FD00470D898D85 +:0410FE0002E5946310 +:0410FF000007D6838D +:04110000000217379B +:0411010000D71223DE +:041102000027C78378 +:0411030000F70223CC +:04110400000217B717 +:0411050080824B8811 +:041106000711439CEE +:04110700B7F9C25C16 +:041108009963470997 +:04110900D70300E523 +:04110A0017B700070C +:04110B009223000229 +:04110C00BFF900E740 +:04110D009DE3470512 +:04110E00C703FCE532 +:04110F0017B7000707 +:041110008223000234 +:04111100B7E900E753 +:04111200C222115193 +:04111300000214378B +:041114004601C026AA +:04111500059384AA10 +:04111600051304A019 +:04111700C406040402 +:04111800938FC0EF02 +:04111900460185A660 +:04111A0004040513B1 +:04111B00966FC0EF1C +:04111C0004A0059393 +:04111D0004040513AE +:04111E00C0EF4605D3 +:04111F00051391EF34 +:04112000441204046D +:04112100448240A222 +:0411220001314585CD +:0411230092CFC06F38 +:04112400C42211418F +:041125000002143779 +:04112600C02AC226F3 +:04112700460184AE4B +:0411280004A0059387 +:0411290004040513A2 +:04112A00C0EFC60646 +:04112B0047828EEF7A +:04112C000513460160 +:04112D0085BE040473 +:04112E0091AFC0EFCE +:04112F00040405139C +:0411300040B2442263 +:04113100449285A6B9 +:04113200014146052C +:04113300906FC06F8A +:0411340095B34585A5 +:04113500114100A5BF +:041136000FF5F59329 +:04113700C60645198A +:04113800F0EFC02EE6 +:041139004582FAFFF2 +:04113A00451D40B25D +:04113B00F06F01410F +:04113C001593FA3FCE +:04113D001141001547 +:04113E000FF5F59321 +:04113F00C606450596 +:04114000F0EFC02EDE +:041141004582F8FFEC +:04114200450940B269 +:04114300F06F014107 +:041144001151F83F0E +:04114500C406451582 +:04114600F31FF0EFB4 +:041147000860071322 +:041148001363478165 +:04114900059304E521 +:04114A000513090080 +:04114B00F0EF0200BF +:04114C004581F63FA4 +:04114D000210051374 +:04114E00F59FF0EF2A +:04114F0005134581BE +:04115000F0EF02209A +:04115100458DF4FFD5 +:04115200023005134F +:04115300F45FF0EF66 +:0411540005134581B9 +:04115500F0EF028035 +:041156000593F3BF4B +:041157000513030079 +:04115800F0EF07109D +:041159004785F2FFD5 +:04115A00853E40A2EC +:04115B00808201315C +:04115C0001A3114199 +:04115D00153700A1A1 +:04115E00C4220002A5 +:04115F00842E46058F +:04116000040505136A +:0411610000310593C1 +:04116200C0EFC6060E +:041163008522892F29 +:04116400B6FFB0EF33 +:04116500442240B22E +:041166008082014141 +:04116700C22211513E +:0411680057836461E4 +:04116900C4066B0449 +:04116A00F793C02611 +:04116B0007C2F9F7C7 +:04116C00182383C100 +:04116D0014B76AF455 +:04116E00A0230002B8 +:04116F0045510EF4E4 +:04117000B3FFB0EF2A +:04117100051345D14C +:04117200F0EF038017 +:0411730045D1FA7FE9 +:0411740003900513CC +:04117500F9DFF0EFBF +:04117600455145D1C9 +:04117700F95FF0EF3D +:04117800051345D145 +:04117900F0EF07107C +:04117A0045D1F8BFA4 +:04117B0005E0051373 +:04117C00F81FF0EF79 +:04117D00051345D140 +:04117E00F0EF06D0B8 +:04117F0045D1F77FE0 +:04118000F0EF453116 +:041181000593F6FFDD +:0411820045053200ED +:04118300F65FF0EF34 +:04118400451945D1F3 +:04118500F5DFF0EFB3 +:04118600320005939B +:04118700F0EF450937 +:041188005783F53F55 +:0411890040A26B0411 +:04118A000407E793DC +:04118B006AF41823C7 +:04118C00A023441246 +:04118D0044820EF496 +:04118E008082013129 +:04118F00C822112140 +:0411900057836461BC +:04119100CA066B041B +:041192000002173709 +:04119300F9F7F793DE +:0411940083C107C24A +:041195006AF41823BD +:04119600C02EC6267B +:041197000EF720230C +:041198003200059389 +:04119900450584AADA +:04119A00F09FF0EFE3 +:04119B00190005131F +:04119C00A8FFB0EF09 +:04119D006B04578305 +:04119E0000021737FD +:04119F00E79345C1CC +:0411A0001823020707 +:0411A10020236AF4A9 +:0411A20085260EF799 +:0411A3002FE010EF3A +:0411A4000FF5779339 +:0411A50065E1EB898C +:0411A60085934645A2 +:0411A700852699857B +:0411A8002C6010EFB8 +:0411A900470147852E +:0411AA0000E486B324 +:0411AB000006C50372 +:0411AC00C43E45D127 +:0411AD00F0EFC23A63 +:0411AE004712EBBF3A +:0411AF00070547A247 +:0411B0000FF776932C +:0411B100FEF6E2E381 +:0411B2006B045783F0 +:0411B300000214B76B +:0411B400F79345D197 +:0411B50007C2FDF779 +:0411B600182383C1B6 +:0411B700A0236AF413 +:0411B80005130EF419 +:0411B900F0EF0C0047 +:0411BA005783E8BFB0 +:0411BB0045026B047A +:0411BC00E79345C1AF +:0411BD0018230207EA +:0411BE00A0236AF40C +:0411BF0010EF0EF42B +:0411C000749328C03C +:0411C100E8890FF5B5 +:0411C20065E145029C +:0411C3008593464585 +:0411C40010EF99850A +:0411C50044852540F8 +:0411C6004702478114 +:0411C700C23E45D10E +:0411C8004503973E06 +:0411C900F0EF00073C +:0411CA004792E4BFA5 +:0411CB00F71307858A +:0411CC0064E30FF7D2 +:0411CD005783FE97AF +:0411CE0040D26B049C +:0411CF0000021737CC +:0411D0000407E79396 +:0411D1006AF4182381 +:0411D2002023444250 +:0411D30044B20EF71D +:0411D40080820161B3 +:0411D500C2221151D0 +:0411D60000021437C8 +:0411D7004601C026E7 +:0411D800059384AA4D +:0411D900051302C038 +:0411DA00C40604043F +:0411DB00E2DFB0EFB0 +:0411DC00460585A699 +:0411DD0004040513EE +:0411DE00E5BFB0EFCA +:0411DF0002C00593B2 +:0411E00004040513EB +:0411E100B0EF460520 +:0411E2000513E13FD1 +:0411E30044120404AA +:0411E400448240A25F +:0411E500013145850A +:0411E600E21FB06FE5 +:0411E700C4221141CC +:0411E80000021437B6 +:0411E900C02AC22630 +:0411EA00460184AE88 +:0411EB0002C00593A6 +:0411EC0004040513DF +:0411ED00B0EFC60693 +:0411EE004782DE3F17 +:0411EF00051346019D +:0411F00085BE0404B0 +:0411F100E0FFB0EF7C +:0411F20004040513D9 +:0411F30040B24422A0 +:0411F400449285A6F6 +:0411F5000141460569 +:0411F600DFBFB06F38 +:0411F70000021537A6 +:0411F800460111514A +:0411F9000513458114 +:0411FA00C40604051E +:0411FB00DE7FB0EFF4 +:0411FC00B0EF4529E2 +:0411FD0045E190DF59 +:0411FE00F0EF4505C4 +:0411FF0045E1FA3F8D +:04120000F0EF4509BD +:0412010045E1F9BF0B +:04120200F0EF450DB7 +:041203004505F93F65 +:04120400F45FF0EFB4 +:04120500152140A2CD +:041206000015351387 +:0412070080820131AF +:04120800C22211519C +:041209004505842AE9 +:04120A00F0EFC40637 +:04120B007593F2BF26 +:04120C00040EFE7559 +:04120D0074138C4D7D +:04120E0085A20FF4B2 +:04120F00F0EF4505B2 +:0412100085A2F5FFBF +:04121100F0EF4509AC +:0412120085A2F57F3D +:0412130040A244129F +:041214000131450D52 +:04121500F49FF06FE3 +:04121600C42211419C +:041217004505842ADB +:04121800F0EFC60627 +:041219004789EF3FD3 +:04121A000D85759336 +:04121B0002F4136363 +:04121C000015E59341 +:04121D00C02E450595 +:04121E00F25FF0EF9C +:04121F0045094582B6 +:04122000F1DFF0EF1B +:04122100458244229C +:04122200450D40B284 +:04122300F06F014126 +:041224000416F0FFBD +:04122500F5938DC1EF +:04122600E5930FF548 +:04122700BFD90045E6 +:04122800C22211517C +:041229000002143774 +:04122A004601C02693 +:04122B00059384AAF9 +:04122C00051305C0E1 +:04122D00C4060404EB +:04122E00CE1FB0EF30 +:04122F000FF4F59330 +:041230000513460557 +:04123100B0EF040412 +:041232000593D0DF71 +:04123300051305C0DA +:041234004605040463 +:04123500CC5FB0EFEB +:041236000404051394 +:0412370040A244127B +:041238004585448222 +:04123900B06F013160 +:04123A001141CD3F52 +:04123B001437C4227E +:04123C00C2260002C4 +:04123D0084AEC02A91 +:04123E0005934601CD +:04123F00051305C0CE +:04124000C6060404D6 +:04124100C95FB0EFE2 +:041242004601478298 +:041243000404051387 +:041244000FF7F59318 +:04124500CBFFB0EF3C :041246000404051384 -:04124700B0EFC60638 -:041248004782C7FF13 -:041249000513460142 -:04124A0085BE040455 -:04124B00CABFB0EF77 -:04124C00040405137E -:04124D0040B2442245 -:04124E00449285A69B -:04124F00014146050E -:04125000C97FB06F33 -:04125100000215374B -:0412520046011151EF -:0412530005134581B9 -:04125400C4060405C3 -:04125500C83FB0EFEF -:04125600B0EF452987 -:0412570045E1FA4F24 -:04125800F0EF450569 -:0412590045E1FA3F32 -:04125A00F0EF450963 -:04125B0045E1F9BFB1 -:04125C00F0EF450D5D -:04125D004505F93F0B -:04125E00F45FF0EF5A -:04125F00152140A273 -:04126000001535132D -:041261008082013155 -:04126200C222115142 -:041263004505842A8F -:04126400F0EFC406DD -:04126500991DF2BF1E -:041266008C49040E9D -:041267000FF47413F9 -:04126800450585A211 -:04126900F61FF0EF8D -:04126A00450985A20B -:04126B00F59FF0EF0C -:04126C00441285A201 -:04126D00450D40A249 -:04126E00F06F0131EB -:04126F001151F4BF66 -:04127000842AC222E8 -:04127100C406450565 -:04127200EF5FF0EF4B -:04127300751347891F -:0412740013630D856E -:04127500641302F408 -:0412760085A2001538 -:04127700F0EF45054A -:0412780085A2F27FDA -:04127900F0EF450944 -:04127A0085A2F1FF59 -:04127B0040A2441237 -:04127C000131450DEA -:04127D00F11FF06FFE -:04127E008C4904167D -:04127F000FF47413E1 -:0412800000446413AF -:041281001151BFD96F -:041282001437C22239 -:04128300C02600027F -:0412840084AA4601F1 -:0412850005C0059308 -:041286000404051344 -:04128700B0EFC406FA -:04128800F593B7FF24 -:0412890046050FF413 -:04128A000404051340 -:04128B00BABFB0EF47 -:04128C0005C0059301 -:04128D00040405133D -:04128E00B0EF460572 -:04128F000513B63F4E -:0412900044120404FC -:04129100448240A2B1 -:04129200013145855C -:04129300B71FB06F62 -:04129400C42211411E -:041295000002143708 -:04129600C02AC22682 -:04129700460184AEDA -:0412980005C00593F5 -:041299000404051331 -:04129A00B0EFC606E5 -:04129B004782B33F94 -:04129C0005134601EF -:04129D00F5930404BD -:04129E00B0EF0FF7A7 -:04129F000513B5DF9F -:0412A00044220404DC -:0412A10085A640B22C -:0412A2004605449227 -:0412A300B06F0141E6 -:0412A4001151B49F91 -:0412A500022005130B -:0412A600C222C40696 -:0412A700F0EFC0267E -:0412A8007413F69F26 -:0412A90045CD0FF52B -:0412AA00F0EF4559C3 -:0412AB006489FA7FD9 -:0412AC007104851331 -:0412AD00E4AFB0EF0B -:0412AE00455D458DC8 -:0412AF00F95FF0EF04 -:0412B000710485132D -:0412B100E3AFB0EF08 -:0412B2000804659334 -:0412B30002200513FD -:0412B400F81FF0EF40 -:0412B5003E8005135F -:0412B600E26FB0EF44 -:0412B70007F4759330 -:0412B80040A24412FA -:0412B9000513448253 -:0412BA0001310220DC -:0412BB00F65FF06F7B -:0412BC00C2221151E8 -:0412BD0005136409A8 -:0412BE00C4067104ED -:0412BF00E02FB0EF7D -:0412C000455945C582 -:0412C100F4DFF0EF77 -:0412C200710405139B -:0412C300DF2FB0EF7A -:0412C4004589455DB6 -:0412C500F3DFF0EF74 -:0412C6007104051397 -:0412C70040A24412EB -:0412C800B06F0131D1 -:0412C9001151DDCF13 -:0412CA00842EC2228A -:0412CB00454985AA62 -:0412CC00F0EFC40675 -:0412CD0085A2F1FF06 -:0412CE0040A24412E4 -:0412CF000131454D57 -:0412D000F11FF06FAB -:0412D100051385AAD2 -:0412D200F06F03D0E6 -:0412D30085AAF07F79 -:0412D400F06F45452D -:0412D5001151EFFFC5 -:0412D600C222C40666 -:0412D70000654783E4 -:0412D800456D842AB2 -:0412D90000479593A2 -:0412DA00F5938DDD1E -:0412DB00F0EF0FF52C -:0412DC004583EE3F19 -:0412DD0045710064F3 -:0412DE00ED9FF0EFA1 -:0412DF00003445830F -:0412E000F0EF4529BD -:0412E1004583ECFF56 -:0412E200452500445A -:0412E300EC5FF0EFDD -:0412E40000544583EA -:0412E500F0EF4521C0 -:0412E6004583EBBF92 -:0412E7004535000485 -:0412E800EB1FF0EF19 -:0412E9000014458325 -:0412EA00F0EF4531AB -:0412EB004583EA7FCE -:0412EC004412002484 -:0412ED00452D40A2A9 -:0412EE00F06F01316B -:0412EF001141E97F41 -:0412F000842AC42266 -:0412F100C2264511BB -:0412F20084AEC032D4 -:0412F300F0EFC6064C -:0412F4004602E39F2C -:0412F5000F85759359 -:0412F6000793CE4D3F -:0412F700EA637FF037 -:0412F80045110A870B -:0412F9000015E59364 -:0412FA00E69FF0EF8C -:0412FB0000141513B3 -:0412FC0001051413C1 -:0412FD005593804144 -:0412FE00F593004420 -:0412FF0045050FF59D -:04130000E51FF0EF06 -:0413010000441593FC -:041302000F05F5934B -:04130300F0EF4509B9 -:041304000737E43F84 -:041305000713019C2D -:041306005733CC0786 -:0413070056B702973C -:0413080086930225A1 -:0413090047810FF613 -:04130A00028707331C -:04130B0002E6F063A3 -:04130C00042C26B7D0 -:04130D00D7F68693F6 -:04130E00F9634785B3 -:04130F00F7B700E646 -:041310008793080BAC -:04131100B7B3FBF77C -:04131200078900E760 -:0413130007136765F0 -:04131400973E8387F6 -:041315000007450385 -:041316000280071337 -:0413170002E50533B3 -:041318000014571353 -:041319004533953A89 -:04131A00471D0285E4 -:04131B000FF5751342 -:04131C000FF57593C1 -:04131D0000A773634F -:04131E004422459D83 -:04131F00449240B202 -:04132000079A058E95 -:04132100F5938DDDD6 -:04132200450D0F85E1 -:04132300F06F014125 -:041324004511DC3F54 -:04132500DBDFF0EF2B -:041326001141BFB9F9 -:04132700842AC4222E -:04132800C606456947 -:04132900F0EFC02EF3 -:04132A007513D61F42 -:04132B0067930F5560 -:04132C00E41900259B -:04132D0067934582FB -:04132E00C19900A5BC -:04132F00008567933B -:0413300040B2442261 -:04133100456985BEC7 -:04133200F06F014116 -:041333005583D87F87 -:04133400115100A5AE -:0413350081A1C222AE -:041336000513842AED -:04133700C40604B034 -:04133800D71FF0EFDC -:0413390000A4458344 -:04133A0004A00513F3 -:04133B00D65FF0EF9A -:04133C0000C4558311 -:04133D0004D00513C0 -:04133E00F0EF81A1AA -:04133F004583D57F8E -:04134000051300C4CD -:04134100F0EF04C005 -:041342005583D4BF3C -:04134300051300E4AA -:0413440081A104F08F -:04134500D3DFF0EF13 -:0413460000E44583F7 -:0413470004E00513A6 -:04134800D31FF0EFD0 -:041349000044558384 -:04134A000510051372 -:04134B00F0EF81A19D -:04134C004583D23FC4 -:04134D000513004440 -:04134E00F0EF0500B7 -:04134F005583D17F72 -:04135000051300641D -:0413510081A1053041 -:04135200D09FF0EF49 -:04135300006445836A -:041354000520051358 -:04135500CFDFF0EF07 -:041356000084558337 -:041357000550051325 -:04135800F0EF81A190 -:041359004583CEFFFB -:04135A0005130084F3 -:04135B00F0EF05406A -:04135C005583CE3FA8 -:04135D00051301046F -:04135E0081A10570F4 -:04135F00CD5FF0EF7F -:0413600001044583BC -:04136100056005130B -:04136200CC9FF0EF3D -:041363000124558389 -:0413640005900513D8 -:04136500F0EF81A183 -:041366004583CBBF31 -:041367000513012445 -:04136800F0EF05801D -:041369005583CAFFDF -:04136A000513014422 -:04136B0081A105B0A7 -:04136C00CA1FF0EFB5 -:04136D00014445836F -:04136E0040A2441243 -:04136F0005A00513BD -:04137000F06F0131E8 -:041371001151C8FF4F -:04137200842AC222E5 -:0413730003F005136B -:04137400F0EFC406CC -:041375007593C35F4A -:041376008DC10F0511 -:0413770040A244123A -:0413780003F0051366 -:04137900F06F0131DF -:04137A001151C6BF88 -:04137B00842AC222DC -:04137C00C4064569F5 -:04137D00C13FF0EF8D -:04137E00006415935F -:04137F00751344128C -:0413800040A203F58F -:04138100F5938DC98A -:0413820045690FF5B5 -:04138300F06F0131D5 -:041384001131C43F20 -:04138500461D65E1BB -:04138600C345859343 -:04138700C806850A05 -:041388003B9000EFA7 -:04138900C6FFF0EFBC -:04138A004501458153 -:04138B00E6FFF0EF9A -:04138C00051345857B -:04138D00F0EF03502A -:04138E00655DC1BF19 -:04138F007745051386 -:04139000E8FFF0EF93 -:04139100F0EF450133 -:041392004519FA5FA0 -:04139300CF9FF0EF09 -:0413940004400513F9 -:04139500CFBFF0EFE7 -:041396004505458143 -:04139700CCBFF0EFE8 -:04139800F0EF850AE3 -:0413990040C2CF5F20 -:04139A0080820151FB -:04139B00C222115108 -:04139C004569842AF1 -:04139D00F0EFC406A3 -:04139E001593B91FCB -:04139F0044120044B0 -:0413A000FCF57513D0 -:0413A1008DC940A210 -:0413A2000FF5F593BB -:0413A3000131456966 -:0413A400BC1FF06F0B -:0413A500C42211410C -:0413A6004511842A3F -:0413A700C02EC60688 -:0413A800B67FF0EF2D -:0413A9000433458242 -:0413AA00759302B481 -:0413AB004511007573 -:0413AC0001F477933E -:0413AD008DDD078E3D -:0413AE00B99FF0EF04 -:0413AF00405455133E -:0413B000442240B2E1 -:0413B1000FF57513AC -:0413B20080820141F3 -:0413B300C2221151F0 -:0413B4004541842A01 -:0413B500F0EFC4068B -:0413B6001593B31FB9 -:0413B70044120034A8 -:0413B80040A2891DA9 -:0413B900F5938DC952 -:0413BA0045410FF5A5 -:0413BB00F06F01319D -:0413BC00C139B63F3E -:0413BD00C0261151E4 -:0413BE0002600513B1 -:0413BF00059384AE60 -:0413C000C22208003D -:0413C1008432C406A8 -:0413C200B49FF0EFF5 -:0413C30005934785C2 -:0413C40089630094A5 -:0413C50047A100F448 -:0413C60005A40593E2 -:0413C70000F4846347 -:0413C8000184059304 -:0413C90040A24412E8 -:0413CA00F5934482D1 -:0413CB0005130FF502 -:0413CC0001310310D8 -:0413CD00B1DFF06F2D -:0413CE00051345813D -:0413CF00BFDD02601C -:0413D0008DC9050EB0 -:0413D1000FF5F5938C -:0413D200028005137D -:0413D300B05FF06FA8 -:0413D400C8221121F9 -:0413D50097BAC626D7 -:0413D600C02ECA0655 -:0413D700C436C23224 -:0413D800F493842ADC -:0413D900D3630FF7D4 -:0413DA004481000743 -:0413DB000E634785D1 -:0413DC0047A100F431 -:0413DD0004F40D63A4 -:0413DE00006485938F -:0413DF000FF5F5937E -:0413E000F0EF4515D0 -:0413E10045C1ACFF57 -:0413E2008593A8093E -:0413E300F59300245A -:0413E40045150FF5A7 -:0413E500ABDFF0EF9B -:0413E60045194599C7 -:0413E700AB5FF0EF19 -:0413E800862685A22E -:0413E900F0EF4505D7 -:0413EA0047C1F4DF24 -:0413EB00036345B1A2 -:0413EC0045A100F423 -:0413ED0002200513C2 -:0413EE00A99FF0EFD4 -:0413EF00462244420C -:0413F00045024592DB -:0413F10044B240D2F0 -:0413F200F06F016136 -:0413F3008593BF5FC0 -:0413F400F593032446 -:0413F50045150FF596 -:0413F600A79FF0EFCE -:0413F7000200059358 -:0413F8001151BF6D63 -:0413F900C026C22226 -:0413FA0084AEC406F3 -:0413FB008432478968 -:0413FC00E6634581DE -:0413FD00959300972D -:0413FE0005E20064A0 -:0413FF00171385E15A -:041400001793002519 -:041401008FD900453A -:041402008DDD8FC924 -:041403000FF5F59359 -:04140400F0EF45655B -:041405004541A3FFBB -:041406009EFFF0EF66 -:041407007593478D05 -:0414080014630F85D5 -:04140900E59300F473 -:04140A004541005503 -:04140B00A25FF0EFFD -:04140C000593478974 -:04140D00F96305B0CA -:04140E00479100976B -:04140F00052005931C -:041410000097F463EA -:04141100053005930A -:04141200F0EF453979 -:041413000513A07F9E -:04141400B0EF3E8077 -:0414150045518ACFE4 -:041416009AFFF0EF5A -:0414170045C1478DF7 -:0414180000F4036376 -:041419004561458163 -:04141A009E9FF0EFB2 -:04141B0040A2441295 -:04141C004581448240 -:04141D000360051350 -:04141E00F06F013139 -:04141F0011519D7F4B -:04142000842AC22236 -:04142100C406455167 -:0414220097FFF0EF51 -:041423007C6347118E -:0414240075130087B5 -:04142500051308851E -:041426003513F785FE -:0414270040A20015CA -:041428000131441238 -:04142900470980826D -:04142A00008777635D -:04142B000905751327 -:04142C00F7050513A8 -:04142D007793B7DD1D -:04142E00D5130FF5CE -:04142F008905001714 -:041430000113BFF9EC -:041431004791F8C126 -:0414320067E5DE3E4E -:04143300D886D4A6DD -:04143400C836D6A23E -:041435008D87869386 -:04143600C603CE32E9 -:041437004721032620 -:04143800173363659E -:04143900C60300C71F -:04143A0067130336FB -:04143B00C0BA0087AC -:04143C002000071372 -:04143D0000C717339A -:04143E000346C60398 -:04143F006741C2BA85 -:0414400000C7173397 -:041441000356C60385 -:041442000737C4BAEA -:04144300173300203B -:04144400C6BA00C75D -:0414450003B10713D5 -:041446006765C8BA54 -:0414470090F7071300 -:041448006765CABA50 -:0414490091070713ED -:04144A006765CCBA4C -:04144B0091170713DB -:04144C006765CEBA48 -:04144D0091270713C9 -:04144E006765D0BA44 -:04144F0091370713B7 -:04145000C703D2BA42 -:041451008493043646 -:04145200CA2A8D878E -:04145300C703C03AD1 -:04145400CC2E044650 -:0414550002010DA3E0 -:041456000713C43A7A -:04145700C23AA103F1 -:041458000793675D32 -:04145900D23E7A47BE -:04145A00468147C2BE -:04145B00A1030313D3 -:04145C00D43E8BC12E -:04145D0001869793DA -:04145E00C63E87E11E -:04145F00025007939D -:0414600000F695639A -:04146100C63E57FD2F -:041462004771AEB967 -:0414630002E68733E3 -:04146400971A461578 -:0414650052934B58FB -:041466008375018702 -:0414670001F2F41387 -:0414680006E66663CB -:04146900179356126D -:04146A00D03E002749 -:04146B004210963E57 -:04146C0043F18602C0 -:04146D00027683B3CD -:04146E001000061351 -:04146F006621C2B27E -:041470000613C4B2E9 -:04147100C6B22000DF -:041472001C100593B2 -:0414730000730633C9 -:0414740082154A1083 -:041475007FF6761375 -:0414760006B619633A -:04147700879367E50B -:0414780093BEA10777 -:04147900000177B740 -:04147A007BC7859314 -:04147B00461D851E67 -:04147C00D83ADA364A -:04147D0000EFD61E88 -:04147E0067E512907C -:04147F00574253B2CB -:04148000831356D2AA -:04148100E901A107D5 -:04148200E3A147A2F9 -:041483000685479201 -:04148400C23E07F16C -:0414850077B7B785F9 -:041486008593000149 -:04148700461D7C473B -:04148800D836851EAF -:0414890000EFD63A60 -:04148A0067E50F9073 -:04148B0056C25732BC -:04148C00A10783131E -:04148D0047A2E91970 -:04148E009863460514 -:04148F00B7F900C7E2 -:04149000200006131F -:041491006641C2B23C -:0414920047C2C4B2D7 -:0414930000F472B33C -:04149400FA028EE3E7 -:041495005602479222 -:0414960010BC4F8CAB -:0414970000C78433D3 -:04149800FE842603A5 -:041499000006460300 -:04149A00963E060A6A -:04149B00FD462603E1 -:04149C00DE498E6D2A -:04149D00C59347E2CA -:04149E008985FFF548 -:04149F00F8F598E3E1 -:0414A00085B345F1DA -:0414A10047D202B676 -:0414A200498C959A42 -:0414A300F5938195A7 -:0414A40005F97FF5D2 -:0414A500F6F5ECE389 -:0414A60089234585CC -:0414A700972300B4D3 -:0414A80088A3000411 -:0414A9008A2300048E -:0414AA00CC900004DE -:0414AB0004636589E8 -:0414AC00E16328B61A -:0414AD00059316C5C8 -:0414AE0008630800C7 -:0414AF00EF6324B60D -:0414B00045C10EC55F -:0414B10022B60E63EE -:0414B2000CC5EC6316 -:0414B30003634591F9 -:0414B40045A11EB67A -:0414B500F2B61CE38C -:0414B600862346053E -:0414B700C60300C4A4 -:0414B8001C63051498 -:0414B9004671200652 -:0414BA0002C68633AD -:0414BB005583961AA5 -:0414BC00061300E62D -:0414BD00626357709F -:0414BE00161320B62B -:0414BF005E6301D790 -:0414C000460D1EC0F7 -:0414C10000C486A33A -:0414C200892346092B -:0414C300061300C448 -:0414C4008A1500671E -:0414C5004615CE11E9 -:0414C6000CC71C63D0 -:0414C70086B3477130 -:0414C800071302E61E -:0414C90093364AF01C -:0414CA0000E3568362 -:0414CB000CD7626375 -:0414CC000464C703EA -:0414CD0086A3CB5DCA -:0414CE0047090004C6 -:0414CF0045F1AAE158 -:0414D00002B68633A7 -:0414D10020D005130F -:0414D2004A10961A0C -:0414D30076138215F5 -:0414D4001A637FF622 -:0414D500861302A6D2 -:0414D6000633FFF6E4 -:0414D700458D02B687 -:0414D800961A478297 -:0414D90082754A507E -:0414DA0000B61963DC -:0414DB007413E7811E -:0414DC00BDE10EF46C -:0414DD0047824609F3 -:0414DE00E781B5C924 -:0414DF000FB47413BF -:0414E0004605B5E91F -:0414E1000593BFCDE3 -:0414E20010E32710DC -:0414E30057A2ECB66A -:0414E400EA078DE3A3 -:0414E500061347F2B1 -:0414E60078E3036044 -:0414E700B5BDEAF6AF -:0414E80002000713E4 -:0414E90000E60663B0 -:0414EA0004000713E0 -:0414EB00E6E610E33E -:0414EC0030100713A2 -:0414ED0000E496235E -:0414EE00AAA947114F -:0414EF004000059321 -:0414F00016B60363C6 -:0414F10002C5EB63E2 -:0414F200100005934E -:0414F30014B60763C1 -:0414F400200005933C -:0414F500E2B61CE35C -:0414F600962346896A -:0414F700468D00D44A -:0414F80000D718639E -:0414F9000464C703BD -:0414FA004705FB297E -:0414FB0000E487235F -:0414FC000584C70399 -:0414FD0000E488A3DC -:0414FE000713A0FD33 -:0414FF0009638006F7 -:041500006705120762 -:04150100E0E614E329 -:04150200202007138B -:0415030000E4962347 -:04150400A209470DE4 -:04150500000805B71E -:0415060012B60A63AC -:0415070004C5E363D1 -:04150800006365C156 -:04150900E36312B6D0 -:04150A00671102C59E -:04150B0010E608637B -:04150C001DE3672153 -:04150D000713DCE6FE -:04150E0096232020E0 -:04150F00471D00E490 -:0415100000E4892347 -:041511008A234735AD -:04151200B75D00E4DD -:041513000002073794 -:041514000EE60B6371 -:041515000004073790 -:04151600DAE61AE314 -:041517002030071366 -:041518000737B77565 -:041519000963004022 -:04151A0060630EE616 -:04151B00073702C7C5 -:04151C000063001058 -:04151D0007370EE698 -:04151E0019E30020AD -:04151F004711D8E6B2 -:0415200000E496232A -:041521000780071325 -:041522000737BF7D4B -:041523000F63010051 -:0415240007370CE693 -:041525000463020059 -:0415260007370EE68F -:0415270017E3008046 -:041528000713D6E6E9 -:0415290096232040A5 -:04152A00471100E481 -:04152B0000E489232C -:04152C00BF514779EB -:04152D0086B34671CA -:04152E00962302C638 -:04152F008B15000414 -:0415300093364605A3 -:0415310000A356833A -:0415320088A38AFD03 -:041533001B6300D462 -:04153400C60300C723 -:04153500CE19046463 -:0415360089234709B5 -:04153700470500E480 -:0415380000E487A3A1 -:0415390050C6D69131 -:04153A0045325436AC -:04153B00011354A69E -:04153C008082074161 -:04153D0000E487231C -:04153E0086A3B7DDEC -:04153F00BD010004E6 -:04154000201007135D -:0415410000E4962309 -:041542000713BD0DC1 -:0415430096233010AB -:04154400471900E45F -:0415450000E4892312 -:041546000713BDE1E9 -:0415470096232010B7 -:04154800471500E45F -:041549000713BFC500 -:04154A0096231020B4 -:04154B00B5C900E43A -:04154C002020071341 -:04154D000713BFC100 -:04154E00BDAD2020EF -:04154F00202007133E -:04155000468DBFF90C -:041551000713BD5966 -:04155200BF6D203019 -:04155300203007132A -:041554000713B5952F -:04155500B7E12030AA -:041556002040071317 -:0415570000E49623F3 -:041558008923470D8F -:04155900071300E490 -:04155A00BDF102805D -:04155B002040071312 -:04155C0000E49623EE -:04155D008923471582 -:04155E00476100E4FD -:04155F000713B5E9D0 -:04156000962320406E -:04156100471900E442 -:0415620000E48923F5 -:04156300BD654751CA -:0415640017931111B7 -:04156500CA22018510 -:04156600CC06C826C1 -:04156700842A87E16A -:04156800DD6384AE0D -:0415690045810007B1 -:04156A0007700513EE -:04156B0001A337D5CC -:04156C00478500A10E -:04156D0006A7EE637C -:04156E0007F47413F7 -:04156F0045912E95DF -:04157000268145018A -:04157100D7932E815D -:0415720002A301844B -:04157300D79300F119 -:041574000323010448 -:04157500D79300F117 -:0415760003A3008447 -:04157700022300F15A -:0415780004230081C7 -:0415790007130091C3 -:04157A0007930400CF -:04157B0009630950A7 -:04157C00071300E46D -:04157D00478504801A -:04157E0000E414630E -:04157F000870079356 -:041580000048459941 -:0415810000F104A3CE -:04158200451524EDFA -:04158300842A2EB1D7 -:041584000513458581 -:0415850024F5003118 -:0415860000310783A6 -:041587000007D66320 -:0415880047852E8DD8 -:04158900FEF506E382 -:04158A002641E01105 -:04158B0000314503E3 -:04158C00445240E2A3 -:04158D00017144C2E2 -:04158E000113808243 -:04158F00C02AFD81F0 -:041590000513458179 -:04159100D2060490EA -:04159200CE26D0226F -:04159300F45FF0EF22 -:041594004501C90143 -:04159500540250921A -:04159600011344F207 -:0415970080820281CB -:041598004515842A47 -:0415990084AA2611E9 -:04159A00051345856B -:04159B002C5100715E -:04159C000071470390 -:04159D000FF00793B1 -:04159E0000F71663D9 -:04159F0047852E1935 -:0415A000FEF504E36D -:0415A1002615E0919A -:0415A200007147038A -:0415A3000FE00793BB -:0415A400FCF711E35C -:0415A500002845C90C -:0415A600478224ADA7 -:0415A7000047C783AF -:0415A8000027F7130E -:0415A9004503CF1512 -:0415AA00478300E192 -:0415AB00470300F101 -:0415AC00890D012183 -:0415AD008D5D052229 -:0415AE00010147836D -:0415AF004403050AE2 -:0415B000839900D14A -:0415B10047838D5D82 -:0415B200831D011183 -:0415B3000786883DE2 -:0415B4008FD98B99A7 -:0415B5000505943E56 -:0415B6001533146570 -:0415B700BF9D00854F -:0415B800CB898B91BF -:0415B90001014503E4 -:0415BA000111478351 -:0415BB008D5D05221B -:0415BC00B7CD47C59B -:0415BD00450147811C -:0415BE000113BFF165 -:0415BF0065D9FDC12C -:0415C0004629CC26C6 -:0415C100859384AAE0 -:0415C2000068604518 -:0415C300CE22D0065E -:0415C400478D24E14A -:0415C50022C1C03E41 -:0415C60024A92C2107 -:0415C700006845A9CA -:0415C80045812AC966 -:0415C9000004A02357 -:0415CA000400051301 -:0415CB00E65FF0EFF8 -:0415CC00842A4785A1 -:0415CD000EF51B6399 -:0415CE001AA00593C7 -:0415CF00048005137C -:0415D000E51FF0EF34 -:0415D1001763C22AB0 -:0415D20045910885B2 -:0415D3002A5500286D -:0415D40000A1470328 -:0415D5001A634792BC -:0415D60047030CF7C4 -:0415D700079300B1C5 -:0415D80014630AA0EE -:0415D90005130CF7F3 -:0415DA002AFD3E8028 -:0415DB004785241DFF -:0415DC0000F519639A -:0415DD00400005B70E -:0415DE000E90051353 -:0415DF00E15FF0EFE9 -:0415E0002C01F57570 -:0415E10006634785D1 -:0415E200440102F5C9 -:0415E30047822C39D6 -:0415E400F79317FD65 -:0415E500C03E0FF7FE -:0415E600DC35CBD94C -:0415E700822347858F -:0415E800C09C00841F -:0415E900F0EF852674 -:0415EA00157DE95F23 -:0415EB002A69C4881D -:0415EC004581A04154 -:0415ED0007A005133B -:0415EE00DD9FF0EF9E -:0415EF004591F579B4 -:0415F000228100282C -:0415F10000814783AB -:0415F200F7934431F6 -:0415F300FFDD04070D -:0415F400BF6D441172 -:0415F5000513458114 -:0415F600F0EF0E9074 -:0415F7000793DB7FFC -:0415F8006563041013 -:0415F900440900A4FD -:0415FA000E900793B5 -:0415FB000FA0051325 -:0415FC002A9DC23E24 -:0415FD0047852A797B -:0415FE0000F517637A -:0415FF0045814512CB -:04160000D91FF0EF0F -:041601002271F965F4 -:041602004401E111AD -:0416030045812A797A -:0416040007B0051313 -:04160500D7DFF0EF4C -:041606004401C111C9 -:041607002000059327 -:0416080005000513C1 -:04160900D6DFF0EF49 -:04160A004401D13D89 -:04160B00F43DB78D66 -:04160C00351320CDA5 -:04160D0050820014F3 -:04160E0044E24472FC -:04160F000241011380 -:04161000451C808273 -:04161100C8221121B9 -:04161200C626CA0618 -:04161300C03AC22EE9 -:04161400E163440D3D -:04161500CF1902C720 -:0416160000454783C1 -:041617008BA184B669 -:041618000626E3912E -:04161900051385B27E -:04161A00F0EF0510D8 -:04161B00C909D27FA8 -:04161C002045440918 -:04161D0040D2852210 -:04161E0044B244424C -:04161F008082016163 -:041620000640051368 -:04162100458520D506 -:0416220000B10513FB -:041623004703289DB4 -:04162400079300B177 -:0416250016630FF049 -:0416260028E500F7BC -:0416270004E347850C -:041628002221FEF588 -:0416290000B14703C2 -:04162A000FE0079333 -:04162B00FCF712E3D3 -:04162C0004134782DA -:04162D008C052020E8 -:04162E0004428C1DC9 -:04162F00C4818041B1 -:04163000450185A645 -:041631004582283D89 -:041632002825451210 -:04163300450185A246 -:041634004401280D38 -:041635002637BF791C -:0416360005B70006EE -:041637001537019CC6 -:041638000613000293 -:041639008593A806E7 -:04163A000513CC05C3 -:04163B00A06F020595 -:04163C00862EC85FCF -:04163D00153785AA2E -:04163E00051300028E -:04163F00A06F020591 -:04164000862ED23FE1 -:04164100153785AA2A -:04164200051300028A -:04164300A06F02058D -:041644008082CE7F53 -:0416450057836765FB -:04164600F7938B4744 -:0416470007C2F7F7E8 -:041648001A2383C11D -:0416490017378AF7CE -:04164A002023000257 -:04164B0080820EF794 -:04164C0057836765F4 -:04164D00E7938B474D -:04164E001A2308074C -:04164F0017378AF7C8 -:041650002023000251 -:0416510080820EF78E -:0416520000293637FE -:04165300019C05B73A -:041654000002153744 -:041655002E06061344 -:04165600CC058593A7 -:041657000205051370 -:04165800C13FA06F7F -:04165900F73FF06FF8 -:04165A002783676516 -:04165B00E385E1C77B -:04165C008793679D6C -:04165D000533978733 -:04165E00115102F52F -:04165F002E23C4066C -:04166000A0EFE0A770 -:0416610040A2FA0F9A -:04166200013145010C -:041663004505808237 -:04166400115180821E -:04166500A0EFC40628 -:0416660067E5FB0F2A -:04166700E1C7A783AD -:04166800353340A234 -:04166900013100F556 -:04166A0067E580822E -:04166B00E007AE23C3 -:04166C004701808230 -:04166D0000E614631C -:04166E008082450130 -:04166F0000E507B3D8 -:0416700086B3070531 -:04167100C78300E546 -:04167200C683000724 -:0416730083E3FFF618 -:041674008533FED7E5 -:04167500808240D758 -:0416760000A5C7B351 -:0416770007338B8D1D -:04167800E78100C541 -:04167900E963478D4D -:04167A0087AA02C772 -:04167B000CE57163A6 -:04167C000005C6831C -:04167D000585078553 -:04167E00FED78FA361 -:04167F00FEE7EAE3B5 -:04168000C68380821B -:0416810007850005D4 -:041682008FA30585A8 -:04168300EAE3FED7C1 -:041684004402FEE737 -:04168500808201114D -:041686000035769322 -:04168700CA9187AAD3 -:041688000005C68310 -:041689000585078547 -:04168A00FED78FA355 -:04168B000037F6939B -:04168C007693B7FD9D -:04168D008613FFC7FA -:04168E00F563FE06FC -:04168F00117106C708 -:0416900049C0C0226B -:041691000005A303AA -:041692000085A383A9 -:041693004D80CBC0FB -:041694000067A02328 -:041695000045A30366 -:041696004DC0CF80F4 -:041697000067A22323 -:0416980000C5A28364 -:041699000105A303A1 -:04169A0002458593ED -:04169B00A403CFC015 -:04169C00A423FFC5BF -:04169D00A623007709 -:04169E00A823005726 -:04169F0087930067C6 -:0416A000AE2302472C -:0416A100EEE3FE87EF -:0416A200F2E3FAC7AE -:0416A3004190F8D7A3 -:0416A4000591079114 -:0416A500FEC7AE23AB -:0416A6004190BFCDE3 -:0416A7000591079111 -:0416A800FEC7AE23A8 -:0416A900FED7EBE39A -:0416AA00F4E7E4E39A -:0416AB008082808237 -:0416AC00F7C101136E -:0416AD00C13ED6A6BE -:0416AE0067E1DA8690 -:0416AF00DCB6D8A22B -:0416B000A483DEBA77 -:0416B100DC637D4732 -:0416B2000793000595 -:0416B300C09C08B01F -:0416B40050D6557D3A -:0416B50054B654468D -:0416B60008410113D3 -:0416B7000793808293 -:0416B8001823208053 -:0416B900C22A00F150 -:0416BA004781CA2A70 -:0416BB008793C199B7 -:0416BC00C63EFFF532 -:0416BD0018B4CC3E53 -:0416BE00842E57FD22 -:0416BF00004C852630 -:0416C00000F11923F9 -:0416C1002601C03608 -:0416C200556357FD18 -:0416C300079300F594 -:0416C400C09C08B00E -:0416C5004792DC5D0F -:0416C6000007802376 -:0416C700C605BF5D38 -:0416C8004701167D43 -:0416C90000E507B37E -:0416CA0000E586B3FE -:0416CB000007C783CA -:0416CC000006C683CB -:0416CD0000D7966349 -:0416CE0000C70463EA -:0416CF00F3FD07051B -:0416D00040D7853347 -:0416D10045018082CD -:0416D20087AA8082E1 -:0416D3000585CA09B6 -:0416D400FFF5C70354 -:0416D500167D0785F2 -:0416D600FEE78FA3F9 -:0416D700963EFB65DB -:0416D80000C7936351 -:0416D900078580827F -:0416DA00FE078FA3D5 -:0416DB0095AABFD538 -:0416DC00856387AAF1 -:0416DD00C70300B788 -:0416DE00E701000719 -:0416DF0040A7853368 -:0416E0000785808278 -:0416E100C5D5B7FDB7 -:0416E200FFC5A78316 -:0416E300C2221151BD -:0416E400C026C40652 -:0416E500FFC58413A6 -:0416E6000007D363C3 -:0416E70084AA943EFF -:0416E80015F000EF0A -:0416E9002783676587 -:0416EA00863A854770 -:0416EB002223EF8146 -:0416EC002A230004A9 -:0416ED004412848798 -:0416EE00852640A26B -:0416EF0001314482FF -:0416F0001410006F63 -:0416F10002F470632C -:0416F2000733401466 -:0416F300966300D426 -:0416F400439800E730 -:0416F500973643DC05 -:0416F600C05CC018FC -:0416F70084862A2398 -:0416F80087BABFD915 -:0416F900C31943D8F6 -:0416FA00FEE47DE3AA -:0416FB00863343945B -:0416FC001F6300D791 -:0416FD004010008613 -:0416FE00C39496B249 -:0416FF0000D7863357 -:04170000FAC71BE326 -:0417010043584310F6 -:04170200C39496B244 -:04170300B765C3D82B -:0417040000C4756345 -:04170500C09C47B18C -:041706004010BF7957 -:0417070000C406B361 -:0417080000D716638D -:0417090043584314EA -:04170A00C01496B2BF -:04170B00C3C0C0583F -:04170C008082B759C7 -:04170D00C22611419E -:04170E00003584938B -:04170F00C60698F181 -:0417100004A1C4224A -:04171100FB6347B17E -:0417120044B104F4E6 -:0417130004B4EA63CD -:0417140000EFC02AF8 -:0417150067650AD02A -:04171600854726835A -:0417170006134782EC -:041718008436854747 -:041719006465E431EE -:04171A0085840413AB -:04171B00EB01401886 -:04171C004581853E40 -:04171D0000EFC03EDB -:04171E0047827F80FF -:04171F00853EC0083B -:04172000C03E85A69C -:041721007EA000EFB7 -:041722004782577D26 -:0417230006E515635F -:04172400C3984731EE -:0417250000EF853E0E -:04172600A02906B040 -:04172700FA04D8E305 -:04172800C11C47B1E8 -:0417290040B2450184 -:04172A00449244227F -:04172B008082014176 -:04172C008F054018CD -:04172D0002074E63FE -:04172E00F66345AD6C -:04172F00C01800E5F9 -:04173000C004943A23 -:041731004058A02953 -:041732000286926336 -:04173300853EC21815 -:04173400031000EFAF -:0417350000B40513E4 -:041736000044071351 -:0417370007B39961FA -:04173800D3F140E5C4 -:041739008F09943E42 -:04173A00BF75C0189F -:04173B00B7C5C2D894 -:04173C00404086A201 -:04173D000413BF854D -:04173E009871003569 -:04173F00FC8503E33F -:0417400040A405B309 -:04174100C03E853EE3 -:04174200577D279D0B -:041743001AE34782DC -:04174400BFBDFAE546 -:041745000085A30375 -:04174600C82611118F -:04174700CA22CC06E0 -:0417480084AEC23277 -:041749000866E363E8 -:04174A0000C5D603FD -:04174B0048067713C2 -:04174C0082AACB3D65 -:04174D00470D48C834 -:04174E00073340809D -:04174F00498C02A718 -:0417500007B345098D -:04175100C03E40B4A2 -:0417520002A7443373 -:0417530000168513E4 -:041754007363953EE8 -:04175500842A00A43E -:0417560040067613C0 -:04175700C63DC6368F -:0417580085A28516CB -:04175900F0EFC416D3 -:04175A0042A2ECFFBC -:04175B00473146B21A -:04175C004602CD2D47 -:04175D00C636488CB8 -:04175E00F0EFC42ABA -:04175F00D603C5FFE9 -:04176000432200C45C -:04176100761346B203 -:041762006613B7F65D -:0417630096230806BB -:04176400478200C4F4 -:041765000064A82351 -:04176600933EC8C026 -:04176700A0238C1D12 -:04176800C4800064D5 -:04176900F36383366D -:04176A00833600665C -:04176B0040884592DB -:04176C00C01A861AFF -:04176D004498270174 -:04176E0045014302EC -:04176F004067073395 -:041770004098C49841 -:04177100A023933AE4 -:04177200A80D00645A -:04177300862285162F -:041774002F05C41663 -:0417750042A2832ADF -:04177600FD4546B235 -:041777008516488CFF -:04177800F0EFC016B8 -:041779004282DA5F6F -:04177A00A023473130 -:04177B00D70300E2AE -:04177C00557D00C4D3 -:04177D0004076713E3 -:04177E0000E49623CA -:04177F00445240E2AE -:04178000017144C2ED -:04178100D703808288 -:04178200011300C58A -:04178300C122F78107 -:041784000793DEA643 -:04178500C30601F1A5 -:04178600C02EC22A85 -:0417870008077713C5 -:04178800F41384B220 -:04178900CB1DFF87EE -:04178A00EB0D499882 -:04178B0004000593BE -:04178C00F0EFC6367E -:04178D004782E03F70 -:04178E00C38846B214 -:04178F00E919CB8801 -:0417900047B1471204 -:04179100C31C557DA3 -:04179200440A409A2B -:04179300011354F6F4 -:0417940080820881C6 -:04179500071347826D -:04179600CBD80400A8 -:041797000200071332 -:0417980000E40CA3BA -:04179900030007132F -:04179A0000042A23FA -:04179B0000E40D2336 -:04179C008726CA369C -:04179D0002500613DD -:04179E000007468377 -:04179F009863C299F0 -:0417A00003330AC63F -:0417A1000263409708 -:0417A2004582020377 -:0417A300869A4512CB -:0417A400C83A862693 -:0417A500F0EFC61A81 -:0417A60056FDE7FF06 -:0417A7001CD50263E8 -:0417A800433248542C -:0417A900969A474283 -:0417AA004683C85456 -:0417AB008963000747 -:0417AC0004931A0682 -:0417AD00577D00174D -:0417AE0000042023F0 -:0417AF0000042623E9 -:0417B0002423C058D6 -:0417B10001A300048C -:0417B2002C230404DC -:0417B300C5830404E2 -:0417B40087B70004EF -:0417B50046150001D4 -:0417B600BF87851351 -:0417B70087132B7DEC -:0417B80040140014C5 -:0417B900F613E53905 -:0417BA00C609010655 -:0417BB00020006130F -:0417BC0004C401A3BD -:0417BD000086F61399 -:0417BE000613C6093F -:0417BF0001A302B0D0 -:0417C000C58304C415 -:0417C1000613000407 -:0417C200816302A09D -:0417C300445404C5C1 -:0417C40045818726AE -:0417C50042A94525CB -:0417C60000074603CF -:0417C70000170313F1 -:0417C800FD06061301 -:0417C90006C5796375 -:0417CA00C454C995A5 -:0417CB000705A80561 -:0417CC0087B7B7A183 -:0417CD00861300017E -:0417CE000633BF8798 -:0417CF00450540C5C7 -:0417D00000C5153308 -:0417D100C0148EC9E9 -:0417D200B75184BACD -:0417D30005934652E2 -:0417D4004210004679 -:0417D5004963CA2E6C -:0417D600C4500206F3 -:0417D70000074603BE -:0417D80002E0069392 -:0417D90006D61163BC -:0417DA0000174603AB -:0417DB0002A00693CF -:0417DC0002D61B63B3 -:0417DD00070946D2E0 -:0417DE000046861328 -:0417DF00CA32429434 -:0417E0000206C163D9 -:0417E100A081C054CF -:0417E20040C00633CA -:0417E3000026E69363 -:0417E400C014C45019 -:0417E50086B3B7E12F -:0417E60045850256DD -:0417E70096B2871A15 -:0417E80056FDBFA546 -:0417E9000705B7C574 -:0417EA0000042223B2 -:0417EB00468145816D -:0417EC0042A94525A4 -:0417ED0000074603A8 -:0417EE0000170313CA -:0417EF00FD060613DA -:0417F00006C5776350 -:0417F1004583F1E15A -:0417F20084B70007B1 -:0417F300460D00019E -:0417F400C004851395 -:0417F50021D1C63AFE -:0417F600CD014732A8 -:0417F700C004849313 -:0417F80006938D05C2 -:0417F90096B304009F -:0417FA00400800A6FD -:0417FB008D550705FC -:0417FC004583C00859 -:0417FD008537000725 -:0417FE004619000187 -:0417FF00C0450513C9 -:041800000017049336 -:0418010000B40C2300 -:04180200C52D29497E -:0418030000000737A3 -:0418040000070713BF -:041805004014E70D97 -:04180600F6934752BC -:04180700CE91100668 -:04180800CA3A0711C0 -:0418090047A2485852 -:04180A00C858973EE5 -:04180B0086B3B59952 -:04180C0045850256B6 -:04180D0096B2871AEE -:04180E000721BFB53A -:04180F004602B7DDF9 -:0418100066B7451260 -:041811000858000172 -:04181200D1468693A2 -:04181300009785A213 -:0418140000E70000E9 -:04181500C42A0000E1 -:04181600577D47A211 -:04181700FCE794E373 -:04181800557D478231 -:0418190000C7D7032A -:04181A000407771335 -:04181B00DC071EE3E5 -:04181C00BBD94848A4 -:04181D004512460228 -:04181E00000166B7A8 -:04181F00869308584C -:0418200085A2D14686 -:04182100BFC12A0514 -:04182200CA261101C0 -:0418230084B68332D2 -:04182400499445900E -:04182500CE06CC22FD -:0418260087AE842ADB -:0418270000C6D363C1 -:04182800202386B241 -:04182900C60300D31F -:04182A00C6010437B8 -:04182B0020230685EB -:04182C00439400D30E -:04182D000206F69326 -:04182E002683C691B6 -:04182F000689000323 -:0418300000D320239E -:041831000007A28387 -:041832000197839304 -:041833000062F293CA -:0418340006028363C2 -:04183500C683439093 -:0418360076130437EA -:0418370036B30206BC -:04183800EA4100D0B1 -:0418390004378613D7 -:04183A00852285A6D8 -:04183B00C23EC41ACB -:04183C009702C03A15 -:04183D00086356FDE9 -:04183E00479206D5F2 -:04183F0045114322EA -:0418400026034394A4 -:0418410047CC00038D -:0418420043018A993B -:04184300976347025E -:04184400833300A644 -:04184500536340C5E4 -:041846004301000357 -:041847004B904794E7 -:0418480000D654630F -:0418490093368E91B3 -:04184A0007E94281E7 -:04184B0006531263CB -:04184C00A81D45018D -:04184D0047D40285F5 -:04184E00000326036A -:04184F00DBE38E91B8 -:041850004685F8D2FF -:0418510085A6861EC4 -:04185200C81A852209 -:04185300C416C63EB3 -:04185400C03AC21EB6 -:0418550056FD9702A3 -:041856004392470270 -:0418570047B242A2B0 -:0418580019E343420B -:04185900557DFCD5E8 -:04185A00446240F2B2 -:04185B00610544D20D -:04185C0085B380824E -:04185D00051300D798 -:04185E0081A303005F -:04185F00C58304A594 -:041860008613045790 -:04186100963E001699 -:0418620001A306894F -:04186300BF9904B66F -:04186400863E4685F1 -:04186500852285A6AD -:04186600C41AC616C4 -:04186700C03AC23E83 -:0418680056FD970290 -:04186900FCD501E3C6 -:04186A00432242B221 -:04186B000285479219 -:04186C00BFAD4702C3 -:04186D00CC22110177 -:04186E00C232842ED0 -:04186F0001844603A7 -:04187000CE06CA26B0 -:04187100C02A84B64F -:0418720006E00693F3 -:041873000435859320 -:041874001ED6086311 -:0418750006C6E4635C -:04187600063006939F -:041877000AD6046326 -:0418780000C6ED6356 -:0418790020060463DE -:04187A00058006934C -:04187B0016D60C630E -:04187C00042403132A -:04187D0004C401237B -:04187E000693A879AC -:04187F0006630640B6 -:04188000069300D6F5 -:0418810015E30690D5 -:041882004014FED63A -:041883000007230334 -:041884000806F61349 -:041885000043051304 -:041886002683C249AA -:04188700C30800038F -:04188800000186379E -:041889000006D8631A -:04188A0002D007136E -:04188B0040D006B390 -:04188C0004E401A3CC -:04188D00C0C60613B8 -:04188E00A07D4729C9 -:04188F000730069385 -:041890001AD60A63F7 -:0418910000C6EF633B -:0418920006F00693C3 -:0418930006D6006312 -:0418940007000693B0 -:04189500F8D61EE380 -:04189600E693401481 -:04189700C014020671 -:041898000693A80902 -:04189900036307508E -:04189A00069304D6D7 -:04189B0011E30780CE -:04189C000693F8D6E1 -:04189D008637078003 -:04189E0002A30001A0 -:04189F00061304D454 -:0418A000A0FDC206DF -:0418A10003134314D6 -:0418A2008613042481 -:0418A300C310004628 -:0418A4000123429842 -:0418A500470504E40B -:0418A600F613AABDCE -:0418A700268304068A -:0418A800C30800036E -:0418A90006C2DE3560 -:0418AA00BF9D86C197 -:0418AB00431440089A -:0418AC000805729326 -:0418AD00004683135B -:0418AE00000286634B -:0418AF00006720238B -:0418B000A8014294B5 -:0418B10004057513A2 -:0418B2000067202388 -:0418B300D683D9758A -:0418B4000513000612 -:0418B500873706F07B -:0418B6000D630001BD -:0418B70006130CA662 -:0418B8004729C0C735 -:0418B900040401A37F -:0418BA00C4084048D6 -:0418BB000005486379 -:0418BC0000042303FE -:0418BD00FFB37313EF -:0418BE00006420237F -:0418BF00832EE299F9 -:0418C000832ECD1195 -:0418C10002E6F53313 -:0418C2009532137DCB -:0418C30000054503D4 -:0418C40000A300235A -:0418C50002E6D5332F -:0418C6000AE6F263D9 -:0418C7001E6346A1B5 -:0418C800401800D7ED -:0418C900CB118B05AF -:0418CA004818405426 -:0418CB0000D7476398 -:0418CC0003000713FB -:0418CD00FEE30FA384 -:0418CE0085B3137D4E -:0418CF00C80C40659C -:0418D00045024692F5 -:0418D100081087264E -:0418D200C41A85A20D -:0418D300D3DFF0EF80 -:0418D4004322577DD7 -:0418D5000CE51563A6 -:0418D60040F2557D0A -:0418D70044D2446251 -:0418D80080826105A4 -:0418D90004C402A39E -:0418DA00000186374C -:0418DB00C0C606136A -:0418DC00230340089A -:0418DD0072930007FB -:0418DE002683080550 -:0418DF0003110003EE -:0418E000020281631C -:0418E1000067202359 -:0418E2000015771363 -:0418E3006513C701C1 -:0418E400C008020531 -:0418E500F6B94741C8 -:0418E600751340082E -:0418E700C008FDF543 -:0418E8007293B791AF -:0418E90020230405AF -:0418EA008FE3006721 -:0418EB0006C2FC0233 -:0418EC00BFD982C11D -:0418ED00C0C7061357 -:0418EE00B72D4721AA -:0418EF00B79986AA75 -:0418F000431440104D -:0418F100729348485E -:0418F200831308064E -:0418F30087630046C1 -:0418F40020230002AB -:0418F50042980067AE -:0418F600A809C30872 -:0418F7000067202343 -:0418F8000406761359 -:0418F900DA6D4298CA -:0418FA0000A7102310 -:0418FB00000428239A -:0418FC00B7B9832EC7 -:0418FD0045814314CA -:0418FE000046861307 -:0418FF00A303C3106C -:04190000405000064D -:04190100C41A851A65 -:04190200432228490B -:041903000533C501E2 -:04190400C048406532 -:04190500C818405866 -:04190600040401A331 -:041907004814B715B4 -:0419080045024592BD -:041909009482861A24 -:04190A0007E3577D1B -:04190B004018F2E5A9 -:04190C00EB158B0943 -:04190D0044484742C1 -:04190E00F2E551E3CA -:04190F00BF31853A25 -:0419100045024592B5 -:04191100861A468567 -:04191200C41AC63AF3 -:0419130056FD948267 -:04191400F0D504E323 -:0419150043224732F0 -:041916004454070529 -:041917008E91464225 -:04191800FED740E3D3 -:041919004701BFC102 -:04191A00019403131E -:04191B001151B7FDB2 -:04191C00C026C222FD -:04191D0084AA6465CF -:04191E00C406852E48 -:04191F00E20420239B -:0419200057FD20FD52 -:0419210000F5166354 -:04192200E204278331 -:04192300C09CC39110 -:04192400441240A287 -:0419250001314482C6 -:04192600F593808233 -:04192700962A0FF5F8 -:0419280000C514637F -:041929008082450172 -:04192A0000054783EA -:04192B00FEB78DE393 -:04192C00B7FD0505F9 -:04192D0004A5F363B7 -:04192E0000C586B3B7 -:04192F0002D57F63FB -:04193000FFF64593E6 -:0419310017FD4781D6 -:0419320000F59363C6 -:0419330087338082F4 -:04193400430300F673 -:0419350087330007ED -:04193600972A00C725 -:041937000067002322 -:041938008733B7DD5D -:04193900468300F5EC -:04193A000733000768 -:04193B00078500F527 -:04193C0000D70023AD -:04193D00FEF617E3B8 -:04193E0047818082DB -:04193F008082BFE5FE -:04194000E58180823B -:04194100F06F85B20C -:041942001131F2EF7E -:04194300C622C806EA -:04194400EA11C426BA -:04194500E72FF0EFA9 -:0419460040C24781D3 -:0419470044A2443240 -:041948000151853E86 -:04194900842E8082E6 -:04194A0084AAC03279 -:04194B004602203DF3 -:04194C0074E387A217 -:04194D0085B2FEC59C -:04194E00C2328526F6 -:04194F00EF8FF0EF37 -:04195000DD6187AA24 -:0419510085A2461213 -:04195200F0EFC02AC8 -:0419530085A2C8EFB2 -:04195400F0EF852605 -:041955004782E34F93 -:04195600A783B7C9E3 -:041957008513FFC530 -:04195800D563FFC78D -:0419590095AA000744 -:04195A00953E419CD9 -:04195B001141808234 -:04195C006465C422D8 -:04195D0085C4278393 -:04195E00C226C606D1 -:04195F000413832AC0 -:04196000EB8585C4CA -:041961004581450176 -:041962004681460173 -:0419630002934701A3 -:0419640000730D609F -:0419650084AA000050 -:0419660000055C63B9 -:0419670004B328811C -:04196800C1044090E6 -:0419690040B2557DB6 -:04196A00449244223D -:04196B008082014134 -:04196C004008C00867 -:04196D004601458169 -:04196E004681951AFF -:04196F004781470164 -:041970000D60029371 -:0419710000000073FF -:04197200596384AA87 -:04197300C01A000591 -:0419740043022831D1 -:04197500409004B3E7 -:0419760054FDC10457 -:04197700557D401C3E -:0419780092E3933E25 -:04197900C004FC6446 -:04197A00BF75853E72 -:04197B00A50367E178 -:04197C0080827D47A1 -:04197D000000000066 -:04197E000000000065 -:04197F000000000064 -:041980000000000063 -:04198100FFFFFFFF66 -:04198200FFFFFFFF65 -:041983000000FFFF62 -:041984000001052C2D -:041985000001053226 -:04198600000105381F -:041987000001053E18 -:04198800000104E076 -:041989000001050054 -:04198A000001050053 -:04198B000001050052 -:04198C000001050051 -:04198D000001050050 -:04198E00000105004F -:04198F00000105044A -:041990000001050A43 -:04199100000129E246 -:0419920000012AF234 -:0419930000012AF233 -:0419940000012B061D -:0419950000012B061C -:0419960000012B120F -:0419970000012B160A -:0419980000012B24FB -:0419990000012B24FA -:04199A0000012B40DD -:04199B0000012D0812 -:04199C0000012D0E0B -:04199D0000012D0E0A -:04199E0000012D080F -:04199F0000012D1204 -:0419A00000012B40D7 -:0419A10000012D080C -:0419A20000012D0E05 -:0419A300000000013F -:0419A4000205030035 -:0419A500000000023C -:0419A600000167A82D -:0419A700000167B81C -:0419A800000167C40F -:0419A900000167D002 -:0419AA00000167DCF5 -:0419AB00000167E8E8 -:0419AC00000167F4DB -:0419AD0000016800CD -:0419AE000001680CC0 -:0419AF0000016818B3 -:0419B00000016824A6 -:0419B10074204B4F04 -:0419B2006E69206FCB -:0419B3000000746953 -:0419B400736C6146A9 -:0419B50072742065C3 -:0419B6006567676991 -:0419B70000000072BA -:0419B800656D69549C -:0419B9000074756FD2 -:0419BA00252E75253C -:0419BB006D75322EE6 -:0419BC0000000073B4 -:0419BD00252E752539 -:0419BE006D75322EE3 -:0419BF0075252F73E8 -:0419C000322E252E70 -:0419C10000736D75CD -:0419C2004353534FE9 -:0419C3007766202003 -:0419C4007525202E37 -:0419C500322E252E6B -:0419C6000000617547 -:0419C70074696E4988 -:0419C80072726520B2 -:0419C9002020726FF9 -:0419CA000000642590 -:0419CB002020202098 -:0419CC0053204F4E07 -:0419CD0000434E592C -:0419CE00343130324E -:0419CF003230322D53 -:0419D0006D20203036 -:0419D100737172615B -:0419D2000000000011 -:0419D3000D80600023 -:0419D400004020109F -:0419D50008040220E0 -:0419D60000004022AB -:0419D700000000000C -:0419D8000DC06000DE -:0419D90000783C1145 -:0419DA00070180E0A1 -:0419DB000000180EE2 -:0419DC000000000007 -:0419DD000D80600019 -:0419DE0000301804B9 -:0419DF000201406061 -:0419E00000001406E9 -:0419E1000000000002 -:0419E2002520732524 -:0419E3000063257503 -:0419E400252E752512 -:0419E5006B75322EBE -:0419E60025207A48F6 -:0419E7002E252E7506 -:0419E8007A48753292 -:0419E90000000000FA -:0419EA007473655459 -:0419EB007461702093 -:0419EC006E7265743E -:0419ED0000000000F6 -:0419EE003A315641F3 -:0419EF0042475220F9 -:0419F00000000053A0 -:0419F1003A315641F0 -:0419F20073475220C5 -:0419F30000000042AE -:0419F4003A315641ED -:0419F50062505920C3 -:0419F600000072502B -:0419F7003A325641E9 -:0419F80062505920C0 -:0419F9000000725028 -:0419FA003A325641E6 -:0419FB0073475220BC -:0419FC0000000042A5 -:0419FD003A335641E2 -:0419FE0042475220EA -:0419FF000000564846 -:041A00003A335641DE -:041A010042475220E6 -:041A0200000000538D -:041A03003A335641DB -:041A040073475220B2 -:041A0500000000429B -:041A06003A335641D8 -:041A070062505920B0 -:041A08000000725018 -:041A09007473614C45 -:041A0A00657375206B -:041A0B000000006473 -:041A0C0000000000D6 -:041A0D0000000001D4 -:041A0E0000000000D4 -:041A0F0000000000D3 -:041A100000000000D2 -:041A110000000100D0 -:041A120001010100CD -:041A130000000100CE -:041A140000000000CE -:041A150001000000CC -:041A1600060B0000BB -:041A17000000004487 -:041A180000000001C9 -:041A190001000000C8 -:041A1A0080000C003C -:041A1B0080800A03BA -:041A1C001A1A1A80F8 -:041A1D0000000A08B3 -:041A1E0000012FE0B4 -:041A1F00000130761C -:041A20000001307E13 -:041A21000001306E22 -:041A22000001307A15 -:041A2300000130820C -:041A2400000130721B -:041A2500000130C8C4 -:041A26000001308605 -:041A27000001308A00 -:041A28000001308EFB -:041A2900000130C8C0 -:041A2A00000130C8BF -:041A2B00000130C8BE -:041A2C00000130C8BD -:041A2D00000130C8BC -:041A2E00000134E29D -:041A2F00000130D2B0 -:041A3000000131BEC2 -:041A3100000131CEB1 -:041A3200000131E896 -:041A33000001322656 -:041A34000001320A71 -:041A35000001323C3E -:041A36000001334830 -:041A37000001339ADD -:041A3800000133BCBA -:041A3900656E6F4423 -:041A3A0000000000A8 -:041A3B006C6961462B -:041A3C0000006465DD -:041A3D00666F72500E -:041A3E002075252EBC -:041A3F0000733925D2 -:041A4000756C342568 -:041A41006325632591 -:041A42006C252020CF -:041A4300000000752A -:041A4400656E694C16 -:041A4500746C756DDB -:041A4600646F6D203C -:041A470000003A65FC -:041A480073657270E0 -:041A49002D312073A8 -:041A4A000000003563 -:041A4B002078752565 -:041A4C0075736E75CB -:041A4D00726F7070D4 -:041A4E000064657457 -:041A4F00666F7250FC -:041A500020656C6938 -:041A510064616F6CF1 -:041A52000000003A56 -:041A530073657270D5 -:041A54007525207361 -:041A55000075252DC6 -:041A560000016A1C05 -:041A570000016A2000 -:041A580000016A24FB -:041A590000016A28F6 -:041A5A0000016928F6 -:041A5B0000016A2CF0 -:041A5C0000016A30EB -:041A5D0000016A34E6 -:041A5E0000016A38E1 -:041A5F00000175A06D -:041A600000016A3CDB -:041A610000016A44D2 -:041A620000016A48CD -:041A630000016A50C4 -:041A640000016A54BF -:041A650000016A5CB6 -:041A660000016A64AD -:041A670000016A6CA4 -:041A680000016A749B -:041A690000016A848A -:041A6A0000016A9479 -:041A6B0000016AA468 -:041A6C0000016AB457 -:041A6D0000016AC446 -:041A6E0000016AD435 -:041A6F0000016ADC2C -:041A700000016AE423 -:041A71003EA93E2923 -:041A72003EE93E69A2 -:041A73003E993E1941 -:041A74003ED93E59C0 -:041A75003EC93E39EF -:041A76003E1D3E4D86 -:041A77003E2D3EEDD5 -:041A78003EAD3ECD74 -:041A79003E653E6D1B -:041A7A001C483E01C5 -:041A7B001C501C18C7 -:041A7C001CC81CD096 -:041A7D005ED85E5879 -:041A7E0000003EB96D -:041A7F0073657250C9 -:041A800000000073EF -:041A8100666E6F43DB -:041A8200006D726918 -:041A83006D73694DC9 -:041A840068637461BE -:041A85006572202C3A -:041A860000797274FD -:041A8700000000312A -:041A88000000003228 -:041A89000000003326 -:041A8A000000003424 -:041A8B000000003621 -:041A8C00000000371F -:041A8D00000000381D -:041A8E00000000391B -:041A8F00554E454D1E -:041A90000000000052 -:041A910000004B4FB7 -:041A92004B4341423F -:041A9300000000004F -:041A940000005055A9 -:041A95004E574F4415 -:041A9600000000004C -:041A97005446454C20 -:041A9800000000004A -:041A9900484749521F -:041A9A0000000054F4 -:041A9B004F464E491B -:041A9C000000000046 -:041A9D005F44434C13 -:041A9E004B43414233 -:041A9F004847494C1F -:041AA00000000054EE -:041AA1004E4143531C -:041AA200454E494C18 -:041AA300444F4D5F00 -:041AA40000000045F9 -:041AA5004E41435318 -:041AA600454E494C14 -:041AA7005059545FDF -:041AA80000000045F5 -:041AA9004E41435314 -:041AAA00454E494C10 -:041AAB00544E495FED -:041AAC000000002B0B -:041AAD004E41435310 -:041AAE00454E494C0C -:041AAF00544E495FE9 -:041AB0000000002D05 -:041AB100454E494C09 -:041AB200544C554DEE -:041AB300444F4D5FF0 -:041AB40000000045E9 -:041AB5005341485001 -:041AB60000002B45BC -:041AB70053414850FF -:041AB80000002D45B8 -:041AB900464F5250F2 -:041ABA005F454C49EF -:041ABB004B544F48F1 -:041ABC000000594588 -:041ABD006F7272458D -:041ABE0000000072B2 -:041ABF0053206F4EF3 -:041AC00061632044FA -:041AC10064206472C7 -:041AC200002E746519 -:041AC30061766E4991 -:041AC4002064696CC5 -:041AC500646165688B -:041AC6000000726545 -:041AC70061766E498D -:041AC8002064696CC1 -:041AC90020726468BB -:041ACA000043524340 -:041ACB0061766E4989 -:041ACC002064696CBD -:041ACD00617461647B -:041ACE00435243201C +:0412470040B244224B +:04124800449285A6A1 +:041249000141460514 +:04124A00CABFB06FF8 +:04124B000513115125 +:04124C00C4060220B2 +:04124D00C026C222D3 +:04124E00F69FF0EF28 +:04124F000FF5741310 +:04125000455945CDEA +:04125100FA7FF0EF41 +:041252008513648913 +:04125300B0EF710483 +:04125400458DFB0FBA +:04125500F0EF455D14 +:041256008513F95FA4 +:04125700B0EF71047F +:041258006593FA0F91 +:04125900051308046D +:04125A00F0EF02208F +:04125B000513F81F60 +:04125C00B0EF3E8031 +:04125D007593F8CFBE +:04125E00441207F43B +:04125F00448240A2E3 +:041260000220051350 +:04126100F06F0131F8 +:041262001151F65FD1 +:041263006409C22236 +:0412640071040513F9 +:04126500B0EFC4061C +:0412660045C5F68FF5 +:04126700F0EF455906 +:041268000513F4DF97 +:04126900B0EF71046D +:04126A00455DF58F5A +:04126B00F0EF4589D2 +:04126C000513F3DF94 +:04126D0044127104B2 +:04126E00013140A268 +:04126F00F42FB06F39 +:04127000C222115134 +:0412710085AA842E98 +:04127200C406454920 +:04127300F1FFF0EFA8 +:04127400441285A2F9 +:04127500454D40A201 +:04127600F06F0131E3 +:0412770085AAF11F34 +:0412780003D0051387 +:04127900F07FF06FA3 +:04127A00454585AAB7 +:04127B00EFFFF06F22 +:04127C00C406115142 +:04127D004783C222BF +:04127E00842A006559 +:04127F009593456D91 +:041280008DDD0047B9 +:041281000FF5F593DD +:04128200EE3FF0EF5C +:04128300006445833B +:04128400F0EF4571D1 +:041285004583ED9F11 +:0412860045290034C2 +:04128700ECFFF0EF99 +:041288000044458356 +:04128900F0EF452518 +:04128A004583EC5F4D +:04128B0045210054A5 +:04128C00EBBFF0EFD5 +:04128D000004458391 +:04128E00F0EF453503 +:04128F004583EB1F89 +:0412900045310014D0 +:04129100EA7FF0EF11 +:04129200002445836C +:0412930040A244121F +:041294000131452DB2 +:04129500E97FF06F8E +:04129600C42211411C +:041297004511842A4F +:04129800C032C22678 +:04129900C60684AE53 +:04129A00E39FF0EFEF +:04129B0075934602FF +:04129C00CA5D0F8593 +:04129D007FF0079344 +:04129E000A87E86370 +:04129F00E5930406C9 +:0412A00045110015DF +:0412A100F0EF044224 +:0412A2008041E65F42 +:0412A300004455931B +:0412A4000FF5F593BA +:0412A500F0EF45051C +:0412A6001593E55F58 +:0412A700F593004477 +:0412A80045090F05E0 +:0412A900E47FF0EFFF +:0412AA00019C073765 +:0412AB00CC07071352 +:0412AC00029757331B +:0412AD00022556B709 +:0412AE000FF686931E +:0412AF000733478139 +:0412B000F06302875E +:0412B10026B702E674 +:0412B2008693042CEF +:0412B3004785D7F69E +:0412B40000E6F963F4 +:0412B500080BF7B774 +:0412B600FBF7879328 +:0412B70000E7B7B3E2 +:0412B80067610789DA +:0412B900634707136D +:0412BA004503973E13 +:0412BB00071300070E +:0412BC000533028074 +:0412BD00571302E5DC +:0412BE00953A001449 +:0412BF00028544332D +:0412C0007413471D3F +:0412C10075930FF41E +:0412C20073630FF44F +:0412C300459D0087BE +:0412C40040B24422CE +:0412C500058E4492BC +:0412C6008DDD079A19 +:0412C7000F85F59307 +:0412C8000141450D8E +:0412C900DC7FF06F67 +:0412CA00F0EF4511EB +:0412CB00BFB9DC1FAC +:0412CC00C0261151D6 +:0412CD00456984AA41 +:0412CE00C406C2226E +:0412CF00F0EF842E8A +:0412D0007793D63FFB +:0412D100E5930F553D +:0412D200E49100277C +:0412D30000A7E593F8 +:0412D400E593C019C5 +:0412D5004412008738 +:0412D600448240A26C +:0412D7000131456933 +:0412D800D8BFF06F1C +:0412D90000A5558394 +:0412DA00C2221151CA +:0412DB00842A81A13F +:0412DC0004B0051342 +:0412DD00F0EFC40664 +:0412DE004583D75F0E +:0412DF00051300A44F +:0412E000F0EF04A087 +:0412E1005583D69FBC +:0412E200051300C42C +:0412E30081A104D011 +:0412E400D5BFF0EF93 +:0412E50000C4458379 +:0412E60004C0051328 +:0412E700D4FFF0EF51 +:0412E80000E4558346 +:0412E90004F00513F5 +:0412EA00F0EF81A1FF +:0412EB004583D41F44 +:0412EC00051300E402 +:0412ED00F0EF04E03A +:0412EE005583D35FF2 +:0412EF00051300449F +:0412F00081A10510C3 +:0412F100D27FF0EFC9 +:0412F20000444583EC +:0412F30005000513DA +:0412F400D1BFF0EF87 +:0412F50000645583B9 +:0412F60005300513A7 +:0412F700F0EF81A1F2 +:0412F8004583D0DF7B +:0412F9000513006475 +:0412FA00F0EF0520EC +:0412FB005583D01F28 +:0412FC000513008452 +:0412FD0081A1055076 +:0412FE00CF3FF0EFFF +:0412FF00008445839F +:04130000054005138C +:04130100CE7FF0EFBC +:04130200010455830A +:041303000570051359 +:04130400F0EF81A1E4 +:041305004583CD9FB0 +:0413060005130104C6 +:04130700F0EF05609E +:041308005583CCDF5E +:0413090005130124A3 +:04130A0081A1059028 +:04130B00CBFFF0EF35 +:04130C0001244583F0 +:04130D00058005133F +:04130E00CB3FF0EFF2 +:04130F0001445583BD +:0413100005B005130C +:04131100F0EF81A1D7 +:041312004583CA5FE6 +:04131300441201443B +:04131400051340A2DB +:04131500013105A0FD +:04131600C93FF06F6C +:04131700C22211518C +:041318000513842A0B +:04131900C40603F013 +:04131A00C39FF0EF8E +:04131B000F057593B2 +:04131C0044128DC129 +:04131D00051340A2D2 +:04131E00013103F0A6 +:04131F00C6FFF06FA6 +:04132000C222115183 +:041321004569842A6C +:04132200F0EFC4061E +:041323007513C17FFE +:04132400041A03F5AF +:0413250075938C49E7 +:0413260044120FF46A +:04132700456940A232 +:04132800F06F013130 +:041329001131C49F1B +:04132A00461D65E116 +:04132B00A28585937F +:04132C00C806850A60 +:04132D009E7FB0EF00 +:04132E00C75FF0EFB6 +:04132F0045014581AE +:04133000E71FF0EFD4 +:0413310005134585D6 +:04133200F0EF035085 +:04133300655DC21F13 +:041334004E850513CA +:04133500E91FF0EFCD +:04133600F0EF45018E +:041337004519FA7FDB +:04133800CFFFF0EF04 +:041339000440051354 +:04133A00D01FF0EFE1 +:04133B00450545819E +:04133C00CD1FF0EFE2 +:04133D00F0EF850A3E +:04133E0040C2CFBF1B +:04133F008082015156 +:04134000C222115163 +:041341004569842A4C +:04134200F0EFC406FE +:041343007513B97FE6 +:041344000412FCF59E +:0413450075938C49C7 +:0413460044120FF44A +:04134700456940A212 +:04134800F06F013110 +:041349001151BC9FE3 +:04134A00C026C222D5 +:04134B0084AA842EBE +:04134C000284843360 +:04134D00C40645117C +:04134E00B69FF0EF67 +:04134F007593891DEC +:04135000058E01F411 +:0413510045118DC9EC +:04135200BA3FF0EFBF +:04135300551340A24C +:0413540044124054AB +:041355007513448246 +:0413560001310FF55D +:04135700115180822E +:04135800842AC222FF +:04135900C406454140 +:04135A00B39FF0EF5E +:04135B00040E891DD6 +:04135C0075938C49B0 +:04135D0044120FF433 +:04135E00454140A223 +:04135F00F06F0131F9 +:04136000C139B6DFFA +:04136100C026115140 +:04136200026005130D +:04136300059384AEBC +:04136400C222080099 +:041365008432C40604 +:04136600B53FF0EFB0 +:04136700059347851E +:041368008963009401 +:0413690047A100F4A4 +:04136A0005A405933E +:04136B0000F48463A3 +:04136C000184059360 +:04136D0040A2441244 +:04136E00F59344822D +:04136F0005130FF55E +:041370000131031034 +:04137100B27FF06FE8 +:041372000513458199 +:04137300BFDD026078 +:041374008DC9050E0C +:041375000FF5F593E8 +:0413760002800513D9 +:04137700B0FFF06F64 +:04137800C822112155 +:0413790097BAC62633 +:04137A00C02ECA06B1 +:04137B00C436C23280 +:04137C00F493842A38 +:04137D00D3630FF730 +:04137E00448100079F +:04137F000E6347852D +:0413800047A100F48D +:0413810004F40D6300 +:0413820000648593EB +:041383000FF5F593DA +:04138400F0EF45152C +:0413850045C1AD9F12 +:041386008593A8099A +:04138700F5930024B6 +:0413880045150FF503 +:04138900AC7FF0EF56 +:04138A004519459923 +:04138B00ABFFF0EFD5 +:04138C00862685A28A +:04138D00F0EF450533 +:04138E0047C1F4DF80 +:04138F00036345B1FE +:0413900045A100F47F +:04139100022005131E +:04139200AA3FF0EF8F +:041393004622444268 +:041394004502459237 +:0413950044B240D24C +:04139600F06F016192 +:041397008593BFFF7C +:04139800F5930324A2 +:0413990045150FF5F2 +:04139A00A83FF0EF89 +:04139B0002000593B4 +:04139C001151BF6DBF +:04139D00C026C22282 +:04139E00C406842ECF +:04139F0084B2478944 +:0413A000E66345813A +:0413A1001593008719 +:0413A20005E20064FC +:0413A300171385E1B6 +:0413A4001793002576 +:0413A5008FD9004597 +:0413A6008DC98D5D03 +:0413A7000FF5F593B6 +:0413A800F0EF4565B8 +:0413A9004541A49F77 +:0413AA009F9FF0EF22 +:0413AB007593478D62 +:0413AC0094630F85B2 +:0413AD00E59300F4D0 +:0413AE004541005560 +:0413AF00A2FFF0EFBA +:0413B00005934789D1 +:0413B100F96305B027 +:0413B20047910087D8 +:0413B3000520059379 +:0413B4000087F46357 +:0413B5000530059367 +:0413B600F0EF4539D6 +:0413B7000513A11F5A +:0413B800B0EF3E80D4 +:0413B9004551A1CF2A +:0413BA009B9FF0EF16 +:0413BB0045C1478D54 +:0413BC0000F4836353 +:0413BD0045614581C0 +:0413BE009F3FF0EF6E +:0413BF0040A24412F2 +:0413C000458144829D +:0413C10003600513AD +:0413C200F06F013196 +:0413C30011519E1F07 +:0413C400842AC22293 +:0413C500C4064551C4 +:0413C600989FF0EF0D +:0413C7007C634711EB +:0413C8007513008712 +:0413C900051308857B +:0413CA003513F7855B +:0413CB0040A2001527 +:0413CC000131441295 +:0413CD0047098082CA +:0413CE0000877763BA +:0413CF000905751384 +:0413D000F705051305 +:0413D1007793B7DD7A +:0413D200D5130FF52B +:0413D3008905001771 +:0413D4000113BFF949 +:0413D500D8A2F88121 +:0413D6000713646134 +:0413D700D6A66D44E5 +:0413D800C436DA86B7 +:0413D900032746831D +:0413DA00C0BE4791B9 +:0413DB0097B347A1DC +:0413DC00468300D76D +:0413DD00E793033758 +:0413DE00C2BE008704 +:0413DF004000079330 +:0413E00000D797B3E8 +:0413E10003474683F5 +:0413E20007B7C4BEC7 +:0413E30097B30004B8 +:0413E400468300D765 +:0413E500C6BE035726 +:0413E600008007B7C5 +:0413E70000D797B3E1 +:0413E8000793C8BEE1 +:0413E900CABE03F184 +:0413EA00879367E19D +:0413EB00CCBE70B74D +:0413EC00879367E19B +:0413ED00CEBE70C739 +:0413EE00879367E199 +:0413EF00D0BE70D725 +:0413F000879367E197 +:0413F100D2BE70E711 +:0413F200879367E195 +:0413F300D4BE70F7FD +:0413F40004474783E0 +:0413F50004374383F3 +:0413F600C03E66E5AA +:0413F700879367DD94 +:0413F800CE3E52870C +:0413F900849347A2F0 +:0413FA00C62A80C6B9 +:0413FB00D03E8BC194 +:0413FC000FD3F79381 +:0413FD00CA32C82EFA +:0413FE0002010FA336 +:0413FF00041347018B +:0414000086936D441E +:04140100D23E80C691 +:0414020001871793B4 +:04140300C23E87E17D +:0414040002600793E8 +:0414050000F7156374 +:04140600C23E57FD8E +:0414070047F1AE51AA +:0414080002F707B32D +:0414090097B6461537 +:04140A00D3134BDCD1 +:04140B0083F50187DD +:04140C0001F3731362 +:04140D0006F6696313 +:04140E00961345F2FA +:04140F00CC320027B4 +:041410004210962EC2 +:0414110042F186021C +:04141200025702B3C8 +:0414130010000613AC +:041414006641C4B2B7 +:041415000613C6B242 +:04141600C8B2400018 +:041417001C1005930D +:0414180000568633C1 +:0414190082154A10DE +:04141A007FF67613D0 +:04141B0006B6176397 +:04141C0067E5D63E6C +:04141D0080C787936A +:04141E0067DD92BE36 +:04141F0051878593D9 +:04142000461D8516CA +:04142100DA1ADC3ABD +:04142200D416D81EE6 +:041423000AF000EFDC +:0414240052A266E585 +:0414250053C257B2A5 +:041426005762535264 +:0414270080C6869362 +:041428004602E51182 +:041429000705EA1DAC +:04142A00BFB904F151 +:04142B00461D65DD18 +:04142C00520585934D +:04142D00DA3A85160C +:04142E00D61ED81AD4 +:04142F0000EFD43EB8 +:0414300066E507D096 +:0414310053B257A2B9 +:041432005752534278 +:0414330080C6869356 +:041434004582E509FF +:0414350088E34605FD +:041436004622FCC589 +:0414370000C3733348 +:04143800FC0303E3CB +:0414390010F0456208 +:04143A00962A4C8C16 +:04143B00FE86260300 +:04143C00460310E86B +:04143D00060A000695 +:04143E002603962AC1 +:04143F008E6DFD466B +:041440004542D25DF2 +:04144100FFF5C5935B +:041442009EE3898517 +:0414430045F1F8A5D2 +:0414440002B705B333 +:0414450095B64532E1 +:041446008195498CB7 +:041447007FF5F593A5 +:04144800E2E305F9DD +:041449004585F8A538 +:04144A0000B40923BE +:04144B00000417235F +:04144C00000408A3ED +:04144D0000040A236A +:04144E0065A1CC10B8 +:04144F002EB605634D +:0414500018C5E9636F +:0414510010000593EF +:0414520028B609634C +:0414530014C5E46375 +:04145400026345C129 +:04145500ED6316B677 +:04145600459110C5E7 +:0414570022B6016355 +:0414580012E345A1B5 +:041459004605F4B69A +:04145A0000C40623A1 +:04145B00051446032B +:04145C0024061A63E5 +:04145D00063346719B +:04145E00963602C7F5 +:04145F0000E65583CB +:0414600057700613A8 +:0414610024B66063EA +:0414620001D7961305 +:0414630022C05C63E4 +:0414640006A3460D88 +:04146500460900C470 +:0414660000C4092392 +:04146700FFE7861302 +:041468000FD6761312 +:041469004615CE1145 +:04146A0024C79C6394 +:04146B00073347F10B +:04146C00079302F7E9 +:04146D0096BA4AF0F1 +:04146E0000E6D703BA +:04146F0024E7E26329 +:041470000464478346 +:0414710022078B6360 +:04147200000406A3C9 +:04147300A4194789E8 +:04147400400006131B +:041475000637C4B2C0 +:04147600C6B20004F6 +:0414770045F1BDFD81 +:0414780002B706337E +:0414790020D0051367 +:04147A004A10963648 +:04147B00761382154D +:04147C0012637FF682 +:04147D00061306A6A6 +:04147E000633FFF73B +:04147F00458D02B6DF +:041480004A50963602 +:041481001F638275EE +:041482008A6300B6C3 +:0414830086130003C9 +:041484007613FFE3F9 +:0414850045850FF694 +:04148600E8C5F7E3DB +:041487007313BD7DA1 +:04148800BD650EF33D +:0414890076134C90FA +:04148A00CE09200661 +:04148B007CE34609AF +:04148C0094E3E67689 +:04148D000613EAB3A5 +:04148E00C2B22000C6 +:04148F00000206371A +:04149000BD61C4B2C4 +:041491004605559225 +:04149200E4C58FE33B +:04149300E80397E3F0 +:041494000FB373130C +:041495000593B559AD +:0414960010E3271028 +:041497005602E8B65B +:04149800E6060DE374 +:04149900061345D21F +:04149A0078E3036090 +:04149B00BD25E6B6CF +:04149C0004000793AE +:04149D0000F60A63E8 +:04149E0008000793A8 +:04149F0014F60763D5 +:0414A00002000793AC +:0414A100E2F611E37B +:0414A200301007936C +:0414A30000F4162318 +:0414A400A289479141 +:0414A5000F636585E7 +:0414A600E36316B630 +:0414A700059302C5E2 +:0414A800026340009B +:0414A900079314B6DB +:0414AA0080638006D5 +:0414AB000793160786 +:0414AC001AE320001F +:0414AD000793DEF6CD +:0414AE0016232010D1 +:0414AF00B73900F455 +:0414B0000C636789D9 +:0414B100679114F635 +:0414B200DCF61FE362 +:0414B300202007935B +:0414B40005B7BF7544 +:0414B5000A63004086 +:0414B600E96316B61A +:0414B70005B704C5AC +:0414B8000E630008B7 +:0414B900E86314B61A +:0414BA0005B702C5AB +:0414BB000F630002B9 +:0414BC0005B712B6A8 +:0414BD0000630004C4 +:0414BE0067C114B638 +:0414BF00DAF615E361 +:0414C000202007934E +:0414C10000F41623FA +:0414C2000923479D16 +:0414C30047B500F435 +:0414C40000F40A2303 +:0414C50007B7A0F5D0 +:0414C60005630010AA +:0414C70007B712F65B +:0414C80012E300200B +:0414C9000793D8F6B7 +:0414CA00B78D20308A +:0414CB00020007B75D +:0414CC0012F60663AB +:0414CD0002C7E4630B +:0414CE00008007B7DC +:0414CF0010F60A63A6 +:0414D000010007B759 +:0414D100D6F611E357 +:0414D200204007931C +:0414D30000F41623E8 +:0414D4000923478D14 +:0414D500079300F485 +:0414D600BF5D028074 +:0414D700040007B74F +:0414D80010F60763A0 +:0414D900080007B749 +:0414DA00D2F61FE344 +:0414DB002040079313 +:0414DC0000F41623DF +:0414DD0009234799FF +:0414DE0047D100F4FE +:0414DF004671BF5142 +:0414E00002C7073305 +:0414E10000041623CA +:0414E20096BA8B9596 +:0414E30000A6D70385 +:0414E4008B7D468531 +:0414E50000E408A374 +:0414E60000D79B632D +:0414E70004644683D0 +:0414E8004789CE99C9 +:0414E90000F40923DF +:0414EA0007A3478588 +:0414EB00CB2900F415 +:0414EC00544650D63C +:0414ED0054B645129A +:0414EE00078101135E +:0414EF0007238082CD +:0414F000B7DD00F470 +:0414F100000406A34A +:0414F2000793BBD1D0 +:0414F300162330107C +:0414F400479900F420 +:0414F50000F40923D3 +:0414F6000793A02593 +:0414F7001623201088 +:0414F800479500F420 +:0414F9004709BFC51B +:0414FA0000E41623D1 +:0414FB009963470D9D +:0414FC00478300E73B +:0414FD009BE3046405 +:0414FE004785DC073B +:0414FF0000F40723CB +:041500000584478394 +:0415010000F408A347 +:041502000793B7652F +:04150300162310207B +:04150400B7FD00F43B +:041505002020079308 +:041506000793B54D45 +:041507001623202067 +:04150800478D00F417 +:041509000793BF4540 +:04150A00BF55202089 +:04150B002020079302 +:04150C0000F41623AE +:04150D00470DBF7D4A +:04150E0000E41623BC +:04150F000793BF4D32 +:04151000BDA5203025 +:0415110020300793EC +:041512000793BFD9A3 +:04151300BF41203084 +:0415140016234791C2 +:04151500079300F444 +:04151600BD5D078030 +:0415170020400793D6 +:0415180000F41623A2 +:0415190009234791CA +:04151A0047F900F499 +:04151B000793B55528 +:04151C001623204032 +:04151D00479500F4FA +:04151E0000F40923A9 +:04151F00BD4947E19A +:0415200017931111FB +:04152100CA22018554 +:04152200CC06C82605 +:04152300842A87E1AE +:04152400DD6384AE51 +:0415250045810007F5 +:041526000770051332 +:0415270001A337D510 +:04152800478500A152 +:0415290006A7EE63C0 +:04152A0007F474133B +:04152B0045912EA513 +:04152C0026914501BE +:04152D00D7932E9191 +:04152E0002A301848F +:04152F00D79300F15D +:04153000032301048C +:04153100D79300F15B +:0415320003A300848B +:04153300022300F19E +:04153400042300810B +:041535000713009107 +:041536000793040013 +:0415370009630950EB +:04153800071300E4B1 +:04153900478504805E +:04153A0000E4146352 +:04153B00087007939A +:04153C000048459985 +:04153D0000F104A312 +:04153E00451524FD2E +:04153F00842A26854F +:0415400005134585C5 +:041541002CC5003184 +:0415420000310783EA +:041543000007D66364 +:0415440047852E9D0C +:04154500FEF506E3C6 +:041546002651E01139 +:041547000031450327 +:04154800445240E2E7 +:04154900017144C226 +:04154A000113808287 +:04154B00CE22FDC1EE +:04154C00842A458127 +:04154D0004900513EE +:04154E00CC26D006D1 +:04154F00F45FF0EF66 +:041550004501C90187 +:04155100447250820E +:04155200011344E25B +:04155300808202414F +:0415540026294515EA +:04155500458584AA9A +:041556000031051348 +:0415570047032C69B1 +:0415580007930031C4 +:0415590016630FF016 +:04155A002E3100F737 +:04155B0004E34785D9 +:04155C00E091FEF527 +:04155D004703262DED +:04155E0007930031BE +:04155F0012E30FE0A4 +:0415600045C9FCF786 +:041561002C8500488D +:041562000044478377 +:041563000027F71353 +:041564004783CF15D5 +:04156500450300A199 +:04156600468300B107 +:041567008B8D00E187 +:041568008FC907A27E +:0415690000C1450375 +:04156A004703078AA2 +:04156B008119009151 +:04156C0047838D5DC7 +:04156D00829D00D18A +:04156E0007868B3D24 +:04156F008FD58B99F0 +:04157000050597BA1C +:04157100153317E532 +:04157200BFAD00F514 +:04157300CB898B9104 +:0415740000C145036A +:0415750000D14783D7 +:041576008D5D052260 +:04157700B7CD47C5E0 +:041578004501873E64 +:041579000113BFF1AA +:04157A0065E1FDC169 +:04157B004629CC260B +:04157C00859384AA25 +:04157D0000689685E7 +:04157E00CE22D006A3 +:04157F0089EFB0EF51 +:04158000C03E478D95 +:041581002C3922D906 +:0415820045A92C81CA +:041583002AE10068F1 +:04158400A0234581DA +:041585000513000446 +:04158600F0EF04007E +:041587004785E67F2F +:041588001B63842A33 +:0415890005930EF5C3 +:04158A0005131AA08B +:04158B00F0EF0480F9 +:04158C00C22AE53F4B +:04158D000885176353 +:04158E00002845915B +:04158F0047032A6D77 +:04159000479200A1DD +:041591000CF71A63D6 +:0415920000B147035A +:041593000AA0079310 +:041594000CF71463D9 +:041595003E8005137C +:0415960024352411C3 +:041597001963478508 +:0415980005B700F59E +:0415990005134000F6 +:04159A00F0EF0E90D0 +:04159B00F575E17F82 +:04159C0047852C193A +:04159D0002F50663EA +:04159E0024154401CB +:04159F0017FD47826B +:0415A0000FF7F793B7 +:0415A100CBD9C03EA4 +:0415A2004785DC3568 +:0415A300008482231B +:0415A4008526C09C3C +:0415A500E97FF0EFFB +:0415A600C488157D63 +:0415A700A0412245F8 +:0415A8000513458161 +:0415A900F0EF07A0B8 +:0415AA00F579DDBF33 +:0415AB00002845913E +:0415AC0047832299B6 +:0415AD004431008144 +:0415AE000407F793A4 +:0415AF004411FFDD07 +:0415B0004581BF6D45 +:0415B1000E90051380 +:0415B200DB9FF0EFDC +:0415B3000410079386 +:0415B40000A46563C7 +:0415B500079344094B +:0415B60005130E907B +:0415B700C23E0FA081 +:0415B80022552AB5D9 +:0415B90017634785E8 +:0415BA00451200F5E1 +:0415BB00F0EF458187 +:0415BC00F965D93FB5 +:0415BD00E1112A49C5 +:0415BE00225544016D +:0415BF00051345814A +:0415C000F0EF07B091 +:0415C100C111D7FF7E +:0415C2000593440148 +:0415C30005132000EC +:0415C400F0EF05003F +:0415C500D13DD6FF3F +:0415C600B78D440198 +:0415C70020E5F43DEA +:0415C8003513508205 +:0415C9004472001454 +:0415CA00011344E2E3 +:0415CB0080820241D7 +:0415CC001111451C98 +:0415CD00CC06CA225C +:0415CE00C42EC82639 +:0415CF00C23AC03626 +:0415D000E263440D81 +:0415D100C30502C785 +:0415D2000045478306 +:0415D3008BA185B2B1 +:0415D4001593E399EF +:0415D5000513009664 +:0415D600F0EF05101D +:0415D700842AD27F11 +:0415D8004409C909F0 +:0415D90040E2204D7F +:0415DA0044528522D0 +:0415DB00017144C294 +:0415DC0005138082F1 +:0415DD0020DD0640C7 +:0415DE000513458527 +:0415DF0028A500F14A +:0415E00000F14703CC +:0415E1000FF007936D +:0415E20000F7166395 +:0415E300478528ED23 +:0415E400FEF504E329 +:0415E500470322296D +:0415E600079300F176 +:0415E70012E30FE01C +:0415E8004782FCF743 +:0415E9002020049327 +:0415EA0047928C9DFB +:0415EB0047828C9D0A +:0415EC0080C104C2F4 +:0415ED0085BEC7816F +:0415EE002835450156 +:0415EF0045224592BA +:0415F00085A6281D87 +:0415F1002805450183 +:0415F2002637BF7168 +:0415F30005B7000632 +:0415F4001537019C0A +:0415F50006130002D7 +:0415F6008593A8062B +:0415F7000513CC0507 +:0415F800A06F0205D9 +:0415F900862ED8DF83 +:0415FA00153785AA72 +:0415FB0005130002D2 +:0415FC00A06F0205D5 +:0415FD00862EE2BF95 +:0415FE00153785AA6E +:0415FF0005130002CE +:04160000A06F0205D0 +:041601008082DEFF06 +:041602005783676142 +:04160300F7936B07E7 +:0416040007C2F7F72B +:04160500182383C162 +:0416060017376AF731 +:04160700202300029A +:0416080080820EF7D7 +:04160900578367613B +:04160A00E7936B07F0 +:04160B001823080791 +:04160C0017376AF72B +:04160D002023000294 +:04160E0080820EF7D1 +:04160F000029363741 +:04161000019C05B77D +:041611000002153787 +:041612002E06061387 +:04161300CC058593EA +:0416140002050513B3 +:04161500D1BFA06F32 +:04161600F73FF06F3B +:041617002783676559 +:04161800E385C3475C +:041619008793679DAF +:04161A000533978776 +:04161B00115102F572 +:04161C002A23C406B3 +:04161D00A0EFC2A7D1 +:04161E0040A28ADF7D +:04161F00013145014F +:04162000450580827A +:041621001151808261 +:04162200A0EFC4066B +:0416230067E58BDF0D +:04162400C347A7838E +:04162500353340A277 +:04162600013100F599 +:0416270067E5808271 +:04162800C207AA2328 +:041629004701808273 +:04162A0000E614635F +:04162B008082450173 +:04162C0000E507B31B +:04162D0086B3070574 +:04162E00C78300E589 +:04162F00C683000767 +:0416300083E3FFF65B +:041631008533FED728 +:04163200808240D79B +:04163300F7C10113E7 +:04163400C13ED6A637 +:0416350067E1DA8609 +:04163600DCB6D8A2A4 +:04163700A483DEBAF0 +:04163800DC635D070B +:04163900079300050E +:04163A00C09C08B098 +:04163B0050D6557DB3 +:04163C0054B6544606 +:04163D00084101134C +:04163E00079380820C +:04163F0018232080CC +:04164000C22A00F1C9 +:04164100842ECA2AFF +:04164200C199478182 +:04164300FFF5879395 +:04164400CC3EC63E94 +:0416450057FD18B481 +:041646008526004CA9 +:0416470000F1192372 +:041648002CF5C03687 +:04164900556357FD91 +:04164A00079300F50D +:04164B00C09C08B087 +:04164C004792DC5D88 +:04164D0000078023EF +:04164E00C605BF5DB1 +:04164F004701167DBC +:0416500000E507B3F7 +:0416510000E586B377 +:041652000007C78343 +:041653000006C68344 +:0416540000D79663C2 +:0416550000C7046363 +:04165600F3FD070594 +:0416570040D78533C0 +:041658004501808246 +:0416590087AA80825A +:04165A00C703CA09EF +:04165B0005850005FC +:04165C008FA30785CC +:04165D00167DFEE711 +:04165E00963EFB6554 +:04165F0000C79363CA +:0416600007858082F8 +:04166100FE078FA34E +:0416620095AABFD5B1 +:04166300956387AA5A +:04166400853300B713 +:04166500808240A798 +:041666000007C703AF +:041667000785DB7D9B +:04166800C5C5B7FD40 +:04166900FFC5A7838F +:04166A00C422114144 +:04166B008413C60618 +:04166C00D363FFC580 +:04166D00943E0007A0 +:04166E0000EFC02A9F +:04166F0067610B9014 +:041670006507278360 +:04167100863A45026E +:041672002223EB91B3 +:041673002823000424 +:041674004422648721 +:04167500014140B23D +:0416760009D0006F28 +:0416770000F47F6399 +:0416780007334014E0 +:04167900966300D4A0 +:04167A00439800E7AA +:04167B00973643DC7F +:04167C00C05CC01876 +:04167D006486282334 +:04167E00873EBFE9FB +:04167F00C39943DCEC +:04168000FEF47DE314 +:0416810006334314D5 +:041682001F6300D70B +:04168300401000868D +:04168400C31496B243 +:0416850000D7063351 +:04168600FAC79DE31F +:0416870043DC43906D +:04168800C31496B23F +:04168900B775C35C12 +:04168A0000C47563C0 +:04168B00C11C47B186 +:04168C004010B74D06 +:04168D0000C406B3DC +:04168E0000D7966388 +:04168F0043DC439461 +:04169000C01496B23A +:04169100C340C05C36 +:041692008082B76932 +:04169300C226114119 +:041694000035849306 +:04169500C60698F1FC +:0416960004A1C422C5 +:0416970087AA4731A6 +:0416980004E4FC6307 +:04169900EB6344B10A +:04169A00853E04B4D1 +:04169B0000EFC03E5E +:04169C006761005032 +:04169D006507268334 +:04169E000613478266 +:04169F008436650721 +:0416A0006461E4316C +:0416A1006544041385 +:0416A200EB01401800 +:0416A3004581853EBA +:0416A40000EFC03E55 +:0416A500478279A05F +:0416A600853EC008B5 +:0416A700C03E85A616 +:0416A80078C000EF17 +:0416A9004782577DA0 +:0416AA0006E51663D8 +:0416AB00C398473168 +:0416AC0000EF853E88 +:0416AD00A0297C20D4 +:0416AE00FA04D7E380 +:0416AF00C398473164 +:0416B00040B24501FE +:0416B10044924422F9 +:0416B20080820141F0 +:0416B3008F05401847 +:0416B40002074F6377 +:0416B500F66345ADE6 +:0416B600C01800E573 +:0416B700C004943A9D +:0416B8004058A029CD +:0416B90002869363AF +:0416BA00853EC2188F +:0416BB00788000EF44 +:0416BC0000B405135E +:0416BD00004407934B +:0416BE0007339961F4 +:0416BF0002E340F50D +:0416C000943AFCF567 +:0416C100C01C8F8931 +:0416C200C2D8BF6D5E +:0416C30086A2BFF943 +:0416C400B7BD40402E +:0416C50000350413D5 +:0416C60002E3987132 +:0416C70005B3FC85E6 +:0416C800853E40A477 +:0416C9002719C03EDF +:0416CA004782577D7F +:0416CB00FAE519E340 +:0416CC001111BFB584 +:0416CD004590C23250 +:0416CE00CC06C82658 +:0416CF0084AECA22F9 +:0416D00008C6E36302 +:0416D100D50382AA11 +:0416D200771300C5C5 +:0416D300CB354805C6 +:0416D400470D48D0A6 +:0416D5000733408017 +:0416D600498C02C772 +:0416D70007B3460906 +:0416D800C03E40B41C +:0416D90002C74433CD +:0416DA00001686135D +:0416DB007363963E61 +:0416DC00843200C490 +:0416DD00400575133C +:0416DE00C52DC6361A +:0416DF0085A2851645 +:0416E000F0EFC4164D +:0416E10042A2ECBF76 +:0416E200473146B294 +:0416E3004602C93DB5 +:0416E400C636488C32 +:0416E500A0EFC42A84 +:0416E600D603B05F18 +:0416E700432200C4D6 +:0416E800761346B27D +:0416E9006613B7F6D7 +:0416EA009623080635 +:0416EB00478200C46E +:0416EC000064A823CB +:0416ED00933EC8C0A0 +:0416EE00A0238C1D8C +:0416EF0086360064D7 +:0416F000F363C4805C +:0416F100863600C673 +:0416F2004088459255 +:0416F300A0EFC03272 +:0416F4004498AFBFA8 +:0416F5004501460263 +:0416F600C4988F11F4 +:0416F700963A409847 +:0416F800A80DC090E9 +:0416F90086228516AA +:0416FA002571C4167C +:0416FB0046B242A20F +:0416FC00FD55832AEB +:0416FD008516488C7A +:0416FE00F0EFC01633 +:0416FF004282DA9FAA +:04170000A0234731AA +:04170100D70300E228 +:04170200557D00C44D +:04170300040767135D +:0417040000E4962344 +:04170500445240E228 +:04170600017144C267 +:04170700D703808202 +:04170800011300C504 +:04170900C122F78181 +:04170A000793DEA6BD +:04170B00C30601F11F +:04170C00C02EC22AFF +:04170D00080777133F +:04170E00F41384B29A +:04170F00CB1DFF8768 +:04171000EB0D4998FC +:041711000400059338 +:04171200F0EFC636F8 +:041713004782E03FEA +:04171400C38846B28E +:04171500E919CB887B +:0417160047B147127E +:04171700C31C557D1D +:04171800440A409AA5 +:04171900011354F66E +:04171A008082088140 +:04171B0007134782E7 +:04171C00CBD8040022 +:04171D0002000713AC +:04171E0000E40CA334 +:04171F0003000713A9 +:0417200000042A2374 +:0417210000E40D23B0 +:041722008726CA3616 +:041723000250061357 +:0417240000074683F1 +:041725009663C2996C +:0417260006B30AC636 +:041727000163409783 +:04172800458202975D +:0417290086264512B9 +:04172A00C636C83ABD +:04172B00E87FF0EF74 +:04172C000A63567D79 +:04172D0048501AC541 +:04172E00474246B236 +:04172F00C8509636D2 +:0417300000074683E5 +:041731001A068163B0 +:041732000017049305 +:041733002023577D9B +:041734002623000464 +:04173500C058000494 +:041736000004242364 +:04173700040401A302 +:0417380004042C2356 +:041739000004C58360 +:04173A00461567E108 +:04173B009947851332 +:04173C00401423A58D +:04173D0000148713FA +:04173E00F613E53980 +:04173F00C6090106D0 +:04174000020006138A +:0417410004C401A338 +:041742000086F61314 +:041743000613C609BA +:0417440001A302B04B +:04174500C58304C490 +:041746000613000482 +:041747008F6302A00A +:04174800445402C53E +:041749004581872629 +:04174A0042A9452546 +:04174B00000746034A +:04174C00001703136C +:04174D00FD0606137C +:04174E0006C57763F2 +:04174F00C454C98530 +:041750000705A035B4 +:0417510067E1B7B1E4 +:04175200994786131A +:0417530046058D11A9 +:0417540000A61533A3 +:04175500C0148EC965 +:04175600B76984BA31 +:04175700059346525E +:0417580042100046F5 +:041759004963CA2EE8 +:04175A00C45002066F +:04175B00000746033A +:04175C0002E006930E +:04175D0006D6116338 +:04175E000017460327 +:04175F0002A006934B +:0417600002D61B632F +:04176100070946D25C +:0417620000468613A4 +:04176300CA324294B0 +:041764000206C16355 +:04176500A081C0544B +:0417660040C0063346 +:041767000026E693DF +:04176800C014C45095 +:0417690086B3B7E1AB +:04176A00871A025682 +:04176B0096B2458568 +:04176C0056FDBFB5B2 +:04176D000705B7C5F0 +:04176E00000422232E +:04176F0046814581E9 +:0417700042A9452520 +:041771000007460324 +:041772000017031346 +:04177300FD06061356 +:0417740006C57363D0 +:041775004583F1E1D6 +:0417760064E1000723 +:041777008513460D83 +:04177800C63A99C410 +:041779004732299535 +:04177A008493CD0186 +:04177B008D0599C47B +:04177C0004000693CC +:04177D0000A696B379 +:04177E000705400813 +:04177F00C0088D55BC +:041780000007458396 +:04178100461965613F +:041782009A050513AC +:0417830000170493B4 +:0417840000B40C237E +:04178500C1352191B8 +:041786000000071345 +:041787004014E70D16 +:04178800F69347523B +:04178900CE911006E7 +:04178A00CA3A07113F +:04178B0047A24858D1 +:04178C00C858973E64 +:04178D0086B3BD99C9 +:04178E00871A02565E +:04178F0096B2458544 +:041790000721B75125 +:041791004602B7DD78 +:0417920066D94512BD +:0417930086930858D9 +:0417940085A2B32651 +:0417950000000097B9 +:04179600000000E768 +:0417970047A2C42A77 +:0417980095E3577D01 +:041799004782FCE7A0 +:04179A00D703557D9F +:04179B00771300C7F9 +:04179C0017E3040744 +:04179D004848DE07D3 +:04179E004602B3E567 +:04179F0066D94512B0 +:0417A00086930858CC +:0417A10085A2B32644 +:0417A200BFC9221584 +:0417A30087AE1101FB +:0417A40085B6CA2616 +:0417A500479484BA27 +:0417A600CC224B986E +:0417A700842ACE06BC +:0417A80053638332D2 +:0417A900873600D7A8 +:0417AA0000E3202315 +:0417AB000437C683B6 +:0417AC000705C681E6 +:0417AD0000E3202312 +:0417AE0077134398D2 +:0417AF00C711020755 +:0417B0000003270308 +:0417B10020230709E1 +:0417B200439800E375 +:0417B3000197861301 +:0417B400C3358B1995 +:0417B500C68343980C +:0417B600771304376A +:0417B70036B302073C +:0417B800E75100D025 +:0417B9000437861358 +:0417BA00C41A8522A6 +:0417BB00C02EC23E3C +:0417BC00577D94823F +:0417BD0006E50763D3 +:0417BE0043224792E9 +:0417BF004394458288 +:0417C00000032603F9 +:0417C1008A994311AD +:0417C200470147C8CC +:0417C30000669763C2 +:0417C40040C50733E2 +:0417C5000007536363 +:0417C60047944701FC +:0417C70054634B908C +:0417C8008E9100D628 +:0417C900430197360B +:0417CA0001A78613DA +:0417CB00066710633A +:0417CC00A80D45011E +:0417CD0047D40705F1 +:0417CE0000032503EC +:0417CF005BE38E89C1 +:0417D0004685F8D77B +:0417D100C81A85228B +:0417D200C43AC63E11 +:0417D300C02EC23230 +:0417D40056FD9482A8 +:0417D50046124582F1 +:0417D60047B24722AD +:0417D7001BE343428B +:0417D800557DFCD56A +:0417D900446240F234 +:0417DA00610544D28F +:0417DB00863380824F +:0417DC00051300D71A +:0417DD0001A3030061 +:0417DE00C60304A694 +:0417DF008713045711 +:0417E000973E00161A +:0417E10001A30689D1 +:0417E200BFA904C7D0 +:0417E3008522468590 +:0417E400C43AC61A23 +:0417E500C02EC2321E +:0417E60057FD948295 +:0417E700FCF503E327 +:0417E800472243321F +:0417E90045824612DD +:0417EA00B7490305F3 +:0417EB00CC221101FA +:0417EC00CE06CA2635 +:0417ED00842EC02A5C +:0417EE000435851326 +:0417EF000185C58328 +:0417F000C23284B6C7 +:0417F10007800693D4 +:0417F20000B6EC63EE +:0417F3000620069333 +:0417F40000B6ED63EB +:0417F5001C058C63E0 +:0417F60005800693D1 +:0417F70012D58D6317 +:0417F80004240313AF +:0417F90004B4012310 +:0417FA008693A80525 +:0417FB00F693F9D593 +:0417FC0046550FF649 +:0417FD00FED666E3CB +:0417FE00068A666190 +:0417FF009D0606132A +:04180000429496B2C6 +:041801004314868284 +:0418020004240313A4 +:041803000046861302 +:041804004298C31033 +:0418050004E40123D3 +:04180600AA75470573 +:041807002303401463 +:04180800F6130007CC +:041809000593080635 +:04180A00C20D0043C8 +:04180B00000326832D +:04180C006661C30C42 +:04180D000006D86396 +:04180E0002D00713EA +:04180F0040D006B30C +:0418100004E401A348 +:041811009A8606139A +:04181200A0B9472909 +:041813000406F613BE +:041814000003268324 +:04181500DE71C30CB1 +:0418160086C106C2BF +:041817004010BFD9E5 +:041818007293431470 +:041819008313080627 +:04181A00866300469B +:04181B002023000284 +:04181C00429400678B +:04181D007613A80195 +:04181E002023040679 +:04181F00DA7500670F +:041820000006D68365 +:0418210007136661E2 +:04182200061306F0B3 +:0418230087639A86B7 +:0418240047290EE55D +:04182500040401A313 +:04182600C40C404C62 +:041827000005C8638D +:041828000004230392 +:04182900FFB3731383 +:04182A000064202313 +:04182B00832AE29991 +:04182C00832ACD99A5 +:04182D0002E6F5B327 +:04182E0095B2137DDF +:04182F000005C58368 +:0418300000B30023DE +:04183100D6B385B6EF +:04183200F5E302E6F2 +:0418330046A1FEE5E7 +:0418340000D71E6358 +:041835008B054018C7 +:041836004054CB113E +:0418370047634818A3 +:04183800071300D7BB +:041839000FA30300F6 +:04183A00137DFEE339 +:04183B0040650533CC +:04183C004692C80800 +:04183D0087264502B3 +:04183E0085A2081067 +:04183F00F0EFC41AE8 +:04184000577DD8FFF9 +:041841001C634322BF +:04184200557D0CE5DF +:04184300446240F2C9 +:04184400610544D224 +:0418450066618082D6 +:0418460004B402A341 +:041847009A86061364 +:041848002303400C2A +:04184900F29300070F +:04184A0026830805E4 +:04184B000311000382 +:04184C0002028D63A4 +:04184D0000672023ED +:04184E000015F71377 +:04184F00E593C70155 +:04185000C00C0205C1 +:04185100F6B947415C +:04185200F593400CBE +:04185300C00CFDF5D3 +:041854004014B791F4 +:041855000206E6930E +:041856000693C01421 +:04185700666107803F +:0418580004D402A30F +:041859009BC6061311 +:04185A00F293BF65E1 +:04185B00202304053D +:04185C0083E30067BB +:04185D0006C2FC02C1 +:04185E00BF7D82C107 +:04185F00BF19472145 +:0418600043144010DD +:041861007293484CEA +:0418620083130806DE +:041863008763004651 +:04186400202300023B +:04186500429800673E +:04186600A809C30CFE +:0418670000672023D3 +:0418680004067613E9 +:04186900DA6D42985A +:04186A0000B7102390 +:04186B00000428232A +:04186C00B781832A93 +:04186D00458143145A +:04186E000046861397 +:04186F00A303C310FC +:0418700040500006DE +:04187100C41A851AF6 +:0418720043222841A4 +:041873000533C50173 +:04187400C0484065C3 +:04187500C8184058F7 +:04187600040401A3C2 +:041877004814BF1939 +:04187800450245924E +:041879009482861AB5 +:04187A0000E3577DB3 +:04187B004018F2E53A +:04187C00EB0D8B09DC +:04187D004448474252 +:04187E00F0E55AE354 +:04187F00B739853AB6 +:041880004502459246 +:04188100C63A468598 +:041882009482C43256 +:041883000EE356FD1D +:041884004732EED524 +:0418850007054622EB +:0418860045C24454BF +:0418870041E38E8D1E +:04188800BFC9FED7FF +:0418890006134701FA +:04188A00B7FD019411 +:04188B00C222115113 +:04188C00842AC026C4 +:04188D00852E64E55B +:04188E00AC23C406BD +:04188F002055C2041A +:04189000166357FD87 +:04189100A78300F534 +:04189200C391C384B7 +:0418930040A2C01C93 +:041894004482441234 +:04189500808201311B +:041896000FF5F593C2 +:041897001463962A16 +:04189800450100C541 +:04189900478380827F +:04189A008DE30005D5 +:04189B000505FEB78A +:04189C008082B7FD92 +:04189D00E5818082DF +:04189E00F06F85B2B0 +:04189F001131FD2FD7 +:0418A000C622C8068E +:0418A100EA11C4265E +:0418A200F1AFF0EFC3 +:0418A30040C2478177 +:0418A40044A24432E4 +:0418A5000151853E2A +:0418A600842E80828A +:0418A70084AAC0321D +:0418A8004602203D97 +:0418A90074E387A2BB +:0418AA0085B2FEC540 +:0418AB00C23285269A +:0418AC00F9CFF0EF91 +:0418AD00DD6187AAC8 +:0418AE0085A24612B7 +:0418AF00A0EFC02ABC +:0418B00085A2BDCF81 +:0418B100F0EF8526A9 +:0418B2004782EDCFAD +:0418B300A783B7C987 +:0418B4008513FFC5D4 +:0418B500D563FFC731 +:0418B60095AA0007E8 +:0418B700953E419C7D +:0418B80063E18082E6 +:0418B9006583A78319 +:0418BA00C2221151E4 +:0418BB00842AC406B1 +:0418BC00658383932A +:0418BD004501E79D5D +:0418BE004601458119 +:0418BF004701468116 +:0418C0000D60029322 +:0418C10000000073B0 +:0418C2001A6357FD51 +:0418C300283500F5CF +:0418C400C11C47B14B +:0418C50040A2557D6B +:0418C6000131441296 +:0418C700A023808258 +:0418C800A30300A3D3 +:0418C9004581000352 +:0418CA00053346019B +:0418CB0046810064EE +:0418CC004781470108 +:0418CD000D60029315 +:0418CE0000000073A3 +:0418CF0018E3941A6C +:0418D000A023FC85D0 +:0418D100851A00A3D1 +:0418D20067E1B7F91A +:0418D3005D07A50305 +:0418D400000080820E +:0418D500000000000F +:0418D600000000000E +:0418D700000000000D +:0418D800000000000C +:0418D90000010528DD +:0418DA000001052ED6 +:0418DB0000010534CF +:0418DC000001053AC8 +:0418DD00000104DC26 +:0418DE00000104FC05 +:0418DF00000104FC04 +:0418E000000104FC03 +:0418E100000104FC02 +:0418E200000104FC01 +:0418E300000104FC00 +:0418E40000010500FA +:0418E50000010506F3 +:0418E6002520732521 +:0418E7000063257500 +:0418E800252E75250F +:0418E9006B75322EBB +:0418EA0025207A48F3 +:0418EB002E252E7503 +:0418EC007A4875328F +:0418ED0000000000F7 +:0418EE0074204B4FC8 +:0418EF006E69206F8F +:0418F0000000746917 +:0418F100736C61466D +:0418F2007274206587 +:0418F3006567676955 +:0418F400000000727E +:0418F500656D695460 +:0418F6000074756F96 +:0418F700252E752500 +:0418F8006D75322EAA +:0418F9000000007378 +:0418FA00252E7525FD +:0418FB006D75322EA7 +:0418FC0075252F73AC +:0418FD00322E252E34 +:0418FE0000736D7591 +:0418FF004353534FAD +:0419000077662020C6 +:041901007525202EFA +:04190200322E252E2E +:04190300000061750A +:0419040074696E494B +:041905007272652075 +:041906002020726FBC +:041907000000642553 +:04190800202020205B +:0419090053204F4ECA +:04190A0000434E59EF +:04190B003431303211 +:04190C003230322D16 +:04190D006D202030F9 +:04190E00737172611E +:04190F0000000000D4 +:041910000001291297 +:0419110000012A2087 +:0419120000012A2086 +:0419130000012A3471 +:0419140000012A3470 +:0419150000012A4063 +:0419160000012A445E +:0419170000012A524F +:0419180000012A524E +:0419190000012A742B +:04191A0000012C3A62 +:04191B0000012C405B +:04191C0000012C405A +:04191D0000012C3A5F +:04191E0000012C4454 +:04191F0000012A7425 +:0419200000012C3A5C +:0419210000012C4055 +:041922007473655421 +:04192300746170205B +:041924006E72657406 +:0419250000000000BE +:041926003A315641BB +:0419270042475220C1 +:041928000000005368 +:041929003A315641B8 +:04192A00734752208D +:04192B000000004276 +:04192C003A315641B5 +:04192D00625059208B +:04192E0000007250F3 +:04192F003A325641B1 +:041930006250592088 +:0419310000007250F0 +:041932003A325641AE +:041933007347522084 +:04193400000000426D +:041935003A335641AA +:0419360042475220B2 +:04193700000056480E +:041938003A335641A7 +:0419390042475220AF +:04193A000000005356 +:04193B003A335641A4 +:04193C00734752207B +:04193D000000004264 +:04193E003A335641A1 +:04193F006250592079 +:0419400000007250E1 +:041941007473614C0E +:041942006573752034 +:04194300000000643C +:04194400000000019E +:041945000205030094 +:04194600000000029B +:0419470000016488AF +:04194800000164989E +:04194900000164A491 +:04194A00000164B084 +:04194B00000164BC77 +:04194C00000164C86A +:04194D00000164D45D +:04194E00000164E050 +:04194F00000164EC43 +:04195000000164F836 +:041951000001650428 +:041952000D806000A4 +:041953000040201020 +:041954000804022061 +:04195500000040222C +:04195600000000008D +:041957000DC060005F +:0419580000783C11C6 +:04195900070180E022 +:04195A000000180E63 +:04195B000000000088 +:04195C000D8060009A +:04195D00003018043A +:04195E0002014060E2 +:04195F00000014066A +:041960000000000083 +:041961000000000082 +:041962000000000180 +:041963000000000080 +:04196400000000007F +:04196500000000007E +:04196600000001007C +:041967000101010079 +:04196800000001007A +:04196900000000007A +:04196A000100000078 +:04196B00060B000067 +:04196C000000004433 +:04196D000000000175 +:04196E000100000074 +:04196F0080000C00E8 +:0419700080800A0366 +:041971001A1A1A80A4 +:0419720000000A085F +:0419730073657250D6 +:0419740000000073FC +:04197500666E6F43E8 +:04197600006D726925 +:041977006D73694DD6 +:0419780068637461CB +:041979006572202C47 +:04197A00007972740A +:04197B00656E6F44E2 +:04197C000000000067 +:04197D006C696146EA +:04197E00000064659C +:04197F00666F7250CD +:041980002075252E7B +:041981000073392591 +:04198200756C342527 +:041983006325632550 +:041984006C2520208E +:0419850000000075E9 +:04198600656E694CD5 +:04198700746C756D9A +:04198800646F6D20FB +:0419890000003A65BB +:04198A00736572709F +:04198B002D31207367 +:04198C000000003522 +:04198D002078752524 +:04198E0075736E758A +:04198F00726F707093 +:041990000064657416 +:04199100666F7250BB +:0419920020656C69F7 +:0419930064616F6CB0 +:041994000000003A15 +:041995007365727094 +:041996007525207320 +:041997000075252D85 +:041998000F031F0119 +:041999000003030341 +:04199A0000012EFA20 +:04199B0000012F9484 +:04199C0000012F9C7B +:04199D0000012F8C8A +:04199E0000012F987D +:04199F0000012FA074 +:0419A00000012F9083 +:0419A10000012FE62C +:0419A20000012FA46D +:0419A30000012FA868 +:0419A40000012FAC63 +:0419A50000012FE628 +:0419A60000012FE627 +:0419A70000012FE626 +:0419A80000012FE625 +:0419A90000012FE624 +:0419AA00000133EC19 +:0419AB0000012FF018 +:0419AC00000130EC1A +:0419AD00000130FC09 +:0419AE000001311AE9 +:0419AF0000013158AA +:0419B0000001313CC5 +:0419B100000131728E +:0419B2000001326C92 +:0419B300000132BE3F +:0419B400000132E01C +:0419B50000000031FD +:0419B60000000032FB +:0419B70000000033F9 +:0419B80000000034F7 +:0419B90000000036F4 +:0419BA0000000037F2 +:0419BB0000000038F0 +:0419BC0000000039EE +:0419BD00554E454DF1 +:0419BE000000000025 +:0419BF0000004B4F8A +:0419C0004B43414212 +:0419C1000000000022 +:0419C200000050557C +:0419C3004E574F44E8 +:0419C400000000001F +:0419C5005446454CF3 +:0419C600000000001D +:0419C70048474952F2 +:0419C80000000054C7 +:0419C9004F464E49EE +:0419CA000000000019 +:0419CB005F44434CE6 +:0419CC004B43414206 +:0419CD004847494CF2 +:0419CE0000000054C1 +:0419CF004E414353EF +:0419D000454E494CEB +:0419D100444F4D5FD3 +:0419D20000000045CC +:0419D3004E414353EB +:0419D400454E494CE7 +:0419D5005059545FB2 +:0419D60000000045C8 +:0419D7004E414353E7 +:0419D800454E494CE3 +:0419D900544E495FC0 +:0419DA000000002BDE +:0419DB004E414353E3 +:0419DC00454E494CDF +:0419DD00544E495FBC +:0419DE000000002DD8 +:0419DF00454E494CDC +:0419E000544C554DC1 +:0419E100444F4D5FC3 +:0419E20000000045BC +:0419E30053414850D4 +:0419E40000002B458F +:0419E50053414850D2 +:0419E60000002D458B +:0419E700464F5250C5 +:0419E8005F454C49C2 +:0419E9004B544F48C4 +:0419EA00000059455B +:0419EB00000166D4BD +:0419EC00000166D8B8 +:0419ED00000166DCB3 +:0419EE00000166E0AE +:0419EF00000166305D +:0419F000000166E4A8 +:0419F100000166E8A3 +:0419F200000166EC9E +:0419F300000166F099 +:0419F4000001730477 +:0419F500000166F493 +:0419F600000166FC8A +:0419F7000001670084 +:0419F800000167087B +:0419F9000001670C76 +:0419FA00000167146D +:0419FB000001671C64 +:0419FC00000167245B +:0419FD000001672C52 +:0419FE000001673C41 +:0419FF000001674C30 +:041A00000001675C1E +:041A01000001676C0D +:041A02000001677CFC +:041A03000001678CEB +:041A040000016794E2 +:041A05000001679CD9 +:041A06003EA93E298E +:041A07003EE93E690D +:041A08003E993E19AC +:041A09003ED93E592B +:041A0A003EC93E395A +:041A0B003E1D3E4DF1 +:041A0C003E2D3EED40 +:041A0D003EAD3ECDDF +:041A0E003E653E6D86 +:041A0F001C483E0130 +:041A10001C501C1832 +:041A11001CC81CD001 +:041A12005ED85E58E4 +:041A130000003EB9D8 +:041A140073616C4648 +:041A1500657220686E +:041A16006520646182 +:041A170000007272E7 +:041A180061766E493C +:041A19002064696C70 +:041A1A006461656836 +:041A1B0000007265F0 +:041A1C006F7272452E +:041A1D000000007253 +:041A1E0061766E4936 +:041A1F002064696C6A +:041A20002072646864 +:041A210000435243E9 +:041A220061766E4932 +:041A23002064696C66 +:041A24006174616424 +:041A250043524320C5 +:041A260000000000BC +:041A27006164705531 +:041A2800632065745E +:041A290065636E6122 +:041A2A0064656C6C17 +:041A2B0000000000B7 +:041A2C0061766E4928 +:041A2D002064696C5C +:041A2E0067616D6916 +:041A2F00000000654E +:041A300073616C462C +:041A31007277206840 +:041A3200206574694E +:041A33000072726566 +:041A340073616C4628 +:041A3500726520684E +:041A36002065736153 +:041A37000072726562 +:041A380053206F4E7A +:041A39006163204481 +:041A3A00642064724E +:041A3B00002E7465A0 +:041A3C0073616C4620 +:041A3D006576206842 +:041A3E002066697243 +:041A3F006C69616607 +:041A400000000000A2 +:041A41004353534F69 +:041A420000000000A0 +:041A4300696C615613 +:041A440069746164FC +:041A45006420676E44 +:041A46000061746166 +:041A4700622075257F +:041A480073657479D5 +:041A49000000000099 +:041A4A00252E7525AB +:041A4B002575322E9D +:041A4C00007325738B +:041A4D00616470550B +:041A4E00676E6974E2 +:041A4F0000574620D6 +:041A500061656C70F0 +:041A51007720657322 +:041A52002E74696124 +:041A530000002E2E33 +:041A540069726556F8 +:041A55006E697966D7 +:041A56006C66206733 +:041A5700006873614F +:041A580061656C70E8 +:041A5900722065731F +:041A5A0061747365DB +:041A5B0000007472A1 +:041A5C0072746552E9 +:041A5D00676E6979CE +:041A5E00647075201B +:041A5F000065746149 +:041A600061647055F8 +:041A6100203F657449 +:041A62002C593D318D +:041A63004E3D3220A2 +:041A6400000000007E +:041A65006D726946EF +:041A660065726177CD +:041A67006470752012 +:041A680064657461DC +:041A69000000000079 +:041A6A00000136FE43 +:041A6B0000013754EB +:041A6C000001375CE2 +:041A6D0000013764D9 +:041A6E006420642567 +:041A6F0000006765A7 +:041A7000252E752585 +:041A71000075322E9C +:041A7200252575258C +:041A7300000000006F +:041A74007020752544 +:041A75006C657869BB +:041A760000000073F9 +:041A77006C20752545 +:041A780073656E69BB +:041A79000000000069 +:041A7A0020202020E8 +:041A7B0000006425DE +:041A7C0020202020E6 +:041A7D0000007525CB +:041A7E00252E752577 +:041A7F002075322E6E +:041A8000000073757A +:041A81006D2064254B +:041A8200000000560A +:041A83006420642552 +:041A8400000000421C +:041A85006C206F4E14 +:041A8600006B6E691A +:041A8700706D653CDD +:041A8800003E79742F +:041A8900203A752565 +:041A8A0000007325C0 +:041A8B0000013C8298 +:041A8C0000013C52C7 +:041A8D0000013C28F0 +:041A8E0000013C42D5 +:041A8F0000013D40D5 +:041A900000013D40D4 +:041A910000013E080A +:041A920000013E2EE3 +:041A930000013E3AD6 +:041A940000013E709F +:041A950000013E4AC4 +:041A960065646956C4 +:041A97006E69206FE5 +:041A98006F727020D9 +:041A99003E20206368 +:041A9A000000000048 +:041A9B00706D6153B6 +:041A9C00676E696C9C +:041A9D0074706F20D2 +:041A9E003E20202E98 +:041A9F000000000043 +:041AA000636E7953A5 +:041AA10074706F20CE +:041AA2002020202EB2 +:041AA3003E202020A1 +:041AA400000000003E +:041AA5007074754F95 +:041AA6006F207475C4 +:041AA700202E747009 +:041AA8003E2020209C +:041AA9000000000039 +:041AAA006E616353B3 +:041AAB00656E696C8F +:041AAC0074706F20C3 +:041AAD003E20202E89 +:041AAE000000000034 +:041AAF0074736F508D +:041AB0006F72702DB4 +:041AB10020202E6360 +:041AB2003E20202092 +:041AB300000000002F +:041AB400706D6F439F +:041AB500626974618D +:041AB60074696C697A +:041AB7003E20207934 +:041AB800000000002A +:041AB90069647541A6 +:041ABA00706F206FBA +:041ABB006E6F69746D +:041ABC003E20207335 +:041ABD000000000025 +:041ABE007474655384 +:041ABF0073676E6972 +:041AC00074706F20AF +:041AC1003E20202083 +:041AC2000000000020 +:041AC300616F4C3CC7 +:041AC40072702064B8 +:041AC5006C69666F73 +:041AC600003E206559 +:041AC7007661533CB5 +:041AC80072702065B3 +:041AC9006C69666F6F +:041ACA00003E206555 +:041ACB007365523CB1 +:041ACC0073207465AA +:041ACD00697474655F +:041ACE003E73676E8E :041ACF000000000013 -:041AD0006164705588 -:041AD10063206574B5 -:041AD20065636E6179 -:041AD30064656C6C6E +:041AD0006B6E694C84 +:041AD1006F727020A0 +:041AD200693E2D66D6 +:041AD3007475706E48 :041AD400000000000E -:041AD50073616C4687 -:041AD60065722068AD -:041AD70065206461C1 -:041AD8000000727226 -:041AD90073616C4683 -:041ADA0072652068A9 -:041ADB0020657361AE -:041ADC0000727265BD -:041ADD0073616C467F -:041ADE007277206893 -:041ADF0020657469A1 -:041AE00000727265B9 -:041AE10073616C467B -:041AE200657620689D -:041AE300206669729E -:041AE4006C69616662 -:041AE50000000000FD -:041AE60061766E496E -:041AE7002064696CA2 -:041AE80067616D695C -:041AE9000000006594 -:041AEA004353534FC0 -:041AEB0000000000F7 -:041AEC00696C61566A -:041AED006974616453 -:041AEE006420676E9B -:041AEF0000617461BD -:041AF00062207525D6 -:041AF100736574792C -:041AF20000000000F0 -:041AF300252E752502 -:041AF4002575322EF4 -:041AF50000732573E2 -:041AF6006164705562 -:041AF700676E697439 -:041AF800005746202D -:041AF90061656C7047 -:041AFA007720657379 -:041AFB002E7469617B -:041AFC0000002E2E8A -:041AFD00697265564F -:041AFE006E6979662E -:041AFF006C6620678A -:041B000000687361A5 -:041B010061656C703E -:041B02007220657375 -:041B03006174736531 -:041B040000007472F7 -:041B0500727465523F -:041B0600676E697924 -:041B07006470752071 -:041B0800006574619F -:041B0900616470554E -:041B0A00203F65749F -:041B0B002C593D31E3 -:041B0C004E3D3220F8 -:041B0D0000000000D4 -:041B0E006D72694645 -:041B0F006572617723 -:041B10006470752068 -:041B11006465746132 -:041B120000000000CF -:041B130000013DD4BC -:041B140000013DA4EB -:041B150000013D7E10 -:041B160000013D96F7 -:041B170000013E8605 -:041B180000013E8604 -:041B190000013F4840 -:041B1A0000013F6E19 -:041B1B0000013F7A0C -:041B1C0000013FB6CF -:041B1D0000013F8AFA -:041B1E0000013E9AEA -:041B1F0000013E9AE9 -:041B200000013EDEA4 -:041B210000013F1868 -:041B220000013F1867 -:041B23007020752594 -:041B24006C6578690B -:041B25000000007349 -:041B26006C20752595 -:041B270073656E690B -:041B280000000000B9 -:041B290064206425AB -:041B2A000000004275 -:041B2B00252E7525C9 -:041B2C002075322EC0 -:041B2D0000007375CC -:041B2E006C206F4E6A -:041B2F00006B6E6970 -:041B300000018880A8 -:041B310000000002AE -:041B320000013C7EF4 -:041B33000001742C0D -:041B34000001743800 -:041B350000017440F7 -:041B360000018882A0 -:041B37000000000E9C -:041B380000013CC2AA -:041B3900706D653C2A -:041B3A00003E79747C -:041B3B00203A7525B2 -:041B3C00000073250D -:041B3D002020202024 -:041B3E00000064251A -:041B3F0025257525BE -:041B400000000000A1 -:041B41006564695618 -:041B42006E69206F39 -:041B43006F7270202D -:041B44003E202063BC -:041B4500000000009C -:041B4600706D61530A -:041B4700676E696CF0 -:041B480074706F2026 -:041B49003E20202EEC -:041B4A000000000097 -:041B4B00636E7953F9 -:041B4C0074706F2022 -:041B4D002020202E06 -:041B4E003E202020F5 -:041B4F000000000092 -:041B50007074754FE9 -:041B51006F20747518 -:041B5200202E74705D -:041B53003E202020F0 -:041B5400000000008D -:041B55006E61635307 -:041B5600656E696CE3 -:041B570074706F2017 -:041B58003E20202EDD -:041B59000000000088 -:041B5A0074736F50E1 -:041B5B006F72702D08 -:041B5C0020202E63B4 -:041B5D003E202020E6 -:041B5E000000000083 -:041B5F00706D6F43F3 -:041B600062697461E1 -:041B610074696C69CE -:041B62003E20207988 -:041B6300000000007E -:041B640069647541FA -:041B6500706F206F0E -:041B66006E6F6974C1 -:041B67003E20207389 -:041B68000000000079 -:041B690074746553D8 -:041B6A0073676E69C6 -:041B6B0074706F2003 -:041B6C003E202020D7 -:041B6D000000000074 -:041B6E00616F4C3C1B -:041B6F00727020640C -:041B70006C69666FC7 -:041B7100003E2065AD -:041B72007661533C09 -:041B73007270206507 -:041B74006C69666FC3 -:041B7500003E2065A9 -:041B76007365523C05 -:041B770073207465FE -:041B780069747465B3 -:041B79003E73676EE2 -:041B7A000000000067 -:041B7B006B6E694CD8 -:041B7C006F727020F4 -:041B7D00693E2D662A -:041B7E007475706E9C -:041B7F000000000062 -:041B80006B6E694CD3 -:041B8100706E6920F9 -:041B82003E2D74750B -:041B8300666F7270A7 -:041B8400000000005D -:041B850074696E49C8 -:041B8600206C616905 -:041B870075706E699E -:041B880000000074E5 -:041B89006F747541BF -:041B8A0065746564B5 -:041B8B0069207463F6 -:041B8C007475706E8E +:041AD5006B6E694C7F +:041AD600706E6920A5 +:041AD7003E2D7475B7 +:041AD800666F727053 +:041AD9000000000009 +:041ADA0074696E4974 +:041ADB00206C6169B1 +:041ADC0075706E694A +:041ADD000000007491 +:041ADE006F7475416B +:041ADF006574656461 +:041AE00069207463A2 +:041AE1007475706E3A +:041AE2000000000000 +:041AE3006F74754166 +:041AE4003156412016 +:041AE500472F59200E +:041AE6000000007389 +:041AE7006F74754162 +:041AE8003256412011 +:041AE900472F59200A +:041AEA000000007385 +:041AEB006F7475415E +:041AEC00335641200C +:041AED00472F592006 +:041AEE000000007381 +:041AEF002044434C00 +:041AF00074204C42D0 +:041AF1006F656D6947 +:041AF2000000747507 +:041AF3002044534FE9 +:041AF40062616E6558 +:041AF5000000656C1C +:041AF6002044534FE6 +:041AF700746174732F +:041AF800642073757E +:041AF9002E7073696F +:041AFA0000000000E8 +:041AFB00706D493C85 +:041AFC002074726F71 +:041AFD007474657325 +:041AFE003E20202E38 +:041AFF0000000000E3 +:041B00002E77463CBA +:041B01006470752077 +:041B02002065746185 +:041B03003E20202040 +:041B040000000000DD +:041B05006E776F4444 +:041B06006D61732D6D +:041B07006E696C7027 +:041B08000000006772 +:041B0900706177533D +:041B0A0066656C2080 +:041B0B0069722F7458 +:041B0C000074686792 +:041B0D002D65725080 +:041B0E0020434441EB +:041B0F006E69616733 +:041B100000000000D1 +:041B11006C6C75463D +:041B120020585420E3 +:041B1300757465730D +:041B1400000000705D +:041B150020335641E2 +:041B160065746E691B +:041B170063616C7228 +:041B1800786966651D +:041B190000000000C8 +:041B1A0020335641DD +:041B1B002065737559 +:041B1C002E746C6156 +:041B1D0042475220C9 +:041B1E0000000000C3 +:041B1F006166654452 +:041B200020746C754C +:041B2100494D44489E +:041B220043495620BD +:041B230000000000BE +:041B2400616E61503D +:041B2500696E6F7303 +:041B2600616820636F +:041B270000006B63EC +:041B280069726F4827 +:041B2900746E6F7AED +:041B2A006D206C615D +:041B2B00006B736177 +:041B2C007472655614 +:041B2D006C6163691B +:041B2E0073616D2052 +:041B2F000000006B47 +:041B30006B73614D25 +:041B31006C6F632052 +:041B32000000726FCE +:041B33006B73614D22 +:041B34006972622050 +:041B35006E746867FB +:041B36000073736560 +:041B37006576655218 +:041B3800206573723F +:041B39000046504CC6 +:041B3A005949443C85 +:041B3B0074616C2045 +:041B3C006574202E7E +:041B3D00003E74737F +:041B3E006E6163531E +:041B3F00656E696CFA +:041B4000000000732E +:041B4100202E6C5393 +:041B420065727473E1 +:041B43006874676EED +:041B4400000000009D +:041B4500202E6C538F +:041B460072627968E6 +:041B4700732064693A +:041B4800002E727485 +:041B4900202E6C538B +:041B4A006874656DE9 +:041B4B000000646FC3 +:041B4C00202E6C5388 +:041B4D0065746C61EE +:041B4E0074616E72DE +:041B4F0000676E6954 +:041B5000202E6C5384 +:041B510067696C61F3 +:041B52006E656D6EE1 +:041B5300000000741A +:041B5400202E6C5380 +:041B550020746C612B +:041B560065746E69DB +:041B57006C617672D5 +:041B58000000000089 +:041B5900202E6C537B +:041B5A0065707974C5 +:041B5B000000000086 +:041B5C004320203CC6 +:041B5D006F747375B9 +:041B5E006C53206D37 +:041B5F003E20202ED6 +:041B60000000000081 +:041B6100703034327A +:041B62003838322FAE +:041B6300727020700C +:041B64000000636FAB +:041B6500703438336D +:041B66003030342FB8 +:041B67007270207008 +:041B68000000636FA7 +:041B69006930383473 +:041B6A003637352FA6 +:041B6B00727020690B +:041B6C000000636FA3 +:041B6D007030383468 +:041B6E003637352FA2 +:041B6F007270207000 +:041B70000000636F9F +:041B71006930363968 +:041B72003830312FA7 +:041B73007020693045 +:041B740000636F7229 +:041B7500656E694CE4 +:041B76006D20783234 +:041B77000065646F32 +:041B7800656E694CE1 +:041B79006D20783330 +:041B7A000065646F2F +:041B7B00656E694CDE +:041B7C006D2078342C +:041B7D000065646F2C +:041B7E00656E694CDB +:041B7F006D20783528 +:041B80000065646F29 +:041B8100656E694CD8 +:041B8200662078352C +:041B8300616D726FAF +:041B840000000074E9 +:041B85007836353247 +:041B860020303432A5 +:041B870065707361B1 +:041B88000000746382 +:041B89006D2058541F +:041B8A000065646F1F +:041B8B00494D444834 +:041B8C004354492055 :041B8D000000000054 -:041B8E006F747541BA -:041B8F00315641206A -:041B9000472F592062 -:041B910000000073DD -:041B92006F747541B6 -:041B93003256412065 -:041B9400472F59205E -:041B950000000073D9 -:041B96006F747541B2 -:041B97003356412060 -:041B9800472F59205A -:041B990000000073D5 -:041B9A002044434C54 -:041B9B0074204C4224 -:041B9C006F656D699B -:041B9D00000074755B -:041B9E002044534F3D -:041B9F0062616E65AC -:041BA0000000656C70 -:041BA1002044534F3A -:041BA2007461747383 -:041BA30064207375D2 -:041BA4002E707369C3 -:041BA500000000003C -:041BA600706D493CD9 -:041BA7002074726FC5 -:041BA8007474657379 -:041BA9003E20202E8C +:041B8E006C616E41D7 +:041B8F007320676FE9 +:041B900020636E79E7 +:041B91000046504C6E +:041B92006C616E41D3 +:041B93005320676F05 +:041B94004C2043544A +:041B950000004650B6 +:041B96006C616E41CF +:041B97007320676FE1 +:041B980020636E79DF +:041B99000068745616 +:041B9A006E797348A5 +:041B9B006F742063E0 +:041B9C006172656CA1 +:041B9D000065636E0E +:041B9E006E79735693 +:041B9F0068742063E3 +:041BA000687365728F +:041BA10000646C6F01 +:041BA2004C502D482E +:041BA3007250204C10 +:041BA4006F432D65F9 +:041BA50000747361F4 +:041BA6004C502D482A +:041BA7006F50204C0F +:041BA800432D7473E2 +:041BA9007473616F81 :041BAA000000000037 -:041BAB002E77463C0F -:041BAC0064707520CC -:041BAD0020657461DA -:041BAE003E20202095 -:041BAF000000000032 -:041BB0006E776F4499 -:041BB1006D61732DC2 -:041BB2006E696C707C -:041BB30000000067C7 -:041BB4007061775392 -:041BB50066656C20D5 -:041BB60069722F74AD -:041BB70000746867E7 -:041BB8002D657250D5 -:041BB9002043444140 -:041BBA006E69616788 -:041BBB000000000026 -:041BBC006C6C754692 -:041BBD002058542038 -:041BBE007574657362 -:041BBF0000000070B2 -:041BC0002033564137 -:041BC10065746E6970 -:041BC20063616C727D -:041BC3007869666572 -:041BC400000000001D -:041BC5002033564132 -:041BC60020657375AE -:041BC7002E746C61AB -:041BC800424752201E -:041BC9000000000018 -:041BCA0061666544A7 -:041BCB0020746C75A1 -:041BCC00494D4448F3 -:041BCD004349562012 -:041BCE000000000013 -:041BCF00616E615092 -:041BD000696E6F7358 -:041BD10061682063C4 -:041BD20000006B6341 -:041BD30069726F487C -:041BD400746E6F7A42 -:041BD5006D206C61B2 -:041BD600006B7361CC -:041BD7007472655669 -:041BD8006C61636970 -:041BD90073616D20A7 -:041BDA000000006B9C -:041BDB006B73614D7A -:041BDC006C6F6320A7 -:041BDD000000726F23 -:041BDE006B73614D77 -:041BDF0069726220A5 -:041BE0006E74686750 -:041BE10000737365B5 -:041BE200657665526D -:041BE3002065737294 -:041BE4000046504C1B -:041BE5005949443CDA -:041BE60074616C209A -:041BE7006574202ED3 -:041BE800003E7473D4 -:041BE9006E61635373 -:041BEA00656E696C4F -:041BEB000000007383 -:041BEC00202E6C53E8 -:041BED006572747336 -:041BEE006874676E42 -:041BEF0000000000F2 -:041BF000202E6C53E4 -:041BF100726279683B -:041BF200732064698F -:041BF300002E7274DA -:041BF400202E6C53E0 -:041BF5006874656D3E -:041BF6000000646F18 -:041BF700202E6C53DD -:041BF80065746C6143 -:041BF90074616E7233 -:041BFA0000676E69A9 -:041BFB00202E6C53D9 -:041BFC0067696C6148 -:041BFD006E656D6E36 -:041BFE00000000746F -:041BFF00202E6C53D5 -:041C000020746C617F -:041C010065746E692F -:041C02006C61767229 -:041C030000000000DD -:041C0400202E6C53CF -:041C05006570797419 -:041C060000000000DA -:041C07004320203C1A -:041C08006F7473750D -:041C09006C53206D8B -:041C0A003E20202E2A -:041C0B0000000000D5 -:041C0C0070303432CE -:041C0D003838322F02 -:041C0E007270207060 -:041C0F000000636FFF -:041C100070343833C1 -:041C11003030342F0C -:041C1200727020705C -:041C13000000636FFB -:041C140069303834C7 -:041C15003637352FFA -:041C1600727020695F -:041C17000000636FF7 -:041C180070303834BC -:041C19003637352FF6 -:041C1A007270207054 -:041C1B000000636FF3 -:041C1C0069303639BC -:041C1D003830312FFB -:041C1E007020693099 -:041C1F0000636F727D -:041C2000656E694C38 -:041C21006D20783288 -:041C22000065646F86 -:041C2300656E694C35 -:041C24006D20783384 -:041C25000065646F83 -:041C2600656E694C32 -:041C27006D20783480 -:041C28000065646F80 -:041C2900656E694C2F -:041C2A006D2078357C -:041C2B000065646F7D -:041C2C00656E694C2C -:041C2D006620783580 -:041C2E00616D726F03 -:041C2F00000000743D -:041C3000783635329B -:041C310020303432F9 -:041C32006570736105 -:041C330000007463D6 -:041C34006D20585473 -:041C35000065646F73 -:041C3600494D444888 -:041C370043544920A9 -:041C380000000000A8 -:041C39006C616E412B -:041C3A007320676F3D -:041C3B0020636E793B -:041C3C000046504CC2 -:041C3D006C616E4127 -:041C3E005320676F59 -:041C3F004C2043549E -:041C4000000046500A -:041C41006C616E4123 -:041C42007320676F35 -:041C430020636E7933 -:041C4400006874566A -:041C45006E797348F9 -:041C46006F74206334 -:041C47006172656CF5 -:041C48000065636E62 -:041C49006E797356E7 -:041C4A006874206337 -:041C4B0068736572E3 -:041C4C0000646C6F55 -:041C4D004C502D4882 -:041C4E007250204C64 -:041C4F006F432D654D -:041C50000074736148 -:041C51004C502D487E -:041C52006F50204C63 -:041C5300432D747336 -:041C54007473616FD5 -:041C5500000000008B -:041C5600703038347E -:041C5700206E692072 -:041C5800706D6173D7 -:041C59000072656C44 -:041C5A007030303482 -:041C5B00206E69206E -:041C5C00706D6173D3 -:041C5D000072656C40 -:041C5E006F6C6C41FA -:041C5F005654207740 -:041C60005048205078 -:041C610078324C4C3D +:041BAB00703038342A +:041BAC00206E69201E +:041BAD00706D617383 +:041BAE000072656CF0 +:041BAF00703030342E +:041BB000206E69201A +:041BB100706D61737F +:041BB2000072656CEC +:041BB3006F6C6C41A6 +:041BB40056542077EC +:041BB5005048205024 +:041BB60078324C4CE9 +:041BB700000000002A +:041BB8006F6C6C41A1 +:041BB90070752077AC +:041BBA00706D617376 +:041BBB007832656CAB +:041BBC000000000025 +:041BBD007664413CCD +:041BBE006974202EF8 +:041BBF00676E696D77 +:041BC0003E20202083 +:041BC1000000000020 +:041BC2006564695697 +:041BC300504C206FF3 +:041BC40000000046D7 +:041BC50050625059C1 +:041BC6006E692072B2 +:041BC7006C6F4320DC +:041BC80000617053F5 +:041BC90072502F52D5 +:041BCA0066666F20BC +:041BCB0000746573CA +:041BCC0020592F4726 +:041BCD007366666F66 +:041BCE00000074653A +:041BCF0062502F42EF +:041BD00066666F20B6 +:041BD10000746573C4 +:041BD20072502F52CC +:041BD30069616720BD +:041BD4000000006E9F +:041BD50020592F471D +:041BD6006E6961676C +:041BD700000000000A +:041BD80062502F42E6 +:041BD90069616720B7 +:041BDA000000006E99 +:041BDB002D657250B2 +:041BDC00204344411D +:041BDD006E69614785 +:041BDE000000000003 +:041BDF006D616C4385 +:041BE0004C412F70D5 +:041BE100666F2043C8 +:041BE200746573664D +:041BE30000000000FE +:041BE40020434C410D +:041BE50069662056B7 +:041BE6007265746C44 +:041BE70000000000FA +:041BE80020434C4109 +:041BE90069662048C1 +:041BEA007265746C40 +:041BEB0000000000F6 +:041BEC002D6275539E +:041BED00656E696C4C +:041BEE00732031200F +:041BEF00000072740C +:041BF0002D6275539A +:041BF100656E696C48 +:041BF200732032200A +:041BF3000000727408 +:041BF4002D62755396 +:041BF500656E696C44 +:041BF6007320332005 +:041BF7000000727404 +:041BF8002D62755392 +:041BF900656E696C40 +:041BFA007320342000 +:041BFB000000727400 +:041BFC002D6275538E +:041BFD00656E696C3C +:041BFE0073203520FB +:041BFF0000007274FC +:041C00002D62755389 +:041C0100756C6F632C +:041C020031206E6DB2 +:041C03007274732064 +:041C040000000000DC +:041C05002D62755384 +:041C0600756C6F6327 +:041C070032206E6DAC +:041C0800727473205F +:041C090000000000D7 +:041C0A002D6275537F +:041C0B00756C6F6322 +:041C0C0033206E6DA6 +:041C0D00727473205A +:041C0E0000000000D2 +:041C0F002D6275537A +:041C1000756C6F631D +:041C110034206E6DA0 +:041C12007274732055 +:041C130000000000CD +:041C14002D62755375 +:041C1500756C6F6318 +:041C160035206E6D9A +:041C17007274732050 +:041C180000000000C8 +:041C19002D62755370 +:041C1A00756C6F6313 +:041C1B0036206E6D94 +:041C1C00727473204B +:041C1D0000000000C3 +:041C1E0073202E48B9 +:041C1F006C706D6117 +:041C20007461726514 +:041C2100000000655A +:041C220073202E48B5 +:041C23007461722E48 +:041C24006461206572 +:041C25000000006A51 +:041C260073202E48B1 +:041C27006C636E7903 +:041C280000006E65E5 +:041C290062202E48BF +:041C2A00706B636117 +:041C2B006863726F09 +:041C2C0000000000B4 +:041C2D0061202E48BC +:041C2E0076697463FC +:041C2F00000000654C +:041C300073202E5699 +:041C31006C636E79F9 +:041C320000006E65DB +:041C330062202E56A7 +:041C3400706B63610D +:041C35006863726FFF +:041C360000000000AA +:041C370061202E56A4 +:041C380076697463F2 +:041C39000000006542 +:041C3A00706D615315 +:041C3B00676E696CFB +:041C3C00616870204B +:041C3D0000006573CB +:041C3E000066664F87 +:041C3F0000315641D9 +:041C400000325641D7 +:041C410063616C422D +:041C42000000006B33 +:041C430065756C4215 +:041C4400000000009C +:041C45006565724718 +:041C46000000006E2C +:041C47006E6179430E +:041C48000000000098 +:041C4900006465527C +:041C4A006567614D1C +:041C4B000061746E52 +:041C4C006C6C6559FE +:041C4D000000776FAD +:041C4E0074696857F6 +:041C4F00000000652C +:041C500072727543F4 +:041C510020746E6528 +:041C520075706E69D2 +:041C53000000007419 +:041C5400206C6C4153 +:041C550075706E69CF +:041C560000007374A3 +:041C5700427347523B +:041C58000000000088 +:041C5900506250592C +:041C5A000000007214 +:041C5B0000007332E0 +:041C5C0000007335DC +:041C5D0000733031AF +:041C5E0000007333DC +:041C5F0000733033AB +:041C60002D706F5420 +:041C61007466656CD4 :041C6200000000007E -:041C63006F6C6C41F5 -:041C64007075207700 -:041C6500706D6173CA -:041C66007832656CFF -:041C67000000000079 -:041C68007664413C21 -:041C69006974202E4C -:041C6A00676E696DCB -:041C6B003E202020D7 -:041C6C000000000074 -:041C6D0065646956EB -:041C6E00504C206F47 -:041C6F00000000462B -:041C70005062505915 -:041C71006E69207206 -:041C72006C6F432030 -:041C73000061705349 -:041C740072502F5229 -:041C750066666F2010 -:041C7600007465731E -:041C770020592F477A -:041C78007366666FBA -:041C7900000074658E -:041C7A0062502F4243 -:041C7B0066666F200A -:041C7C000074657318 -:041C7D0072502F5220 -:041C7E006961672011 -:041C7F000000006EF3 -:041C800020592F4771 -:041C81006E696167C0 -:041C8200000000005E -:041C830062502F423A -:041C8400696167200B -:041C85000000006EED -:041C86002D65725006 -:041C87002043444171 -:041C88006E696147D9 -:041C89000000000057 -:041C8A006D616C43D9 -:041C8B004C412F7029 -:041C8C00666F20431C -:041C8D0074657366A1 -:041C8E000000000052 -:041C8F0020434C4161 -:041C9000696620560B -:041C91007265746C98 -:041C9200000000004E -:041C930020434C415D -:041C94006966204815 -:041C95007265746C94 -:041C9600000000004A -:041C97002D627553F2 -:041C9800656E696CA0 -:041C99007320312063 -:041C9A000000727460 -:041C9B002D627553EE -:041C9C00656E696C9C -:041C9D00732032205E -:041C9E00000072745C -:041C9F002D627553EA -:041CA000656E696C98 -:041CA1007320332059 -:041CA2000000727458 -:041CA3002D627553E6 -:041CA400656E696C94 -:041CA5007320342054 -:041CA6000000727454 -:041CA7002D627553E2 -:041CA800656E696C90 -:041CA900732035204F -:041CAA000000727450 -:041CAB002D627553DE -:041CAC00756C6F6381 -:041CAD0031206E6D07 -:041CAE0072747320B9 -:041CAF000000000031 -:041CB0002D627553D9 -:041CB100756C6F637C -:041CB20032206E6D01 -:041CB30072747320B4 -:041CB400000000002C -:041CB5002D627553D4 -:041CB600756C6F6377 -:041CB70033206E6DFB -:041CB80072747320AF -:041CB9000000000027 -:041CBA002D627553CF -:041CBB00756C6F6372 -:041CBC0034206E6DF5 -:041CBD0072747320AA -:041CBE000000000022 -:041CBF002D627553CA -:041CC000756C6F636D -:041CC10035206E6DEF -:041CC20072747320A5 -:041CC300000000001D -:041CC4002D627553C5 -:041CC500756C6F6368 -:041CC60036206E6DE9 -:041CC70072747320A0 -:041CC8000000000018 -:041CC90073202E480E -:041CCA006C706D616C -:041CCB007461726569 -:041CCC0000000065AF -:041CCD0073202E480A -:041CCE007461722E9D -:041CCF0064612065C7 -:041CD0000000006AA6 -:041CD10073202E4806 -:041CD2006C636E7958 -:041CD30000006E653A -:041CD40062202E4814 -:041CD500706B63616C -:041CD6006863726F5E -:041CD7000000000009 -:041CD80061202E4811 -:041CD9007669746351 -:041CDA0000000065A1 -:041CDB0073202E56EE -:041CDC006C636E794E -:041CDD0000006E6530 -:041CDE0062202E56FC -:041CDF00706B636162 -:041CE0006863726F54 -:041CE10000000000FF -:041CE20061202E56F9 -:041CE3007669746347 -:041CE4000000006597 -:041CE500706D61536A -:041CE600676E696C50 -:041CE70061687020A0 -:041CE8000000657320 -:041CE9000066664FDC -:041CEA00003156412E -:041CEB00003256412C -:041CEC0063616C4282 -:041CED000000006B88 -:041CEE0065756C426A -:041CEF0000000000F1 -:041CF000656572476D -:041CF1000000006E81 -:041CF2006E61794363 -:041CF30000000000ED -:041CF40000646552D1 -:041CF5006567614D71 -:041CF6000061746EA7 -:041CF7006C6C655953 -:041CF8000000776F02 -:041CF900746968574B -:041CFA000000006581 -:041CFB007272754349 -:041CFC0020746E657D -:041CFD0075706E6927 -:041CFE00000000746E -:041CFF00206C6C41A8 -:041D000075706E6923 -:041D010000007374F7 -:041D0200427347528F -:041D030000000000DC -:041D04005062505980 -:041D05000000007268 -:041D06000000733234 -:041D07000000733530 -:041D08000073303103 -:041D09000000733330 -:041D0A0000733033FF -:041D0B002D706F5474 -:041D0C007466656C28 -:041D0D0000000000D2 -:041D0E00746E654347 -:041D0F0000007265F9 -:041D100074746F4236 -:041D1100722D6D6F53 -:041D12007468676921 -:041D130000000000CC -:041D14002066664F90 -:041D150020736628A9 -:041D16003639203DFD -:041D1700297A486B72 -:041D180000000000C7 -:041D190020207832DC -:041D1A0020736628A4 -:041D1B003834203DFB -:041D1C00297A486B6D -:041D1D0000000000C2 -:041D1E0000706F548E -:041D1F0074746F4227 -:041D200000006D6FE3 -:041D210069726F482C -:041D2200746E6F7AF2 -:041D230000006C61EF -:041D2400747265561A -:041D25006C61636921 -:041D260000000000B9 -:041D270069726F4826 -:041D28002B202E7AC4 -:041D29007265562069 -:041D2A0000002E7413 -:041D2B007473754315 -:041D2C0000006D6FD7 -:041D2D00746C754D10 -:041D2E00696C706903 -:041D2F00697461630F -:041D300000006E6FD2 -:041D31007462755310 -:041D32007463617203 -:041D3300006E6F6966 -:041D34006F74754112 -:041D350000000000AA -:041D360000006E4FEC -:041D3700494D444886 -:041D380047522820C6 -:041D3900000029423B -:041D3A00494D444883 -:041D3B0043592820C0 -:041D3C003472436258 -:041D3D000029343411 -:041D3E0000495644BE -:041D3F0000373A38F7 -:041D40007373615008 -:041D410075726874DB -:041D4200000000009D -:041D4300656E694C14 -:041D440028207832A9 -:041D450029626F623E -:041D46000000000099 -:041D4700656E694C10 -:041D480000007832ED -:041D4900656E694C0E -:041D4A003220783299 -:041D4B003378303485 -:041D4C00000030362D -:041D4D00656E694C0A -:041D4E003220783394 -:041D4F003378303481 -:041D50000000303629 -:041D5100656E694C06 -:041D5200472078337B -:041D530072656E65E2 -:041D540000006369BF -:041D5500656E694C02 -:041D56002820783396 -:041D57006563616CF3 -:041D580000002964FA -:041D5900656E694CFE -:041D5A002820783491 -:041D5B0029626F6228 -:041D5C000000000083 -:041D5D00656E694CFA -:041D5E0000007833D6 -:041D5F00656E694CF8 -:041D600000007834D3 -:041D6100656E694CF6 -:041D620000007835D0 -:041D630030323931B0 -:041D6400383031786A -:041D6500000000304A -:041D660030303631B2 -:041D6700303231786D -:041D68000000003047 -:041D690030323931AA -:041D6A00303231786A -:041D6B000000003044 -:041D6C00656E6547F4 -:041D6D002063697214 -:041D6E0000333A34D0 -:041D6F007832313560 -:041D700020303432B9 -:041D71006974706FB2 -:041D720000002E6DD2 -:041D73007834383355 -:041D740020303432B5 -:041D75006974706FAE -:041D760000002E6DCE -:041D7700783032335B -:041D780020303432B1 -:041D79006974706FAA -:041D7A0000002E6DCA -:041D7B00783635324F -:041D7C0020303432AD -:041D7D006974706FA6 -:041D7E0000002E6DC6 -:041D7F00656E6547E1 -:041D80002063697201 -:041D8100393A363184 +:041C6300746E6543F3 +:041C640000007265A5 +:041C650074746F42E2 +:041C6600722D6D6FFF +:041C670074686769CD +:041C68000000000078 +:041C69002066664F3C +:041C6A002073662855 +:041C6B003639203DA9 +:041C6C00297A486B1E +:041C6D000000000073 +:041C6E002020783288 +:041C6F002073662850 +:041C70003834203DA7 +:041C7100297A486B19 +:041C7200000000006E +:041C730000706F543A +:041C740074746F42D3 +:041C750000006D6F8F +:041C760069726F48D8 +:041C7700746E6F7A9E +:041C780000006C619B +:041C790074726556C6 +:041C7A006C616369CD +:041C7B000000000065 +:041C7C0069726F48D2 +:041C7D002B202E7A70 +:041C7E007265562015 +:041C7F0000002E74BF +:041C800074737543C1 +:041C810000006D6F83 +:041C8200746C754DBC +:041C8300696C7069AF +:041C840069746163BB +:041C850000006E6F7E +:041C860074627553BC +:041C870074636172AF +:041C8800006E6F6912 +:041C89006F747541BE +:041C8A000000000056 +:041C8B0000006E4F98 +:041C8C00494D444832 +:041C8D004752282072 +:041C8E0000002942E7 +:041C8F00494D44482F +:041C9000435928206C +:041C91003472436204 +:041C920000293434BD +:041C9300004956446A +:041C940000373A38A3 +:041C950073736150B4 +:041C96007572687487 +:041C97000000000049 +:041C9800656E694CC0 +:041C99002820783255 +:041C9A0029626F62EA +:041C9B000000000045 +:041C9C00656E694CBC +:041C9D000000783299 +:041C9E00656E694CBA +:041C9F003420783342 +:041CA000327830382E +:041CA10000003237D6 +:041CA200656E694CB6 +:041CA3003220783241 +:041CA400337830342D +:041CA50000003036D5 +:041CA600656E694CB2 +:041CA700322078333C +:041CA8003378303429 +:041CA90000003036D1 +:041CAA00656E694CAE +:041CAB004720783323 +:041CAC0072656E658A +:041CAD000000636967 +:041CAE00656E694CAA +:041CAF00282078333E +:041CB0006563616C9B +:041CB10000002964A2 +:041CB200656E694CA6 +:041CB3002820783439 +:041CB40029626F62D0 +:041CB500000000002B +:041CB600656E694CA2 +:041CB700000078337E +:041CB800656E694CA0 +:041CB900000078347B +:041CBA00656E694C9E +:041CBB000000783578 +:041CBC003032393158 +:041CBD003830317812 +:041CBE0000000030F2 +:041CBF00303036315A +:041CC0003032317815 +:041CC10000000030EF +:041CC2003032393152 +:041CC3003032317812 +:041CC40000000030EC +:041CC500656E65479C +:041CC60020636972BC +:041CC70000333A3478 +:041CC8007832313508 +:041CC9002030343261 +:041CCA006974706F5A +:041CCB0000002E6D7A +:041CCC0078343833FD +:041CCD00203034325D +:041CCE006974706F56 +:041CCF0000002E6D76 +:041CD0007830323303 +:041CD1002030343259 +:041CD2006974706F52 +:041CD30000002E6D72 +:041CD40078363532F7 +:041CD5002030343255 +:041CD6006974706F4E +:041CD70000002E6D6E +:041CD800656E654789 +:041CD90020636972A9 +:041CDA00393A36312C +:041CDB000000000005 +:041CDC004D382E341D +:041CDD0028207A48F9 +:041CDE0056544448CC +:041CDF002943502F16 +:041CE0000000000000 +:041CE1004D352E301F +:041CE20028207A48F4 +:041CE30056544453BC +:041CE40000000029D3 +:041CE5004D372E3118 +:041CE60028207A48F0 +:041CE70056544445C6 +:041CE80000000029CF +:041CE9004D352E3215 +:041CEA0028207A48EC +:041CEB002978616D86 +:041CEC0000000000F4 +:041CED00484D3031FD +:041CEE006D28207AC3 +:041CEF0000296465FF +:041CF000484D3333F5 +:041CF1006D28207AC0 +:041CF20000296E69EE +:041CF30020414756EF +:041CF40078303436DA +:041CF5004030303417 +:041CF6000000303783 +:041CF70020414756EB +:041CF80078303237D7 +:041CF9004030303413 +:041CFA00000030377F +:041CFB0020565444D7 +:041CFC0070303834D8 +:041CFD0000000000E3 +:041CFE0041534556B3 +:041CFF003034362027 +:041D000030383478CB +:041D01000030364038 +:041D020020505350CA +:041D030078303834C8 +:041D04000032373240 +:041D05002E63655292 +:041D06003130362022 +:041D070000000000D8 +:041D08002E6365528F +:041D09003930372016 +:041D0A0000000000D5 +:041D0B00484D3539D1 +:041D0C004828207AC9 +:041D0D0020565444C4 +:041D0E000029494916 +:041D0F00484D3533D3 +:041D10004828207AC5 +:041D110020565444C0 +:041D1200000029495B +:041D1300484D3631D0 +:041D14004528207AC4 +:041D150029565444B3 +:041D160000000000C9 +:041D17007A484D3980 +:041D180044532820E8 +:041D190000295654F3 +:041D1A000001867CC2 +:041D1B0000000002C2 +:041D1C0000013B3057 +:041D1D0000017180D0 +:041D1E000001718CC3 +:041D1F0000017194BA +:041D20000001867EBA +:041D21000000000EB0 +:041D220000013B7011 +:041D2300000187EA4A +:041D24000000002596 +:041D250000013B1668 +:041D26006573753C30 +:041D270000003E6416 +:041D28004452535579 +:041D290000415441E0 +:041D2A0065206425A7 +:041D2B006972746EF7 +:041D2C0000007365DB +:041D2D006F706D69FD +:041D2E006465747202 +:041D2F0000000000B0 +:041D30006F706D491A +:041D3100203F747269 +:041D32002C593D31BA +:041D33004E3D3220CF +:041D340000000000AB +:041D350064616F4C2A +:041D360020676E694B +:041D370074746573E8 +:041D380073676E69F6 +:041D390000000000A6 +:041D3A00000174141C +:041D3B000000200084 +:041D3C0020002CE572 +:041D3D00E926F4FDA2 +:041D3E0038BC20008D +:041D3F0000000000A0 +:041D4000000174200A +:041D4100000020007E +:041D42002000323E0D +:041D4300F113FA049A +:041D44003B612000DF +:041D4500000000009A +:041D46007830323788 +:041D47000030303404 +:041D48007830343685 +:041D49000030303402 +:041D4A00000150DA6A +:041D4B00000150DA69 +:041D4C0000015046FC +:041D4D00000151D070 +:041D4E00000151DE61 +:041D4F00000150DA65 +:041D500030303631C8 +:041D51003034327880 +:041D5200C8000000C5 +:041D530007FE00F097 +:041D54000FCA20C0D2 +:041D550032100396AF +:041D56000080000207 +:041D570030383231BD +:041D58003034327879 +:041D5900A0000000E6 +:041D5A00061800F077 +:041D5B000FAA20C0EB +:041D5C0032100348F6 +:041D5D000004040278 +:041D5E00783036396A +:041D5F0000303432EA +:041D60007800000007 +:041D6100049200F0F8 +:041D62000F8020C00E +:041D63003210033601 +:041D64000000080271 +:041D6500783231356A +:041D660000303432E3 +:041D67004000000038 +:041D680002AA00F0DB +:041D69000E4D20C03B +:041D6A0032100332FE +:041D6B00010810104B +:041D6C00783438335C +:041D6D0000303432DC +:041D6E003000000041 +:041D6F00020000F07E +:041D70000E3B20C046 +:041D71003210032504 +:041D7200021020201B +:041D7300783032335F +:041D740000303432D5 +:041D75002800000042 +:041D760001AA00F0CE +:041D77000E3120C049 +:041D78003210031F03 +:041D790004204040C2 +:041D7A007836353250 +:041D7B0000303432CE +:041D7C002000000043 +:041D7D00015500F01C +:041D7E000E2720C04C +:041D7F003210031902 +:041D80000840808017 +:041D81007030343258 :041D8200000000005D -:041D83004D382E3475 -:041D840028207A4851 -:041D85005654444824 -:041D86002943502F6E -:041D87000000000058 -:041D88004D352E3077 -:041D890028207A484C -:041D8A005654445314 -:041D8B00000000292B -:041D8C004D372E3170 -:041D8D0028207A4848 -:041D8E00565444451E -:041D8F000000002927 -:041D90004D352E326D -:041D910028207A4844 -:041D92002978616DDE -:041D9300000000004C -:041D9400484D303155 -:041D95006D28207A1B -:041D96000029646557 -:041D9700484D33334D -:041D98006D28207A18 -:041D990000296E6946 -:041D9A002041475647 -:041D9B007830343632 -:041D9C00403030346F -:041D9D0000003037DB -:041D9E002041475643 -:041D9F00783032372F -:041DA000403030346B -:041DA10000003037D7 -:041DA200205654442F -:041DA3007030383430 -:041DA400000000003B -:041DA500415345560B -:041DA600303436207F -:041DA7003038347824 -:041DA8000030364091 -:041DA9002E636552EE -:041DAA00313036207E -:041DAB000000000034 -:041DAC002E636552EB -:041DAD003930372072 -:041DAE000000000031 -:041DAF00484D35392D -:041DB0004828207A25 -:041DB1002056544420 -:041DB2000029494972 -:041DB300484D35332F -:041DB4004828207A21 -:041DB500205654441C -:041DB60000002949B7 -:041DB700484D36312C -:041DB8004528207A20 -:041DB900295654440F +:041D83005A080000FA +:041D8400035A00F00E +:041D85000F3920C032 +:041D86003210033ED6 +:041D87000000000E4A +:041D88003030363190 +:041D89003034327848 +:041D8A00C800004C41 +:041D8B0007FE00F05F +:041D8C0029CA270039 +:041D8D003210039677 +:041D8E0000800002CF +:041D8F003038323185 +:041D90003838327835 +:041D9100A0000000AE +:041D9200061801200E +:041D93000FAA27006C +:041D940032100348BE +:041D95000004040240 +:041D96007830363932 +:041D970000383832A6 +:041D980078000000CF +:041D9900049201208F +:041D9A000F8027008F +:041D9B0032100336C9 +:041D9C000000080239 +:041D9D007832313532 +:041D9E004C3034325F +:041D9F0040000042BE +:041DA00002AA00F0A3 +:041DA100294D2700A1 +:041DA20032100332C6 +:041DA3000108101013 +:041DA4007834383324 +:041DA5004C30343258 +:041DA60030000042C7 +:041DA700020000F046 +:041DA800293B2700AC +:041DA90032100325CC +:041DAA0002102020E3 +:041DAB007830323327 +:041DAC004C30343251 +:041DAD0028000042C8 +:041DAE0001AA00F096 +:041DAF0029312700AF +:041DB0003210031FCB +:041DB100042040408A +:041DB2007836353218 +:041DB3004C3034324A +:041DB40020000042C9 +:041DB500015500F0E4 +:041DB60029272700B2 +:041DB70032100319CA +:041DB80008408080DF +:041DB9007038383214 :041DBA000000000025 -:041DBB007A484D39DC -:041DBC004453282044 -:041DBD00002956544F -:041DBE006D2064250B -:041DBF0000000056CA -:041DC000202020209F -:041DC1000000752584 -:041DC200000189EEA5 -:041DC30000000024F8 -:041DC40000013C647A -:041DC500642064250D -:041DC600000067654D -:041DC700252E75252B -:041DC8000075322E42 -:041DC9006520642508 -:041DCA006972746E58 -:041DCB00000073653C -:041DCC006F706D695E -:041DCD006465747263 -:041DCE000000000011 -:041DCF006F706D497B -:041DD000203F7472CA -:041DD1002C593D311B -:041DD2004E3D322030 -:041DD300000000000C -:041DD40064616F4C8B -:041DD50020676E69AC -:041DD6007474657349 -:041DD70073676E6957 -:041DD8000000000007 -:041DD90044525355C8 -:041DDA00004154412F -:041DDB006573753C7B -:041DDC0000003E6461 -:041DDD00000176A4E7 -:041DDE0000002000E1 -:041DDF0020002CE5CF -:041DE000E926F4FDFF -:041DE10038BC2000EA -:041DE20000000000FD -:041DE300000176B0D5 -:041DE40000002000DB -:041DE5002000323E6A -:041DE600F113FA04F7 -:041DE7003B6120003C -:041DE80000000000F7 -:041DE9000001524A59 -:041DEA000001524A58 -:041DEB00000151B2F0 -:041DEC000001524060 -:041DED000001533E60 -:041DEE000001524A54 -:041DEF0078303237DF -:041DF000003030345B -:041DF10078303436DC -:041DF2000030303459 -:041DF3003030363125 -:041DF40030343278DD -:041DF500C800000022 -:041DF60007FE00F0F4 -:041DF7000FCA20C02F -:041DF800321003960C -:041DF90000200002C4 -:041DFA00303832311A -:041DFB0030343278D6 -:041DFC00A000000043 -:041DFD00061800F0D4 -:041DFE000FAA20C048 -:041DFF003210034853 -:041E000000010202D9 -:041E010078303639C6 -:041E02000030343246 -:041E03007800000063 -:041E0400049200F054 -:041E05000F8020C06A -:041E0600321003365D -:041E070000000402D1 -:041E080078323135C6 -:041E0900003034323F -:041E0A004000000094 -:041E0B0002AA00F037 -:041E0C000E4D20C097 -:041E0D00321003325A -:041E0E000042081076 -:041E0F0078343833B8 -:041E10000030343238 -:041E1100300000009D -:041E1200020000F0DA -:041E13000E3B20C0A2 -:041E14003210032560 -:041E15000084102015 -:041E160078303233BB -:041E17000030343231 -:041E1800280000009E -:041E190001AA00F02A -:041E1A000E3120C0A5 -:041E1B003210031F5F -:041E1C000108204059 -:041E1D0078363532AC -:041E1E00003034322A -:041E1F00200000009F -:041E2000015500F078 -:041E21000E2720C0A8 -:041E2200321003195E -:041E230002104080E9 -:041E240070303432B4 -:041E250000000000B9 -:041E26005A08000056 -:041E2700035A00F06A -:041E28000F3920C08E -:041E29003210033E32 -:041E2A000000000EA6 -:041E2B0030303631EC -:041E2C0030343278A4 -:041E2D00C800004C9D -:041E2E0007FE00F0BB -:041E2F0029CA270095 -:041E300032100396D3 -:041E3100002000028B -:041E320030383231E1 -:041E33003838327891 -:041E3400A00000000A -:041E3500061801206A -:041E36000FAA2700C8 -:041E3700321003481A -:041E380000010202A1 -:041E3900783036398E -:041E3A000038383202 -:041E3B00780000002B -:041E3C0004920120EB -:041E3D000F802700EB -:041E3E003210033625 -:041E3F000000040299 -:041E4000783231358E -:041E41004C303432BB -:041E4200400000421A -:041E430002AA00F0FF -:041E4400294D2700FD -:041E45003210033222 -:041E4600004208103E -:041E47007834383380 -:041E48004C303432B4 -:041E49003000004223 -:041E4A00020000F0A2 -:041E4B00293B270008 -:041E4C003210032528 -:041E4D0000841020DD -:041E4E007830323383 -:041E4F004C303432AD -:041E50002800004224 -:041E510001AA00F0F2 -:041E5200293127000B -:041E53003210031F27 -:041E54000108204021 -:041E55007836353274 -:041E56004C303432A6 -:041E57002000004225 -:041E5800015500F040 -:041E5900292727000E -:041E5A003210031926 -:041E5B0002104080B1 -:041E5C007038383270 -:041E5D000000000081 -:041E5E005A1700000F -:041E5F0003600120FB -:041E600013452700FF -:041E61003210033FF9 -:041E62000000000E6E -:041E63007830383467 -:041E640000303633E1 -:041E65003C0000003D -:041E660002580168B5 -:041E67000A3F2EE020 -:041E680044100326F9 -:041E69000000000E67 -:041E6A007830343266 -:041E6B0000303633DA -:041E6C002000000052 -:041E6D00012C0168DB -:041E6E000A182EE040 -:041E6F004410031206 -:041E700000008100ED -:041E7100703438335E -:041E7200000000006C -:041E73003E0000002D -:041E74000280018067 -:041E75001D3234E006 -:041E76004410033ED3 -:041E77000000000E59 -:041E7800303036319F -:041E79003030347859 -:041E7A00C80000009C -:041E7B0007D00190FB -:041E7C002278382070 -:041E7D00501002F00F -:041E7E00000002005E -:041E7F00783032374E -:041E800000303034CA -:041E81005A00000003 -:041E82000384019044 -:041E830022403820A1 -:041E84005010026098 -:041E85000000000C4D -:041E86007830343646 -:041E870000303034C3 -:041E88005000000006 -:041E890003200190A1 -:041E8A0022303820AA -:041E8B005010026091 -:041E8C000000000C46 -:041E8D00783034363F -:041E8E0000343833B1 -:041E8F0050000000FF -:041E900003200180AA -:041E91003F303D8021 -:041E9200501002608A -:041E93000000000E3D -:041E94006930383445 -:041E95000000000049 -:041E96005A060000E8 -:041E9700035A00F0FA -:041E98000F3941A01D -:041E99007210033E82 -:041E9A000001020F32 -:041E9B007030383437 +:041DBB005A170000B3 +:041DBC00036001209F +:041DBD0013452700A3 +:041DBE003210033F9D +:041DBF000000000E12 +:041DC000783038340B +:041DC1000030363385 +:041DC2003C000000E1 +:041DC3000258016859 +:041DC4000A3F2EE0C4 +:041DC500441003269D +:041DC6000000000E0B +:041DC700783034320A +:041DC800003036337E +:041DC90020000000F6 +:041DCA00012C01687F +:041DCB000A182EE0E4 +:041DCC0044100312AA +:041DCD000001010010 +:041DCE007034383302 +:041DCF000000000010 +:041DD0003E000000D1 +:041DD100028001800B +:041DD2001D3234E0AA +:041DD3004410033E77 +:041DD4000000000EFD +:041DD5003030363143 +:041DD60030303478FD +:041DD700C800000040 +:041DD80007D001909F +:041DD9002278382014 +:041DDA00501002F0B3 +:041DDB000000040000 +:041DDC0078303237F2 +:041DDD00003030346E +:041DDE005A000000A7 +:041DDF0003840190E8 +:041DE0002240382045 +:041DE100501002603C +:041DE2000000000CF1 +:041DE30078303436EA +:041DE4000030303467 +:041DE50050000000AA +:041DE6000320019045 +:041DE700223038204E +:041DE8005010026035 +:041DE9000000000CEA +:041DEA0078303436E3 +:041DEB000034383355 +:041DEC0050000000A3 +:041DED00032001804E +:041DEE003F303D80C5 +:041DEF00501002602E +:041DF0000000000EE1 +:041DF10069303834E9 +:041DF20000000000ED +:041DF3005A0600008C +:041DF400035A00F09E +:041DF5000F3941A0C1 +:041DF6007210033E26 +:041DF7000004040FD1 +:041DF80070303834DB +:041DF90000000000E6 +:041DFA005A02000089 +:041DFB00035A01E0A6 +:041DFC001E3C41A0A8 +:041DFD009410063EFA +:041DFE000000000CD5 +:041DFF0078303834CC +:041E00000032373243 +:041E01003C0300009E +:041E0200035A01106E +:041E030086B141A0C3 +:041E04008410063E02 +:041E050000020204D1 +:041E060078303436C6 +:041E0700003038343B +:041E08005001000085 +:041E0900032001E0D1 +:041E0A00213041A0A2 +:041E0B0094100260CD +:041E0C000000000CC6 +:041E0D0078303436BF +:041E0E000032313538 +:041E0F00500000007F +:041E100003200200A9 +:041E11001C3047003A +:041E120094100260C6 +:041E13000000000CBF +:041E140069363735BF +:041E150000000000C9 +:041E16005A15000059 +:041E17000360012043 +:041E180013454E2000 +:041E19007210033F01 +:041E1A000004040FAD +:041E1B0070363735B1 +:041E1C0000000000C2 +:041E1D005A11000056 +:041E1E00036002401B +:041E1F0027444E20E6 +:041E200094100540D5 +:041E21000000000CB1 +:041E220078303038AC +:041E23000030303625 +:041E24006400000056 +:041E2500042002583B +:041E260017584E807B +:041E27001010048013 +:041E280000000004B2 +:041E290070303237AC +:041E2A0000000000B4 +:041E2B00A00400000F +:041E2C00067202D068 +:041E2D0014DC5DC0A4 +:041E2E00181005285B +:041E2F0000000004AB +:041E300034323031E7 +:041E31003836377890 +:041E3200800000002C +:041E33000540030063 +:041E34001DA064C0C9 +:041E350010100688FB +:041E360000000004A4 +:041E370030383231DC +:041E3800323031789B +:041E3900A0000034D1 +:041E3A000698040002 +:041E3B0026F88540C0 +:041E3C00101003700F +:041E3D00000000049D +:041E3E00783034368E +:041E3F006930363997 +:041E4000500000004E +:041E4100032001E099 +:041E42002130834088 +:041E4300B410026075 +:041E44000000000D8D +:041E450030383031D0 +:041E4600000000692F +:041E4700F0050000A2 +:041E48000898021CD8 +:041E490010948CA0C5 +:041E4A00B810052C9B +:041E4B000000000D86 +:041E4C0030383031C9 +:041E4D000000007021 +:041E4E00F010000090 +:041E4F0008980438B3 +:041E500024948CA0AA +:041E51001810052C34 +:041E52000000000488 +:041E530030303631C4 +:041E5400303231787F +:041E5500C800003091 +:041E5600087004B05C +:041E57002EFF9C407E +:041E5800101003F172 +:041E59000000000481 +:041E5A00FFFFFFFF88 +:041E5B00FFFFFFFF87 +:041E5C000000FFFF84 +:041E5D007665642F13 +:041E5E006370652F19 +:041E5F006F635F71DD +:041E60006F72746EBB +:041E610072656C6CCE +:041E6200615F305F2D +:041E63006D5F6C76CD +:041E640000006D65A8 +:041E65002B302D23CE +:041E66000000002058 +:041E6700004C6C6857 +:041E680045676665FF +:041E690000004746E8 +:041E6A0033323130AE +:041E6B00373635349D +:041E6C00424139387E +:041E6D00464544435F +:041E6E000000000070 +:041E6F0033323130A9 +:041E70003736353498 +:041E71006261393839 +:041E720066656463DA +:041E7300000000006B +:041E74000001600603 +:041E75000001601CEC +:041E760000015FE028 +:041E770000015FE027 +:041E780000015FE026 +:041E790000015FE025 +:041E7A000001601CE7 +:041E7B0000015FE023 +:041E7C0000015FE022 +:041E7D0000015FE021 +:041E7E0000015FE020 +:041E7F00000161807D +:041E80000001605E9F +:041E810000016152A9 +:041E820000015FE01C +:041E830000015FE01B +:041E8400000161B444 +:041E850000015FE019 +:041E86000001605E99 +:041E870000015FE017 +:041E880000015FE016 +:041E89000001615A99 +:041E8A001A808080BA +:041E8B0000081A1A17 +:041E8C000000000052 +:041E8D000000000051 +:041E8E000001797462 +:041E8F00000000004F +:041E9000000000004E +:041E91000001035AEF +:041E92000001041A2D +:041E9300000101CE7B +:041E9400000101EA5E +:041E95000001023610 +:041E960000800000C8 +:041E970000800000C7 +:041E98000000000046 +:041E99000000000045 +:041E9A000000000044 +:041E9B000000000043 :041E9C000000000042 -:041E9D005A020000E5 -:041E9E00035A01E002 -:041E9F001E3C41A004 -:041EA0009410063E56 -:041EA1000000000C31 -:041EA200783034362A -:041EA300003038349F -:041EA40050010000E9 -:041EA500032001E035 -:041EA600213041A006 -:041EA7009410026031 -:041EA8000000000C2A -:041EA9007830343623 -:041EAA00003231359C -:041EAB0050000000E3 -:041EAC00032002000D -:041EAD001C3047009E -:041EAE00941002602A -:041EAF000000000C23 -:041EB0006936373523 +:041E9D000000000041 +:041E9E000000000040 +:041E9F00000000003F +:041EA000000000003E +:041EA100000000003D +:041EA200000000003C +:041EA300000000003B +:041EA400000000003A +:041EA5000000000039 +:041EA6000000000038 +:041EA7000000000037 +:041EA8000000000036 +:041EA9000000000035 +:041EAA000000000034 +:041EAB000000000033 +:041EAC000000000032 +:041EAD000000000031 +:041EAE000000000030 +:041EAF00000000002F +:041EB000000000002E :041EB100000000002D -:041EB2005A150000BD -:041EB30003600120A7 -:041EB40013454E2064 -:041EB5007210033F65 -:041EB6000001020F16 -:041EB7007036373515 +:041EB200000000002C +:041EB300000000002B +:041EB400000000002A +:041EB5000000000029 +:041EB6000000000028 +:041EB7000000000027 :041EB8000000000026 -:041EB9005A110000BA -:041EBA00036002407F -:041EBB0027444E204A -:041EBC009410054039 -:041EBD000000000C15 -:041EBE007830303810 -:041EBF000030303689 -:041EC00064000000BA -:041EC100042002589F -:041EC20017584E80DF -:041EC3001010048077 -:041EC4000000000416 -:041EC5007030323710 -:041EC6000000000018 -:041EC700A004000073 -:041EC800067202D0CC -:041EC90014DC5DC008 -:041ECA0018100528BF -:041ECB00000000040F -:041ECC00343230314B -:041ECD0038363778F4 -:041ECE008000000090 -:041ECF0005400300C7 -:041ED0001DA064C02D -:041ED100101006885F -:041ED2000000000408 -:041ED3003038323140 -:041ED40032303178FF -:041ED500A000003435 -:041ED6000698040066 -:041ED70026F8854024 -:041ED8001010037073 -:041ED9000000000401 -:041EDA0078303436F2 -:041EDB0069303639FB -:041EDC0050000000B2 -:041EDD00032001E0FD -:041EDE0021308340EC -:041EDF00B4100260D9 -:041EE0000000000DF1 -:041EE1003038303134 -:041EE2000000006993 -:041EE300F005000006 -:041EE4000898021C3C -:041EE50010948CA029 -:041EE600B810052CFF -:041EE7000000000DEA -:041EE800303830312D -:041EE9000000007085 -:041EEA00F0100000F4 -:041EEB000898043817 -:041EEC0024948CA00E -:041EED001810052C98 -:041EEE0000000004EC -:041EEF003030363128 -:041EF00030323178E3 -:041EF100C8000030F5 -:041EF200087004B0C0 -:041EF3002EFF9C40E2 -:041EF400101003F1D6 -:041EF50000000004E5 -:041EF6007665642F7A -:041EF7006370652F80 -:041EF8006F635F7144 -:041EF9006F72746E22 -:041EFA0072656C6C35 -:041EFB00615F305F94 -:041EFC006D5F6C7634 -:041EFD0000006D650F -:041EFE002B302D2335 -:041EFF0000000020BF -:041F0000004C6C68BD -:041F01004567666565 -:041F0200000047464E -:041F03003332313014 -:041F04003736353403 -:041F050042413938E4 -:041F060046454443C5 -:041F070000000000D6 -:041F0800333231300F -:041F090037363534FE -:041F0A00626139389F -:041F0B006665646340 -:041F0C0000000000D1 -:041F0D001A80808036 -:041F0E0000081A1A93 -:041F0F0000000000CE -:041F100000000000CD -:041F110000017BD878 -:041F120000000000CB -:041F130000000000CA -:041F14000001035C69 -:041F15000001041CA7 -:041F1600000101CEF7 -:041F1700000101EADA -:041F1800000102368C -:041F19000080000044 -:041F1A000080000043 -:041F1B0000000000C2 -:041F1C0000000000C1 -:041F1D0000000000C0 -:041F1E0000000000BF -:041F1F0000000000BE -:041F200000000000BD -:041F210000000000BC -:041F220000000000BB -:041F230000000000BA -:041F240000000000B9 -:041F250000000000B8 -:041F260000000000B7 -:041F270000000000B6 -:041F280000000000B5 -:041F290000000000B4 -:041F2A0000000000B3 -:041F2B0000000000B2 -:041F2C0000000000B1 -:041F2D0000000000B0 -:041F2E0000000000AF -:041F2F0000000000AE +:041EB90000010174AF +:041EBA0000800000A4 +:041EBB000100000022 +:041EBC00000201001F +:041EBD0000800000A1 +:041EBE00000000011F +:041EBF00000000809F +:041EC000000100001D +:041EC100000001001C +:041EC200000000001C +:041EC300020E0409FE +:041EC4003D0E00C807 +:041EC5003F6E0383E6 +:041EC6003ED03DAC21 +:041EC7000000038391 +:041EC800026404B2FA +:041EC9003C9300E95D +:041ECA003F56041665 +:041ECB003E9F3D49B0 +:041ECC0000000416F8 +:041ECD00017804E5AF +:041ECE003CCE008185 +:041ECF003FAE03839C +:041ED0003F333D4916 +:041ED1000000038387 +:041ED20001B405B89A +:041ED3003C490093F3 +:041ED4003F9F041612 +:041ED5003F103CD9A5 +:041ED60000000416EE +:041ED700000170F89E +:041ED8000001714054 +:041ED9000001715043 +:041EDA00000170F89B +:041EDB00000170FC96 +:041EDC000001710090 +:041EDD000001648814 +:041EDE000001649803 +:041EDF00000164A4F6 +:041EE000000164B0E9 +:041EE100000164BCDC +:041EE200000164C8CF +:041EE300000164D4C2 +:041EE400000164E0B5 +:041EE500000164ECA8 +:041EE600000164F89B +:041EE700000165048D +:041EE800000173146E +:041EE9000001732061 +:041EEA000001733050 +:041EEB00000173403F +:041EEC00000173502E +:041EED00000173601D +:041EEE000001731468 +:041EEF00000173205B +:041EF000000173304A +:041EF1000001734039 +:041EF2000001735028 +:041EF300000172F088 +:041EF400000172FC7B +:041EF500000173086D +:041EF600000170F87F +:041EF70000017178FD +:041EF8000001717400 +:041EF9000001717CF7 +:041EFA00000171046E +:041EFB000001710C65 +:041EFC00000171145C +:041EFD000001711C53 +:041EFE00000171244A +:041EFF000001712845 +:041F0000000171303B +:041F01000001713832 +:041F020000017078F2 +:041F030000000002D8 +:041F0400000187F25F +:041F05000AF0012CB1 +:041F06000001381E80 +:041F070000017088DD +:041F080000000002D3 +:041F0900000187F854 +:041F0A0000130000C0 +:041F0B000001381E7B +:041F0C0000017098C8 +:041F0D0000000002CE +:041F0E00000187FA4D +:041F0F0000FF000AC5 +:041F10000001381E76 +:041F1100000170A4B7 +:041F120000000002C9 +:041F1300000187E65C +:041F140000FF0001C9 +:041F15000001381E71 +:041F1600000170B4A2 +:041F170000000002C4 +:041F1800000187F04D +:041F1900078000C875 +:041F1A000001381E6C +:041F1B00000170C091 +:041F1C0000000002BF +:041F1D00000187EC4C +:041F1E0000070001B7 +:041F1F000001381E67 +:041F2000000170CC80 +:041F210000000002BA +:041F2200000187F63D +:041F230000EC0001CD +:041F24000001381E62 +:041F2500000170DC6B +:041F260000000002B5 +:041F2700000187EE40 +:041F280004B000A061 +:041F29000001381E5D +:041F2A00000170E85A +:041F2B0000000002B0 +:041F2C00000187E841 +:041F2D00001F000091 +:041F2E000001381E58 +:041F2F0000016C142D :041F300000000000AD -:041F310000000000AC -:041F320000000000AB -:041F330000000000AA -:041F340000000000A9 +:041F31000001878B99 +:041F320000010001A9 +:041F3300000185988C +:041F340000016C2418 :041F350000000000A8 -:041F360000000000A7 -:041F370000000000A6 -:041F380000000000A5 -:041F390000000000A4 -:041F3A0000000000A3 -:041F3B0000000000A2 -:041F3C00000101742B -:041F3D000080000020 -:041F3E00010000009E -:041F3F00000201009B -:041F4000008000001D -:041F4100000000019B -:041F4200000000801B -:041F43000001000099 -:041F44000000010098 -:041F45000000000098 -:041F4600020E04097A -:041F47003D0E00C883 -:041F48003F6E038362 -:041F49003ED03DAC9D -:041F4A00000003830D -:041F4B00026404B276 -:041F4C003C9300E9D9 -:041F4D003F560416E1 -:041F4E003E9F3D492C -:041F4F000000041674 -:041F5000017804E52B -:041F51003CCE008101 -:041F52003FAE038318 -:041F53003F333D4992 -:041F54000000038303 -:041F550001B405B816 -:041F56003C4900936F -:041F57003F9F04168E -:041F58003F103CD921 -:041F5900000004166A -:041F5A00000173A46B -:041F5B00000173EC22 -:041F5C00000173FC11 -:041F5D00000173A468 -:041F5E00000173A863 -:041F5F00000173AC5E -:041F6000000167A86D -:041F6100000167B85C -:041F6200000167C44F -:041F6300000167D042 -:041F6400000167DC35 -:041F6500000167E828 -:041F6600000167F41B -:041F6700000168000D -:041F68000001680C00 -:041F690000016818F3 -:041F6A0000016824E6 -:041F6B00000175B04C -:041F6C00000175BC3F -:041F6D00000175CC2E -:041F6E00000175DC1D -:041F6F00000175EC0C -:041F7000000175FCFB -:041F7100000175B046 -:041F7200000175BC39 -:041F7300000175CC28 -:041F7400000175DC17 -:041F7500000175EC06 -:041F76000001758C65 -:041F77000001759858 -:041F7800000175A44B -:041F7900000173A44C -:041F7A0000017424CA -:041F7B0000017420CD -:041F7C0000017428C4 -:041F7D00000173B03C -:041F7E00000173B833 -:041F7F00000173C02A -:041F8000000173C821 -:041F8100000173D018 -:041F8200000173D413 -:041F8300000173DC0A -:041F8400000173E401 -:041F850000017324C0 -:041F86000000000255 -:041F8700000189F6D6 -:041F88000AF0012C2E -:041F890000013950CA -:041F8A0000017334AB -:041F8B000000000250 -:041F8C00000189FCCB -:041F8D00001300003D -:041F8E0000013950C5 -:041F8F000001734496 -:041F9000000000024B -:041F9100000189FEC4 -:041F920000FF000A42 -:041F930000013950C0 -:041F94000001735085 -:041F95000000000246 -:041F9600000189EAD3 -:041F970000FF000146 -:041F980000013950BB -:041F99000001736070 -:041F9A000000000241 -:041F9B00000189F4C4 -:041F9C00078000C8F2 -:041F9D0000013950B6 -:041F9E000001736C5F -:041F9F00000000023C -:041FA000000189F0C3 -:041FA1000007000134 -:041FA20000013950B1 -:041FA300000173784E -:041FA4000000000237 -:041FA500000189FAB4 -:041FA60000EC00014A -:041FA70000013950AC -:041FA8000001738839 -:041FA9000000000232 -:041FAA00000189F2B7 -:041FAB0004B000A0DE -:041FAC0000013950A7 -:041FAD000001739428 -:041FAE00000000022D -:041FAF00000189ECB8 -:041FB000001F00000E -:041FB10000013950A2 -:041FB20000016EC0FC -:041FB300000000002A -:041FB4000001898F10 -:041FB5000001000126 -:041FB600000187940B -:041FB70000016ED0E7 -:041FB8000000000025 -:041FB900000189900A -:041FBA000001000121 -:041FBB000001879CFE -:041FBC0000016EE0D2 -:041FBD00000000011F -:041FBE000001899104 -:041FBF000018000006 -:041FC00000013C4898 -:041FC10000016EF0BD -:041FC200000000001B -:041FC3000001898A06 -:041FC4000001000117 -:041FC5000001879CF4 -:041FC60000016F00A7 -:041FC7000000000016 -:041FC8000001898B00 -:041FC9000001000112 -:041FCA000001879CEF -:041FCB0000016F148E -:041FCC000000000011 -:041FCD000001898CFA -:041FCE00000200010C -:041FCF0000017D741C -:041FD00000016F2875 -:041FD100000000010B -:041FD20000018992EF -:041FD300001F0000EB -:041FD40000013B7855 -:041FD50000016F3C5C -:041FD6000000000007 -:041FD7000001898DEF -:041FD8000001000103 -:041FD9000001879CE0 -:041FDA000001725C34 -:041FDB000000000101 -:041FDC000001896017 -:041FDD0000100000F0 -:041FDE0000013AFEC6 -:041FDF000001726C1F -:041FE00000000001FC -:041FE1000001896111 -:041FE20000100000EB -:041FE30000013AFEC1 -:041FE4000001727C0A -:041FE50000000001F7 -:041FE600000189620B -:041FE70000100000E6 -:041FE80000013AFEBC -:041FE9000001728CF5 -:041FEA0000000001F2 -:041FEB000001896305 -:041FEC0000100000E1 -:041FED0000013AFEB7 -:041FEE000001729CE0 -:041FEF0000000001ED -:041FF00000018964FF -:041FF10000100000DC -:041FF20000013AFEB2 -:041FF300000172ACCB -:041FF40000000001E8 -:041FF50000018965F9 -:041FF60000100000D7 -:041FF70000013AFEAD -:041FF800000172C0B2 -:041FF90000000001E3 -:041FFA0000018966F3 -:041FFB0000100000D2 -:041FFC0000013AFEA8 -:041FFD00000172D499 -:041FFE0000000001DE -:041FFF0000018967ED -:0420000000100000CC -:0420010000013AFEA2 -:04200200000172E87F -:0420030000000001D8 -:0420040000018968E6 -:0420050000100000C7 -:0420060000013AFE9D -:04200700000172FC66 -:0420080000000001D3 -:0420090000018969E0 -:04200A0000100000C2 -:04200B0000013AFE98 -:04200C00000173104C -:04200D0000000001CE -:04200E000001896ADA -:04200F0000100000BD -:0420100000013AFE93 -:0420110000016D0459 -:0420120000000003C7 -:042013000001883010 -:0420140000000000C8 -:0420150000000000C7 -:0420160000016D1840 -:0420170000000003C2 -:04201800000188102B +:041F36000001878C93 +:041F370000010001A4 +:041F3800000185A07F +:041F390000016C3403 +:041F3A0000000001A2 +:041F3B000001878D8D +:041F3C000018000089 +:041F3D0000013AFC69 +:041F3E0000016C44EE +:041F3F00000000009E +:041F4000000187868F +:041F4100000100019A +:041F4200000185A075 +:041F430000016C54D9 +:041F44000000000099 +:041F45000001878789 +:041F46000001000195 +:041F4700000185A070 +:041F480000016C68C0 +:041F49000000000094 +:041F4A000001878883 +:041F4B00000200018F +:041F4C0000017B68AD +:041F4D0000016C7CA7 +:041F4E00000000018E +:041F4F000001878E78 +:041F5000001F00006E +:041F510000013A3819 +:041F520000016C908E +:041F5300000000008A +:041F54000001878978 +:041F55000001000186 +:041F5600000185A061 +:041F570000016FB066 +:041F58000000000184 +:041F59000001875CA0 +:041F5A000010000073 +:041F5B00000139C682 +:041F5C0000016FC051 +:041F5D00000000017F +:041F5E000001875D9A +:041F5F00001000006E +:041F6000000139C67D +:041F610000016FD03C +:041F6200000000017A +:041F63000001875E94 +:041F64000010000069 +:041F6500000139C678 +:041F660000016FE027 +:041F67000000000175 +:041F68000001875F8E +:041F69000010000064 +:041F6A00000139C673 +:041F6B0000016FF012 +:041F6C000000000170 +:041F6D000001876088 +:041F6E00001000005F +:041F6F00000139C66E +:041F700000017000FC +:041F7100000000016B +:041F72000001876182 +:041F7300001000005A +:041F7400000139C669 +:041F750000017014E3 +:041F76000000000166 +:041F7700000187627C +:041F78000010000055 +:041F7900000139C664 +:041F7A0000017028CA +:041F7B000000000161 +:041F7C000001876376 +:041F7D000010000050 +:041F7E00000139C65F +:041F7F000001703CB1 +:041F8000000000015C +:041F81000001876470 +:041F8200001000004B +:041F8300000139C65A +:041F84000001705098 +:041F85000000000157 +:041F8600000187656A +:041F87000010000046 +:041F8800000139C655 +:041F8900000170647F +:041F8A000000000152 +:041F8B000001876664 +:041F8C000010000041 +:041F8D00000139C650 +:041F8E0000016A588C +:041F8F00000000034B +:041F90000001862C9A +:041F9100000000004C +:041F9200000000004B +:041F930000016A6C73 +:041F94000000000346 +:041F95000001860CB5 +:041F96000000000047 +:041F97000000000046 +:041F980000016A805A +:041F99000000000341 +:041F9A000001862498 +:041F9B000000000042 +:041F9C000000000041 +:041F9D0000016A9441 +:041F9E00000000033C +:041F9F00000185FCBC +:041FA000000000003D +:041FA100000000003C +:041FA20000016AA828 +:041FA3000000000337 +:041FA400000186149E +:041FA5000000000038 +:041FA6000000000037 +:041FA70000016ABC0F +:041FA8000000000332 +:041FA90000018604A9 +:041FAA000000000033 +:041FAB000000000032 +:041FAC0000016AD0F6 +:041FAD00000000032D +:041FAE00000185E4C5 +:041FAF00000000002E +:041FB000000000002D +:041FB10000016AE4DD +:041FB2000000000328 +:041FB300000185DCC8 +:041FB4000000000029 +:041FB5000000000028 +:041FB60000016AF8C4 +:041FB7000000000323 +:041FB8000001861C82 +:041FB9000000000024 +:041FBA000000000023 +:041FBB0000016D8430 +:041FBC000000000021 +:041FBD000001876D2B +:041FBE00000400011A +:041FBF000001845049 +:041FC00000016D941B +:041FC100000000001C +:041FC2000001876E25 +:041FC3000004000115 +:041FC4000001846430 +:041FC50000016DA406 +:041FC6000000000017 +:041FC7000001876F1F +:041FC8000003000111 +:041FC9000001847817 +:041FCA0000016DB4F1 +:041FCB000000000012 +:041FCC000001877019 +:041FCD00000200010D +:041FCE000001848802 +:041FCF0000016DC4DC +:041FD000000000000D +:041FD1000001877113 +:041FD2000001000109 +:041FD300000185A8DC +:041FD40000016DD4C7 +:041FD5000000000008 +:041FD6000001876817 +:041FD7000004000101 +:041FD80000017BA0E9 +:041FD90000016DE0B6 +:041FDA000000000003 +:041FDB000001876911 +:041FDC0000050001FB +:041FDD0000017BB4D0 +:041FDE0000016DECA5 +:041FDF0000000000FE +:041FE0000001876A0B +:041FE10000040001F7 +:041FE20000017BA0DF +:041FE30000016DF894 +:041FE40000000000F9 +:041FE5000001876B05 +:041FE60000040001F2 +:041FE70000017BA0DA +:041FE80000016E0482 +:041FE90000000000F4 +:041FEA000001876CFF +:041FEB0000020001EF +:041FEC0000017BCCA9 +:041FED0000016E146D +:041FEE0000000000EF +:041FEF0000018772F4 +:041FF00000010001EB +:041FF10000018590D6 +:041FF20000016E2458 +:041FF30000000000EA +:041FF40000018777EA +:041FF50000020001E5 +:041FF600000184DC86 +:041FF70000016E2C4B +:041FF80000000000E5 +:041FF90000018778E4 +:041FFA0000010001E1 +:041FFB00000185A0BC +:041FFC0000016CA0D4 +:041FFD0000000001DF +:041FFE0000018773E4 +:041FFF0000FF0000DF +:0420000000013AE8B9 +:0420010000016CB0BE +:0420020000000001D9 +:0420030000018774DD +:04200400003F000099 +:0420050000013AE8B4 +:0420060000016CC0A9 +:0420070000000000D5 +:0420080000018776D6 +:0420090000070000CC +:04200A0000017BE86E +:04200B0000016CCC98 +:04200C0000000001CF +:04200D0000018775D2 +:04200E00000F0000BF +:04200F0000013A385A +:0420100000016CDC83 +:0420110000000001CA +:042012000001878AB8 +:04201300001F0000AA +:0420140000013A3855 +:0420150000016CE872 +:0420160000000004C2 +:042017000001258817 +:0420180000017468E7 :0420190000000000C3 -:04201A0000000000C2 -:04201B0000016D2C27 -:04201C0000000003BD -:04201D00000188280E -:04201E0000000000BE -:04201F0000000000BD -:0420200000016D400E -:0420210000000003B8 -:042022000001880031 -:0420230000000000B9 -:0420240000000000B8 -:0420250000016D54F5 -:0420260000000003B3 -:042027000001881814 -:0420280000000000B4 -:0420290000000000B3 -:04202A0000016D68DC -:04202B0000000003AE -:04202C00000188081F -:04202D0000000000AF -:04202E0000000000AE -:04202F0000016D7CC3 -:0420300000000003A9 -:04203100000187E83B -:0420320000000000AA -:0420330000000000A9 -:0420340000016D90AA -:0420350000000003A4 -:04203600000187E03E -:0420370000000000A5 -:0420380000000000A4 -:0420390000016DA491 -:04203A00000000039F -:04203B0000018820F8 -:04203C0000000000A0 -:04203D00000000009F -:04203E0000017030FD -:04203F00000000009D -:0420400000018971A1 -:042041000004000196 -:042042000001865CB7 -:0420430000017040E8 -:042044000000000098 -:04204500000189729B -:042046000004000191 -:04204700000186709E -:0420480000017050D3 -:042049000000000093 -:04204A000001897395 -:04204B00000300018D -:04204C000001868485 -:04204D0000017060BE -:04204E00000000008E -:04204F00000189748F -:04205000000100018A -:04205100000187AC57 -:0420520000017070A9 -:042053000000000089 -:042054000001897589 -:042055000001000185 -:04205600000187A45A -:042057000001708094 -:042058000000000084 -:042059000001896C8D -:04205A00000400017D -:04205B0000017DAC57 -:04205C000001708C83 -:04205D00000000007F -:04205E000001896D87 -:04205F000005000177 -:0420600000017DC03E -:042061000001709872 -:04206200000000007A -:042063000001896E81 -:042064000004000173 -:0420650000017DAC4D -:04206600000170A461 -:042067000000000075 -:042068000001896F7B -:04206900000400016E -:04206A0000017DAC48 -:04206B00000170B050 -:04206C000000000070 -:04206D000001897075 -:04206E00000200016B -:04206F0000017DD817 -:04207000000170C03B -:04207100000000006B -:04207200000189766A -:042073000001000167 -:042074000001878C54 -:04207500000170D026 -:042076000000000066 -:042077000001897B60 -:042078000002000161 -:04207900000186D804 -:04207A00000170D819 -:04207B000000000061 -:04207C000001897C5A -:04207D00000100015D -:04207E000001879C3A -:04207F0000016F4CA1 -:04208000000000015B -:04208100000189775A -:0420820000FF00005B -:0420830000013C32EA -:0420840000016F5C8C -:042085000000000156 -:042086000001897854 -:04208700003F000016 -:0420880000013C32E5 -:0420890000016F6C77 -:04208A000000000052 -:04208B000001897A4D -:04208C000007000049 -:04208D0000017DF4DD -:04208E0000016F7866 -:04208F00000000014C -:042090000001897949 -:04209100000F00003C -:0420920000013B7896 -:0420930000016F8851 -:042094000000000147 -:042095000001898E2F -:04209600001F000027 -:0420970000013B7891 -:0420980000016F9440 -:04209900000000043F -:04209A0000012662B9 -:04209B0000016CC014 -:04209C000000000040 -:04209D000001715875 -:04209E00000000003E -:04209F000001897D36 -:0420A0000002000139 -:0420A1000001869420 -:0420A2000001716860 -:0420A3000000000039 -:0420A4000001897E30 -:0420A5000001000135 -:0420A600000187BCF2 -:0420A700000171784B -:0420A8000000000034 -:0420A9000001897F2A -:0420AA000001000130 -:0420AB000001879C0D -:0420AC000001718C32 -:0420AD00000000002F -:0420AE000001898024 -:0420AF00000100012B -:0420B0000001879C08 -:0420B100000171A019 -:0420B2000000000327 -:0420B300000187D8C9 -:0420B40000017708A8 -:0420B500000138DA14 -:0420B60000016FA412 -:0420B7000000000025 -:0420B8000001895842 -:0420B9000002000120 -:0420BA00000186A0FB -:0420BB0000016FB001 -:0420BC00000000011F -:0420BD000001895E37 -:0420BE00000F00000F -:0420BF0000013C0CD4 -:0420C00000016FC0EC -:0420C100000000011A -:0420C2000001895A36 -:0420C300001C0000FD -:0420C40000013B22BA -:0420C50000016FD0D7 -:0420C6000000000016 -:0420C7000001895B30 -:0420C8000001000112 -:0420C900000187CCBF -:0420CA0000016FDCC6 -:0420CB000000000011 -:0420CC000001895C2A -:0420CD00000100010D -:0420CE000001879CEA -:0420CF0000016FECB1 -:0420D000000000000C -:0420D1000001895F22 -:0420D2000001000108 -:0420D300000187C4BD -:0420D40000016FFC9C -:0420D5000000000007 -:0420D6000001895D1F -:0420D7000001000103 -:0420D8000001879CE0 -:0420D9000001701082 -:0420DA000000000002 -:0420DB00000189591E -:0420DC0000030001FC -:0420DD00000186ACCC -:0420DE000001701C71 -:0420DF0000000003FA -:0420E000000187F084 -:0420E10000000000FB -:0420E20000000000FA -:0420E30000016DB8D3 -:0420E40000000004F4 -:0420E500000123C80B -:0420E60000016CD8B1 -:0420E70000000000F5 -:0420E80000016DC8BE -:0420E90000000004EF -:0420EA000001242AA3 -:0420EB0000016CD8AC -:0420EC0000000000F0 -:0420ED0000016DD8A9 -:0420EE0000000004EA -:0420EF0000012DBC03 -:0420F00000000000EC -:0420F10000000000EB -:0420F20000016DEC90 -:0420F30000000001E8 -:0420F4000001899DC1 -:0420F500000A0101DB -:0420F60000013C9811 -:0420F70000016E0076 -:0420F80000000000E4 -:0420F900000188D684 -:0420FA0000010001E0 -:0420FB000001879CBD -:0420FC0000016E145D -:0420FD0000000000DF -:0420FE0000018881D4 -:0420FF00000A0001D2 -:0421000000017D80DD -:0421010000016E2447 -:0421020000000000D9 -:0421030000018954FA -:0421040000020001D4 -:0421050000017D68F0 -:0421060000016E382E -:0421070000000000D4 -:04210800000188B694 -:0421090000010001D0 -:04210A00000187B495 -:04210B0000016E4819 +:04201A0000016EACA7 +:04201B0000000000C1 +:04201C0000018779BF +:04201D0000030001BB +:04201E0000018494A5 +:04201F0000016EBC92 +:0420200000000000BC +:042021000001877AB9 +:0420220000010001B8 +:04202300000185B87B +:0420240000016ECC7D +:0420250000000000B7 +:042026000001877BB3 +:0420270000010001B3 +:04202800000185A08E +:0420290000016EE064 +:04202A0000000000B2 +:04202B000001877CAD +:04202C0000010001AE +:04202D00000185A089 +:04202E0000016EF44B +:04202F0000000003AA +:04203000000185D452 +:042031000001748CAA +:04203200000137A8CA +:0420330000016CF844 +:0420340000000000A8 +:0420350000018754CB +:0420360000020001A3 +:04203700000184A47C +:0420380000016D0432 +:0420390000000001A2 +:04203A000001875AC0 +:04203B00000F000092 +:04203C0000013AC4A1 +:04203D0000016D141D +:04203E00000000019D +:04203F0000018756BF +:04204000001C000080 +:04204100000139E879 +:0420420000016D2408 +:042043000000000099 +:0420440000018757B9 +:042045000001000195 +:04204600000185C848 +:0420470000016D30F7 +:042048000000000094 +:0420490000018758B3 +:04204A000001000190 +:04204B00000185A06B +:04204C0000016D40E2 +:04204D00000000008F +:04204E000001875BAB +:04204F00000100018B +:04205000000185C046 +:0420510000016D50CD +:04205200000000008A +:0420530000018759A8 +:042054000001000186 +:04205500000185A061 +:0420560000016D64B4 +:042057000000000085 +:0420580000018755A7 +:04205900000300017F +:04205A00000184B04D +:04205B0000016D70A3 +:04205C00000000037D +:04205D00000185EC0D +:04205E00000000007E +:04205F00000000007D +:0420600000016B0C04 +:042061000000000477 +:04206200000122F265 +:042063000001748084 +:042064000000000078 +:0420650000016B1CEF +:042066000000000472 +:0420670000012354FD +:04206800000174807F +:042069000000000073 +:04206A0000016B2CDA +:04206B00000000046D +:04206C0000012CEC57 +:04206D00000000006F +:04206E00000000006E +:04206F0000016B40C1 +:04207000000000016B +:04207100000187994A +:04207200000A01015E +:0420730000013B4AE3 +:0420740000016B54A8 +:042075000000000067 +:04207600000186D20D +:042077000001000163 +:04207800000185A03E +:0420790000016B688F +:04207A000000000062 +:04207B000001867D5D +:04207C00000A000155 +:04207D0000017B746F +:04207E0000016B787A +:04207F00000000005D +:042080000001875084 +:042081000002000158 +:0420820000017B5C82 +:0420830000016B8C61 +:042084000000000058 +:04208500000186B21E +:042086000001000154 +:04208700000185B01F +:0420880000016B9C4C +:042089000000000053 +:04208A000001857F4D +:04208B00000100014F +:04208C00000185B01A +:04208D0000016BAC37 +:04208E00000000004E +:04208F000001868442 +:04209000000100014A +:04209100000185B015 +:0420920000016BBC22 +:042093000000000049 +:04209400000186BE03 +:042095000003000143 +:0420960000017BD8F2 +:0420970000016BCC0D +:042098000000000044 +:042099000001858439 +:04209A000001000140 +:04209B00000185A01B +:04209C0000016BD8FC +:04209D00000000003F +:04209E000001858533 +:04209F000003000139 +:0420A0000001844077 +:0420A10000016BECE3 +:0420A2000000000436 +:0420A30000014226D0 +:0420A4000000000038 +:0420A5000000000037 +:0420A60000016C00C9 +:0420A7000000000431 +:0420A800000133FE02 +:0420A9000000000033 +:0420AA000000000032 +:0420AB0000016E388A +:0420AC000000000030 +:0420AD000001878126 +:0420AE00000300012A +:0420AF00000184CCDC +:0420B00000016E4875 +:0420B100000000002B +:0420B2000001878220 +:0420B3000002000126 +:0420B400000184C0E3 +:0420B50000016E5860 +:0420B6000000000125 +:0420B7000001877E1F +:0420B800001F000005 +:0420B90000013AA246 +:0420BA0000016E684B +:0420BB000000000120 +:0420BC000001877F19 +:0420BD0000FF000020 +:0420BE0000013A6083 +:0420BF0000016E7836 +:0420C000000000011B +:0420C1000001878013 +:0420C20000C80A0048 +:0420C30000013A607E +:0420C40000016E8821 +:0420C5000000000116 +:0420C600000187840A +:0420C7000005000010 +:0420C80000013A4C8D +:0420C90000016E980C +:0420CA000000000111 +:0420CB000001878504 +:0420CC00000500000B +:0420CD0000013A4C88 +:0420CE0000016F0896 +:0420CF00000000000D +:0420D0000001878301 +:0420D1000005000105 +:0420D200000184E89D +:0420D30000016F1485 +:0420D4000000000008 +:0420D5000001877D02 +:0420D6000002000103 +:0420D700000185007F +:0420D80000016F2470 +:0420D9000000000102 +:0420DA0000018792E8 +:0420DB0000FF000002 +:0420DC0000013A388D +:0420DD0000016F305F +:0420DE0000000001FD +:0420DF0000018793E2 +:0420E00000FF0000FD +:0420E10000013A3888 +:0420E20000016F3C4E +:0420E30000000001F8 +:0420E40000018794DC +:0420E50000FF0000F8 +:0420E60000013A3883 +:0420E70000016F483D +:0420E80000000001F3 +:0420E90000018795D6 +:0420EA0000FF0000F3 +:0420EB0000013A387E +:0420EC0000016F542C +:0420ED0000000001EE +:0420EE0000018796D0 +:0420EF0000FF0000EE +:0420F00000013A3879 +:0420F10000016F601B +:0420F20000000001E9 +:0420F30000018797CA +:0420F40000FF0000E9 +:0420F50000013A3874 +:0420F60000016F6C0A +:0420F70000000001E4 +:0420F80000018798C4 +:0420F900000F0000D4 +:0420FA0000013A386F +:0420FB0000016F7CF5 +:0420FC0000000001DF +:0420FD000001878FC8 +:0420FE0000E41C00DE +:0420FF0000013A1E84 +:0421000000016F90DB +:0421010000000001D9 +:0421020000018791C0 +:04210300000A0000CE +:0421040000013A0696 +:0421050000016FA0C6 +:0421060000000001D4 +:0421070000018790BC +:0421080000070000CC +:04210900000139ECAC +:04210A00000185F457 +:04210B0000000000D0 :04210C0000000000CF -:04210D000001877BCB -:04210E0000010001CB -:04210F00000187B490 -:0421100000016E5804 -:0421110000000000CA -:0421120000018888B8 -:0421130000010001C6 -:04211400000187B48B -:0421150000016E68EF -:0421160000000000C5 -:04211700000188C279 -:0421180000030001BF -:0421190000017DE460 -:04211A0000016E78DA -:04211B0000000000C0 -:04211C0000018780B7 -:04211D0000010001BC -:04211E000001879C99 -:04211F0000016E84C9 -:0421200000000000BB -:0421210000018781B1 -:0421220000030001B5 -:042123000001864CE5 -:0421240000016E98B0 -:0421250000000004B2 -:0421260000014374FD -:0421270000000000B4 -:0421280000000000B3 -:0421290000016EAC97 -:04212A0000000004AD -:04212B00000134F487 -:04212C0000000000AF -:04212D0000000000AE -:04212E00000170E458 -:04212F0000000000AC -:04213000000189859C -:0421310000030001A6 -:04213200000186C85A -:04213300000170F443 -:0421340000000000A7 -:042135000001898696 -:0421360000020001A2 -:04213700000186BC61 -:04213800000171042D -:0421390000000001A1 -:04213A000001898295 -:04213B00001F000081 -:04213C0000013BE87B -:04213D000001711418 -:04213E00000000019C -:04213F00000189838F -:0421400000FF00009C -:0421410000013BA4BA -:042142000001712403 -:042143000000000197 -:042144000001898489 -:0421450000C80A00C4 -:0421460000013BA4B5 -:0421470000017134EE -:042148000000000192 -:042149000001898880 -:04214A00000500008C -:04214B0000013B8EC6 -:04214C0000017144D9 -:04214D00000000018D -:04214E00000189897A -:04214F000005000087 -:0421500000013B8EC1 -:04215100000171B464 +:04210D0000000000CE +:04210E0000000000CD +:04210F0000000000CC +:042110000001716CED +:0421110000017170E8 +:0421120000017174E3 +:04211300000170F85F +:042114000001725400 +:0421150000017270E3 +:04211600000172D87A +:04211700000172E071 +:04211800000172E868 +:0421190000017254FB +:04211A0000017270DE +:04211B0000017288C5 +:04211C0000017298B4 +:04211D00000172A8A3 +:04211E0000017254F6 +:04211F0000017260E9 +:04212000000172B890 +:04212100000172C87F +:0421220000017254F2 +:0421230000017270D5 +:0421240000017278CC +:04212500000172241F +:04212600000173EC55 +:04212700000173F848 +:042128000001740836 +:04212900000170F849 +:04212A00000172241A +:04212B000001722C11 +:04212C00000171D865 +:04212D00000171E458 +:04212E00000171F04B +:04212F000001720039 +:0421300000017370C7 +:0421310000017384B2 +:0421320000017394A1 +:04213300000173A490 +:04213400000173B47F +:04213500000173C072 +:04213600000170F83C +:042137000001723001 +:042138000001723CF4 +:042139000001724CE3 +:04213A00000172240A +:04213B00000170F837 +:04213C000001742CFE +:04213D000001743CED +:04213E000001744CDC +:04213F000001745CCB +:042140000001741412 +:042141000001742005 +:042142000001722402 +:042143000000000098 +:042144000000000097 +:042145000000000096 +:042146000000000095 +:042147000000000094 +:042148000000000093 +:042149000000000092 +:04214A000000000091 +:04214B000000000090 +:04214C00000000008F +:04214D00000000008E +:04214E00000000008D +:04214F00000000008C +:04215000000000008B +:04215100000000008A :042152000000000089 -:042153000001898777 -:042154000005000181 -:04215500000186E41B -:04215600000171C053 +:042153000000000088 +:042154000000000087 +:042155000000000086 +:042156000000000085 :042157000000000084 -:042158000001898178 -:04215900000200017F -:04215A00000186FCFE -:04215B00000171D03E -:04215C00000000017E -:04215D00000189965E -:04215E0000FF00007E -:04215F0000013B78C8 -:04216000000171DC2D -:042161000000000179 -:042162000001899758 -:0421630000FF000079 -:0421640000013B78C3 -:04216500000171E81C -:042166000000000174 -:042167000001899852 -:0421680000FF000074 -:0421690000013B78BE -:04216A00000171F40B -:04216B00000000016F -:04216C00000189994C -:04216D0000FF00006F -:04216E0000013B78B9 -:04216F0000017200F9 -:04217000000000016A -:042171000001899A46 -:0421720000FF00006A -:0421730000013B78B4 -:042174000001720CE8 -:042175000000000165 -:042176000001899B40 -:0421770000FF000065 -:0421780000013B78AF -:0421790000017218D7 -:04217A000000000160 -:04217B000001899C3A -:04217C00000F000050 -:04217D0000013B78AA -:04217E0000017228C2 -:04217F00000000015B -:04218000000189933E -:0421810000E41C005A -:0421820000013B5CC1 -:042183000001723CA9 -:042184000000000156 -:042185000001899537 -:04218600000A00004B -:0421870000013B42D6 -:042188000001724C94 -:042189000000000151 -:04218A000001899433 -:04218B000007000049 -:04218C0000013B26ED -:04218D00000187F8CE -:04218E00000000004D -:04218F00000000004C -:04219000000000004B -:04219100000000004A -:042192000000000049 -:0421930000017418BB -:042194000001741CB6 -:0421950000017420B1 -:04219600000173A42D -:0421970000017500CE -:042198000001751CB1 -:042199000001757458 -:04219A000001757C4F -:04219B000001758446 -:04219C0000017500C9 -:04219D000001751CAC -:04219E0000017524A3 -:04219F000001753492 -:0421A0000001754481 -:0421A10000017500C4 -:0421A2000001750CB7 -:0421A300000175546E -:0421A400000175645D -:0421A500000174D0F1 -:0421A6000001768836 -:0421A7000001769429 -:0421A800000173A41B -:0421A900000174D0ED -:0421AA00000174D8E4 -:0421AB000001748437 -:0421AC00000174902A -:0421AD000001749C1D -:0421AE00000174AC0C -:0421AF000001760CA9 -:0421B0000001762094 -:0421B1000001763083 -:0421B2000001764072 -:0421B3000001765061 -:0421B4000001765C54 -:0421B500000173A40E -:0421B600000174DCD4 -:0421B700000174E8C7 -:0421B800000174F8B6 -:0421B900000174D0DD -:0421BA00000173A409 -:0421BB00000176BCED -:0421BC00000176CCDC -:0421BD00000176DCCB -:0421BE00000176ECBA -:0421BF00000176A401 -:0421C000000176B0F4 -:0421C100000174D0D5 -:0421C2000000000019 -:0421C3000000000018 -:0421C4000000000017 -:0421C5000000000016 -:0421C6000000000015 -:0421C7000000000014 -:0421C8000000000013 -:0421C9000000000012 -:0421CA000000000011 -:0421CB000000000010 -:0421CC00000000000F -:0421CD00000000000E -:0421CE00000000000D -:0421CF00000000000C -:0421D000000000000B -:0421D100000000000A -:0421D2000000000009 -:0421D3000000000008 -:0421D4000000000007 -:0421D5000000000006 -:0421D6000000000005 -:0421D7000000000004 -:0421D8000000000003 -:0421D9000000000002 -:0421DA00000165ECAF -:0421DB000001876C0C -:0421DC000001876C0B -:0421DD00001080105E -:0421DE00010080007C -:0421DF0000024000BA -:0421E00000000101F9 -:0421E1000002800078 -:0421E20000022000D7 -:0421E300000175B8CA -:0421E400000174FC86 -:0421E5000001745031 -:0421E600000174641C -:0421E700000173A4DC -:0421E800000174D8A6 -:0421E900000175007C -:0421EA000001750C6F -:0421EB00000175007A -:0421EC000001751C5D -:0421ED000001740871 -:0421EE000001741068 -:0421EF00000176680D -:0421F00000017678FC -:0421F10000017478FD -:0421F2000001747CF8 -:0421F300000174B4BF -:0421F400000174C4AE -:0421F5000001870856 -:0421F60000000009DC -:0421F70000017E1451 -:0421F80000000003E0 -:0421F90000017EC89B -:0421FA0000000005DC -:0421FB0000017F045C -:0421FC000000000BD4 -:0421FD0000017F68F6 -:0421FE0000000009D4 -:0421FF000001804417 -:042200000000000DCD -:04220100000180F860 -:0422020000000006D2 -:04220300000181FC59 -:0422040000000005D1 -:0422050000018274DE -:0422060000000009CB -:04220700000182D878 -:042208000000000FC3 -:042209000001838CC1 -:04220A0000000007C9 -:04220B00000184B892 -:04220C000000000CC2 -:04220D000001854403 -:04220E00C896554BCE +:042158000000000083 +:042159000000000082 +:04215A000000000081 +:04215B000001634AD2 +:04215C000001857089 +:04215D000001857088 +:04215E0000108010DD +:04215F0001008000FB +:042160000002400039 +:042161000000010178 +:0421620000028000F7 +:042163000002200056 +:042164000001731CE7 +:0421650000017250B3 +:04216600000171A45F +:04216700000171B84A +:04216800000170F80A +:042169000001722CD3 +:04216A0000017254AA +:04216B00000172609D +:04216C000001715CA1 +:04216D000001716498 +:04216E00000173CC2D +:04216F00000173DC1C +:04217000000171CC2D +:04217100000171D028 +:0421720000017208EE +:0421730000017218DD +:042174000001850CD5 +:04217500000000095D +:0421760000017C08E0 +:042177000000000361 +:0421780000017CBC2A +:04217900000000055D +:04217A0000017CF8EC +:04217B000000000B55 +:04217C0000017D5C85 +:04217D000000000955 +:04217E0000017E38A6 +:04217F000000000D4F +:0421800000017EECF0 +:042181000000000654 +:0421820000017FF0E9 +:042183000000000553 +:04218400000180686E +:04218500000000094D +:04218600000180CC08 +:042187000000000F45 +:042188000001818051 +:04218900000000074B +:04218A00000182AC22 +:04218B000000000C44 +:04218C000001833893 +:04218D00C896554B50 :00000001FF diff --git a/software/sys_controller/ossc/menu.c b/software/sys_controller/ossc/menu.c index eee8440..382ea5a 100644 --- a/software/sys_controller/ossc/menu.c +++ b/software/sys_controller/ossc/menu.c @@ -65,7 +65,7 @@ static const char *l5_fmt_desc[] = { "1920x1080", "1600x1200", "1920x1200" }; static const char *pm_240p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x", "Line4x", "Line5x" }; static const char *pm_480i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)", "Line3x (laced)", "Line4x (bob)" }; static const char *pm_384p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line2x 240x360", "Line3x 240x360", "Line3x Generic" }; -static const char *pm_480p_desc[] = { LNG("Passthru","パススルー"), "Line2x" }; +static const char *pm_480p_desc[] = { LNG("Passthru","パススルー"), "Line2x", "Line3x 480x272" }; static const char *pm_1080i_desc[] = { LNG("Passthru","パススルー"), "Line2x (bob)" }; static const char *ar_256col_desc[] = { "4:3", "8:7" }; static const char *tx_mode_desc[] = { "HDMI (RGB)", "HDMI (YCbCr444)", "DVI" }; diff --git a/software/sys_controller/tvp7002/video_modes.c b/software/sys_controller/tvp7002/video_modes.c index a9792e1..80bc932 100644 --- a/software/sys_controller/tvp7002/video_modes.c +++ b/software/sys_controller/tvp7002/video_modes.c @@ -91,8 +91,9 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t case 2: // VESA 640x480@60 continue; case 3: // PSP 480x272 - // force optimized Line2x mode for 480x272 + // force optimized Line2x/3x mode for 480x272 valid_lm[1] = MODE_L2_480x272; + valid_lm[2] = MODE_L3_480x272; break; } } else { // "640x480" on the list @@ -229,6 +230,15 @@ alt_8 get_mode_id(alt_u32 totlines, alt_u8 progressive, alt_u32 hz, video_type t cm.sample_mult = 7; cm.hsync_cut = 13; break; + case MODE_L3_480x272: + cm.fpga_vmultmode = FPGA_V_MULTMODE_3X; + cm.fpga_hmultmode = FPGA_H_MULTMODE_OPTIMIZED; + if (cm.cc.upsample2x) { + cm.sample_mult = 2; + } else { + cm.tx_pixelrep = TX_PIXELREP_2X; + } + break; case MODE_L4_GEN_4_3: cm.fpga_vmultmode = FPGA_V_MULTMODE_4X; cm.fpga_hmultmode = FPGA_H_MULTMODE_FULLWIDTH; diff --git a/software/sys_controller/tvp7002/video_modes.h b/software/sys_controller/tvp7002/video_modes.h index c6e08ab..31c58d5 100644 --- a/software/sys_controller/tvp7002/video_modes.h +++ b/software/sys_controller/tvp7002/video_modes.h @@ -83,16 +83,17 @@ typedef enum { MODE_L3_320_COL = (1<<14), MODE_L3_256_COL = (1<<15), MODE_L3_240x360 = (1<<16), - MODE_L4_GEN_4_3 = (1<<17), - MODE_L4_512_COL = (1<<18), - MODE_L4_384_COL = (1<<19), - MODE_L4_320_COL = (1<<20), - MODE_L4_256_COL = (1<<21), - MODE_L5_GEN_4_3 = (1<<22), - MODE_L5_512_COL = (1<<23), - MODE_L5_384_COL = (1<<24), - MODE_L5_320_COL = (1<<25), - MODE_L5_256_COL = (1<<26), + MODE_L3_480x272 = (1<<17), + MODE_L4_GEN_4_3 = (1<<18), + MODE_L4_512_COL = (1<<19), + MODE_L4_384_COL = (1<<20), + MODE_L4_320_COL = (1<<21), + MODE_L4_256_COL = (1<<22), + MODE_L5_GEN_4_3 = (1<<23), + MODE_L5_512_COL = (1<<24), + MODE_L5_384_COL = (1<<25), + MODE_L5_320_COL = (1<<26), + MODE_L5_256_COL = (1<<27), } mode_flags; typedef struct { @@ -150,7 +151,7 @@ typedef struct { { "480i", HDMI_480i60, 720, 240, 858, 0, 525, 57, 15, 62, 3, DEFAULT_SAMPLER_PHASE, (VIDEO_SDTV | VIDEO_PC), GROUP_480I, (MODE_PT | MODE_L2 | MODE_L3_GEN_16_9 | MODE_L4_GEN_4_3 | MODE_PLLDIVBY2 | MODE_INTERLACED) }, \ { "480p", HDMI_480p60, 720, 480, 858, 0, 525, 60, 30, 62, 6, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV | VIDEO_PC), GROUP_480P, (MODE_PT | MODE_L2) }, \ /* 480p PSP in-game */ \ - { "480x272", HDMI_480p60_16x9, 480, 272, 858, 0, 525, 177,134, 62, 6, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2_480x272) }, \ + { "480x272", HDMI_480p60_16x9, 480, 272, 858, 0, 525, 177,134, 62, 6, DEFAULT_SAMPLER_PHASE, (VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2_480x272 | MODE_L3_480x272) }, \ { "640x480", HDMI_640x480p60, 640, 480, 800, 0, 525, 48, 33, 96, 2, DEFAULT_SAMPLER_PHASE, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \ /* X68k @ 31kHz */ \ { "640x512", HDMI_Unknown, 640, 512, 800, 0, 568, 48, 28, 96, 2, DEFAULT_SAMPLER_PHASE, (VIDEO_PC | VIDEO_EDTV), GROUP_480P, (MODE_PT | MODE_L2) }, \ diff --git a/tools/Makefile b/tools/Makefile new file mode 100644 index 0000000..ac98ffd --- /dev/null +++ b/tools/Makefile @@ -0,0 +1,23 @@ +.PHONY: generate_hex update_mif generate_firmware assemble_ossc + +all: generate_firmware + +generate_hex: bin2hex + $(MAKE) -C ../software/sys_controller generate_hex + +update_mif: generate_hex + cd .. && quartus_cdb ossc --update_mif + +assemble_ossc: update_mif + cd .. && quartus_asm ossc + +generate_firmware: assemble_ossc create_fw_img + ./create_fw_img ../output_files/ossc.rbf 0.86 aud-psp + +bin2hex: bin2hex.c + $(CC) bin2hex.c -o bin2hex + +create_fw_img: create_fw_img.c + gcc create_fw_img.c -o create_fw_img + +# vim: set noet ts=2 sw=2 sts=2